-- dump date 20240512_231355 -- class Genbank::CDS -- table cds_translation -- id translation ANIA_10814 MRSESSTDVLIVGAGPAGLTTALWLAHTGVQFRIIDKRPNIPRR GQADGLSPRTMEILETFEVAHEVTRLWERATDEMLWCRDAQGNLTRMERFRNQPPQGV RWGHGTLQQGVVEEIMKKKITEVCGVEVEYETTLFELSLDTTKANDPEAFPWSATVRY GTDEPAGQMLSKTMLAKYVVGADGGRSFVRQTMGIEMQGTKGEAVWGVMDIIGTSDFP DDIDGAVDFVRREKDRDAITPESIIVKCEYIIRPYKLYIKEHVWWSAFTVAQRISNSM AVHNRGFLVGDAVHTHSPLCGAGMNTAVQLHRDAYNLGWKLAGVLKHQLNPEILQTYG AERRPVAEALLDADKTILALFHAPLGPEAEALLAKADHIQAYLSGRGIQYRASLLTHG SAEGLRSLAVLPGHCIPDITVQNYMTGRASNLHSWIMADGGWSVIFWASDLSCASRVE NIHNCCKQVESIRAKTSSKVGYMLDAFLIHCNEWPSVDLAALPGLFLPPSKYGCLDYG KIFVREETASCKSERMNNLGGIAVVRPDKYVGWVGGLDDMVGLGLYFSKIFL ANIA_10821 MKFSWLLWFFGLWDQEGTHQVSLKVQDENRKGHHRCTPDVRSVR REWGMLSESERFDYIDALHCMQSKPPILPRDQYPGVRHRMDDFSATHINYTLNIHLSG IFFGWHRHFVYLWEKALQEECGYRGDQPYWDWALSADNISASPIFDGSPTSLSGDGDP IPQDPFFKLVPTNITIPNGSGGGCVTNGPFANMTLNLPDLTFAGDAEFPASAFNYSPH CFTRNLNSHMAQLFTSQRDVDRLLNSHSITELQINIDFSDWPELRKAGIMGPHAVAHM QLGRTMDDFWTAPQDPSFFLHHAQVDRVWTLWQSKDPKRRRWALNGTSSIHNAPTTPE VNLDTELPFGWLDQSRTLREVMSTEDYHLCYKYGS ANIA_06485 MNYVAYIPLLAIALLAWVIIRRLLFNPLLGIPGPWTARIFGFYE FYHNIWRDGEWCKTFPELHKRYSSPVVRIGPNHVHINNIEAYEHIFRLGTDFYKDESF YTCADNHGSVFSLSDRDDHRERRKVLAPRFSKQAAELAAPKIQARLQDLVQFMVKQSR KGEGCNITDLFRAVAINWVADVFLGDCGDWVNYEARKLDMLEDIDGLSALIPTLRFFP YLATLNSLLPSSVVNYLTPSAVAGFKKICKDHTRPLLNTPTKEMARRTEASVAELLIF HRLENTGKPPTLDYLAEEAFAFIDAGVDTTGGTLVTALYHVLKSPEILRKLRKELDEA MRRQAKSTDIDPRKLGELPYLNAIIKESHRIWPAIPGPLPRVVPPAGINIGSYFIPGG TTVSATHHSLHYNETIFPEPHKFNPERWLKEEKSEGGRYLNPYSRGTRACIGINLAQI QLRLTLTHLFGQYELELCDPVPSRHEWKDHFVAHPKEPIFVKFRERKGQVESVVQSGD EEGRGCKTNFVM ANIA_06484 MADYNPTPSYPQPQRLTGALSQFKYIESTPALGREYMDLQLSSI LENDEMVRDLAITASERGVLLFNDQNITPFELKRLLVKLGKLTGNPPEAGLHRSAFPS QIHSHLDIPEVEDPDIFIISSVTQKKSLADALPTGGRKFASWGWHTDESYEKYPPAYT GFKIAKSPPTGGDTLFVSSYGLYEHLSEPWQKFADGLTATHSAKEFLRFMEKGMTLDG ELQRGHPENVGLEFRASQYASPLALYTNCSFNPADMRTVLRMITDSSDLQTRKRWNDN GVAIWDKLRYWREALLRPEFCLQGRVSSQ ANIA_10813 MSAVPPFQPFELTAADYSLPMLYAGNAIFFRLHDPEQGVPVLQE AIKQVVEHIPFLAGVVMPTDGKCGTMGIRPASNYDLAQRTPLCTVKQLTHLRLPSCLM PASGQANTGTEVYYDRNASLVLAPIHVAAQRAHRPVIRFQINVLADGIILNLFSNHMV IDGSGVSLLVEAIAVCCRTGAACQPPPDLAAAMDREIITRAFLATISSAEPAAAARAS RVDVEEMDVELLHDATLHDCVFSLSTAKVNHLRQAALQQLQERYHSVFPPVSADDIVT AILSLCFARFRSRSVSTGNVVPFTVQRMVDVRRRLQPPIPSRYIGNCFVMLDQKLDLY IPEANKTPESFTYLIAEIACALRSNLNKVDDRFVRDHMARHPVFTAAMSISEEPDISV TSLRRLPIYEQNFGPVLGSVLHFQLIPYMNPERVCTLNPCRVSDETWEVGVTLKKEEM ELLRDDSVFRWVVEREEYLRFFDTPLGGGKFLRGISSSPIE ANIA_10820 MKPHKLLPWVSALVPSTTARTASFHPPHRADITFSISVPSSSNP SSLLFRIQAQKSIEWIALAQGTHMANANMFLVYASQSDPSNITVSPRAAPGHVPPRYN HNARVALLSHSGIDIVTGDITAEIECFTCLKGYGGFMDPDGAETRWVWAFKEINEEDE ETRLRSDDVTARIAFHDEFGRVIVDLSRARTDSSSKDLFSDPDFDAVHPVDQTGDDSN SKYDMAIAHGVLMSLAFFFLFPSFALSVPLGYPLMKIHAPLQGVTLGIALLGAVLGFK IWNSAGRNMHPHPILGLILISIILLIQPMLGYFQHVHFLRVGGKSYFAYMHRWLGRVG ILLGVVNGIWGFAWVGAADRGAGWWHTAMVWYLVVAGVGLVAYVSVRGWLVLVLERKR KGVLADIQRRVDGGYRDLDEDEDEDVGEIVVR ANIA_06482 MPLEILEGEAPPPPPLGSLPLEKYILSQWDSVRPAPAGAYPAPI SETPSERRTRLIRQFLELGSQGREPYNTQSQSEKESRPTLAQIDTILRPLRPEQLRPY ADYTGSLDEGLYLRLCYDDSKEEAHKAVWAGNLDVAFVGPDGIILDDREIFGQCSSFS DALGVFPERVTNGGGVGHVQARERALREALSQEQGEEDEGQGDEGEGGNLVRYAAYHA ACVVTHLFVEDEEALNGTGLLHIFLDDCGNVVRQWRTDNEGGDYDFDGTWKEGVWRED FYAGRGELGPAYRAGGIRGPPYSVLG ANIA_06481 MRNVGRLGIPSDHYSDSESETEEITITPHLLPYDPSPGIDSLFA RLDQARDECCAMFRSNEDEDSSAAVSGLGLIVTRMMESVLKGHSTCKEMPDLNIGEVY ATYTNALQLEARNHPSKNLLLDINLLREELETIIANIVEQLKLITGLRDDSSGQAADE GLLFIDTENINFELLFTSSSPSPSPSTLNGTASNNEDIAQIDLPSRAILQAIHAELHE QEEVFTELIKRANILERQIVQRVDIIQEDHGKAILVFTIVSVIFLPLSFVSSYLGMNT ADIRDMKLNQALFWQVALPVTVVVVALVLVEWKSLIQDAKKRSTSTSQSVRTANGQLH ASVVADSRGICNGILSTLYTNVAYFDQDSGSMTEDWKLWSKRILKSQPSDERWTPGRR EGDPNASVFGRLARYPHAVPHSEGIDETGSMALNNFELGTTTGTTALHVFCAVNLFNQ PDIYHFGTYNWVLLRHEQGAEQDVAEYWF ANIA_06480 MATDSKIDHIAPLEYQMKILEEYHINVLAIPLDMVLRHVRSIRE GLAGVDSRAIPRSMVDAFIQIVVLFVEAASERERIVAGIERLLEGSGGRTVDSASLEM NDLETLKEGPEGRDWHPDSLEENPGSSDAKNPESLGKSARVLEKSLEDPEWEYDSVDQ STRDPTNRLDRTEEGSESLEGNLDRPGKIIESPDAKNPDSLEKRPNSLEGRLRGLEKK SGTLLESSRSPETNPENMKGPSPAASSPSPNTRSSSTDRSTDWLREWLLHRSRNSLQD NQEDNLVNRTNGSSQNSPGNRSEYTITESMMEIINQIEIQRKVQSKVQVNVQIKIPLR GFLMVHTKKQSERQVKV ANIA_06479 MSPQCPVPRYLNQNQDSKIELVVPGDYPGNSPKESLISVEATAR LPLPENDPSGSVDELPGLLLGVKSIDTTTKIEPPEQLRLLHVSNNDYGMEFSAFLGRA LEAYGLTEPHTQKTIFKFFDRFLELSEQSAFRGGRFSPVPASTKVRLPARNGSKEEEV RVTFIAIPYFLLHDAQRPPQRAIMSRNKVHWVQPLVQSGYHLDSSMMREDQQAIRRLY RHIKQVIHVPQLWVLSIGHNFVATCAPTPLFDGQRSPSASPCIALRAIGEQTAFPPTV RITTPWGFVFCLELRKCGVWSGFLYQVQVMLSSVAPENTSIDTRNWVYSLEVDGSTID ARRWRSLWEAHGDNNPLLAIIASPPPEHIPRRKEPTEPTTSPSSLSAETPEPRYPSGI SDTLKKLDERMREHRERRSRTIATTQSKYAGDDDADGLRNPFSRRFPSSVKHRVRRYR SAGFRNYDNDHPYQYIDPYYFPPQFVLDAIRNQFKAEKEDGESHGLAQGASGAVGVAQ ADGPGPGAQSATKRDKKQEQEKPLPVFKWSIGKKASEEASSNSDEAESTRRMESLLAY IHRHMLFHTNNKKSSSYFPQTTKASTKVLNRTYNAIPLKTRSIVDSQLLHLRDTYT ANIA_06478 MSTTSSSSSSSSSSEQDPLRDAVVKEFTEAGYTNEEIQTVLTQQ DALRRIKDDDADSILDAYHLPWQLDEADEEYILIKKEVSTELLDEIFEHTRRAQEDSY NIRSSNPSGGRYGWPSSRRTPHASYSPRAGHVQRASYTKLSNMRRTELAVYDNAYGYG GHAVPIDRPSRSAARMDRIYTA ANIA_11518 MPAILHMSTGVLDYGTVRSRCGVDNTCIGVMGTSAGGGLAASAA LMARDRWQKTPLAE ANIA_06477 MRPAKHSAQVSSSRTTGSDRSSITIIPQGPDELNKQASNANNSQ QEQHDLEKQDSREMSMPTQVTFPESDLSQGIVGWDSQDDPSNPQNFPARKKWGLLALI SAFTLISPLASSMFSPAISYMAADFGETNETILSFTVSVYLMGYTFGPCILAPLSEIY GRRVTLSGANWFFVVWQVGCALAQNIETEIVCRFFAGIGGSGCITLGAGVIADLFPVE QRGKATAVWGLGPLIGPVAGPIAGGFIGENVGWRWTFWTLLIAGGTVALGIEFLNKET FAPVLIKWKTTKLAQETGRADLRSAYDLERESLSVGQALKLGLKRPVLLLVKSPIVFL LSVYMAFIYGLLYLFFTTISSVFTSNYGFSTGLSGLAYLGIGIGFIVGICFMAVTNDR MLVKLTARAGGKFEPEMRLPLMIIFSSILPISFFWYGWSADKHVHWIVPIIGMFPFGV GMIGVYMPIQTYIIDCYPKYAASANATMTATRSLVGALLPLAGPTMFRSLGLGWGNSL LGFLALAFVPVPILFTRYGKVLRDKWPVTLEGKKT ANIA_11517 MLIFLPSSYIAYEYCDTYALVNMNLLFKKLGRGYEEVMLADDSQ AGILGQFRALLSA ANIA_06476 MKFQLLSLAALFSVALAAPTAETSAELNVADFATPVEARDISPE ALGLVKRAVRCYIVNSSSGTVNCRSGPGFDYGVVGSVTVGYSYPFNCYKSGDCYEGNC TWDQVPLSGGGVCYVNGYYTDSKCTVAALGKC ANIA_11516 MIIVVLIHKGPVEKIQNLSYPETLDISVFTTTSERKEFATKASQ FAVINIGPHKRVLAAPGKNETHSNERDPSYFK ANIA_06475 MGHWRVTFGGFWLRVLSVDEARRFSMPSIRDNNLRKSPEYCHYL EAVKDRELMLPDFKIGCLKYTVPSANRNILVKYLKDKNSYGMEFTLHNGPPTIKELME AKGKSYLDYLKHKQAATKSKDHNTKGVKNFNEGEAGNDQDNGKGLSSGPSAMRVARQL NQLVRKELYPTRPVATQRAKAKGANMDNAKETSNKESILNKENKYKNKEEKAAEPEEV QGDDRYISEYLLQLTWISETLALI ANIA_06474 MSFKRVHEGRFNKVIFAVFLEDHGKVITLLHIKDRGTLGLGKCL QELDPEHHEPDWQLERILIFCQVYFIFLFKAMISLLYAPTRAQYMDILEQLLGKQTHQ KLYAFSRKHLPILKAVTRSPSLAQIASQNYRNQQASHEQHILDLLQSFSTVSC ANIA_11515 MSTTLQIPGAIDVPEQNSYTYIVLLDPNQTFLCSSLGLVLD ANIA_06473 MKVSVLVKLGALARLTTASLLTRQSQCSGEPATLTLSDPPYQNF FYSDCNTAAQLVVTSPLPDSDLEIIGPRLIVAWPAGNSGVCAFFAPQNGVNGSLSISL VNSTAGPPLTAIHTEPPENSATDLPIVGVQGIVRFNASAVLTVPILGSIRTIRDFVEG PSLLYPEVQDAIMFGTTPDGGVTLERLWLDNVTTTSLNFVPMNSSYSQVSIAGRTVTF TPGDYMFSASYNYPQLTQLKPSAVLANKSADLITEYPTQTTALSFLSYSEKLLAGAWR FLTYFGRDSMISALLLDPILSSGEDSAMEAVIGSVLERINRTDGSACHEETIGIPAGS VDALNQNITYGELAFRNAQRVLRLAAPFVKNPVKENLIHLKPNEVVGQWRDSTYGLGG GRIPYDVNTALVPAALRAISALAREGVFTTDKRWATLADRYAKVWEDETLSFFEVTIP KETAKSLVQSYTNRTRFEGPSHANSIDDDIHFHAVALDGNNNLSHVEVMNTDDCFRHF LLNTTNQAQLTAFVNQTANNIRRTFPAGLMTGVGLVVANPAYGSDPVYARNFTNGAYH GTVVWSWQLAMMARGLEQQLGRCIDSKEAAVPQFCKDDTVYTNVQLAYNLLWDNLEAN SAQLSSEVWSWVFRDGRFIPTPLGVLPAPPGVGGQTGGSNDTIF ANIA_06472 MLLSIISFTTLALPRAAAASPETAASRAITATEALQTWYNRTTG IWDTCGWWNGANCMTTLADLATLKLNDSVDGLAKDVFQNTFSVAPNSNPYPERGIDAD YTTANGTSYSQTLDKKVPTGAANASLWLDGSYDDDAWWGLAWVAAYDATGQTDYLDLA EGIFYHLCGNGGIDSDYTHVYVGAVANELYLALAAQLANRASDSEYYLGWAKRQWSWF RDSGLINENYTINDGLTNDCANNGATAWTYNQGIILGGLVELNRAVDNETSSNSTYLQ EAHKIAMSAIAALTDDYHVLHEPCEPDNCGGDQTQFKGIFMRNLRLLHEVTPNDTYAQ VVNASAQSLWANDRTDENQFGIDWSGPVDSGKVDASTQSSALDALVAAIWE ANIA_06471 MKSFLLPALASLACAGSTITSMFLPGFSKESIVASVAGNDDTAT TYSMTCAASYDNEEECGMGNGLTVVKAGKAMTYMMDEGDAYSFSGECTVDGTTAACTG SATGSGDGLPASQTYKTYAQYVPVTITAGSITDATAALTATSMAEGTGTAAQASPTAS EAAEETADGSGAEATPTGAAAQITGAGSILFGGAVVLMAAAL ANIA_06470 MKGISLLALPGLAYAAVQGFDISNWQPTVDYKGAYASGARFVMI KATEGTSFIDPLFNTHYPGATSAGLIRGGYHFAHPDSSSGSAQAKYFLAHGGGWSGDG ITLPGMLDLEAGCYGLSATAMVSWISDFGETYKSATGRYPMIYTTTSWWQECTGNNDG FGEYPLVLARWASTPGTLPASWDYYSFWQNSDSYAYGGDSQLWNGSEERLRIFASG ANIA_11514 MNKLHDTNFLAYHTPRSWTEGASEKAQIPDILHVLTRERSITGT RGELTIFFEGYSTRFTVGYTVLQIRT ANIA_11513 MNDFPYITICGLANLFDSHKYDDWQPHAAATAVKFANEFLSHRE SAQDSEPQNCLLTAATLWISQCIGVWNQVVAYSRKKYA ANIA_06469 MDISSIEPRSAPPTKPDLWQRAFDDLRPEDQELIKSVSMPSCNK KIECNGENNSSAIVSRLRILSELVESVKIQYQTDQENSRIKEPAQRIVKAVPNFQSFI QKAVAIDPTGHATSVWAIVSLGFTKEAWLESCASLTNVITRYSLVEDEYRKNPTTDEH VETALVQVYAAVLTFAARAQSLYDRGRAVWIWKSVTSYARSLDLSIARIKTSRSTMNQ LPIESLLVTKSIPGDSLTELRKSIAEAEFHLQKWFQIVDCYEQRERVNRLLEVADNML SSANEPHDKIDLAELKVAEEAHYNAYTGEEDQERLPETRTELLEDIKSWATDPDRRPV FWLQEITTSSAANQEAIRTSRDNGSRNPQEQFNELLFEPLKSLNLGLRTPLILAAVID ALDECQVPGDVTAFLSILPTLNDLEEVHLRVLITRRPEPPVTRGFRSIYKDEIILHQI KRSTIQHDISVFL ANIA_06468 MSHTVQNIISEDETSYIRQEKLEDRLYVLFGYPIKVQHINGRYV FEAPRKVDMVSYRPHGILLWYRLADPWCPAPGIQDEIAVYSYRTRPYNHSYCSRPYQE IKIISRPSMSDLESGYYRSLRPYLRYDTFASHPEKSNIYVFETTPQHAAYSFTNSDIF ESHIANAAKPETRIVSISCQNSLRPLGITEQAMCKLMTHYDIDASFFDLVVSFGDKPR TSDAGHGAMSFKQRDDGAYDIQYLFTYAENDSTRGAASWRIRQVCVFHRYNPSGAGNL WILLHVCPQSKLQRQIEHILSTNPSALLAERSSMHLLVFSTYLSGWRWCIRNLGDEIE RTVDIALTLDFSKPKARDHKDGLVQLLKQEYLGDRLVPLSARLRAALCTLRHLEQISS LSHSKGVSRDQQGGYMSGEVARHITELEGHIESVQVLERKVRGISDLLAVAVTVENQA VTIDINNKMLDLNNKLVKLTNKSLDENATVRIVTLVTLIYLPASFVSTLLGMNLFDYG SEGNLEVSHDFWIFFILAVPLTAFTVGSWYWLVRRRRRLRDMKQAVDMEAQ ANIA_10812 MLNDETRSNPNPLTERTASELEQDVRDFHRRKELSSVVDVELLV KAALIARDRIYMQICSLTEPEKRVFESEEKLGFFQQTKELKVSILTTACAAIIQGWQQ STINASSRGWQCQFLLPNSTEEQPMVNVVRHVIGTWLSDPLQESKFGRRSALFVSALF CAACVLGTARCDTWQQLLACRLILGIGIGAKASIAPVFAAEVAADHLRGRLLMMWQIF DTFGIFIGFLCYWIVGRSWRGLLGSAAVPALILLVLVFLCPESPRFLIRRDRYPEAFL SLRQLRGSDIQAAKDLYYIHAQLQIETELINGKRPEEWWAKEVYQEKVKAQSFFQRVG ALFSVRRNLRACIAAFLVMAAQQLCGINVLSFYSSTLFRSASSTSSTNNTTLSDFSNP ADIVNCEIPMDDTVAWLNFGFGLANFLFTIPAYMFIDRRGRRILLLVSLGGMFFTLVA TSGFFLIITPDDARKGLVSTFTIVVFTLFYGIGAGPVPFTFSAEVFPLAFREVGMSFS VMVNFIGLSILILFVPPLTTAFSPDDPNRDKARLVGQSNLLFFFTVMTVASSGLNALA FILVYFLVPSGTAGISLEEMNSIFNTRTAVHAYEHLPAAVRRRWQPLVVQKEGQEHQR DQHHLQTIYSNV ANIA_10819 MRFPRRRPLDFRKELAERLLSAIGKGAGRFAPRDELLQIWNVEV LAEFASRERIRLPSASSLEDIRKDFLQTISLLVYVGWDEWSRFDTLFLSHKGPDQELD RTDRHIQEYDVQTLSNADFLGPMRGNLFFDNRHLFCPVEIVENENLRLDGNWKLPLLE GRSEHCGRGGFGQVTREVIAARHYHSVHGPSMSEKVVARKVFKSIIDFERERWVLKEL RKCEVQNARIVLPLATVVVGGQSNILFPPARMDLDKFLAGGLVSPDECGMMELLTELM GLAGALSHLHTSLGFNVYGCHADLKCANILIYPSRENSGSSRIGSWMITDFGLSIITA SAKRRDSGFMLPEQQATDTVTLLRQMPGAYQAPEVRYGDGVSRSSDIWSFGCIMVRVL AFKLDGVRGLQELDRLRAKDDDGVTIYSNDHFNRGEPPILNPHIANWINNLPVRYPGY NGEFLQDCAAVLRRTLAIDKHDRPKASEVQYLLGELRSLFHTSMHTPSESASSVPSLG TPRSSVTDLAPPSSVGGALRVEDLFNAIRGSNLRKVEACLAEGVDIEKHDDHGDTPLG VAARLGHGPIVQCLLEARAQVNARSAGGKTALMLASYAGFEGVVQLLLHHNADCQEYS NEGLTCLHYATFRHASAGLIRLLTQHFKPVDIPTRSPTEETPLVSLLKNYVPSTAWED KVRALISAGADVNATDKFGNKPIDYTGGVRSEAALEMLQAPVGPPRSIRSSIDSGQSH RSFSLRWRRSKG ANIA_10815 MGAQFSQFFPPHPTFTSENLSEQQGKVFLITGGTSGIGLELAKI LYCHGGKVYITARSEEKAEKAIQEIQASAPNHHGELDFIILELDDLRSIKASVEAFKA QESKLDILWNNAGVSQPPLGSVSKQGHELQLAVNCFGPFLFTQMLLPLLDAAVASSVS PGSVRVIWTSSQVAELSSPDEGIIMSELTSPPKDNVRNYVNSKTGNWFLSAEFARRYG SRGIVSVALNPGAANTNLLKDARLMKILSYPLLHRAGLAAHTELYAGFSPAISLQNNG CYVIPFGRIHDQVADALLSAMKVAEDGGSGRAKEFWEFCEARTKDYL ANIA_10811 MGVYLFSSSLWATLLLAILIYRLVRITYNLYFHPLSGIPGPSAW AASRLPFVYALIRGTIIQDFQKLHEQYGPIIRIAPNEVTFAHPEAYTDIFQPRPEKSQ GQFLKDPLWWARQPGHPDSLLSVINPERHAQMRRVLSPGFTARALRKQEPFVQKYVNL LVAQLQDLVSKSPSAHINMTPWFNYTTFDIFGDLGFGESFDCLQHSRYHPWIALLFDS VKAAGFVIATRYYPLIEAVLLKCVPPSMRKIQRDHYQQIVDKVQRRLGWELQRPDFMS YVIDERGGLRLDAGELYATFMILTTAGSETTATALTGTLNYLVNHSPESLQRLENEIR GAFSGLDAITLDAVRNLPFLNAVIQEGLRLCPPIPWVLPRLVPQGGSMICGTWLPGGT PVSIQAYTLNRDPTLFNRATSFLPERWLASSTSDSSSCFFNDQRQVVQPFSTGPRACL GQHLAWAEMRLILAKLVWVFDFGAIDGQGVNWEDLRTYLLVERKPINVRISSRTV ANIA_06465 MPGIPPHLAAAGCLESCPIPLAPFSSATGLYVLLLRVRTLWALN ITAWKRIRLAAVFGLGILAFAASIVRLGMTHVLTSSPGATWNISSISKWAVICACLPV LPALLDRYREKNFGSSLNRLWSGGSRRSYSSAATESEVRFYCAYPDIISKSKGPISST SDNQPPAISLERLSPQTEVNLHLTKKNSSPEAEPCRGDGDSGDYRAGRSATSTSSTSQ VITPQRKTMMQARFTRGTGKSKSGCENLPTARSESHRNRNEFRSVQYSLSLAWGMDLD SA ANIA_06464 MALLERLCGILVPILLLNVLNVRGHVIYGRGAPSLPVNAASISD QVPSALVRRQSVGDVELRILPLGASITWGLKSETHNGYRKYLRDQLRFDGWEVNMVGS KHDPDSTMKDNDVEAHSGDTIDMVTAAVHGSLAYKPNVVLINAGTNDCRLGIEIPEAG ARMRSLIETLVQAEDMSRTLIVLSTLLPTENAQAKANVPSVNAQYRTLVKTMREEGVS IVLAEMNRADGWIAFPNDFADDTHPNEAGYKKMASIWHSAIKDAANKNLIVAPAAFGS PGGSDGQCEREYGTGVYAGGLTQRGSGEEDGIYYHDSEAMGEVFTVLGGEDDFDTFFF ARIFSRDRDDMLRWTKADGSVKYLLNRNIDGQSTKFVDEHISMTVEDNCNPAGVNFID VNADGLDDFVCIAKDGTAYASINTGEDPPRFVYKGLWKSREGYGQANVRLGDVDGDGR ADYCVVAGNGDITCWRNGWVDDMPKYWQPLGKRFTGKGIGDLRGVRLEDINGDGRDDW LWVDDVGATTTYTNARSCQTVEEGDGLNIVWRPGYHKGYSSGPTHFGMSDFGNSGLRR RVHFARIYGVPQDFGLLGSQDYVFVDRDDNPVNGGGDGDKVGGYTYRIRVWKNKGSGG TKIKADGNRYCNMLGHDDGRMDYVWILSKGDMRIYPNKGLSFVSNDGESYWGPNYVIF DPASMSINRDLDRRDLHLVDWDGDGACDIIWTDPNNLNRPRLFRNRIKETGDFNWEYT ANPAPTVTCPEQRGLGFFDRPVQLADITGNGKADYLCIEKDGRTWGWVHNDNGWELID QVKFSEAKDRANLHWADVNGDGRADLIHTNKFNGDGTVWYNRGRREIGGSRFWWEPAG LTYEGAVAGSCTYFADLDGDGRADMHAITHSMLNTAETWYNKCELTDSTGDDGPITDP GLPSIPE ANIA_11512 MATTGVVVTVQVSREYGWDYFGSDQESHRLRTNITVREPHDVDY HKP ANIA_11511 MPGKEDTYHWALIVGPKIETPGKIGMRYHAKELPKAGGGSEWYF GGSKCQLTPTSMLLVRVMIGKVED ANIA_06463 MAIVSFSQSIQPRWQDRQNDLTGFSIQNTKMKRRNRLCVKYGEY IDLSEASTMRFISKNTSIPVPKIHCAFTHKGYSYIVMERIKGDMIGMGWVHRNEESKA KLLTQLKAMVQEMRAIPPPGGTGVSSVDGGALYDCRLPSPTLRFGPFPSVQAFHRHLR RGMEFDPGLDFEVKELIRQHERDWPIVFTHGDLSSLNILVRGDDVVGIIDWEIAGWYP SYWEYTTACQVNPQNPFWLNEIDKFIAPMPDELKMEKSRQKYFGAF ANIA_11510 MQFSSIILSAVALFGSMAFAAPSEDLVARASCQIGDIWGAGDAA CSASCLKDGTYHGGYCNEESVCVCTY ANIA_06462 MAILNPTIEQVRASIDEALQSANASLRTFNQKIWSNPETAYEER RAHDTICDFLEEKGFAVTRHAFGLNTSFEAKSGSGGRLINFNAEYDALPEIGHACGHN LITTSSVAAFLALSTVLKQYNMPGRTQLLGTPAEENGGGKAKLIDAGAYKGVDISLMA HAGPRELFPGVVLDGCAGVLMNARKELHCEFTGVSAHAGGNPWDGVNALDALVSAYNN IAMLRQQMMPDERMHCAFLETPKVANVIPAHTKAYWQVRSPTLKGLNSLMSRARRCVE AGAAASGCDVKITEKELYADIKLNDTLCALYQTHMATYGRNVLKRHEKILTGSSDIGM RDLYLLEQTAANKLSGNVSYIMPTLHAIFAIPATNGSFLHHPSFASAAGTDEAHEEAL VVGKTLGLVGWEMLTNDELFEQSKSQWQKCVNE ANIA_06461 MHEHSQSQSIPKDFPVRDDLDETKRYLERLETQDDGLGQIMEVA ATPEEERRVVRKLDMILIPVMGLCYMMQYMDKLALSQATLFNLREDLNLQGTDYSWTS AVFYFGYLGWSWPSSYLMVRLPIGKYITASVLAWGGALMCHAATKNFGGLMTARFFLG VGEASIAPGFALITGMLYKREEQPARQAAWFLGNCVATIIGGVIAYAIGTVEGVAVNS WQLLFLALGTITTGMVLWLVFLLPDSPKKVIFLTKKEQAIVVQRTMANKTGMMDNESF MLGQAWQALRDPQTWFLVLYTFCVNLWNGGVTSVMSSLTSAFFIVTVCADTFRLQFSS IIINSFGFSQLRSLLMQMPMGGAQVVFLVLSAAVATWFPRTRILMMIFNVTVSMVGML LVWQLDPDHQIGRMVGLALGAVFAVNIPLSLSVISTNVAGFTKRSVTSALLFVAYCMG NIIGPQLFLTSEEPAYPTGMKAAISGLALGAFFLTCLLCYYIFENRRRDAKYGPPTQL TEEEERTHALSNKTDLEIESFRYLI ANIA_06460 MSRSAAAWAFAVRWRWYLGLAPTITLLWMVYLLSSYQRPRVIAQ SHTLSADNSTAENSFTRPPLTGAGNSTLGFETILALSPFPSWRTTGLQAAAQLTGLDI QIPPQPPVPPEIIDAFAGLGPEDARHPNHGSAVAWVAHLDLIKYVVQSDFETALILED DVDWDLSIREQMVAVAEAVRRLTKTTDSTGAPYGLSWDVLWVGLCAETWDQEFETQFI VDETVIPADRYVGLGKAPIDRLPPGQRAVFYSGAPICTFAYALTRTGARNVLLDVGAG KDEAFDISLMNGCRERNLTCISVLPELFRHYIPSDKVGASSLVNTKDGEETSTEIEEE MGHTENILESARCHALWGQPCPA ANIA_06459 MRLPLLLLTISSLYPTSALWQRCRCTPAHSCWQQIDWSSLNATV SGKLIRNSPPAVSCYPGPKYNKEECAHVGSQWSNTTFQSEQPIGYCYPIDNSCPVTNF TWQGKCSLGPSPVYTINATELEELVAGISFARVNNVRLVIRNTGHDLLGKSTGYGSLQ IWVRYLRKGILFQPTFNLSIPCAACNWTGAAFTVSGGYIWDEVYEEAFVRDLIVVGGG DPTISVIGGYIQGGGHSPATHDFGLASDQVLEAQVILANGSIVVANPCINPDLFTALR GGGGGTYGVVISVTIKAHPSRPVVAHTLAIVPTSTSNLNPFLDAITDLYTFYPTLSES GFSGYGSWSINDPITTYGNSPAGYKHAFAALDKPLVSAKSALEPILDTLSVHDAIDIF VSWFEFPSYAAYYRAMSGVHQKTGVPETSLASRMFDKKALTSDRERLRGMVGAVAGNA LESTINQVLLVGGGKVLEEPEYSGVNPAWRKTFLIHIVARGWPAKLGPVVAKKVKTDI TYSKYYAMRQLTPGMGGYLNEADRNNPWWEEDLYGTTKYNQLLQIKTKYDPEGVFYCP QCVGSSSWYEQTLPGKKYGPLCAR ANIA_06458 MNATLIDIPSPSGVATRLSEGNLIVITWVGAGLGALFTLLRVAI RLTRMRRLLPDDYFILLAFSFLIANAVLQTIQIPHLYYIILNPTGSDIAPHALKYVHY MFAIIGLFWSVLWSVKAAFLALFWAMTNNLPHYRRWWFGIVIFTFASYAGCWFASAFT CHPPSTYFKFESDSPAQCVKPIDQKGAEIAIIYSTVVDILTDLMSTPSARFEPLFLIM TVMGFALSIIWSTNISLHQKVGLGTVFSLGLVIIAFAVVRAINITGRSYSDQAGLAVW GIAESSIFLTDEKAVIVGCLPPFKTFLSRSSSSRASRYPPIYYRYRQKRSVTQTTISS DIALRPLSESVDRVPKGEIRITQGFVED ANIA_06457 MKTSVLSAGLSLITSVVAFPHYLDIERRWEPREWIAPGPDDSRG PCPGLNTLANHGYLPRNGKGITLDILKEGMLEGFNIEHGDAVLLFFQAIRTSPIPFSD RFDLADLGRHGILEHDISLSRSDAYFADPKPFNETVWAETMSYFTTSMITVEQLAKAR MGRLATSKKTNPEFELSLLADGFSWGECASFFEIMADGTTGTVDKKYIDYWFRNERMP TELGWQRRNVTMKGSERIKYSLLLMEAAGVKKRDMTSDAYGLPIVH ANIA_06456 MAGVVDAIGVISGLLTIVSFSMDNFGQDQDPGSTIKVAVGLDGP GGTENAGGDLPDVRIWNDFGEYKAMTADPGSVSNGNIGTITVDHDQQGVYSLFSANND AICIAWVTTTWAETAGGNKYAVSGDYGEACGGTWYESNLWTNGENSYQPKCFWIDGNG DQPNTGFQVRWPRYSSDQFDEGNTDPANICNDIDFGLRTEEDPSSINYWVKGKKRDLN SRRMRARRDVRAPWTETELVVSESKFHSAVKLCESETSMGPDFVHVEENIFCDMGAKK AYPLCTGSGVGTNTTATSQTCFDLESKNLFSGGAVKRASPYTSIRDWRSKNPATI ANIA_06455 MIHSKVLFLAPVISSLAQDLPTDIVGCKDLDCPNEGWDSCTVAD ETYAGVGLARVSNAPDSLAGISLVKGVHIEDPKSGGNAGGDGSEESRSFRSVYYLGTP SNLEVADVSGCAVVFNDPPTGHFDVPKLNDSITVDTRASYGTCPDVIQQGCIEGLTRQ AGKLQYSGSNACSALASDLRNNPPDECSDMTGSGDGLGSFDVVSLSNLSTISQSANGS SNCWPILPKTDNLAQLYDDTTKGNYTEQGNLAEMYKITPILTVFTSDNSTNSPVNNTA ASLTCLKVVGTQDVTNATDPTDTDVDAAPLSAVNMVGASVAAIATLIFVLL ANIA_06454 MSPRLDYNEGYDSEDEEIPRYVHHSRGKSHRSVRTSGRSRTLDY DGDDEASDHAAPSGIDRDARACPTSRRYTDDCLETHKFRGARSSRSRGRTDDNKVLYY TKYRSPAKDLPIERDPEGINLFKVRQHTRPSDAHVPSGYREPYEVKVDEYEDDHPRTC TSRRDSRQPKVYKVRVDEYEDNLPARSHTDFRESPRSERCSSRYTEDSKPGELPPRSG PCRSSRPSPVDEDVEYEIREPRGHRSSRHSTDVDFQPVEQHPRFGQRGLSRPSRVDEE VDYEIREPRGNRVSHAAHGDSPCQDQSSRHIGIQLWTGVPVLSRQLTHAISTPVNMFR TVEDRPTPKEVYNWRLYTEATIIATGTLLFGYDSAFVGTTIARQSFVDAFNIVESEAA DISSNITSTFQAGAFFGAIFCFLPEADAGRALTGIACGAITATVPSYIAELSIVSIRG FLTGFFEVAYQIGSLVGFWINYGINENMDNSSAASWRVPMAVQIIPAGVLFIGGFSSM REDIEMNRTRLLEEARIAEKYGQGWLAYIRGALFELSRHGMWNRVLLVLCAFALQNMS GAAAINYYSPILFASLGITDVALYTGIYGLVKAVASIIFYGILIDMWGRRRPTIVSSL ACPLCLWFVGAYVKVGHPADIIDAGGELSPSTEAGGRAATAMIMIYSVFWSFGLNGIP WIVSAEIFPGALRNLTGTWAALVQWLIQFVITKALPYIFNSLGYGTWFFFASWMLLAI IWSFFFLPETKGKTLDEMHTIFLSKDGTHTITLR ANIA_06453 MSVVIPPVPVGPDGQPDIQYLPDPVKYAARAERRQREENLPRTL PEGFPQELKSDLAWDGKTVGEVYDWNYHLTEDDLKEVDQALLHFKTLNLPIGLVNQET FPLYKLHNSLRLISREIHLGRGFKVIRGVPVDHPRPPGQQLAGKADVVLAHITDLSRK VDTKTIKAPAYTTEKQVFHTDAGDVITLFALQEAAEGGQSYLSSSWHVYNELARTRPD LIHTLAEPWVAEQGGDVVSRPLLYYQPQTSSSPERLIIQYARRGFTGYWGKPRAATLP PITEAQAEALDALHYLAEKSAVALDFHKGDIQVINNLSIFHARAGFTDSDEKRRHLVR LWLRDPELAWETPERLKSRWEYVYDGVDAAKSIFPLKPFVRSQ ANIA_06452 MQLSSYKETAVGEAVDKDNNAPAENLEGQIQVHDDVEYVKGHPV IRTGADISRFIVSDRDDGDPALTFRSIVLGTVFTALSSVITILYVFKPYQVQVSAVFL QLLVFIFGKAWAIFTPRPERVKWRWLQSVLRFMSFGQDFGIKEHVVAALIASSGNNGL SGVEVRAVERLFYGLHISASTAVLSTFSIALCGFVLAGVLRPLIVYPAEMVYWSTLPQ VVLYQNLHFNPRNNKRRLIKFGWALGIAAVWELFPAYMMTWLGGFSVVCLASLRAPMH TRKIITTIFGGASSNEGMGLLNFSLDWQYIQSTYLSLPLKQQVNSWIGYAIFYVVMAG TYYGNAWDAKSFPFMSTSLFHSNGTTFSPDSVINSQGTIDYVKLEQVGLPSLTSSTVW GYLTQNLAIGALISHVLIFYWKDMETAWKQARSRTQPDPHYQGMLKYKEVPMWWYYAS FVLAFFAGLIVTIKGETTLPAWGYIISLILGSFIAPFSCVLYGLYGTGVSTNQLSKMV AGALHPGRPLAGLYFASWSHQVILLSVNLANWLKIGQYTKVPHRIMFATQVYGTLLGA ALNYVVMITIVTSQREILLDPIGNNVWSGSLVQSLNSQAITWSLAKEIYGTHGRYLIV PLGLIIGLVFPAIHWGLSKIFPRIRNWPLNTTIILAHAGQVYYGTTAWVGSAIVVGIF SQFWLRRRLPRVYNEYNYLIGAALDGGSQLVIFILSFAVLGASGTERPFPTWWGNPDG NPDHCL ANIA_06451 MPEAEIALHRPALHSSSTMVLATPTTPTTETSKSSSDDNGTRGS NSSGNTTNNIATTIEKEAPDPNLVVFSGPDDPLNPQNLPPWKKWVYANIIGWLSLVVT FATSVFSAATGVTAEEFGVGRQVTTLGTALFIAGFAAGPLLFGPLSELYGRKRPLIAG YAVFVVFQIPVGVARNIETLILCRFIGGVAASGPLSIAGGYFADFFDPVQRGLALAIF SGTTLVGPIVGPILGGFITQSYLEWRWTAWITMIMAAAAGLIGLFVLPETYAPVLLQR KAARLRLETKNWALHAKLDESPITIGSVITRYLSRPLVMLLREPILQLITLYMTFIYG FIYLLFEAYPVSFIEERGYSLGVGALPFLSIGVGVVLGSAYIFYFTRTRIRQTFVSTG RIRPEDRLYPMIPGAFLLPLGQFWFAWTSFPSISPWPQILAGVPIGAGIQIIYLQGLA YLVDVYLVNANSAISANAIVRSTVAAGFTMFATPMYHRLGVRCASSLLGFLGVAFIPI PIVFYIYGERVRKLSRYSPTL ANIA_06450 MSLAGKVALITGASKGIGRATAQRLASEGASLVINYNTDAASAQ ALVDEIGQDRALAVQADASKLADIDRLVDAAVAKFGKIDILIPNAGILPMRDLEHTTE EDFDFTYNLMVKGPYFLAQAQKAAKHIPAGGRIILVSTGVTVLSNIAPAYLLYASAKA AVEQMARVMAKDLARNGILVNCVAPGPTTTGLFLNGKSDQMLKMVAGFSPFNRIGEPE EIANAVYFLCSKDSSWVSGQTLRVNGGMA ANIA_06449 MAILVRLFFALFVVSVYFFRVRLRLSHIPGPFLASLTNINRRQW VTTGRAHTIHTELHRQYGKVVRAGPNTVFVSDPAAIPAIYRFNEPYQKSEFYDALMPY VRGKSIPDVFATRDEHIHRTMKQPIAAIYSMSNLVSFEPYVKSTIEYFFSRLDSLFVE TGKVCNFGLWLHLFASDVMGEITFSRRLGFLETGGDMENVMANNWKFFVQAAPATQMP WLDYFWKRNPLLPGSVKPNKVIEFGVARIQERLHLSEKHPDHVNSRDFLSRFIAAKEK NSQIGPDAIMTWANSNIQAGSDTTAILLSALFYHLLKNPTSLAALCTEIDAAAKRGCL SSILTWKETRDLPYLDACVKEAARLHPPISLPLERVIPESGTVIGGFKIPGGTRVAMN PWAVHRDRDVFGADADTWRPERWLEGEEKAKTLYNSLLTFGGGHRSCLGKNISYLEIY KLVPSILLRYEIGLAEPEKEWHLENRWFVMPSRFYVRLKARNGVTKL ANIA_06448 MAPAPSALVFGSQTTLPSVEAASRLRAALLLDPRLYRMRTSIES LPEIWPALAISDPALERVAGPAEKSLRQLCRWLSHSEFPDATEISELPATFVTPFTVI LHTVLYMHYTDENGSRGHADVLRAVRNNGGVQGFCTGFLTAVSVATSPDLEALSRQAS VALRLAVAIGAYIDLDLLDSDVSSVAVRSRAGKKGLEETLAQFPGAYISVITDELNAT VTAPRASLDALSQSLASNGLSAKRFDLRGRFHHPAHQKALEGLYNLVASNPVFQFSHS ELLAPVYSNIDGQLLSSDSIIDTLLQSILVQRCDWYASISTALHKRSNGEKTSVVQFS LVECIPPSVLRQARLSVQRITDVPVQNSPATVPAPLNAVRARIQTSEPIAIIGMGCKF PGADTLDEYWQLLAQGTSMCRTMPEERFKTSSLRRSPGEKLKFWGNFVNDVDAFDHRF FKKSSREAASMDPQQRLVLQVAYQTLESAEYSGLSGIKASRDVGCYLGLCASDYTDNV ASHPPNAFSSLGTLRAFLSGKISHFFGWTGPSITYDTACSSSAVAIQAACRALQTGEC SMALAGGVSLYTSPNFYQNLSAASFLSPTGPTKPFDAKGDGYCRGEGVGLVFLKPLSS ALADHDNIMGVIAAAAVNQNQNSTAITVPHSESQIELYRKVVSEAGLHPHDVSFVEAH GTGTPVGDPIEFTSIRTVFGGSNRANPLAIASVKGNIGHTEGAAACINNYGAAGSNAA MIVTEAPTGARSEKQGTLPKCPIYVSANTVSSLKEYCKELLRSLRGRSPDCLASLAFQ LANSQNRGFPHALITSVTSKAELEDQLSAVVENRNNSLHTVAPTERPVVLAFGGQVAR SVGLSRQVYDSSAVLRTHLSNCDDILTSAGLNSIFPAIFRKEPIADVVLLHSALFSAQ YACAMTWIEAGVIPAALIGHSFGQLTALSVGRVLSLKDGLGLITERAKLMRDAWGPEH GSMVSVQADVQTVARLMKAAETKDPKDALEIACFNGPTSHVVVGSADAADRLEAALTQ ESIRYKRLAVSHGFHSKFTEPLLLGLEQCAERLTFRTPKYAIETCSSGSSWSEFNASM IVQHTRTPVYYTEALARIEAKLGACTWLEVGTGGSVAGMIRGALNVPSDHLIQAVNLA GETGTAALADATVNLWKSSHKLQFWAFHRSERECYQPLELPPYQFEKTRHWLDWKDTM TEQATVTQSTREETSVEEFLTFVKYKDSTKQQAEFRISTEHEKYSFFVKGHAVLAEPL CPAPLYIDLACKAGQMVYSDTSETLIIPSVEDLEIQAPLGVGDRVIILRLQQSPFLKT AWTFCFCSRPVMGNSAEEQLHASGTVVLRENDTKTAAEFSRFGRLVSSKRVQEMKSDP DCHILQGPVVYQLFSRVVSYADYYKGVQSVYASGAEVTGRIRLPPTVKDADTRRPLLV DNFIQTAGIHVNCLTDVGAKEVYVCTKVDRVQSAAAFTEDLANVDASWIVHSSYHPTS EKEVVNDIFVFNAATGELAMFILGAHFTRVQISSLGRVLSRANTADAAPIKVAVPVQS PALRAQPKRVLLPPLTKRSITRPTLEISEKLKKTLSRVVEVPVADIHDGGILADLGVD SLLGTEVLTEINQVFNVSIPADEFALLTDVASISKCLASYLGVHDSGSQPEDLADADS VESDSDMPTGAVTSGITTPDDAVSRLADLLAENLEYDGTIEASNNLADLGLDSILSIE LANDIKKIFNCDVDMSQLNMESTFADLIALVPALNIEQSLSSVPASLTTQGSDFEMAQ HAFEQIRFDYDIYTKETGFYDFWKRVYPAQSRLVLAYTVEAFAQLGCDLALMHPGDRL PKIGYLPAHEKLVQQLYNILRDGMLVATSDSGFVRSDKPVDPTSSTRLLEEINTIAPQ HASEHSLLHITGSKLADCLTGTADPLNLLFRSKANRDLLAEVYLNGPMYAAISRLLCS FLGNAFSDRQSSGTFQILELGGGTGGTTGHVLDYLVRSGIPFTYTFSDVSGSFVAAAR KKFAGRPYMEYQVIDIEKEPADSLTGKFHAVISTNCIHATTNLEISTGNIHRMLRPDG FVALVEFTRNMYWFDLVFGLLEGWWLFEDGRQHVIASESFWNTSMRKAGFQHVSWTDG DSFEARTLRIIAGFRAPAVNEIYTPRLDSRDTETAVESVMYKQADGIPLFADIYYPPS VSNEPRPIALMIHGGGHIMLSRKDIRPKQTAHLHTLGFLPVSIDYRLCPETTLTEGPM RDVSDAMAWARSTLCSLPLLCPGLTLDPSRVVVVGWSTGGHLAMTTAFTSIERGLSPP DAILAFYCPTDYEDRVWTQPNYPENTDVDGLSLMKYNLLEGVQDRPITAYNIPLTSRS NSKAGGTPAGGWMAPDDPRSRIVLHMNWKGQCLPVLLRGLPPSNSLSPGDAEKLISQP QPEIEEIQRVSPYAQIRRGVYRTPTFVIHGTDDDLIPYEQSVRTVQALKDMGVRAEVS VPQGKAHLFDMFKDADGSSWEVVKRGYDFLKEEVSGK ANIA_06447 MERFPRSAADAQQLLQLAELFKQSAEIIAEEWNKEDFSRIKAET NSIKSNLGSLDTARILPSPRLHEATRTVLAITGAATELVAEPYSRIQEVACQYFESRA LFVAAERRIPDLLAGAGEYGLSVGEIAEATGIEERKLSRILRCLCSIHIFRQIGTDRF ANNRISAALKNNEPLRAYVQLFNLDIYTASDQLPKYLLSSQGASYKVHETAWQKAVGT TKARWDWLAERVSLDEVRPKEAPYPGLPDVRHLQPGPDGKYARPELDNFGLAMVGGGK VSGAAHAYDFPWASLGDALVVDVGGGVGGFVLQLLPAYPQLRYIVQDRAEVLQQAQEE IWPVEAPEAVADGRVQFMEHNFFQPNPVKGADVYWLRGIFYCVQILSALRTSMAPTSR ILVCDQVMNTTAGCDEIPPAPSPLPANYGYYMRYPHHRDLAMMSIINGIERTPAQFTE LVKQAGLKVNKIWNCRSMVGIVEIGLKNEKDRHHRRLSYMGFGNYTQLGIGFFSSAKG PVRDELQADEQA ANIA_11509 MAVVKVKVKRRRPEVSFILGGILEARIYKEKELPAGPSSACEQE DQKYCDKMRRPIMRPRRIESIAIDWLLSAASFG ANIA_06446 MVGSRHPDQCAKRWHHSLDPNVKRGPWTMEEDSSLLEAVQKIGR DWKEIGRELFPSRSTTDIKNRYVILSRRRGPSPAIPENCSSIDIETHSSSLADSKPPI PAELLTPEVDFSIANTPCELDSSNLAPDTLSINMTLPSDLPSYLSLPLPDTAETDHAL DESSTAWEIPDWTAFDNQCLFTPGASELEGSFTSRNHEEPPQPLPVPDIPGPSTLVLE DLRPETVNLVIDTLLRTNSKFGMRMYNTGS ANIA_06445 MALRYLNKFSLLSLAVPTLAAPIGSSFGVPGTDALYDYVVVGAG NAGAPVAYRLAETGHTVALVEAGSLYEYGNGNLSQIPANSLFFIGKDPEWTNNLVDWN FVTSPQAEWNNASVHYASGKVLGGSTGRNLMTYHLPTKGSLDRWAEDVSDESWNFDNM LPYIMKSQRFTPPNNNLRFRNATPTYDPAVLGRRGRLDVTYPNYANGLASWLVRGFRD IGLAAIRGLNGGQLIGSAYTLSTIQPGNQHRASSKTAYLDPLIGRNLNLIIYQSTHAK RILFSNDTVATGVRVSSEGQEYTLSARNEVIVSAGAFKTPQLLMVSGIGPAANLERYG IPLVADRPGVGQNLQDHTLAGPSYRVNAITGSSNSIPEFITEAQRQYNSNPPRGVLTN TGVDILGWEKVPEQLRGNFSTETEDALASLPEDWPELEYLPVYGYFGDQNNYMVTPND GFNYLTIAAAVVSPLSRGTVDIASNDTEVNPIIDPRWFAHPGDIQVAVAGFRRSRALM ASPAMAGITLGGESYPGTDVQTDDEIVEWLREASNTVHHACCTAGMGPRDNPDSVVDT QGRVIGVSGLRIVDASIMPFLPPGHPISIIYGLAERIAESILADA ANIA_06444 MARNQQLRPFKRPAIRLYPAVNETELIRTLPEVVEFNAQANPDH VFCLQAKKSPNKSILSLVSVTNRQLKQAISQCVGWLKSNLKLQLPSLLHGSVEKGAPV ALLMESDIGLFIYEIALIGLGVPVLLLSARLSAPAINSLLQRTSAAAIIVSGRLEATA REAAETLPAAVFSPLPFEAFLSTNEGLPDNDSICHPYHYIDESDRNVLILHSSGTTGL PKPIYVSHRHLLSFVNCHRLDLESEAQGVNVSTLPLYHGFGLVAPALAMGVGKTVCFP PGSTVPSAGATLDLLRISNAASFMTVPSILEDIAFLGDEGIQALAGLDYVTFGGGILK PSVGEELAVHGVKLLNHYGTTESGPLAPIFVPQDDYNWRFFRLRDDLRLQLDEIAPNG NERRFKLTTFPFGLEQPFEIQDQLVCNPDYPGSDFNAVGRNDDTIVLATGEKVQPQIL ESILCESSLVKSAIAFGEHQFEIGVLVQLAADVPPKNYSKLREQLWPLVARANESMDG HARIQSSEAVLLLPSTVTIPRTDKGSIARKDVYKMFEADIAGVYRKLESAGPVLCLDM ETLEEDLKLLISRCTDWPPDWAVTDDLFERGMNSLQAIRVQRALVAAVTRSLRGVCQP ERIGRDFVYIHPSVRAMADFFREPVNGLQLPNGFSTRGWAPDQLVQRFALTSKDGRAT VLLTGATGSLGSHCLLSLIQSPNIKRVICLVRPEDSFTDPRLRLQKSLESKKLHLSST QWSMVDVLGCHTASQYLGLTREQYTILQESVTYILHAAWPMDFHWKLPSFQSQFQSLH NLLALARDIHNRRPSIKPRLTFISSIATVGQYARVHGVRMVPETSVDSVECLNPIGYA EAKLVCERMLEHARLHYPHEMTVSYVRMGQIAGSSTTGFWNINEHIPALTLSWIPVDV AAQSITELLLSPAPAELIYHIENPIRQSWHDMLQTIAAVLHLSASDALPWNEWQEQVA AAGDTDNPAKKLQDFFANDFIRMGCGEVVLGTDKARKASATLRRVDAVSEGCVRGYLQ YWKEIGWLK ANIA_06443 MRLSSEAKIAESGGQPPTAGSRSETGSESTEAESADPKAQKWYQ RSLNPLRWQKIPPVPEERTVSREYGASFFSIASFQWMAPLMKVGYLRPLELQDIWTVN PDREVDVLTKRFEVSLEKRTNAGAKRPLLWALYDTFRFEFLLGGFCHLISSLLIVFAP YLTRYQIAFATEAYVAQRSGQPAPRIGRGMGFVVGITVMQAIQSLCTNQFLYRGQMVG GQIRAVLILQIFNKAMKLSGRAKAGGVQSPEQQEKIKELKAAKDQALKKPGSPPADDK GWGNGRIVALMSIDVDRINLACGMFHISWTAPVSIIVALILLLVNLTYSALAGFGLLV IGMPFLTYAVRFLFKRRRNINKLTDQRVSLTQEILQGVRFVKFFGWESSFLDRLKEIR HHEIRSIQTLLAVRNGILCVSMAIPVFASMLSFITYALSNHVLDPAPIFSSLALFNSL RMPLNLLPLVLGQITDAWTALNRIQEFIVAEEQKEDIERDEHMPEAVRMDRASFTWER KAADKEAEKVEKKANPRRTEPKSEAPTDSAESDEPFQLRDMTLDIRRDELVAVIGTVG SGKSSLLAALAGDMRLTDGSVRLSTSRAFCPQYTWIQNTSLRDNILFGKDYDEKWYDQ VIDACALKPDLEILPNGDATEIGERGITISGGQKQRLNIARAIYFNAELVLLDDPLSA VDAHVGRHIMDKAICGLLKGRCRILATHQLHVLSRCDRIVVMDDGRIHAVGTFDELSR DNDLFKQLMSTASQDSKEDEEEATEVVEEEAEKQAQQEPTKPAAALMQQEEKATDSVG WTVWKAYIRASGSYFNALAILFLLAFANVVNVWTNLWLSYWTSNHYPSLSTGQYIGIY AGLGAGSALTMFIFSTYMSTAGTNASRQMLQLAMTRVLRAPMSFFDTTPLGRITNRFS KDIGVMDNELCDAMRMYAITITMIVSIMILIIVFYHYFAIALVPLFLLFLTASNYYRS SAREMKRHESILRSAVYARFSEAITGTASIRAYGVQNQFRSSLRDSVDTMNGAYFLTF SNQRWLSVRLDAVAVLLVFVTGVLVVTSRFDVSPSISGLVLSYILAIAQMLQFTVRQL AEVENNMNATERVHYYGTQLEEEAPAHIPSNPVPESWPPHGEITFDNVAMRYRPGLPL VLKNLSMNISGGERIGIVGRTGAGKSSIMSALFRLTELSSGRITIDGVDISTIGLHDL RSRLAIIPQDPTLFRGSIRSNLDPFNEHSDLELWDALRKAHLIDSDTKDSAVDASNPN GNANAQRLTLDTAVDEEGLTFSLGQRQLMALARALVRNARIIICDEATSSVDFATDQR IQETMAQGFEGKTLLCIAHRLKTIIHYDRICVMDQGSIAEIDTPLNLWEKEDGIFRAM CERSGISREDIVGQVEKE ANIA_06442 MAASWLRLVALMLLLVPSLARNIPRAGNCTSLNRRKSWNALTRV EKTGKRAFNRDQEARLTNSEYIQAERCLMNHAPIVGNVKDAKNLWDELHDLHISQGNY VHYVGHFLPWHRYLVRAHEVLLQTLCGYKGAHPYWDEVTDYEAGPLQRSPVFDPVVGF GGNGFGRNRCIQNGPFKDTTLRIRSNERNGEYCLSRAFNQTAFTWANRRNIEECFALQ KYTDAWQCYNQYPHAAGHIAVGGVMEDPTESNGDPLFYLHHAYLDRLWWRWQQADLPG RLSDMGGPNVVPPDLMRLMGMPPVSAALLDYNGDPGGETTLAHVLWMNGLVPNATVAE VMDIGGQVYSWIGCVVLSVMPSCGSCNFSKAERPDTSLMRLVQETIVGHWLICSISPS NAASQAHATTLSPSLPASPQHRASQHSISTTPGTRDMASSTEISDAESKKVTMPSGAE KFEAPPKYLPAEDGDLVAEMSERERNIYEHGTAKFNRLGWKRLTIVLIVEAIALGSLS LPSTFATLGMVAGVICTVGLGFLAIYTSYVVGQVKLKFPLVHHYPDAGKLLFGRFGYE LVNFMLIFQLLFLTGSHTLTGAIAWIDITQSDVCSVVFAVVSAIILFLLAVPPSFTEM AILGYIDFASIILAIGITMIATGVESTNAPGGLSAVNWSAWPKEDITFTDAFIAMTNI IFAYSFAMCQFSFMDEMHTPSDYVKSIWALGLTEIVIYTLTGAIVYAFVGQDVQSPAL LSAGHLMSRVAFGIALPVIFISGSINTVVAARVVHGRIFKDSPIRFINTKMGWITWLA MIAVGTVIAFIIAEVIPFFNDLLSISSSLFISGFTFYFPALMWFMLIREGSIFSSRKN MALAALNALCFCIGVVTLVAGTYSSIKDIIDKYNANAVRGVFSCSKPN ANIA_10817 MVRMSLGYPIDDDCPIRQASTWQEGWRYCWEAGACACDRSPYRL QPHSFRASSSLPATNHCLVHLFDATAEDGPANAIVTYHCKGRWGLLGMPSRTIGPEAT DCRIEP ANIA_06441 MEFPANTRRRVHRISIYLRCLALIASLAAVISFAYSQDAHDRGV VLTEDLGHHLVTPATGTTEYAFIWSLIILSIELSSPVTIHPGIYVAFDLLAWTAVTTG VSLYLAMHEPYYTGDGYTCGRDYREYRDCGGKQVANVEHFGTAMGFLAVERRKAGDSE TRVTQASAASLVEMKATSYDDNG ANIA_06440 MESAGLTFLNTTGASLSTATAKRMRAHITRANFAKRRQRLAIAG ASESNAKRRQRGLNQTEEEDGAPSLRLAPCNPSNIEAFHQIQELVFLEGRHTPGSASE AAWFNLIASEPALVEASLAVAVRQWSPDNSCQSKADYHSYTAVRLIKQRITSIATPSD GVLGAVITMALGASLVHDDIAWKIHMDGLARIVSERVSRGPHSLPAWFIDLIVQWVYL RLWLSVANPA ANIA_06439 MARQWVLNGQEGFETSLEYQQGVNIPSVHDLKPNEVLVKMYAAS LNYRELVIAGPMGINGPITPPIVPGCDGAGLVEAVGPSVREFSPGDRVVTYIAPKLVE AHGDDAHAGVAEAVASMGQGSDGTLRSWAVFPEAGLVHSPRTLDWLSAATLPCTWLTA WNALFGLNGKQAGPDSWVLVQGTGGVSIAALQLAVAAGATVVATTSTVEKADRLKALG AAHVVNYRNNPESWGKEARLLTPAERGFDFVVDVGGNETFPHSLAAIRVDGVVLAVGQ VGDSTAEPVPIFAALIHNCIIRGILAGSCNQFKELVRFIDEKKLVPAMDDVIFELAQA KDAYRRLKERKHFAKVMIRIDHPL ANIA_06438 MKLSVLSVLLVSVAQAAAAPWRPREPRAAGSKRLTFNETVISAA LSPSSISVQWIATENDGDYVYQEEDGSIKIESIVTNRSQTIVPAEKIPADAYSYWISP DLSAVLWATNYTKQYRHSFFADYYIQDVETLETVPLVEDMVGDIQYAEWSPSGDSIAF VRGNNLWTWSDGTVTAITKDGGPDMFHGVPDWIYEEEILGDRFALWFSPDSELLAFLT FNETGVPTFTVQYFMDNQEIAPPYPRELDIRYPKVSETNPTVKLNILQLSDNTVSTIP IDVFDPSELIVGEVAWVTDTHTELAVKAFNRVQDESKVVIVETASGETKIAHERDGTD GWLDNLLSISYVGPLALGSGDASSAYYVDLSDHSGWTHLYLFSTSGGDPIPLTEGEWE VTSIVSIDQERELVYYLSTQHHSTERHLYSVSYRTFEITPLVDDTVEAYWSVSFSAKA GYYILTYAGPSVPYQELYSVNQTAPLRTLTSNAALIEKLEEYALPNISYFELEIPSGE KLNVMQRLPVGFSPDKKYPVLFTPYGGPGAQEVSKRWQSLDFNAYIASDPELEYVTWT VDNRGTGYRGREFRSLVAKQLGKLEAEDQVYAAKQAAKLDWVDSEHIAIWGWSYGGYL TGKVLETDSGAFSLGLLTAPVSDWRLYDSMYTERYMKTLSTNAEGYNTTAIRHTDGFK NVEGGFLIQHGTGDDNVHFQNAAALGDTLIGNGVTPEKMQVQWFTDSDHSIRYNGGNV FLYRQLAQRLYKEKNRAKKEQHQWSKRSQDWVV ANIA_11508 MMHCHSLGQSVSVRQLRMEAAMTNQQTIIISSSEDLLPRNMPFT CTDHAAASDQRETSLLQSEPWSVDYRVQESEHKL ANIA_06437 MKSAISPDIWETKRMLITKLYKDEEWPLKQVIKLVQTRDFHPSE SQLRSRLKKWHITKPSRKKYDGSRRLSGAKKNQNQNQPQSQNTMAQKPLSDSLSRLPL SSTYHTLHSQPHSQPLAQQLSQRRVDETDSFSETSRPSVSTVPDLSTSPANRYILPSS PHEDANPPFTSTSAMYPSSTIMAPSTPMTPAVYGMDDSKRPADAQPVYFYPPESSPHA FPATAAVSYPTHAYGPYSDPSPLAVVEHPQLDYMGYHAVHPYLAHQKTNQYGVPLSEL PPPLLDGSWASHYHDSHAHQPLSPHSYYSDEAGGLQSLAPLAPPAPIYQTGHVM ANIA_06436 MEQVILNAYKTQTAKQVRSDIPTILRSSFLYASSEALQFFCMAL GFWYGGTLLGHHEYSIFHFYVCFSEVIFGAQAAGSVFSYAPGMSKAKHAAGELKALYS RKPKIHGHNVMRGRWSGVGASAAMRNVLPLPPRSLQGSIEFRNVYFRYPTRMTVPVLS GLSFSIRPGQFVAFVGASGCGKSTTIALLERFYNPLPGSGGIYVDGRDISSLDLQSYR RQLAFVGQEPALFQGTIKENILLGMEEGEVDDGQIIAACKDANIYDFIISLPKGGMLS GGQKQRIAIARALLREPRILLLDEATSALDSESEQVVQAALDTAARGRTTVAVAHRLS TIQRADVIY ANIA_06435 MHIPTPTLSRPFGVQLWPHFSRAFTALSGKSPADFQLVPGETPM TTLQGTLLSLATYYVVVLGGRQLMKSQARFKLRIPFIIHNFILTVISGVLLALFLEQI LPTLSNDGVLHAICDARGGWTDELVLLYYLNYLTKYLELADTVFLVLKKKPLTFLHTY HHGATALLCYVELVGRTSVSWVPITLNLMVHVVMYWYYFQSARGIRIWWKRYITLLQI AQFVIDIGFIYFASYTYFASTYFPWVPNAGYCMGEEYAAGFGVFIISSYLLLFISFYL TTYREKAPRFLSQARALGAKKGL ANIA_06434 MSLVMAVPHAFSVSDFGDIPTVLVSALSFILVSVWIKTSIDISQ LETKLGFLSGRSAYKFNSLHKKYGKIVRFAPNQLSFNTIKGMRNIYGAGLGKSNTFLK TGFYKAISRRNIFTATDPYYHATVRKLFSPSLSPGCMAAHEPVIKGCIARFHALVKQR MQSQKAISLNDLYYSLSVDMVSEVLLGKSLGCIERGRPFFWTEQLPRIFYWATIRDQF KGSGVPTLIKWMLRQILRKGIRQRSEEARMRLIREQLKATHTRRDVMVEVLERADSST LPEDEIAENFSAIMLAGFHTTSHAICATIWLVLSHPETRERLQNELRTAFQSTDEISL DVLAKLPWLNAVITEALRVYPPVPLGGARISPGAYVDGFVAFLTGPQVEVSTSLYALH HNGEYFKNPYKFAPERWVNPESTDRKEAVQPFLLGSRSCIAKYFAQQMLLLTLASFFV EFDGQYVGKVKDWTTESRCYAFWELPELKVQLQERFASAEVSE ANIA_06433 MAKNKTKTSVLFLANSEHGQTNIVLAIIHELLVRGGIDIHLASF PVLEKRLNKLLHDNETAYDAEYSTRIHFHPVRGPSNTEIFIRTGKRGAFHPPGYTGSV LGFKSLCEDIWGWTEEEYVDIYESCVEIINAIKPSLCAIDFFFLQGRDAAYNAGQTTV LLNTTSLSHIVLGLQKNAKWAWKYPMPGTGFPYPLPPHLIPLNTMAVLKTAKMYHGSG RRREIRDWRIKHKIHGRFPFADSWMPNRLHLSPALKELDWPFDVPDNVVPCGPILLPV APVKTQDPEMFAWLHRGPTVLINLGTLYAPNPSVVLEIATGVKTFLASCSDRKIQVLW KLPKHPHDQEEIYTQSVTPLQKEMEADQVRIRSWFEVEPLAMLQTGQIVCSVHHGGAN SWYEAIQNGVPHVVLPAWQDCYENAARAEWLGIGAYGNKSRAPDIGGKELSKALIKVL GNKSYLEKASKLQKLCQKKEGRLEAADRIADLAARPDKSMIAVPEVDENDPRVVTVTN GKGETLQTVNSVDEGAVNKSILRRLLEIITVAFISNTWFLLPLLGYSLLLVPHNGFRI LVLSYILHIKFFSNAHKTSAPKPSKWLRSSLMWKLHASYFPIRLYRSASLSPRRKYVI GAHPHGIACHGIAGAFTADATGFETLFPGIVNRFLVKDGMFTAPLLREYLFARGQSGV SKDSCIQHLTRGGYDLRGMGKAITISVGGSREYNIARPGTMGIVVKLRKGFIRLAVET GADLVPVLVFGENDLFAPIQFSRYSIKGLIAWAWEKAAGHKVAFSLGRFCMFIPFRRP LHVVVGRPISVKQQRFDVSEKYVEELQGQYIDELNRIWADWRNVFEEDASVKFEIVE ANIA_10816 MLFFSRLTASLPCQPRLRMRFHRRISRLGGDSGAFPSVYAADRS CHTGGQKTNSSSKSACKAQSQTDQNQKSDTSALLTRRFWTCRSTWRRAGINTLRCLVG CTVGDFAALWTLQTYCPELGMGTIMAASMASGITTSIILETVLLRHGPDRLPWSAAVR TAMGMSLVSMAAMEAAENLVDYHLTGGVINLNDPAFWIAAGVSIGAGFLAPLPYNYWR LRALGRSCH ANIA_06432 MEGTMPANEYVRHFENAVVLLTGATGSLGGCLLYKLALRLPTKK IYALCRGSVGESVRKWESTMPQQIDEILDTGKVELITGDLSKPGLGLNPAIREEMREE VTAVINTAANISLVEELKDSVRDNCQTAIALFQEVSEFRHVRHFIQFSSLAVNAFLTG GLIEERLYSPPRTVDEEDDRLGLPGADSSKLQYDDRYPWPYVQAKHMLERLLLRQSSS ISILIIRPAAIGPAIAEPFPLYGPDKAIPMHSLILCFSVSDLGRVANINRPFEEVPVD LVANCCLLHLASGTTGVVHCASELYVRQTAVELMATARQCITPLEIAELFRTPRASTA ILWQNKYRLDNIDDVPVFEVDCSRSEWQKQVTGPLALRPVDHDPQAHLQRRIRRMYRA LLGRNRSDNRYP ANIA_06431 MDANGEPKTEAAQHSMSNQSLLDMGGSAPIAIVGIGTRLPGGVN SVPSMYEFLRKKKDGLREVPLSRFNIAGFHNDGDVAYSMRQQKSYFLDEDISMMDALF FGSSEMETAGSDPRARLLLEVVYECLENAGKTRYRGEKIGCYIGAWGVDWSELTLKDG QQRNPMIGAAAGSFFLSSYIAWSLDLRGPTLLRLYGSVARSLPVTIFWRVLVRDPDGF GRAEAVNAVLLKPLDDAIRDGDVIRAVIRATAVNNDGRTLILTTPSAEAQEDLIRQAY RKAGIEDFNETAYFECHGTGTMAGDTAETAAIAKIFHEGIYVGSAKPNFGHSEGTSGL TSLIKAILSLEKKTLLPHIHMREPNPNIPFESGRLMVPQEEIPWPEGRRERLIVFPTR LAYSRLLVVSANSEKSLHKRIEDLQEYIKEKPDCLADLAFTLGERRDHLAQRAFLLES EALEIGAFHIGTVDCKTTPEVTFVFTGQGAQWPAMGRALIESFGTFRETIQQLDQALQ SLREPPAWKLEALLGKDCSQEQISRAELAQPSCCAIQIGLVNLLADWGIAPASVVGHS SGEIAAAYAAGAITASESIIIAYYRGKAMNKVTRKGGMAAVSIGREQATPYLVDRGVV IACENSQHSVTLSGDLDELEDILEKIKADDPDVYCRMLRVDKAYHSHHMHDVAEDYES SLQGLSTSEGSLFMVPLFSSVIGIKVTESSQLNGTYWRQNLESPVRFLTAVQAVLDSE EEKSRTFLEIGPHSALSGPLRQILSEHPRGKVCSYVASLVRGEDPRTSVLYTAGNLYN SGVAVNLRAINCEGNMLVDLPPYPWQHDTRYWDQTPAVEAWRLRKHPHHELLGSRVLG STDIEPSWRNNLSLDDAPWLYGHRIMGKTLFPGAGYIAMAGEAIQQLVSRPNVSYQIS HLVLKHALFLDSNECVELITNLRPVRISDIQDSEWHEFSITAVQAGEATRLCLGQVRV APPSVAPTMPLTGSTKLKRRVMSAAWYKALREAGMDYNREFRGLDDIYGDPVRYEATA TVKTNNVSPGRYVMHPTTIDRCLQVLSIAMFRGLARSINIRSLPVLFEEIFIGPEHAE LHVQAQADLGNGSFIRGDLGAMADDETIFSIKGMRLHVLGDEGYSYQESELSARPVWM PHIDFLPPRSLAKPPRPVNIVSELRDRIIDLYIAQTAPRVQYVEPTEEHLHKYKRWLM ISENRIARQSTWRLSDYGYAHDCPFDSSNPAAMTNWIRERSRDYPIIAPVVNCTQKVL DNIGGLLDGSCNALHLLMEDDGLKEVYELLLSFDSCHEFFATLGHSNPELNILEVGAG TGSATARFLDYLHAPDGSRMYARYTFTDVSAGFLAAAKEQFSRYDTMEYAVLNISQDP AQQGFELSSYDLIIASNVVHATPSLSCSLANLKSLLAPGGRLLLQEITEECILAEYIF GLLPGWWVGEHDQRIERPYVLVDRWREELTGVGFNGIDFAIHEQNSPVVNLVATYPTT PLGANAVSLLVQEPRCQWVLDVEKIFQKQGYKVEIYSLDQPPPAEGEVVSLLDASGPF LFNMTEGDFQQLKNSILSASVKHILWVSKMSQFTSSDPRYGLIHGFLRALHFECHSEG KSFSTFDIEEFDERSIHSLLKVHDHWRRAAPSEESRRDEYALVDGVVQVSRFEATDIE KELQIPVEDTAPRRLCIETTGLINSLYWKQDQHIMPGKGKSSDIHGDANGLTQIKDII TALGLIASPDQLGLEGSGVVESVGTGVTNVSKGDRVIFLGPGCFATHVTVPAAKAIPL PGNWSLEEGATSPIVSLTAAQCLLRLGNLRRGQSSDTLVQSVLIHAAAGGVGIAAIRI CKGVGAKIYTTVGNDEKAQYLVDTFGIPRAHIFHSRNASFYDDLMRETGGRGADIVLS SLSGELLRTSWKCVAPHGIMFDISRRDVLAEAMLPMKHFVDRRSFVTVDLGEYMEEAA ANNSTDFSNPVKDGLVGPIAPVSCFAAADVANAFRYMQSGQHMGKIVVRMPDNISELP TRDTRAHISFSPDTAYLLCGGLGGLGRALSNWMVERGARCLLYLSPSAGVGDDHQEFA EELECQGCEVVYVKGTASDASDVSRAISQSPKPIKGVVQLALTLKDASLQRMTFEDWT TPLTAKVHGTWNLHQAFQDTPLDFFLMFGSIAGITGMAGQCNYSAANSFIHALSRYRH SLNEPASVLDLGPVADIGYVSRNPELMKTFSGRYEWRFIKEQEVLDAVEALIHHSTFP NTTPFTQGNRYDPNHIILGLIPIAPWGRRRGDTRFAILSNVAQRDQSGAAEADDIVDF MAQVESDPEMLNRPSTEEFLILKVGTMIKSPSAKTRTKDSIAAKHDLQVLANIQIDSL IAIEARAWARRRLGVQISLSEITAAGNVKGLVGLAIQEMKKRYSIA ANIA_06430 MISAPGPERRRRRPAVSCSLCRRRKIKCNRESPCSNCIKSKAEP CVYESDSSSRPQQHLGFNQTLELGLCKASERQMLPPDAVTSQVPSYASRSLLSSARDS SSRTSQASASEVESLKTKIRQLEEQLSSATQPAESPPRPSPNSRIETSTSQIAGTFHI NHERRLLHDSQFVNRNLVIHKSRLFGSSHWAQAASMFRDVFEMIEPFIRGSGSKASAG IRRCKELARIIKTQRTPQWPTPPTQDLPPKGVADELVDCYLRTIETTFRVLHVPTFRS EYDALWVSEARPSIAFTVQLKLVLALGSVTYDERFSMRPSAVRWVFEAHTWLSDPDFK PQLNIQCLQSRILLLLAREIINVGGDSSWISAGGLLRTALHMGLHRDPSVLPPRSALA VEMRRRLWNTILELSLQSSISSGGPPLISLGDFDCAPPGNFDDEQLLAEDPVPKSDDE YTQTAIARALRGTYPQRLAIVKFLNDLSSYGTYEETLRLDADLRESYRAICRILRGYP SNGPSPSQFEKCMLDFIIHFYVCCLHIPYIEKSLRAPAYAFSRKVAIESALKMWCAIY PSSRFMSNTRREISGSVENKLTRFVECGFGFFRTGVIIAAMFVTLELKAQLLDDDSLG PSPYRVDLFSLLCEAKDRCWNMIQCGETNVKGYLLICLVTAQIEGLMHGVEPSKLPEL LLRAAEEAEDRCLDFMEEKADLGRSGGSVEVMDESANTAPFMGDWEFIVSVCNLENCS MPTAFR ANIA_06429 MFDSVSDPQRTMAAPEQTIRDLEASSDSTIYIRPGTTNEKASQE TPTNPESFSPAPDGGIKAWLVAIGASCTIFSTLGFSNSFGVLQEYYAVHQLQNESSDR IAWIGSLAAFLQFATGAIAGPLFDRYGAWVIRPPAIAYVFALMMTSLCTKYWQFMLAQ AVLVGISSGMLQFPAMAAVSQYFDKKRGAALGLAIAGSSIGGVVFPIALSKMLNSSSI SFGWAIRIIAFIMLPMMLFTCLTVTARLPSRTTAFFIPSALSSPLFVLLILAMFFMFL GMFSPIFFIPTYAVSRGMDATLASYLSAILNGASTFGRIIPGILADRFGRINAFGLGG LATGIIICCMNEAQSNASLIVFSAVFGFWSGTVISGGSAALTAVPKNPQEFGTYLGMG IALSGCAVLIGPPVSGVLVDHYGGFFELSIFSGIVCLAGGIIALLSKAVTDQGLFGRI ANIA_06428 MKSGLLFTTASLALTASAHYVFPALVQDGAATGDWKYVRDWTGS YGNGPVEDVTSLDIRCNKDASTNGNATETLPVKAGEEIGFTVRTNIGHPGPLLAYMAK APGDASDFDGDGQVWFKIYEDGPTVTDDGLTWPSDGATNVNFTIPSSLPDGDYLLRVE HIALHGAGTEGGAQFYLSCGQVSVTGGGNGDPAPLVAFPGAYDPTDPGILINIYWPVP TNYTPPGPKVWSG ANIA_06427 MIFSTLLSLALLATTATARKGFVTTKGDKFQLDGKDFYFAGSNA YYFPFNNNQTDVELGLSAAKKAGLLVFRTWGFNDKNVTYIEDGLPQYGGEGAGTTEVV FQWWQNGTSTIDLEPFDKVVNAAAKTGIKLIVTLVNNWADYGGMDVYTVNLGGQYHDD FYRLPQIKKAYKRYVKEMVTRYRNSPAIMAWELANEPRCGADGVRNLPASDECTPELL TSWIDEMSTYVKRLDPHHLVTWGGEGGFNYDSDDWAYNGSDGGDFEAELKLKNIDFGV FHSYPDWWSKTVEWTNKWIVDHARAARRVGKPVVHEEYGWLTPQGRLDNLGTVSNITR LEAVGGWQSISLREKMSDMFWQFGYSGYSYGRNHDDGFTIYLDDAEAQELVYKHAKEV NKLNRRR ANIA_06426 MRFLPLLLTAGLGSLQLAAARCGTRNPSERVHAQHRYFLDMEDR EAAARASVGVTTREAFDVTIDTFVHVIITNSTAGINMTTLPSQIDEQIDVLNENYLGT GFQFNLVNVSYTHNNAWQAISDGSTTEYEVKSTLRQGDYTTLNLYYGKIGDGILGYAT FPDKVTEQEFIMDGVVCDPQSLPGGKPPYDRGITAVHEIGHWLNLFHTFQPGNSDSMN AGCFGHGDYIHDTPAEAYAAFGCPIGRDTCKGAVESNNTYSIPGTDPIHNFMDYTEDE CLTHFTEGQITRMRNSWKEERVGYTSDSPSAERRGLNLGVAVDADLKGKIAKPGSGW ANIA_06425 MRHGQDMQFCAVADIYHAPLNPRNARCVARASPYRIAAKLLVGT TSLTPAFLAALRTRFVPCTAGKISSSSLAGGALGKGDAICWTYVASFMASVQPVSISD CRGHAVVLLKQCGNDPFCDEAGAAGYEDEGRDWSWGSGMTGDEICLGMGNLAGKNPGG DEARLGYRRTKYLGGELCDEPVTGGEGFARDG ANIA_10818 MELVAINPVCAYGPAFGKEDSTTLRSITELTSGNAPGIPRIQWG VVDVRDCAELHVLAMTHEEAPAQRFICIGEGMMWMSEMAATVKRNMGDKAKRVTTFQP RISLCELRRFLCRLRGWSCRTWGAIEMFEVTRRETYWSGSGCIRTKRRS ANIA_06424 MDTQASLPGFKEHPIVHGLYYQSDFITRDHEEQLITLFRTQLTW PDRPGRISVHYGYTFDYKTFGIDPDIPYKPFPDWLVPLLPKTESRPPDQVCLQYYPPG AGIPPHVDSHRGWDQLYALSIGAPVLMRLRHGKTGEERVDVDLEGRSMMCFSGPARLY WTHGIAKKKNDHMPDELLGIEKEKRSVLGAMVREAEKGLESEGRMTSPQKADDGDVKA ANIA_06423 MSTIHTYAITPFIQGLKALTAILKKGEEHAKANNIPLEDLVNAS LIADMKPLSFQVFIATNTAVKLVSRATFVEPPAQSDTDKTFDDFYKRIDEALAVLEKA DAQTIAANEGKTFKAPLGPREFEFTPESYTNSYAVPNFYFHLVTAYDILRAKGVPVGK MDYLKDFLGDIAA ANIA_06422 MKTLPLALLASASTALAIPPQHNRYPARQSATKYLISFGDSYTS TSFNITGEKPSASNPLGNPPFPGWTSAGGANWIGDLVGTYNNSLLLNYNFAYGGATVN ASLVAPYTPEVYSLIDQVAEFNEYLVPPPNFAPWSTNNTLFAIWQHTRGVNDVGGSWY QTNPDALATEILTQLFQQIELIYAETNERSPLIIPATDRSPYITQGENADYTVTHLKA AIASWNTQFTEKAAAFAAAHSDAVVKVIDTQPVFNEILDAGGEAADCWNEDGVTCLWF NDYHPGLVIQDAVAQAVADAWGDFFVVP ANIA_06421 MSNSIAKHGFASVPANTNTLFSEVDLKAKSYPIAVPVSETPIPD TPLCRRIQECARTRLPQPTYHHSLRVYHYGLAIKRYAFPDWSFSDETYFLACMLHDIG TTRENLHATKLSFEFYGGYLALDVLQTQYDAGDAIASKDQAESIAEAIIRHQDLRDVG SITALGQLVQLATIFDNVGGHASLVHDDTVKDVVEHYPRLKWSSCFAATVAEEVELKP WAHTTALGDFKGHILANPYNSFYLRQYAHEYARQLSFSKLSRMSCQNSILDKEFYQRH RTSGTPLPKFFTSAANASDRAIFQEGP ANIA_06420 MSKPLTHAKIIARRSADRGYAEHGGWLKTYHTFSFASYYDHRFT NFGSLRVLNEDRVAARNGFPTHPHRDAEIFSYILSGELTHRDSMVRKGAEGAQGKDFY RMKRGDVQFTTGGTGIAHSEQNESSKPVHFLQIWALPWKYGLKPNYHTKTFDEGAKRK EFVPILSPLKAGVEATPKEEEEAVPAIPETIPIHADFVMGAGIVAPNGRFEWIVGSKD GVVQKKKKRNVYVHLPMTKGGKAKIRLDSRDDALLEEGDGAFITGVNAGDILGVESVG EVEAEVIVLDSD ANIA_06419 MRGAAGIKSGKEERSDQGQSATTRAKQRRKRHWSWLAAGLNDPP RSEPCPPGPARQRISVSMSVPDRGWVILAVSWPLFSICLVLVGLRVWVRTRIIKSWGW DDPFILLAFLCATMNSIFVTVSAHYGTGHHASDLSDYQRIESTKFNWLSQGFHVMSTN WGKVSVAIFLLRIIHKVKHHRPMMYGGIVLLTVVNTVAMIAAIVKTINLASLAARADY PWDTVDLTIWIAVEQYLIILAACIPTLTPLFNIAVRQRTSKKSTSNVNYKAGHHSGRS HPYDGLASGGIGKCEPDGYPLAWTRVERARAGSHSDSEDPIMATTESGRGILMTTEFH VQTGSENGDEHVERR ANIA_06418 MDDPKVQTAETPTRSILDAEKGSGNVDLEELGEREGYVLDTSLV QNQHQHQHLKTTPDGKTVLIPQPSDSPNDPLNWSSFRKHLILIVVSATAFLPDYGSAT GAVTLIPQAAAWNMTQDHVNHSQVGNVFMLGAGGPLVVALSAYFGRFPVLFWFVVIAL ATAIWCTAAQSFESFMAARILNGFFSTVAQGGGLMFIKDMFFFHEHPRKINIWSAFIV LSPYMGPLFAAFITTTQIWQWAFGVYSIETGLCLIAIALFVEETYYDRRNKSYELVPN GPRWKRMLGIQQRQSGYIVNTVTDAAMRPIKVIVKPVVLIATLYYLLTFAWVVGINTT LSIFLGPLYGFGSKQIGFFYFTPIVAAILGEVTGHWLHDSMAALSSKRNGGRLEPEAR LLAIYIATPFMVAGLILLGFALEEAYHYMIAALGWGLYVFGIMIVTVAINAYVLDSYP EASGEVAAWINFGRTTGGFVVSYFMVTWAGDQGAKRQFGTMTGIISAYDIIELVEIER FIQKTVLILYSSSITLTWCLRMSASYIICNLRHLSGCF ANIA_06417 MESQTLFHEPPGTVTIEDLHHGEEAVVVLKPTPSEDPNDPLNWS KLRKLVNFALASFYTLATFVLLDIGTVIWVQLNAELDISWSNLNNSFALNLAGLAIGC ILIVPFAIKYGRRSIYILSSVVQLATAIWQAKMNTTAELLAINALNGLAGAVSEAIVQ MTIVDIFFVHQRASANAFYNFMVNTGAYLAPVAAGYCATAQGWRWIWWWTSIMLAINT LLFLLAFEETKYIPILFGQAREHVHTDSKARYEQPGMKSSGSTQATGELAMETEAYRR KPYRERLALITKTDNPIWENVKRPLVVLVSFPAVTFTAFVWGCFLSWFSIIATTQSSY LALPPYNYNSSQIGLFNLPPFIGGVIGGVLSGPVNDWYVLWRAKRSNGVFEPETRLHM SLPAIIATPIGLLVFGIGLAREVCSSSLLPLCSAYPDEAVYCDGHDADDYPNDRIWYF GE ANIA_06416 MASSFNDSTIGPRASPTLFPTRTIACRNEPFTQHKITAPSCAMP TKPCQYMQAHQQWNTTRYAPQLHRHPQDHQAPLMDTPIPLEGHIFSWTARRQGAGGIN ASLDEALEDFIAGVDAEMKGGLALMPPDPSSGSNWPGRDVEELGVGRGIVVEWMEMQ ANIA_06415 MCCPGTSLAKRRVVYAIRGRMLIESQLVAAAICGVGVPLKDHGL GKNIWTVPFSDITLIKLSMLFLYLRLFPNTLLRKAVFVSLAITSLWAIGSFLAQVLSC KPISYYWNQWDGEHEGRCASHNSLLLAHSIINIFLDVLVIALPMPVLVKLQMSIEKRV GMCLMFAVGLVYVVFLILRQHYSSLHNSFSDRVPSEDFVPVGVWSLLEIDVGIMCSCM PGIRALTKRLYSTLFKTDGQPSSGYRYGSRQSKPGSGGSGSGSAHRVQSSFKSGSSNS NAGGGGQFIRLKEVDSREVDTTNHLWPLREFPAVTVPPKDSVRVTRADVQTQGHPWQE YSDDSKPSPLLPPARYEDPRAHYCLFSKAAIARASKGSSHFTWFRDGDSTYSKCLN ANIA_06414 MIKSLPAAGTLSCLVRMMERSWKYIAIACALWVVQKIYSAITSP LRAIPGPFYTSLTRLPLKLSIIAGQRIYFIHSLHQRYGPIVRVSPTEVSIASLPEFRE IHRVGSPFLKSNWYEKFVMGQHSPGVFAISDPKQHGARRRLFARAMSNTELRRVWEDV VRSKVRLAVDRIKGELEADGARCDVLKWWTFLATDVVGHLMFGEDFDMLNIGVKNEYI HVLESTMKGSGINAELPLVGCIGRHLPFSVVRSMFRANDYLTNYGKRAVTNARAKSDS SRNIFSGMLYEAEKCTDSDSGLSELDIITEAGNMIVAGSDTTAVTLTYLVWVVLSRPQ LQREIESEVLALEEGYDDAKLETLPVLNAVIKETLRLYGAAPGSLPRTVPRGGATLGG YFIPEGMTVSTQSWTVHRDENLFPDPDSFNISRWLEENDAGFPAAQLAFSPFGAGGRI CLGIHLAYMELRLAAAEFFRRCRGVRLSPDTTWKIMKPMNYFLIAPTGNRCDIMRG ANIA_06413 MTANFPIRTHAQRSVLGCSFVFSILAITAVLLRLLAHKIARKKW TLSDYFIIMACTFSVGLQCISITGVFRAGIGYDHVSVIVADPRWGTEPIITVSKLIVP LQFVWVLSLSCTKISILFLYLRIFPVRWLVISSYATMAVIVAWAIATILAGCLICRPF AYNWDKTIPGGYCGDQVTSFTITGIINLVTDVVVLVLPMRNLSKLQMATYKKITLIAV FGLGAVTCVISALRISVLSTMNFADITYTIPKANIFSGIEPCLAVILASVPMMRPLLG RKGGSTDATGQTPVYSDSNSHPHPHSKSLSKSRGNRNSRIGDDGFQPLDDDTSQLWLR PLGPKHHVGVKVSQDTVTGDGESTGSLESLSETRGKMAKRGPGIGVGSGITVKQEWNV GESR ANIA_06412 MAGQILQSIASASVWIVAFATLVDNVDERSKGKVTATAMSFISM GIFAGPMVSGFLLELVGYWPAWFAALLLLVVDFLARLLMIETPSARKSESASDAQEQS PLLAASSGQTAQDHENETGTAAGDAKSAASRGFYRIMLTNPQILASMFNTLALSLTLA SFDTTLPLHVRDVFGWGSLPVGLIFFCLQIPTIALGPGVGWLRDRIGLRYPTTVSCGL LAPLLWLLSVPGEEFPWGKLGGDKTGQVVYILAIVGIGFCLAFTRGAGTFQMMAVIHE LERDNPSMFGPHGGNSRLSGLIELPFNIGMMVGPLLSGSFSEYLGYYWTCFVLAVIAM LVAISSWLYLTAQGQDVREMEEEGMI ANIA_06411 MCFPLSAEHITAKRDRTPLTSTMAVTNPTISLPQNYILRVGTPP VPSYLHLRLTSGLSPKTPAQAAAVAAGTWYGCYITYTDPSGDSPEPVPVAMGRIISDG SWYFHVVDMAVLPEHQRKGLGDSILKNLLGYIMDNKAEGEPYVNLLADEPGRKLYRRN GFVDAMPHSLGMFWEGNR ANIA_06410 MSRNSFHTRWKSTTRSAAPLPDPSTKWSQVGPAKEHLVQQLETL YWEGVRGEIENIIRTLRIFQAPEYADGLIDNVGENDIHGYDTFLDQVNQQARAFALRY RDERTEYNGLATTRVLDSEKAVRDLTYDIIAERSSARVTAEVRENLTSEPTTTPSLEG IPTNELPKTTRKDLVSKGKHGYCFRQQMIEDRPDQRRPKRVPGIPQLVAKSMGTPVEF RVLKCAQCHNAITGSMFTRRNEEFATSTICEGCYWAHHYGDQSYVKQYKHSIVEDAIE LAERQGSCKCEQNTKQLTGWYHLKFKDGNHYQISATKSCPVFKISQGISNVKYKGLLA TAGLEPPVRKRFGLSRVASKLKRHSLSTIGQASPLAPEELASKLYLQSSTGRAKADED VPLFARECVVENPFSYVHMVLRVGPILIERMSETNVLITLKELPVFHDRFHIRGNQHM LRMDLEAAAQLWYCEQPVSKPKRYKLAMKQVVGAPFSGLLSEGEEGQAQQETVQLLLA AANALDLGLSSAEQSKVLAAATTPILDKLKNILGARLKIYLQAIGERLVDQSTAIETT DPTFCSSLLDPAIFGPLFNGPIREFLGGPLYLMSFLCPTATEYPDQRIITKHDVPYGF TEEYLHRYYFGRFNDADIIDTLQEYWYDWGSFFGGPLYRYQDTFPWDCSEKWKRCPTK CGDCSITKHVWAFPFDSWSLITLHLQREQYLYPPRAGTTAISPVDWVRSRQYILAAAD ALHRVATAMAQSPRLGKVTAWLHEDSRLLQTQPSLSRAKLGGIHRAQPFSHYYELGAN QHYFLSEWAMINCAEQIKAYEKLRDARMMVFEIPWQIAGTPRYRKSATTHDITYGYVG FQGQRADHRPSDNAGANYYPYYYPITDTRTSGEGYQCADGQFQMTDQTAGDDDPTHGA EHNCGSGCGASTEAGALQGDNGGYGGGYYGGGGYSGCGSGGGGGSGGGGGSGGGGGSG GGGGGSGGGGGGESASDPG ANIA_06409 MALISPSNLLSLHRASGDQTAALNANPSRKYRYVDSKSKEQPLD IPDPALFKDLNTVNHGSPTFPSISECAIHLEMLEAFHTLRHEVIHSPQLDVAFNISPQ PTTKYRVKKDTRRSRNRQVETVSIADSRFPDRRKQKWTHFLHIAATRFRQWIQVVDTH LEMAQLSSHAPRYLLLPPLDVLMIWHAFLLNCDDFKEHCASYNLKHVQSIEFPWSDIH AAIDSNSWTYTLPKEHSDWLLTTHNIHGDLITTLSEEASQPCLIQNLLHAFYEPKARF ESPDASHLAQTLRKARQMQDLNTPLVQNVERQCVFVDKMHAHRWICSPAVNGTLRRAI DRYDNFLHLFRLYPNKFLVPTLDIDLVWHTHQCSAVRYRTFVTERVGRFINHEDKIGR GTLDDGFTNAEQWYRLHYGKEYQVCLCWSCEAILSAVEELDEDVLDDPDPQIGGLVTD IERKVHYYREMEIARRLGRELPVWNHD ANIA_06408 MPMSWTPEANVKLFIGVLEQIKTQSVKLDYKALAEHMGPECNAK SVQNQFTKLKKQAAEEYGNAGANTPDIPSNTPKRRRAPVGSKTGTPAKKKRGDAEVLG GDSDAEGETDIDQEILDKVSAELKELA ANIA_06407 MDFLMEYGSPAGFFTIFATLWLVYCLLRMLYNVSPLHPLSHIPG PNLAAATFLYESWFDLVLGGKYTHKIKRMHEQYGPVVRINPEELHFNDISFANEIYAG PGRKRNKQVHYLNIFAGPTTSSMLATVEHGHHRVRRSAVNRFFSRAQISKLESNIKDL ALGLCDKMIRLAYSCFSGDVISKYCFGEPFGFIAQEEWEPNYRNALKATQAPVHVFRT FPFLKRLTDIAPLCARWASPGIREMLIESNEKMPARIRKARQDSKRGITETQPSLFAA LLDAPLPEAEKTDQRLGGEGFLMIAAGTETTAWTLTVITFHLLNQPDTLNRLRCELQD ADALNLQWFALERLPYLTAVIHEGLRLSYGVSQRISRIAPTETLVYQGEHNGRRIEYS IPSGTTMGMSNAINHHNEDAFPDSDAFIPERWINIDDAQRRRMDSCLTPFSKGSRQCL GINLAYCNLYLALTALTLRVLPWMSLYETTVDDVRYHSDLFAPLPKKGTKGVRAIISY P ANIA_06406 MPKGSCVSHARSQCYLEAVHRPNNQALLHASEERRLPSAASESI SAHKTSKQKRKEAEERLSGLPEASAQRETQTEADKEQRLSVEQLKQEWRLDEVQDEMH ANIA_06405 MRLHTLTWLLSLSLASIVTATAPVLRNATVTRGIDLFEIHLPVN WKTAVAEGVEFVFVKSTEGIAYRNLRFKSQTGSAAAASTSSNTTILHGAVHFALASQS TGAAQADFFISHGGNWTADGATLPGVLEMEGNIPGKLCHGMSATEISDWMMDFSDRYK EVTGRRPILFLSAGWWEKCAGNNETFAQEHPLWLANWADEMGDLPAGWKEATFWQYSG SAGDGSCKVNLPESASSSLAFPILAEN ANIA_06404 MPYTFSYLQFPSAPHLSEIISLYPENEPFCVGYAPSQGRRCRMR TNASNRASAMAMLELGTKKVHMGQMPTRDILIYLASCTLCTRFHQGQAEGLAERWRQD IGRFLDYEYARPGLQELAGLQNRAYKLLADYQRALEQEQWRHVPTPRSTPATPTVYLR TQPTSSLSAYSRSDSIASTRNNVPGDAYSTATPQPASTSTSSSTSRNAGQRRVETRSR ISVTETTAREVDSRALHSVSAIEDATNRPGSSTRRSSGATRDMGTREAVGMTRAIAHR TARTTTTSTVRTRPVADNLRSTSNHTFESVLLSRLQSSAIHTEPSTASTGATLRTATR RAIEGDCGICLEPLRESRDGRSSSSRSESENNGYAATAGGEASGPVRSTAAQDSYGGN DRKHAELTWCKTHCGVNYHAICISTWLATARNPTCPTCRGVWVNDHLET ANIA_06403 MSDLKASVSETANGFHVEGYEKIEYDFTFLDGVFETKNAQLAQL YERWGRCLAIMDKNIYDLYGDDMKRYFDHHEVKLQIHQTMIGEKAKSLETFTSIVDVM NDFGIMRKEPVLVVGGGLVTDVAGFACAAYRRNTNYIRIPTTVIGLIDASVSIKVAVN YGNYKNRLGAYHAPMHTLLDFGFLRTLPEAQVRNGFAELIKISSCAHLRTFDLLDEFC ERLIQTKFGRADSAEGEVRQAADEINRSGIYKMLKLESPNLHEIGLDRVIAYGHTWSP LHELAPPVPLRHGHAISIDMAYSATLANTRGLLSDREHIRLLNLFSRAGLAMDHALFT EELLEKATAAILKTRDGLLRAAVPSPLGSCVFLNDVTREEMGAALRRHKSLMKGFPRE GAGIEAFVDASDTGYTMNGTEHEGEKEKDGAKVMNGQAHVNGNGNGPTNAQKITSDVE GSVRNVFGNGVFNELKKSLPMATRIACAVEEEA ANIA_06402 MPEAVSQTVKRGAFDPSKAYAAQEGTTFFNDGREIELLHFVYSH PKLDSIRGSPESVLDAIDEYARTRKYLMNVGEDKGRIVCDLIAEVKPKTMVELGGYVG YSSILFGAAVRAAGGSRYYSLEMNPEFAAVIMALVDLAGLSDVVKVVIGPSDVSIARL YESAALTHIGLLFLDHYKPAYTTDLKLCEELKLITPGSVLAADNVIKPGNPPYLEYVR RSVDEKRKHLGVSTNGGGLPGKTVDQYKDKTGFGQMKLSQSRGNPNLIYESRLVESFE PTGVPQCGRAYENHGTPGAAGMPWPHSLTQHLILLLHKAEFRIPSSKMAEPFFYSHIP KNILLILLSLMFLPTTAIIAITSLVINYVSKTPEQGRFKESSAPKTILVTGVSMAKGL AIARLLARETPHRIIGADTEPLLFTSPGRYSQSIVKFYRLSSPRPGDPKPYLDSLLSV MRKEEVHLWISCSSVIGAVEDGEVMVMAEKEFGKDRFKAVQFDSETVKRFHEKDTFID YIKSLELPVPESYRCTSVEEVTILLNQGREKAKRFIMKPIGVNDKARGKMMTLLPLDS EEATSSYLTALDISKSSPFLLQEYITGEEYCTHSLVVRGDVKAFVACPSSDMLMHYSA TPPGSALSMKMLEFTTRVAERGGEDFTGHLSFDFLVQGRGEEARLSPIECNPRTHTAV ILFQHTPEVAHAYLSVFGHNLDSKLKQDKGSSDIVAPATPDYNVYWIGHDLVTLLIIP VVTWIGGIRSTEETKHSLRTFWHHLLHWRDGTWALWDPVPFFALYHVYWPARFVECIF KNRKWSRINVSTTKMFEC ANIA_06401 MQFTIASLIATAVLGLQMASAAPHAPGSSNAAIISQAQEQNTCG NAHLSCCESTDNSVSLTQEEEEGLLHLLGGTSSVLSDGLLGKYSGCSSLASVEGILGA GGNQGLVSGQCNNHVACCDAGDNELVGFLEPGVAVLKPNSDGIQNGLANVAVPCVPVQ VL ANIA_06400 MRPPFSVLTFLVFWIPAAQAGSSIVPVNERCVDSIVTAYTYITF AGSTSRKFLDQRCQNALAVTSIYASSNVYCRPEEREAGIARLDGICSDAGLELLSRDS VRENLTEDAIRGMRRVDYGELEMSERIKYPVLLSAEHFRRVFSTMDTWAFESWTHYAY GYLGYLYWALVLSIGILNRTVQFFFVSRSDITPSALVLFQNTPFRRIHHFLHTHFLVP TALPSHGRRLLCWTFSNRAEALVTLGFWILSIILCSVSYRLSTDNIYWPSTSTQLIRY MADRTGIISFANLPLLWLFAGRNNICAWATGWNFATFNVFHRHVAWIATIQAVVHTVL YLVLFFENSNPWRKLSKPYLLWGTLAMALMILILPAAVTWFRHRAYETFLFIHIVFSI ILLVGCFYHTIIFETHEYWFYLWLPVGIWVFDRGLRIIRVIYSNIHVRFHQGSETKVQ ATTSTATYDRVADLITLTVVPGSAASVRPCPGRYYFLYQPFRLTGWESHPFTLGRWEY QVRAGRGLSGSGRSTPRVIKGDETVDVSQIPLLSDSFSSDGPTRESSSAKEPSQVVMN LELTFWIRPYDGWTRQLRDRCLRSPDFSTRSTILLEGPYGHEFPLWRYDSVLLLAGGT GIASAVPYIRDHIARSEPLRANKLGSGAYSDLYDDDSYTDITPGRPNLGKIITAFAEE AHVSDCSAAVLVCGPQKMADEARGAVAEALKRGYHLARIYGHD ANIA_06399 MGSSYSVPALEEKSPRGETEVALSPPVTDKHKEKESRRFCCPTV IFKKTWESAADILAPPYPMEEREPSLSLHRLKDWNETLLADPKNRLAISSLAGASYTD LLANRSALRSDIQVFNVKVPIEGSPITNQRSSGRCWLFASTNIFRVPIMKAYGLKELE LSQAYLFYWDKIEKANYFFETIIETANEDISSRLVQKLLQDPVTDGGQWDMVANLVRK YGLVPHDIYPDTFNAQNSSRMNWLLTAKLREQAFVLRRLATSAQLKERVQLGVVKQKF LKEIHSLVTIMLGPPPSPDREFVWQYNDADGKAKEIRQTPLEFGRQGFSQSTRTRVSP SRLYSLVNDPRNEYYRLLTVEKLGNVVEGKPLTYVNVEMKALKKAVIAMLKAGHPVFF GCDVGKFSDKVSGVMDSELIDLTLGFNVTLGMNKAERLTSGETAMTHAMVITAVHLED GEPVRWRVENSWGEGAGEKGWFVMTDRWMDEYTFQAVVDFNLVPAEIRDVLGQEPKIL PRWDPMGVLA ANIA_06398 MKIAITGARGTVGRACVKLCSKAGHATVQIDRTEQDFDGTPNSE MRTADVANDYDAVVKAFKGCDALIHLAALPDPVGKDDWMVHNNNVNAAFNGFHAAATL GINRVCYASSVNAVGLAYANRPLKFDYLPLDEEAPQRPTDSYALAKEEAEAQARSFVN WFPGMNIACLRIHEVAPLKDVQKEHESNWEEAAVKQLWGWVNPEAVARACLLSVERAE NLKGCQVLNIAAPTTTQSTPSAELAKKYYPNAEIRPGLEGNKAFWTTDKAKRIIGWVH EEKE ANIA_06397 MEHIHNVFGLDTFFIINIGIALAGLATWLRSVWNLVNRYADTCI ATVYINEHDPLYNDVIQWMNDHIFKDRNFCCVLAVTDNHHRPGKRQGLISAIAGPMNP ADASPPQVLGKSTFELKPFNGSRIFTFRGRWVLFSHSAPSRTITTLQDGAEERIHLKL QTLSLSLDPLRALIEEANAYSKKLAKSQISVYRAMSNVRDLVRWNRITTRPSRAISTV ILDSCKKKAVLDDMREYLREDTRQWYANHGIPYRRGYLFSGPPGTGKTSLSSALAGVF GLDIYVLSLLDPNISESHFLRLFSEVPTQCVVLLEDIDAAGMTLKRANEEPVTADTTA SFDVMKKRARPGAPVPTSPPTPISLSALLNAIDGVSSQEGRILIMTTNAPQDLDPALI RPGRVDMHIRFELPSRVEFRELFRSMFSDAPSGPDSEVKGESDIGSDALKLEETKKKN IDMIDLDRLAQEFAEALPEGRFSLAEVQGFLLQYKRQPEAACARAAEWATDNKPRQYL PCPPAGPGDV ANIA_06396 MSDTLSTPTPPQDASNRPKRRKIAVACDECRSRKVRCDGVQPVC GPCAKRADRGAQCKYTSEPEKKKAAQDYIASLENRIRHLESPAYFSGSSEAHSFEGSG HPSVCCKFLCRFRGDLFDKSNDFEQATAPNRPLGSTTIPLSPSYTSKVLPPPQTRISF RADPGRSPLSGFPADNQGGNANSLLSGARDFTRRFSEPSESTDGVNAMMGSVEERPSQ EFFGSSSAASFMRQIKTAVDKRVSSPSQRTPEIAAESRPRSSLISPQKERQSAIHDYV LPPRKIADNLMNVYWHYVFPLYPLVDSIRLREEYSRIWTGEPLQSDENMLMCTFNVIF ALACQLADFIVPEEREASAAAFFSRAKDLLHFNLWDSGSAALIQCLLLMAQYLQSTNS AHQCWIVTGLAVRNAQSMGLHLPQTIACLHSPQEQQLARKIWHGCVLMDRVISMTFGR PAMISKASCGSVPLPATVDEEYIASASESSVEQPADQPSIMAFYAKSLELYDILNDIL LSLYKPVVEDSPDDVYDLYFNRDTSEDELTIFQLDRALTRWTRSLPAHLRGEPVSGSN GIIFYRQSVVLRARFLHVRMLLFRPILSKYCIARDLPSDPLISLTDSFPQRVALQCSI ICVNVAREVIELIHTNIPCDGTSGPLPAWWYNILFLVASRLCPSVIEEVTEAAITRSW NCALEILRKYQSYSTSARRCVAALEILYERAISEAPAGDIPPQSQSGVSMANTIGDMP LGEGMNTSLLEGFDLPDFQDMSWLNSVPSHLY ANIA_06395 MRLGVCFSLAAAASVARAALTATENDTSIVLENDRLRATFDKGR GSIIDLYLDGQDFLGPQSGSTGIGPYLDCYCTPSGFYTAGSTNPVTELVQGTDSTGTK YAGIILNDTYTPTGQEFQQYWFLRDGETGFHMFSRLAYYNETTPFLRNLQELRTLFRP NTDLWTHLTSSDLQTAPLPSDEAIAEQIVVQDATWRLNNTPDDAYYQQFSEYFTKYTF SNHWRDNDVHGLYADGSTSDGTTYGAWLVMNTKDTYYGLTEQGPLHSDLTVDGIIYNY IVSNHHGEGTPNITNGFDRTFGPQFYLFNGGGSSSLEELRDEARSLASPSWNADFYDS IAKHVIGYVPSSQRGSVKGTIKLPKNAKSPIAVLTVDGHYFQDNSAVPSSHQYWADID KNGRFSIDRVVAGKYRLTVYADGIFGDFTRDGIVVKARKSTSIKETWKPESAGTEIWR LGTPDKSSGEFRHGAARDPTHPRHPPEYLIYWGAYDWQSDFPGGIDYMIGESDPATDF NTVHWAVFGPTPDNPVAESNTTHDWRIRFDLSAKQLHARKTATLTIQLAGAKAASGNT DVYNASEPYANLPLRSYINEQEEPLTMVIGYDQSSSCIVRSAVSCYQVREKWEFPASW LKEGSNLLRLSLPTNGTNYESAVLPTSVYVQYDALRLELK ANIA_06394 MSKTFTRDEVAKHNTEDSLWCIIDHRVYDLTDFLDAHPGGSVVL SQIAGKDATTDFYNLHRHEVLTKYRDSLCIGTIADEKPEVIDPTPDGLSPVPYAEPLW LRPGFKSPYYNESHRRLQKAIREFTSLHVAPEAAEKEKDGTYISQELINKMAETNVLA MRLGPGKHLHGRKLLGGVVDGKEFDYLHDLIIAQEMVRVNARGFQDGNMAGMVISLTA VQNWLRNEPLRQKVTEEVLSGKKKMCLAVTEAFAGSDVAGLRTTAEKTPDGKYYIVNG TKKWITNGMYADYFVTACRTSKGFSVLLIPRDSAVETTQIKTSYSTTAATAFVEFNNV KVPVDHLLGEEHKGFIVVMSNFNHERYMMVCAVVRFSMTVVEECLKWCNQRIVFGKRL IEQPVIRQKLARMISLTESNQAWLESIAYQMNNMTYAEQSKNLGGPIGLLKSHVTRCA GEIAEQATNIFGGRGLTQTGMGRVIEMFNRTYKFDSILGGTEEILADLGVRQAYKSFP KAML ANIA_06393 MAASKEWQKMLKGELYWAWDADLQANRDRCREACKRFNEAGPVS RRRRAALWRDIIGDTRPLPPVKQDPAADEKQFDETDPIVDPPFSVDHGLNLKVGKGTF INFDTTILDTCLVTIGERVLFGPHVRIYGATHPIDPAVRRGLEGPEAGKEVHIEDDVW IGGSVIVLAGDVPPFHFVAGNPARVIRKIETSMDPVQATEGSETV ANIA_06392 MTGPACKTCREKCRKCDRGRPSCQRCISKGLVCRGYPDQFRFCG IASRGKWKDARIPALDEEISPQRQETLIKNQIKQSTSGSSTPPEPRRSRRLAIDSMLV KHADEVDDITGLLSLTQTKTLLQHSKKQIGLLYALLGFSAVHLGKLTGNRDMHETTAV EYRLKAIRALGELIYKSQTTSLSKEEQDTVFATIQILLLHDIAETGISEHGVHITGAM SVCKTILLADGFNGQRQRAVFFIGNLAWLDIIRAFAGTRRLCFTQEIREMVASAGGHR FELVNGCPREIFLIIGRALEKAKQYKNDWTTDEDFRSTLLGANQELYSWDPRSKTYPS SDARWVNVGIAFQFACILHVHRLLDPLRPANSPEIQEAVLKILDATADVPADCTLIEL LIFPLFMAGADSLSRHSQYYVLARLREIERRSEIRNPVPADLLGKVWAARSAKDGNEN ISWRDFTCFPELTRQHDYLII ANIA_06391 MDNNMEIDAARSPEPHHLSPTTDPGSIPTLDGWIESLMTCKQLA EEDVRRLCDRAREVLQEESNVQPVKCPVTVCGDIHGQFHDLMELFRIGGPNPDTNYLF MGDYVDRGYYSVETVTLLVCLKIRYPQRITILRGNHESRQITQVYGFYDECLRKYGNA NVWKYFTDLFDYLPLTALIENQIFCLHGGLSPSIDTLDNIRSLDRIQEVPHEGPMCDL LWSDPDDRCGWGISPRGAGYTFGQDISEAFNHNNGLTLVARAHQLVMEGYNWSQDRNV VTIFSAPNYCYRCGNQAAIMEIDEHLKYTFLQFDPCPRAGEPMVSRRTPDYFL ANIA_06390 MPNAQYSGYQAGFGNSFESEAVPGTIPHGQNSPRNVRFGLYAEQ VTATAFIAPRHCNKKAWLYRARPAAELGANSVLFQTELPDNKDTECSFLPLNPRIHVS PTQLAWHPFDIEESETDFVAGLKTVAGSGDPTLREGIATHVYTANKSMTKRAFVNSDG EFLIVPQQGALDIQTEFGPLFVQPGEIVVIQRGLRFRVELPDGPSRGYILEIWGSQFE LPELGPLGANGLANARDFLYPTAKYEVVKEPWEIIYKLGGKFFKSTQQHSPFDVVAWH GNYVPYKYDLTKFVNVGSISVDHIDPSIFCVLTAKSRDLNAPLADVLIFSPRWDVASH TYRPPYYHRNAASELMGLIYGDYGGRSDAFKPGSISFECGMVPHGVAYEEFSAATAGE TDPPAMRISEGSIAFMFESSRPFTITDFAWKSEKRHEHEPKMWDNLVDHFSEHAKEVE EILAAKAKGLRI ANIA_06389 MASPQVQHDGLNATFTGIETQCDGTAVHHFRGIKYASIPGRFER AQPVTGFDGRTVDSSRFGPRCPQVDIDVRHLLRIPEDFVIEQEAEDEFECLTVDITCP PGAASKDGLPVLVWIHGGSQIMTFCSAASKICDPTRLVADSIKSGQPFIFVSINYRLN IFSFGDGGETNLAIKDQRLGLDWVRRNIAGFGGNADNITLAGESAGAIYVHAHLITGP PVKKAVLASGSLYLSSPLPVERGNALIKALEAKVQQYGESSLRQATVLSLLRALKESN VNTMWIQEDDELRDWETRPEHADEVMIGDTEYESVIWRNGIETFDGPTIAAAFEQNQT YGPTLRKMYNVVPDRPTACKLGALDLVNDARYTLPVELISDKMAAAGKRVFKYVVDQV NPWQASARSHHAVDLLFLFGGIDLSFNPSADAVGKDMRRRWIAFVNGRSPWNPEKRFA FGPVGECREISEAGFAARRRVAHLQALREAGIGVYLPIVFALTAGKISLLN ANIA_06388 MKLQSCAYLGWGLALAASSAVALSVRNTNKAPSQSVRERASLNH QWKFWHSEQIPDNIVYDLRPDANDSSFQVLKSWVLPVGNDYIKNANAQHERPSTEPDG DIPYVHNDFDDSSWDVVRLPHDWAITRPFYTDDDPEIPSAMGRLPVQGVGWYRRKLNF TQEDSDKVVLLEIDGAMSYPMVWLNGHLVGGWPYGYNSFQLDLTPYLQQGEENQLAIR VENPSGSSSRWYPGGGIYRNVWLTKVNPTHVAHYGTKMTTRDVSSRRATIDFQVQIEN KGQKRQRVEVATEIFDLDSANGHVRGRKVEQFPRHKVIVDKDNPRLWGPPPDQTPNLY IAVTSLYDASGHLLDTYSTEFGVRSVEYNPNTGLSVNGAPVRIQGVNQHHDLGALGAA FNRRAAQRQLELLCDLGVNAIRTAHNPPAPELLEMTDRMGFLVLDEIFDSWAQQKTDL DFHLIFNDWREPDLRSFLRRDRNHPSVIIWSIGNEVAEQSADDTAAAIATYLRDIVRE EDNTRPVTASMNSAWPNSSFAGVVDLISLNYQGEGLRYGPAYSHLEGNRRDPQYSEFH VTHPDKLILGSEVAWSLSTRGTFLFPVTNYTSAPVNDTSGGNSTTLEISAYELYSSDP GSSPDRVFATQDEHPFVDGGFVWAGWDYLGEPYPYYDGARSAYSGIIDLAGFKKERFY LYQARWQPDVRMAHIVPHWSWPERVGQVTPVHVFTSGDEAELFVNGVSQGRLKRDPLT YRFRWDEVVYEPGEVQVVAYKNGSKWATDTVKTAGEPTQLRLTPDRAQIAADGEDLSF VTLEVLDGKGNVVPEAKNLIHFEVSGPGEIVATDNGFPADYTAFPSHERTAFHGLALC IVKGELGTHGDIVLKASSNGVGEAEVVIKASRGMP ANIA_06387 MLTALRYRDRKHPLLLEWRASKGFIVFVVVFAIFTVGDQFLTFC CMGLYVYRVTPRRSSLTNPQIVPVTPTALNERAGIAEGDGSLTQNARNEVLIKGSAPA GYLADRIESRRWPLILGLVALGASTALLCVGTHIGLWIAGRLCQGVSAAVVWTVGCAL LVDTVDKEGLGQALGYIGMGMTFGAMGGPLLGGVLYEHGGYYSVFALAFALIALDIVF RVVMIEKKDAVRWLAEHEQVQEPVSGTLQLQQPDDIVGREGEDEHEKRSSEPVPLRSN NPFPPSSVEQPPKRKQRKAALLTLLESSRILVALWAYFILSLLLTSFDSVLPLFVEET FHWKQTAQGLVFIPLTVPHILDPIFGYINDNYPSSRRYLAGGAFFATVPVLVCLRFVM TDSIHDIILLCALLALVGVCIAAMFSIILVEVSYVVQEKEDANPGVWGKGGAMALAYG LSNSAWAGGSLAGPFLAGFIRESAGWGTMAWVLALVVGSTGVPVLGFIGGWLFRRCEG RSDRQVRGDQRREMPVA ANIA_06386 MANLVNRRLVANALAVLALSGIGVYTASNCWIKNASAESRSAEP QKVFGRGPAFKSLRLHSSESVSDNTKRLRFELPGGESSISGLSLTSALLTFSRPEGSW LPAVRPYTPISKLDEPGFVDLLVKRYPNGKASTHLHSLKPGDNLFILASIPGFNWTPN KFSHVYLIAGGAGITPIYQLAQGILDNPADKTKITVIFGVNTEKDMLLREEFDAYQRA YPDRIDVLYTVSRPGSEFRPAPNVRSGYVTRQVLAEVMGGPDEADTKIFVCGPPAMEK ALVGGGFGQGQPGILQQLGYPRDRIYRF ANIA_06385 MSILGIITDIVDCINTLQSTLQRPKVEDNWGPELQTLEGHSDWI ETVTFSPDGRLLASGSNDTTIKLWDPASGGLKQTLEGHSSSVQSVAFSPNGQLLASGS SDTTIKLWNSASDSLKHTMEGHSDRVESVAFSPNGQLWNPAIGSLKHTIEGHSDWVLS VAFSPDGQLLASGSAEKTIKLWDSATCGLKHTLGGHSNWVLPLVFSPDGRLLASGSND ATIKLWDPPSGSLKHTLEGHSNKIESLAFSPNGQLLASGSSDATIKLWDTATGSFRHT LKGHSDMVLSVVFSPDSQLLESGSGDNTIKLWDPATGILKHSMRTPGIVRSIEFSIEL PQLITNLGTFSIQAWHTGYPSRSSGKKTAVSVQRNRWVAIQGQKELWIPPEYQPISSA VQDSSIALGCKNGQIIYRHTVELRILSEQTSIVWRKDSKPNT ANIA_06384 MPDPQDYTVGWICAIETEHVAAQRFLDHEFGRPDVLAPNDNNDY TLGKIGGHHVVIAVLPGGEYGTSSAASIARDMLHRFPKIRFGLLVGVGGGVPSSKRDI RLGDIVVSPSQGAGHGGVIQYDFGKEFQEQRFQPTGFLNRQPIILETAVNGLKAQYQR KGHQLEEAINTVLENKRKMRRKYKRRELNSDRLFESQVIHPSDREGTCAEVCDMSRLI IREERDDGEDIPAIHYGLIASGNKLIKDAEFRDKLALEQNILCFEMEGAGLMNHFPCL VIRGICDYADSHKNKEWQEYAAMAAAAYAKDLLCRIVPGR ANIA_06383 MAAVLKTTSFGIIQGKVSDAVTQYLGIKYATLKDRLADAVPIDS HEGDALNATIDGPTAVSLPIGCDLELTHVQHTLPKKDLPQSDLDCLNLNIAVPSDAIP TSRLPVFVFIHGGGFVIGANSWPQFDYARFVQLSVEKKLPIVAVSINYRLGAFGFLTS EELRNAGYKANNGLRDQRVAIEWVRKHIHEFGGDPDNINVAGMSAGGASVTYHLNSEK PLFKRAIAMSGTYFLSQPLPYDAHEQNYQKAISALGLLDATPAERIKALLERPGSDIV SQLPPSILAAPAIDGDVVPAAPSHAHTGSTTSDVPKGKNWCIDLMIGDSQMDASIIAF LFPHTKIGCARKFIMALKTALQSQPAVVDEILKSYGITDESASDDDAYPAVLNYINDV LFFTPVLSFAQGWKGNAYVYYFNEGNPWEGQWKGRTNHILDVSYLFQNFREFLSAEQQ AVGTAFAEDFFKFCHGQAPWPAITRATVTEGFTARRYGPSTKSPFGTVTQAFGGESQR RRDLYDCTEKVSLDELANDRLIALSLEYSAFDLLETVHHDTQCFQSSSSQSGSRSRGS GHSLLCAHTQLSYRGRQNCSIGFDAWAREREHANVLLVELWYAFVGRCASESTFDEHV ANIA_06382 MKFSQILTGLAGASSLCSALAASTSAPYGLNQGQKIRHNSATAA AAVCSADDATYSSESMPAGEYTDWGALGLDDVTSGRQYITIVNLTPHRFKLDSTHSYQ MDMFDWGDIPPGRARQNIAHYSTRLTANPVDTGGEAYYSIVGTSKKFEIRATTHVPHM YWRRTVIDLSDMGLGQREYLDPRAEVPVTLVITGSDSYGFMASLTHGSGNWMKQMYRV IKDRPLQHLVMPGTHDSGMSTISGKIVSIGSEANTQTQGLNIYDQLRVGARWFDLRIM SVHQSDPSSYEFWVAHVNDETADVPVGNTGESLADIINEVNRFTSENPGEIIFLKLRY LIGIKRLPGGAIRWNTAIANKFFSELKKINNRCPNLDTTTKFQRQKASYFMDQNDGKG CVLFLLDGSNIPSGVPSSSPSDGIYPASAMTVNDHWSNLATTQPVAEDQIAVWSAINR VSPFTNDQFLISQWLVSADALQTTALTIQNIAIMPTNPALYWAGVNAMSPTKWPNVLL VDYIGVVITDQFAWNQLSAELYTLAIGLNLYMLSENCDVSGQRSPLLPPVAEASKTLA VKSALQKIAPSWNGIIFANGTIIDKPPRNLHYGRMELLKNGTVFGNGTVLEKDVPNPY LYSVLA ANIA_09495 MAPPKRLSDVQRKALRDWVHSQSRRPTQKACIAWFQAHYNHRLS QSTVSDILSPQYHYLDSECNPSSATRKGIGQWQDLEAILYEWHHTLDCKGAYISGEIL IEKARQIWSSLPQYRDQPPPAFSSGWLHRFKQRYNIKQRTYHGEAGSVLEDAEEGMKA IRTIAGQYNEDDIYNMDETGLFWRMPPSQSLSSVNRPGIRKDKTRISMICCVNASGTD RLPIWVIGKAHKPRALRNINTSAIGIRWQWNKNAWMNQIIMREWLLEFYQHIGQRSIL LTMDNLPAHLSGLELAPPPPNIRICWLPKNSTSRYQPLDQGIIQNLKIYYRKQWLRYM LSHYERDLDPLESVTILDCIRWLVRSWHHDVLSSTILACFYKSTLVPDPIQLPVEAPD LKPLYKKVQQSGNLSDCMDISFFLNPAEESQEPTSSSNGMFSEVLLEQLITEASGSTD IYSDDLDDDTAEPAPLPKPQDALDADASKAPILRSLERLERDLEGEIITARAQGTLDS WLSNV ANIA_09496 MSTVTPPASSFTIDTPTILCILFALSFMPIAYVLGNNLIPSSQT RNRILFYWHAYDALTHLFIEGSFLYECFTSYATLPAGFAAPEPAFLGIKDRVYGAAHG SAPSARLWQEYAKADKRWATADATVISLELLTVFLGGPAAIYVCYLVWQSSCTQPAPK PTSSKSSSPKSTSKSSAAKLESQGASKAKLWLVATALATAELYGGFMTFVPEWLTGST QLDTSNAVYLWFYLFFFNTLWVWIPLWVLWEAAKEVKRAFVLAEGVEGKKVK ANIA_09497 MPGVNPDLPPVRACLFDMDGLLIDSEDLYTDITNQVLHSFGKPS LPWSIKAQLQGRPQPEAARIFSDWAQLPISHEEYVSRISALQAELFPTTKPLPGVETL LKNLVSTQKGPNPVHIALATSSHTRNYHLKTSHLQDLFSLFPESQRVLGDDPRIGKGR GKPLPDIYLLALETINAGLREKGEKEITPEECLVFEDAVPGVEAGRRAGMRVVWVPHP GLLEAYKGREEEVLAGLTGEHKEEEKSEAEKEATELAEERLKANSAGTPGKLGDGFAE LLTTLENFPYERYGIKVADA ANIA_09498 MPPDTSSASNGRDLTNHAGSDTENEDEVFHDARFPPEEETRLLA ESHSLKAEANNLYSAASYSQAISTYDRALASCPSYLDYEIAVVRSNMSACYLKLGDWK AAVDSANACIEGLDRVVPPSQPEDGKEGAAKEADSVVEIPVDDEVNEQAALQRIKEND ERKRDVARIRAKALMRRARAKSELGGWANLQGAEEDYKLLAGMENLPPSDRKVVQKAL RELPARISKAKEVEMGEMMGKLKELGNGILKPFGLSTDNFKFVQDPKTGGYSVNFSS ANIA_09499 MSTTFEIPRYDHVPETKEDLDLAELVTIDLSRFDHPEGKEELVQ KLDHAVKNVGTLLLCQELNITQEEVDRQFALGREFYALPLEEKLKYHSASNLEKGEYN SYRPAGHRIRKCHTEVVEKLLRLFAILLELPDDDQLVRDHQYDVEGEDHLRYMHYAAR GAEENKRAAEIYSRPYRFGIRDVALQAACCGAANP ANIA_06381 MSDPSKKPKSPILGLFKEVFNWYPSSYPAEERKLLLGSISPTWS APASAVSPFFVCSEHHLTKSVFVKYLDQTNISNAARWLLPTLEILWGSCTFAQSRVRN VHQLYALRFLVGMFEAPVFAETHFILGSWYSGSDLFNRAGTWFICNPLSQIISGYLQA AAYTNLSGVGGMPGWRWLFIIDGIFTIPVALIGFLVFPGVPDSPAPFFLTKRDIEIAK DWLSRAKIRRPGSLGPDVFKRTAKRWHIYIFLFSYACMILSSYPSSYMNLWLKDEGYS VTKINQYPTIIQTITIVSSWLGTTLASIYPSWIIYTIISTFSLFSTLCMCICCIPTGL KRDQKRKQLAGESES ANIA_06380 MPHFHHKRRHSWPYSGSTKDLNERNLPVITVTGPADEFEGQFLT PVESNEWFEDDAASAGIVRTQPTHSHRLWHHTKDKPETNRTTTGTVSLRKMMQPPLDR ARSLFVLPSTSAKETVSLPDWVQKLSAHPINTKERGRPRDDTRDRLMIEKNHRRCHSE RPRSWKRPSEDLWTLLEE ANIA_06379 MSRPNTPRSYPAGIDTSVPRDSFSSTESPSPLQSTPRRTGSSKS RASSDSRRRYDNTVYHYGRHSNYWLFGGFSVRDTHSVIFVELDQAEQELFIPGAAGAF SAQAVVAERATNEGCQLLMVARSLARYTFVHVSSVAEYWSWESQQSVLENKLN ANIA_06378 METKLLMWQTSDLIILGSNYSLSFTIEPPTSAAPGVPFSIPVIV AVRSVGNANSDPLQQLGARAVLWDESGTSSTVDLTGNTSTSVRSRTGNSTSGYAVFNR LTVKSPGRYKLRVMSVLNTASGVSVQGSIDSGIIHVHSGAAASQPPSPAQIARLQALV PENIGISQADIAAWQQA ANIA_06377 MTEVQSEAGSEANLDRSLLPKPLATMAIMAPVPYRPPPTPLIQQ RPLIAPSFTPVQPTSFIPWKLFLQLLAGTVCIFILTVLIWKFPRLLRSFTKGRILREG NTTSSRYAKTWYGWVSLQRHEARKRVVRDCMANIHSWNPWRSSRADFEWIWRYSDQKE LATYKESGKHQLSWPVFHGHETIGSIWSHCSPSIAEPLTIGGLETASHPIATGALPTP HTPRPMATPVRATRTDIPICEGYGNNSLPRHSTVRQLQRDRIGRGFRSDTSTHELSYI GKSFTSLSKIPFSRISRRVPLWYLNHTQPSPHSFSMPCLPQAKQSPPYRYARSTVTTR SGRTGSEDMPSDIYLTFHRSRKYQVWSARMGLQTLKCLGYSTHTLPRGPPGSPKSAVL GSLSLDAAASEIVHQHRQGPKWTSSSDISDLFLYGSDQQHNTRLPVSLPEHKTEGNVQ KEWPSLPLQRSPLAPLHRPTLITWYENSLPDLQTSITKVEIEKKQSSNRRRKLSNPGK IPHRVTQPKNWSNWEVRLIENLDRRLGWIESQLTPGQRPFHFALLANHWLNRDTWIVF DPVSRVDTDKRRLWGDPRFNVPYPKPSSVPTPKYPKSARQPAHTPKINSWRVAVNQQR KASGQKVFLHSIEHYDSSVEDPPDGHIDPASWVLRRPPQGFGLSSRQGERYYEGGAGW QEKLSDWQKIRRGYRIRKAIFEGRVNRRRAKELVYGIARYYQYATKLLQPDNGHCQVG SWELSIDELS ANIA_06376 MFKPSSPLFSGLLWKIPWRISQPQKARQRKRLRAVDKVVDTVSA ALARNGQTTKAVERWYREMPREEEMLPRDKYTIFDKKEKTYRKGIHKLPKWTRVSQRV NPPGF ANIA_06375 MDDPLVWGFLPLKYNFGLSSSNRRWGMASHDICYQARSAHSKHG GIAQPAVTQAIRLLSKGPFPAEPHLAKPERQSWSLQNVCVDPFSDLPTAYTTDGEDSH LAPSAYSCNSYSWVHIFPEGKIHQSPNKTMRYFKWGVARLILEASECPDIVPMWLEGF DQVMHESREFPRFLPRPGKEVSVTFGQKVDTEAVFGEMRRRWQKLKAKAELASPETRD LPLGALSDELLYGDEAVELRKEVTKKVRDLVLEVRRSRGHSDEDPKAGLVETWMEEGG KREGKMKDESWKTLGVDIIDRGRAHLSDLSHDDSVVDSALALYCSPLTVFISLSIPHY SLSSSSHNSQNDRFRTPDRPSVNDLIDHLRRTQLSPSGLDKKNRNIPRYVTPRSVHPS LRNVLELPETPPPRPRPDARRTGLGQRRLRRTPGPPPPESWLSGNTSAAEDEDAELNA AETAKIFHRLERLPGSVFPARSSFLHAVLKSMAMHWAWHVDYDGQFLGFLPTHIKVLL LSYIAYYARMQPLGMRGLKPLFDNTADVDVVEHGRLADGNSHVTRLDLSGALGRWISL KQLFAELILSKRNAGSAQDGTTKAIPLSWEDEYENDAASGTAGAISKPLSHLRFTNLQ YLSLAHPQKATVSWNSLINLLSHLSTITHLSLAHWPVPMVTPNAINARVRHPTHRSLT FSYGGTDSYSAMENNWAESAGILRRLSRATYCLKWLDLEGCADWIPALNWEGVGPNGE MYSTGPEWNGSWRDIEWIRLGPGWLPHLQDSELATLSGAASTSNINAPSPRSLASSIH APAASDVSTETWDVELERQKYRRAKELERFRETMHDAKEVQQRVLRARKDGRGKWVHF SFGLEELTSDVRDRLLGEELRNKFL ANIA_06374 MKRKLDANDVPSTEANEAEEVKGADRDEDFETLNLDPRLRQALV KEKFSKPTPVQAKAIPLALEGKDILARAKTGSGKTAAYVLPILQTILQKKAIDPSMKA TTGLILVPTRELAEQVQKVITTFAAFCGKDVRSVNLTQKVSDAVQKAMLADYPDIVVS TPARVIANLGTSALSLENLTHLVIDEADLVLSYGYEDDINALSKAIPRGVQTFLMSAT LTAEVDTLKGLFCRSPVILKLEDKDDHGAGVSQFVVKCAEDEKFLLTYVIFKLQLIKG KVIIFVGDIDRSYRLKLFLEQFGIKSCILNSELPVNSRIHVVEEFNKGVYDIIIAADE QEVLGVSKSRKSKDATEGDDELLSDEDEETSAKAASTRTDKRRKLSSKEKDYGISRGI DFQNVACVLNFDLPTSSKSYTHRIGRTGRGGKTGMALSFVIPADKYGKHKPTSISSAK HDEAVLAKIIKRQAKLGHEVKPYHFDMTQVDAFRYRMSDALRAITRLAIQEARAREIR QELVKSEKLKRHFEENPEELRQLRHDGELRAARIQPHLKHIPEYLMPAKGKKGISNED VGFVSLRKTGPENRIRKARDRNRGRGKKPGRKIDPLKTFNRGRK ANIA_06373 MSDGYDSDRSAYAEEPHPYARGARQGRPHHAQGYDVQSHYAYYS SSREIPRHVTVPENPTSSRGTSGHEEEPHYIYSSGRSNSHIYDEGHEPGYEAQFIQYD QEPDYEDQFDEREETSRYGNDYRLGTTRRNTNTQVEYPPHTVQLGPYRGSYPEVMPTP GHPMSLPSQSVLDPRYMVQPPHYYREGKVFSILWHENDGRAGGTLVSRGPLYRGRFGE PIYSTIRRMVVFRQYEQCSWCFAITTYHGRGVAKRGVDPDKHAIVHMRGTTPTLGVGE PRMAKEPLEVVPENPSENLDYMSRLNFSKIYTVEHNVKVLPIGRISSRSMTRFLEYAR YELAL ANIA_06372 MHLAALQNRRSRTDPTPKSESLRGQSFTESHVPPQHPLPLSSPG LSLELDGSYHCINTSDAQGNPVQPAHDSEQYPLDRGSFAPTQPSPNHRAINEGHHEVR QHAPTTEEESEASRNTREAELLQLYEKFNDLSAKRVRARQSRMALRYKREDELELRVR FMKHLNSFFADMDLPEAGPIMEEYQLLQVATEDYLRLEDSYRQEEDELEEQEYLLSIS IESLTRSQNWGPEPNFQPGTRTWSPVSDDDAAVRELPHCITAYLSRIGDERILQERLA DLDSEWFFTTERQAQRLQYKLSMEDEDSKYFLKTYDEERARIWKELNNAQMDVVSLHA ICLEEGHRGFDYEDLSALNIYQHYVDDTLWAPETGPLRLPLDEYFVFSGESQVSSLDQ DYPIPLEPNSGTADQSPLRRFQFSQALQQTSSIRSNEFINKWMLHQLRISSIGIWHLQ RSPRWQSLRAQGLREYEISQLILDWWFSDETAQAPSSDNSYLNNADDGDADTFVGYAN GQGRHLKKASSQSLVSSPRVSAPKLGPRRYSRP ANIA_06371 MPPAQHAGWFPSILGRSILSSTMRIHQSLQQDSKSDDAFTPTPV DKTHTLVARADKTYHPGEGSINPNNINMRGLYALFAILGAGLVLASIWFFFWAKDGGF VWKEGDWEEYKSTVLRRKGPDGRTLSNATRSTRLGGGSINGKSFFGEDDGYTVADTAP TYSDETATTITEKGPKRKRNLRDKFRRKRNEKYEGEVDEDVRAYRKEKPAQIGGINRE ADGTYYGSDYDTSAPPTQYNQSEMSEVRDYAYEPARHSQGRRDFSFVAGTEETMSQTT TERMLREPSARRHNRRRERRSRPPPTSSSRQSSPRKRESRGHYTEPLDFSSNSQSRSD YQYSNVGTEEEDLGTISYHHPIPGLSKGYRRDGARSRRRDSLSDSDGDETRYS ANIA_06370 MSRKAPLAASVEEYDEDAHVSLPETRQFANVTAKRSKSDLKKSA RVPFVEAASDSGYSSRTAATVNSTQSAPSGSRHPPAPLKVDTSHKKTDLERVRSRTKE RPRDRSAHPSREDRMHAGPYSAGYPPVHLPRSPSVSHRHERTYTRHYPSNNWDPEQSV YHPAHAESRPREYQYYSSQASSYDYPPPSSQTSRYAPAAVVVSSSTRPHGRSARSNSY HANNRPVSFNGMMPSMNGIMYSSSPVGRYDHGPPLSSSAYANSPAYSPAPSVYQQPPF YPYPDATSPPERMDRSMSRPREHRQPRPSIFEPPAIDYDTSEEEEEEEEEDVEGELME PSPPPPPPGPPRQARPRHPSHSLDRGEDEYYRSMPRPPLKQRAPPPQIIQKRPGLHHK SATAPSIVSERRGSRSLDFTELQDVLSEYGYNRGSGGGTIIPERNRSLRRPSVYHDSS RSAARVAVEHSTRRRRPKVYDYGPPDEIEEKVGGAEEYQASQSSKAAAPSMSLSDALL KAKANSQAASDSGSQKSRSASSRGSGSDARTRPGSGALTTTRAEDDDNIVMTLNGVTM NFTQESLGGKRISVRSGQTGAVELNIEGKRPKKYLTGGSEYTTASGGSRKGDRKSDRA SRRSSRSTYAPPRY ANIA_06369 MACSSSSSSSDGLNAPDVEQNAGSHDFLMNHSVQSFSWSDLTVS VRDRRTKQPLNLIESVSGSVQQGELVALMGPSGCGKTTLLNVLARRAASSGAKSSGHC YVNGGEVDNATFGRITSYVEQEDALIGSLTVRETLKFAADLSLPGFVSRSERVERIHT LLESFGIQNQASTLVGTPIRKGISGGQKRRVSVASQLITCPKILFLDEPTSGLDSTAS YEVISGKTCYYGPVKQVPSYFGSIGYPIPPQTNPAEFILDIVSSDFMSEKNSMRNDVE AIQSAWSQSPESSRLKQQRTEFAEKGSRRVVVDEASRPGLLSITISLLHRLLIKSYRD VVAYGIRIVMYMGLAIMMGTVWLRLGTEQEYIQPFINAIFFGSAFMSFMAVAYVPAYL EDRATFTKERANGLYGALPFIISNFIIGLPFLFLISILFSIVSYWLSNFRPSAPAFFT WVMWLFLDLVAAESLVVLVTSIFPNFVIALALVAFANGLWMSVGGFLVSPNILNPFWK YVFHYIDYQAYVFQGMMVNEFAERIYSCASNGSECNCMQMGWDPAGDYRRL ANIA_06368 MASTDSLPAAVESLSLQTTSETSKFPNSYPSLNPVDVYREHISE HLSAITGIEAEKIYPRLQFTSTLDKGDLLLPVPSLQIKGKSPADLSKELAEKFPESDL IHPPIAGGPGGTHLQFFFKEKPLAQRVIGQIFKEKAAYGSNGNQGLRDPKDPSKGRKK IIVEFSSPNIAKPFHAGHLRSTIIGGFLANLYTVMGWDVIKMNYLGDWGKQYGLLANG YKKFGDEQKLLQDPINHLFEVYVKINNIVSEQEGPIKELKEQIKAKKEKNEDVSKLEQ ELAKLVDVSEDENARRYFKSMEDGDPDALSLWRRFRDLSIKKYRETYARLNIDYDVYS GESQIKQESMSKANEILQSTGVSENSDGATIVDFPKHGAKKLGKAVIVRKDGTPLYLT RDIGAIFERDEAYHFDKMIYVVAAQQDLHLAQLFKIVELMGFKDLASRCQHINFGMVR GMSTRKGTVKFLDDILRDVADKMHETMKKNEEKYAQIENPVETADILGITSVMVQDMS GRRINGYDFNLDAMTSFEGDTGPYLQYAHSRLCSILRKAEANVEELPSANLDLLTESH AVNLVRLLSSWHDILMMTNKTLEPTTILTYLFRLTHMISSSYDVLQVIGSEPELKKAR LALYEAARQVLHNGMRILGLSPVSRM ANIA_06367 MANRQKAIARAIQRAVLRSGAPRISLIQRILSFFRIFYLTLIRY EQELFYNLRARVWRLSEEEYLSCFKNDGKSPALIPMGDLGFSGSTFFRTSNSAFLVKS VPRHFEHSFFRNDLLKPYYDYMQTYPESLLVWITDYIYAPYSSIGSILRTSPAHHVIM ENLLYGKEDDGNADKWETYDLKPIDYFYPERDLVPEQLVSEDIISRLADKFEDKVRLS REDYDAVKRTLDKDTAFLASANIVDYSLFLVRFPASSNPGRIGRKTQWRVGVSSADGK WKYRSVILDFFWAKHTLHAQAMTGAVQAFNIIGRQGPMSITTTAEEYREKFLTMVDEM MEVYAPQD ANIA_06366 MSALSLENITGVYAPSALLVVGTFILKKEWVPFAVALAAGFVAW KLSVGGSSKPRKVLNPNEFQNFVLKEKNDISHNVTIYRFALPRPTDILGLPIGQHISL AATIEGQPKEVVRSYTPISSDNEAGYFDLLVKAYPQGNISKYLTTLKVGDTMKVRGPK GAMVYTPNMCRHIGMIAGGTGITPMLQIIKAIIRNRPRNGGNDTTQVDLIFANVNPDD ILLKDELEKLAAEDDGFRIYYVLNNPPEGWTGGVGFVTPDMIKERLPAPASDIKILLC GPPPMVSAMKKATESLGYTKARPVSKLEDQVFCF ANIA_06365 MTVPVEEEPAFNLTDVDRAVLAQTDEEFAYHGWEELKDIIARGD LGILKRKPSDLVRYLAWSKETKAKYGTIINYICQRRLGWQLPTEGPSFNNPIPFADPA DYKILRNDWPYGLAKGIAHLVVWSRTPIPVQDADGVITAESHALIENFVQRMFVDRLA KEDGVKDPQDRVLWFKNYTALQSVRGLEHVHILLRDVPDRLIYEWTGE ANIA_06364 MYVKQIIIQGFKSYKDQTVIEPFSPKHNVIVGRNGSGKSNFFAA IRFVLSDAYTHLGREERQALLHEGSGSAVMSAYVEIIFDNSDERFPTGKPELVLRRTI GLKKDEYTLDRKNATKNDVMNLLESAGFSRSNPYYIVPQGRVTALTNMKDSERLNLLK EVAGTQVYEARRAESLKIMHETNSKREKIDELLDFINERLAELEEEKDELRNFQEKDK ERRCLEYTIYSREQQEIASFLDSLEEQRQTGVEDTDINRDRFIQGEKEMAQVDAEIAE CKQQIEFLKVDKAQLEDERREASKALAQVELQAKSLSDNQAAAQESKARHDESLKAVQ SAIEERQTELKELVPRFISAKDAEDAARAKLTEAETARQRLYAKQGRNSRFKNKSERD KWLQAEIKNNNASISSVQSVLSQTQEDINDIENDIALLEPETERLRQQIDGRGDTIQS VEQQVQAAKDERDRLMDQRKYVDWPRTSCATLTIHRELWREEAKLDSILINASNEVDR AERNLSQMMDHNTSRGIAAVRRIKRQHNLEGVYGTLAELFEVNDRYRTAVEVTAGQSL FHYVVDTDDTATKVLEILQHEKAGRVTFMPLNRLRTKPLNMPKASDTIPMIEKLQYDR AYEKAFQHVFGKTIICPNLQVASQYARSHGVNATTPEGDRSDKRGALTGGFHDSRQSR LDAVKNLAKWRDEYETKKSRGSEIRKELEELDQLITRAVGELQKLEQQRHQVQNSSGP LRQELRSKRDLLQKQNDNLDAKRRALRNIEGNLAALKDQVDAFEAELSSPFHKALTDE EEARLESLNSNVQEYRREYQELSGKRSELETRKSVLEVELRENLNPRLDQLLAQDADI ADEDGQGNIKETQREQKRLTKVLDKLAQRLAQVDESMEQANSRVTELTQRNAESRREL EELAKSIEKHQRRMEKSMQKKAALTKQAAECAANIRDLGVLPDEAFTKYKNTDSNTVV KKLHKVNEALKKYAHVNKKAFEQYNNFTKQRETLTSRREELDASQKSIDDLISVLDHR KDEAIERTFKQVSREFATIFEKLVPAGRGRLIIQRKTDRTQRAEDDLESEDEEAKHSV ENYVGVGISVSFNSKHDDQQRIQQLSGGQKSLCALALVFAIQACDPAPFYLFDEIDAN LDAQYRTAVAQMLKTISDSTNGQFICTTFRPEMLHVAEKCYGVSFRQKASTIDVVSRE EALKFVEEQKS ANIA_06363 MSSDSTTQAASPAEGLNPSHTYVPNKGYANEDGAVPAMAGQDLT PEDEDYEGDEYYDDIFEEELDEGDFNSSNPADLTKAYNRQRRVNELAADPNAPKWTYP KTNTQKPTVNTYASVDDEIKSLTRHAAKIKLDNVQSGLAVRGGSGTDRADRATSEQVL DPRTRMILLQMINRNIVSEIHGCLSTGKEANVYHAMLQPEDDFDAAPIHRAIKVYKTS ILVFKDRDKYVTGEFRFRSGYNKSNNRAMVKLWAEKEMRNLRRIYAAGIPCPEPINLR LHVLVMGFVGNSKGIAAPRLKDVDFNISDPESKWRELYIDMLGYMRVMYQTCHLVHAD LSEYNTLYHNDKLYVIDVSQSVEHDHPRSLEFLRMDIKNVSDFFRRKGVPTISERVIF EFIISAEGPATVTDELRDAVEKLFSLEPEAADEVDTAVFRQQYIPQTLDQVYDYERDA EKVNAGEGDDLVYRDLLAREKPSAPPDDEAETGSEVSGGVSIAESGSEDEEERDPFEK KPPRGKRFEDKESKKEHKNKVKEEKREKRANKMPKHLKKRLVSSSSRKRK ANIA_06362 MSEEQPWHAAFPSPQTTDPASLPRPMLLQWLQPGSNRTPGADFL LVDLRRTDFEGGTIKGSLNLPAQSLYPTLPTLFNLVRSAGVKDVIFYCGSSQGRGTRA AGWFADYLRQQGVEESETKSWKLEGGIKGWVRDGEKYTALMEGYDGAVWEK ANIA_06361 MTGLRNYNVVINHTTYVINLLSMEEKYNHALSKALATVDPERAT LTDRTSNFDLIVSPANSYGRLDGGFDDAISRAFCRPPEHSYDTLTKAAQATLYAKWRG FAPPGTCTIVPFPQELLKADGSGDNKWGCKWVAICPTMRTPDDVRWDREVVYECVWSL LCAVEGWNRDLDENQTGEQRIRSILVTPMATGCGKVSPERWAAQFVLAIKHFVDAVEN PERWRNLGWKEIYDDMLEVQRTWKVDGNSKS ANIA_06360 MSAVDSSTSSGHPEREAQHETGLPPLETLISHLVAAKRSLSAIN HVWRANEIVTSARSALEESVVVSARTGFLRSGLNNQLRLLYNVRAEVEEISLRGRSEF ANALKSLDAADARLKKTLGLLRETIVHASFRPEGEEPKSLHDFVDERGVEELHSTLKS SIDRTNAAQADLDASNNAFDVELQSIKQALSTYRATTKLASSRGSVSSSGSQSGSSSS LPSISSMPSMVHSLEMHAQEMANLLESLVRHFDLCVTAVKHTEGGGAAAQSITGDMPA GVMGSHPGPGHGAGTGPTIEGDFNANPNAPLDPLSASEYREMVSVIVNDAAEAEDVVM EIQDRIGEMESVLETLTAQRDSFAAIYNATKEVFSYLSSLASTRLPGYIAQAHAFTAV WNDEHDRIKGGLADLSDLNALYDGFLDAYDGLIIEVARRRHVRHRVEKILRDARNKLD ALYEEDVNARETFRVEQGDYLPSDIWPGLSREPMRVEFHRISGGPLKGVLQSPDQAQS PQPNEGEQLGHQDPQNPGDADSRFGEVIPDLPQELVEQALARLKSKRQR ANIA_06359 MSTEDNHDSQILTARHRSDASEQSFKSLFGGPSSEDGKETEPDT HDHNHSFSNAKAPAKFANQNVAPFLARHIPEQYAPLGAQSILPADLSSANSKYCYRHR PDQKCRRQADEPSMDKLQRELESLPQGDQQSISHVWSLFSAAPAKHRKLILQGIMAQC CFPQLSYISATVRDLIRIDFITALPPEIAFKILCYLDTTSLCKASQVSRGWRALADDD VVWHRMCEQHIHRKCKKCGWGLPLLDRKRLRESKREIELRATTWDKGVVGPRSPDASA ESPPSGKRKLEDDEVAVVKRHCSSLGSDAGVDKDSDFFKTRYRPWKEVYKDRFKVGTN WKYGRCSIKTFKGHTNGVMCLQFEDNILATGSYDTTIKIWDTETGEELRTLRGHESGI RCLQFDDTKLISGSMDRTIKVWNWRTGECISTYTGHRGGVIGLHFDASILASGSVDKT VKIWNFEDKSTFSLRGHTDWVNAVRVDTSSRTVFSASDDCTVRLWDLDTKTCIRTFHG HVGQVQQVVPLPREFEFEEHDAECENDDLSTTSGDADPPSIQASMGLEPNAAYSQSSA FGTSFDNGRAAPPRYMVTSALDSTIRLWETTTGRCLRTFFGHLEGVWALGADTLRIVS GAEDRMIKIWDPRTGKCERTFTGHSGPVTCIGLGDSRFATGSEDCEVRMYSFQS ANIA_06358 MSIPAIIDPFPEPQAAFQVRYAEPKTLECPRPRQQLDTSKLTEI HGRHVRFAPDTTEYMDRKPLRSSPFPTKANITRLDLTLTTANSDADLLPLQPARTPMR VRFAAEISIIPRPADSFDHVLSAVDFNTTHLDSTGKTCPVMQHVRFADEFTNHSHAHD VFPLIYVRDGERASVSSSRSSSSPSSLGARRADELERSSSLDNFVFVAENCRRRKRRF RRVCGKVKELFKERKGKVVL ANIA_06357 MIFTANRITTLTIPVAWVSERDILPVESVYRKVCLYHGRNPPMK SAGRIVTIKNKGSSGKKPEGMASSIEKKIYIPPGLNRSTSMGCFGIAYQDQSQYLSTV ALSQQ ANIA_06356 MRSIGEGSGPRHSPAQYRPPQRPTRLDPEPAASPEYRLLESEHQ SHWVRNERLDARRLDRPLRYRSSNLTGTGDHLGASVPYISDSRRHLSSEPGLDRPEDE TQTGVSEAWMSRLAIDVEELARAIECPRESEHEQGGNIAAIVILRTPNFQTRTQTQDQ NQDQEQNQGHGGWTARPPLAATWYQPSTGQSFTASPETSVESESGWDFWDELHQLG ANIA_06355 MTGIATVTNTIAPTFSQPEREANLKVELLFPDLCPAMVLKRDGT GWVSVGYAFKRAPSWEVADGAGSRSLLSWTVSSAAPYPNYDEQTWTADVSSSHLETEQ QG ANIA_06354 MSGSENKHDSVPPTGREASPSTKRAAPEAEQDVEMGIEKDTQSR PGNPDQMDTTASEASTGNDGTSPSDNAYQTPSSMSTYTAPVTGTQNNTKTEASMSSNE RPSYDDQVAKVTCFMMQPLQEGQKGYVVSMSWLKRVLARSSTHADNIDKSAAEGEIGP VDNSDLVLVTDPVNVGLKDEREQPFIPLRPGLQFGEDFEVVPQEGWDLIMQWYGLAEQ SPAIVRYAHNTSPFGAAENIQYELNPPVYTILKLSNPQKGVTPDTLRDKAKLPVKSLA SRHDNFQTWLRKAKRSVNVDPLVKVRVWRILGGLGSAAASTNITPAASRSASPAPFSP LVSNAGSSYVLDLNTFLSLSEGSQRELLDVKDQSNNPKYNGKMTLDAAGLGEPSVIVL EEGVFGANSDAEWVSDLSQQAMNRLGVPSGSLKPNKLKSKSPATSGRSSPVPEPIRKT RKDGKPRGNTGLSNLGNTCYMNSALQCVRSVEELTYYFLNDVYKKDLNPSNPLAHNGD VAKAYANLLRNIYDEAGHGSFAPRQLKNTIGRYGPAFSGYGQQDSQEFLLFLLDGLQE DLNRIQKKPYIEKPDSTDEMVHNKEALKEFADKCWDIYKARNDSVITDLFAGMYKSTL VCPVCDKVSIIFDPFNNLTLQLPIENLWSKSIFYFPLHKKPIIIDVEIDKNSSIKALK EFIAKKAGSDPERLVMSEIYKSKFYKMFDNVSSIADCQIGDSDEIGMFELESVPTNYN PDKQTTKSYFSYGRSSHEEVPSFDSPKADRMLIPIFNRHEGQRGYRMQRQFFGVPSYV VINREEATDYDAILRKVLSEVATMTTRDFLNEQIETQQQETTEDSDTVIMNEDDAESA DDKIKTTSVDGEEGMVDVSMRDASDQAEAADDLTLAHSSIPAPFHRLFDMKIARTNEA VPLGFSSVDENKDYNLMKSRIQKPTAIEEANKDGDGEQDEDSDDEIDMITERTVTPKP RPQRPLINPGEAIVLDWNTDAHEALFSGDVRDPNGPRGAPTWTSIERMPDPELANRRA LRTRRKKKGVTLNECLDEFNKEEILSENDAWYCPRCKEHRRARKKFELWKTPDILVMH LKRFSASRGFRDKLDVMVDFPVEGLDLTGRVEAPEEGKSLVYDLFAVDNHYGGLGGGH YTAYAKNFMTGQWNEYNDSSVSRPIDPQNAVTSSAYLLFYRRRSDRPLGGKILEEITE SSTRPASESDSQESRGPSPSGEGRRLGGSSRNGSSSALAGVGAAHQLGDGGLRTGAKS SEDANPPEYSNSPSYGERSLGGTGMDTMTYEDDDYGSGLGNNDTFRLSNPSPSWSFSR VTDAHHGLSQMTELPGSLSDDDNDDDDDSNKAVGGGDMSDTDLRLASLADSPFQGPVY PGTPLDETAPTLTTEFPQHDADDDDLPVVELHVGEEERLD ANIA_06353 MPTYIHEPPYTLLLTYLSSHLPRSGPLFRRIQHHINSPSPSSTA RVLASFSEEENLIPDSGAKQPWIIAYTDIHRGPDTQVWVFSSLESKPKHAITEPERRI AKSQLLSFFTFIRTHLVPPYLDWVSSTQPVHKTEVEETGVKKIPPHPLPSVLLGSVHE LVIGLIVELAGEEKRLRIHRGQNVFYAKYCFPSSSFEHEDVYTKQLEELGYTFTDSIG RYGITERHIPLVKSRTNIPRSTEALLAMGGVALFHSSPSPSRPHEGPVKQSVQDAEEM PIAWAFLGFDGSLSSLHVEPEHRGRGLAVAVGREVMKRGVNVFGPSYTFLDLHSRPHS NSNGNSSADLDSKGDRAASEVRLTSALDGSTGAAPELPVRLSQKIEEEWFFADVAVGN TASRRVMEKMGGKALWDVAWIVVEVEVDN ANIA_06352 MAVIFVLFFLVSMALSARIRPSFNGTALSGTSNKHPFEPGPNTI FREEVPPSAAASGFPSAHGTELRTHDPSIIKVGSIYYSYSVGPSITIHQAFSLDGPWT EVGALLSGESVIKKGDRKAPWAANTIYINGRYYCYYSVSNSGCRDSAIGVASSAFPGP GEWTDHGLIIQSGTGEGSDVFPLNQSNTIDPNVFVDGDGTAYLNFGSFWTGLWQVPLE EELVTVKGLQDGTLDAVHLAAEPGKVWRSKLANSKAKTVSTSKTGSPICGDPTGGHPI EGGFMAYHAPYYYMWFSWGRCCEFKDPAMRSNGKEYRIRVGRSTSARGPFVDKQGIDL VDGGGETVYGSNGDVFAPGGQGILTDEFGDILYYHYLNSSVSYDFADARLGYNRLEYV DGWPVAVY ANIA_06351 MWNDEDNNPYGAFDSEARLSESLHSTTIESPFNHDYPPPPSSHS SNPDISDFSQHPEASDDDEGDYVGQANRAGYSHKSVYDSRIEQLLYENPDMPILITDA GKNHEGGGSFIVYTIRTGDLEVRRRYSEFASLRQTLVSLHPTLIVPPIPEKHSMADYA AKPTKAKEDAGIIDLRKRMLAVFLNRCRRMKEIREDGVWWRFLDPNVSWSEVLHSHPA SSVPKNNLKAPPLDPANPTPAHAWLPVPSASAKLKSTSGTSSSPNAEAPGPEILGRFP PESRKLSEKDLDPYFINFEASTRELELLLQGNMEKVNRRTLAHLSGLSADLMELGARY NGFSLSEQSPTVATAIERVGQAADTSYIETEELSLALGANFAEPMRESAQFASVVRSV LRYRVLKRVQEDMTRDELSKKKSLLESLERSEQEAKRIEQYLNRTSPQAPTKQRSLST SSATSSQGGTDESRPSGEETASIDSDFPPTHAEHVSQRYPESGQTSPPAHRKTSSGTF VANKIFGRISHAVHGFVDVDPERTRRDHIGKTKESLVQLEQALGVSEKDVKDASAGVL QDLKRFQKDKEADLRRYMVAYARCHLNWARKNLETWTEAKDEVDKIEAR ANIA_06350 MNENTIIAIIIIAVLGSIAGGTFYIYYLHANVKKELTIARIESQ REREQQLKAVAATMEQARRASQARSCAQARTQSRPPSRGRSRPRSRPREASLGRTQDQ ATGKKGKKWKKTQDHEQHQKQEEARSRRSSRKPNPSRAGSLRTTQGEWGASGAMPTSG GQFGQSENQQGQDPWPASADSRGDGQWGDQTQNDTWGQAGNKTQGAPGNGQDGNTVLN GDTWGDSNNNEQGSGGGRWSPHRNQNKNNGSRDNWNSGSNNNNNNNDDSSGGQQQNVR KWKSHDDTANVQDSAQKDDEIHW ANIA_06349 MGTKPVFSAEASAGPTDMEQISQDIQILPEATLDKITKGALVKF TLTCDNVEKTRNVFSSLLQTFCSASISHGHTTAACNALSSFLDTAFVSERPETRQLAR SRDTLVSVFEGFLSRFKDVKPKPMKLVLESLAMIMAKSHHEHGCTAIQRDFVEAVLPS ILFGEPRSRVKASIIALETLVHKKAILPAELIMLVESWLLRNSERWPTVLQDECRITS IDVPRFLQKSSEQAASDAQSKEAAVQILLLGLLNRAKALDLAASCGENLEIVDKLSNH ILLRLFGISTIGFRYFLGTLPLESLLAGDMSNSSSNELTLLFASLQLGKKIGLVHEDH YFSKFEKQGEKPLVLKSEVIGQFLFHHDFSIKMAALSLLITAPSTTKPLSSAAIRVII KSLPSLHAESDPCTRGEILSMVRGLIVRLKGGILANIENPVEPRTTLSKKQPIVYIRD DAETRSCLQSYLDFLMADLRTTASYHRHIMAVKTLYLVIESGLDERYIGAGSNKPEQR QVRWKFHMEIFGPKLLRLLVDLLMDPYDEVRIAALNILKLFPRSVLLDTAGCSNGEPE LLNAVTKAEILASNTSRADHADTVALLYHLIFVIADAKDPKDAKWWTTKQGVVQLILE KLEGKLSSSEGLFNSSLRDAPLHGYLSALRYIISSPNFYTQVSREGSDSPENWRSIHT RIASLCDRIWEEVKPQLCIDSPEGHAAEPIEDLKVGPKDILSYSWRALRESSLLVHAT LSNATYGPQGESGLTRADYDQIGKSSFTQLAELRHRGAFSTVAQTFAACCQRCGQSGN PEISALPLLWYQEAKKIIFEKASKLTRRSAGLPALVGGILLSNPGGPLFQQVIRELQD VAYIPAQLEAHNQTVELPQVHAMNCLREAFTNARLGPHTEGFLMPALNLSAECMGSPI WALRNSGLMLFRALMNRMCRTDYQGFGGKSGSEPGARISFQKYPGLVQLLSNLLVPPQ KANGAEKADSAMVTERVFPALELIAEKIPNAADTDDAKLLGLIREHLKSHVWGIREHA ARVYASLLDRSTILHDIQSLLNARDAETENYLHGESLCVRYSLRRLAFTPSALWNERL EATKSVIKSVFAETYLLARSPFVQTTLLEMLSDAVERSVESGAEGETVDLLDYIFDTY NISDTVDFVFDSSNPSFTTLSTTRAFSLLRRELAWAMTLKALTKGDTENLETFIQKVA TLDPNACQWLLERLHETFAAKDEYTKLLAGLYASIIVGKPPLEVKIPAILNLASYLQV ALETKDAALVPALAWEDLAAQVKIGSTTKGFNRDRADADLQLEGCLIGLKSITRDHEI LETEVREWATRLRFALAEETEFSTRYAAATSISAFARAYRPPRQPPRVDQPFLEIYLI LYDLLNDDDEELRDIAASTASYVLSYSSVSPQKAVALSPMNASELFAAFVADNFSSSK LLFTRATRYILGLEPRVGDSSSRKPFVPVSETTAEIRKESTVLFEEEKQNLFIESVRE IDLWSVVLTRLDKATCEEKLLKELYSWVSDGLDCLSDIFARPDGEDGLVGWMAKPEAY TLGVRVISLAGVLASKELESSGLLGTDAQGALKEKLGRLLETGKSGLLHVDLLERVGM ALSRT ANIA_06348 MSIWDSLSGRKQTKGADGLDASAAPDASSFLSEVALPDPSQLHP LAGLNQDTLDYITLEDSALDQLPGSQSVLPSRGWSDDLCYGTGTTYVTALAIGGAWGL AEGLKRTPVTAPPKIRLNGVLNSITRRGPFLGNSAGVVAMVYNGLNSFAGYARGKHDA ANSIAAGAVSGMVFKSTRGLKPMLISGGIVATIAGTWSVAKKALL ANIA_06347 MVYPESPAPTERSGSVVVRPELHGSSATAVSSDNELHSISPVNP DPDGLGAGSLCTENLSPVSVKNATHKEHHASGLPALQIRTDVSSVSSSVHRLSPHREA DFYVHHSTSASSLPHRTPSLRALMAPSHSGGSLSPASLLSSPQLIAMGDITPLPSPIG GASPWRLPARRNSQSLSRTSSVVSRTGSSLSLRPSDPSQSSLAGVPEARSRGKTPVIA DKPSSDKTLDTPAAPKHTRNRSLSEYVPPGRNVAIKPRPVAVSGTGAPLGITSSSSTD SKSNNLHREQYLAIHRGIALPTVRPPSPPRSSGFGVSENEPVVIHHSDAHGTEEYYSV RSIRTQQPRLYRKLRQLGHGTFSQVSLAVRVEPEGADSDHGHSDAVSSTQKLVAIKII EHGPAGGADEERLEVSLKREVEILKSLNHPSLVQLKAFGSDEKRALLVLDYCPGGDLF EVVSNNTRPLTPGLIRRIFAELVAAVRYLHEHYVVHRDLKLENVLVNLPKEALQKITD WRTYDRAVVTLSDLGLSRRIPEPPESPLLQTRCGSEDYAAPEILMGQQYDGRSTDGWA LGVLLYAMMESRLPFDALPGTRGDPAKLRARTPHRIARCEWSWYRYADSDGEWDPEKG RGLEGARECVESLLKRNTKRKSLEEIAAMEWVKDAIDVPGGLKRGDKEVP ANIA_06346 MLLSQTRGRLPSALRSLANRAAIRPISTTLPRQKASPKDDEPVL NKVSRHITQPVSQGASQAMLYATGLTEADMNKAQVGISSVWYNGNPCNMHLLDLNNRV REGVQKAGLIGYQFNTIGVSDGISMGTSGMRYSLQSRDLIADSIETVMGGQWYDANIS IPGCDKNMPGVLMAMGRVNRPSLMVYGGTIKPGCASMQGNADIDIVSAFQAYGQFISG EINEPQRFDIIRHACPGGGACGGMYTANTMATAIEVMGMTLTGSSSNPAESQAKYDEC LRAGEAIKRLLVEDIRPSDIMTRQAFENAMVVVNITGGSTNAVLHLIAIADSVGIKLT IDDFQAVSDRTPFLADLKPSGKYVMADLHNIGGTPSLLKFLLKEGVIDGSGITVTGET LAKNLEKVPDFPEDQKIIRPFSNPIKETGHIQILRGSLAPGGCVGKITGKEGTVFTGK ARVFNHEDDFIAALERKEITKDEQTVVVIRYTGPKGGPGMPEMLKPSSALMGAGLGQT CALITDGRFSGGSHGFLIGHIVPEAAVGGPIGLVHDGDVITIDAEKRVLDLDVDEAEL AKRRKQWEADKAAGKLPQTGLNLRGTLGKYARNVKDASSGCITDAFD ANIA_06345 MERYTQFRDKGSGIAPFLPVPLQPAPGCCPLPIRALLFIIRLPF LIFFFVAYFAVLQWLPIGSLGKKAALWSILAVPSIWWIDLQVEGVRKGHLSRQQSRLP GPGSIIAASFTSPIDALYLAAIFDPIFTASYPTTREVEEISLFEAILRAFDSPETHYA PRRNAKTTSLSQLQRKYPGRPIVTFAECTTTNGRGILPLSPSLTKIGSTSKIFPVSIR YQTEDIVTPIPGHYIGFLWSLLSKPTHCIRVRIAESVTMAGSGNGMTEKMKKSNYDTN YFDLLDEVSASKGGVASSRDRVEIDLSPTEKNLLDTVGDALARLGRVKRVGLGVADKI DFLEEWRKMHPA ANIA_06344 MSPTYQHQQPYQDAHDSEEDVPFLPQEHNQIIRHDPSHSQSSTR ANGYSGKESVVINGAIRSRLMITLLAMVLAVEVGFVMAGGPMTRIYESIACREYFAQA DPTKIGPDRQVPEELCKLKEVQSEIAAVTGYMEFFQGMLSVILAAPYGLLADRYGRKK TLCLGIPGFILNCVIMFVVMWFDDVFPLRATWASCLTFFFGGGPVVTIAVIFTMMADV TTEEERAVMFFRFGVASMAADFVSSAASSWLMTMNPWFPLILGWGIVIVGAFLALLLP ETKNAVTPRTFEQSPEMELDPLSSTNEPKISSSSEKFVADPVGDEEGLDIPFLNRPKR RSFFASLATLSRSYLSPYAFIFRTKRVLLLLTAFLVYRLSRGSSWFLVQYISARYNWT IAQANLLISFKPALTIPLFLFIIPYISKHILRGMNSNMKDLTLARASIIFLAVGTLGI GLSPSIAMLIPSLIVQTSGSGFAYLARSLITTLVKREETARLFTVIEVLQAVGNVIAS LSITTVFQVGLDWGGAWVGLAWMMTSTAFCLVGVSIWMFELPPAVEKIEEHGD ANIA_10801 MDFWSRIIGGSRSLSKSPRATSPTERLTTFKRTCNTLQQIWRSS NSTAAEQAAIVHARHCIERLNSVLSDESRGPAPHPCLAYAASSQIFVTVTKLALSSYD EAMLRSATVLFNTLIDSEVDGVVDNRLFARALVDLVRRADKKSADIEGRLVELLFGVA NNIRLQPNILPAWFAPRTDDEEQSTGSVEFAGTMRTDDFPLFYLLVEWVHHAGRAGDF ARTGLLYLIETASRSKDLERWLIESDLATLMATGLGALYSQLGSLGSCLDVEPVVPHV ISHSDHAQQETALPPALGSSMDSFMAYLLFWQDTIDHCKSAEVVATLLDHFQVLFLEQ LLYPSLLESSDVEGGSTAAVLTYLYRILASVDQEDLVQRILHFLLASPSGVDVQAPEK KKDMSASRRKSLDVLAAFSQEAARPSPTLFNLRDLVLLGLQSPNRQTVLATLRLLTVI LQRYSSFSIFLIRTNPTQPAKQRTVGALNAEVGHLLTMATSIMEEPTLDQSFDNYLKD ASWILESRLATPPPDNCSETLPCEIRQEDPIVRELLGCLETFFTNTVIVNLALTEVLM SIASSHLMALDGWLLVDPAKYHYVQSDGGSSNDKSEDILDQVHRMYQEPTWSPADTPA LTAALRRLVDKVQEWRKQVEDFDILIAARRDLLHEDDVRTNQAEQNLGTPAPRSTERY SSNTPRTPPLGFDQGTPRGRRDLRNLTSPQRSIVGSPLREVTVNKTHSPPPRDASEAR SAAEELRKRLAAPFLPESKVSTFNDRTDNSAQEPSPADQEVAVTDEEERKPKAETASL GHVLTNVVILYEFLLEISAAVQARGAIFEEAGFHGVGSSLPVDDS ANIA_10809 MTEQDNSYRPRSPDLSTFQPAIPSPVYQFGNPLAHRTSYDASPF FTPHYQQPPVASARALQQYIPPYPDHSFDADMARRSSRLSRAVEVAPAPAPEMKYEEP KYEEPLYQEPVKPEQPSEPVEHPKLDPAAGIEVKTKFPVARIKRIMQADEDVGKVAQV TPIAVSKALELFMISLVTKAAKEAKDRNSKRVTASHLKQAVAKDEVLDFLADIIAKVP DQPTGRKHEDDGSDQNEQPKRKRGGRRPKDDSD ANIA_06342 MAAAAQPSGLMDIASSLTQDEIPFKLRCAICNKLALNAFRLPCC DQAICENCQASLPDTCPVCAHTPISSDLCKPNKALRTTLKAFLRTEEKKREKERQERQ EAQPAPSGTAAPADEALVRQESQQDSNVVEETPANGPLPNAGEDSSAAQPVDTASAAA EADAAGEEQIGTNGVSQVDEHSETVAPDAADQALDVAQKNADGDNIESSESTEQPDQD SQNAMPGSGFPNPMGFNMNPGMFPNMAWGTNPMAQFMGGGMMGFPNPMGMAAMGMDPM AASQGMFGGYGMNMNGMSNGMNMGMNFNAGQGMYGGWDGSQNNMWNGSQDKFNPNAFA NGMGAQIGDPSGFGGYNMSQPNGVHPQMQQQQFSNQELQNGYYGPGNYRGRGRGYFPG GRGRGGYVGHMQPNFPHNVNSAALQDNTSSADQPMPSQTEEGATDSTATGDNVPSDGK KAEDGPNTADASSGNQALNQVETNGGNVESVPGADGHPDQAMNAENQLQGIPTVDSLN EPYNVGATAAPTYMYQGFGRGGGYMRGGFHGRGGSFGGQQQFMPAPNMQPRGPGVEGA PAAPRAMRQGLPNTSVFRQRNFHLVGRVPNQPSKGPEVSQTPHAEPTSEDQRPQSSSR SISRARSRSRSKPRSRSRSRSRVPSRSSSRRRYRPRSRSVEDDATDYDRPRERRRRPH HDERDRTAEDERRSRSPSIDSHRSSLRDKERDRRRARRSRRSHRRRSRSRSASGDRNG DSRDTDRFAEERSSSKPRSRNADTLDTHRSGKDRSSRREEDRERDRDSRRRDRERDRD RDRDRDRDRDRDRIREKERERDRDRERPRERDRDRERDRKRSRRDRSESAADSDYHSR KAKRGREDDARTSTSNRDRPKDTREKTLLTNPPEPEKDPHTLEREARNRERLLKEQQR REAMQADRDAGKSSRRRDSRQERAGRRLNYKYDDETDAARAARVEREREAGRWE ANIA_06341 MSGRFVRSSKYRHVFGRPTRKEQCYDNLRVSRNAWDTNLVKANP KYLSVNWEAGGGGAFAVIPLEERGKLPERIPLFRGHTAVVLDTDWNPFNDDLIASGSD DGRVFLWRVPEGFTLSPDVDADDIQDVAPVGKLSGHPKKVGHVLFNPAAENILATASG DYTVKIWDIEAGASKLTLNVGDIVQSQSWSANGSLLVTTSRDKKLRVWDVRQERPAHE GQGHTGAKNSRSVWLGERDRFATTGFSKMSDRQLALWDIRSPQLPIDGFKTLDSISGV CMPFWDDGTQCLYLAGRGDGNIRYFELENDKFEYLSEYKSADPQRGIAFMPKRGVNMH ENEVTRAFKTVNDTYIEPISFIVPRRAETFQDDIYPPTVGLKPAMSASEWFGGKEAIP PKISMGSLYDGQGLKEVTGIQDKPTSNLGTESKPEPKSEPEAKPAPQVKTTESAPETT PVVKPAPSMKEQGASMAAMVNKFADDEEAEPAEESSFDEPSKPIERATRSPGTSSPTV KDSPFLQKENSAKISSPVSASPAPADRSQSPAQSTFNAQPTSSPASTTGSTAAGASSV PADAVQREISAIKDLIAEQTKTIASQALQVQNLTAEIESLKAKLG ANIA_06340 MENVQSRMQGSRIPGLKEMNPSGTNARSRLPQPGAIANKPTAVP QLARTRSTTESTRIGAGPPSAARSVNGATKAHTRANSYANSSTLTRSASAASRPRGPL SSSTSGRPKTSMSTSRRPNGHALPRPATSLDTHQEERSYGGLGKRGEWDQDEREQNLE SLFETFVSRISQQGQESSGLKDALEVYKSRVGELEEAKSEQTEQNIRLKVELDVSKSR LAEAEDALKNAQRDHEIAIDELMSRQRAECESVRYESQKSLDALKAQHESELKELRRQ FERELEDEKCARVRELNQLHSKTALDAQLSQIELDKTIKELAATREDLQSLRTELDRE RKNTNNLRQNLDTAASNSVTLESTISALKARIEFLESGREEQSEAFERLNQQMMDAMA ETNAAKEKLRREETLRRKLHNQVQELKGNIRVFCRVRPTLENEGASDAAQFTYPDEGE DSKEINIIGPEEKSSFGTVTRKNHNFSFDHVFGPSAQNSDVFDEISQLVQSALDGYNV CIFCYGQTGSGKTHTMSSLDGMIPRAVHQIYETATSLEEKGWRYTMEGNFVEVYNENL NDLLGKAEELDKKKLEIRHDMQRGKTTITDATTVQLESPEMVESLLKRAAANRSVAAT KANERSSRSHSIFILKLIGENYITGERSEGTLNLVDLAGSERLSHSGATGDRLKETQN INRSLSCLGDVIAALGQGKKDGHIPYRNSKLTYLLQFSLGGNSKTLMFVMVSPLQAHL SETLTSLKFATKVHNTHIGTAKKQTRVRDV ANIA_06339 MDAPRTSRFLDPTSAVAAITKHKAEAIRLAREQGAAVREMCRRA KTETPPYEFEELIGKGAYGRVYKGHQLPSREVVAIKVLDIDSLDYKSVRDFKDESIKD FIHETKVMKQVKDAGAKNINEIIEAVSIHSQLWLVCEYCPGGSVRTLMRATGDRLEER FAIPVARELAAGLRAIHDAGIIHRDIKAANVLIHEEGRLQICDFGVAGVLQSQMDKRS TWIGTPHWMPPEMFTAKQDHQYSSEVDVWAYGCTLFELATGNPPNANLRERMQIGRQL NRKTPQLADGGEYPEGLRDLVAYALNSDPVTRPSMADILLHPYIANSEEEYPTSSLSE LVRIYYQWSQRGGQRISLFHPGGAAAAEVPDVESDIDEDWNFSTTDDFERRFSVIDLD QLAASLAELEQEIKDTTGQPQQEPADEPAETEMTEQDKANFDERVRRGAAAMEGLFDE EKPSYKYETKNDFVPIEQKAPVSDLPLRTDTDRSSVTSTFIDIDIPSFDSSHYAAGAT TAQPFQLADADTIRANRSSGRNRSFNEGRSRSSSSEVRSSVDIQETFQPRTGPRPPTM DWKFPSFMTAPTEEPESESVSEVDSGAEAGSESEPERIARDSLTQPLTFAPAEKRATM EWTFPVMTTSTDDDHVSPRNSSSAEEDGEPSRHDTLKASDARFSSIGEPGDSDRDISR PSTSASVQSNVSASSDTDYDPFRFDRPPSPPEGSPQSKQQQQFLNNEYPELLTSVGYN RYSHSSIIEGPGPDEEEDTTSVWQNNITTTAPPAYPQTSTPMQMQTSYSEPFPTAIPH KDQRMRAFPGIGVAPTSTDSESGHGTSFEPLHTARYNPTTLHIPSSIEGMSPIKFPDL VPPRLEVLMDGAGEAATTAELNRLLGDFLDALSATGSALSRTKVSIGGSAGMDQNVYT ICITVDYCTQGQTERVPQTA ANIA_06338 MSPPAALDMDTNGIGAPKPLTVDGIAAVRAKSAPMPAGVAPATS SDMFKSPACYTKPKAKRWDNFFTLEAKSRKTSTLKGAAKFLKNPGLISLGGGLPSPEY FPFEELSFKVPTPPGFSPEATRQSGTTLVAGKHDIREGKSLYDLEVSLNYGQSTGSPQ LLRFVTEHTELIHNPPYSDWQCALTAGSTYAWDTALRLFCERGDYLLMEEYTFSSAQE TALPQGVNVAPVKMDEQGLLPGDLDDVLSNWDEKVRGARKPFVLYTIPTGQNPTGATQ SAERRKEIYKVAQKHNIYIIEDEPYYFLQMQPYTGADSAPVPPPANHEEFVKSLIPSY LSIDVDGRVLRVESFSKVLAPGSRTGWVVGSEQVIERFLRNTEVSAQHPSGISQLMLF KLLDEHWGHLGYFDWLINLRMQYTNRRNIMVQACEKHLPQEIASWIAPAAGMFHWIQV DWKKHPELTSGKTRDSIEEALFHAAINNGVLVSRGSWFKAAGASEDKLFFRATFAAAS EENITEAIRRFGDALRVEFKL ANIA_06337 MPLYNVTLKKDSPIEELHKAKEAAKEKGGTIKHEYTLIKGFTVE YPDDLVSVFESSEHIHVEQDGEVKTQ ANIA_10800 MAATIPFLTLSIGRDLRRSALRHRSRMVAARLFATSSHPKLTTT ATATTTQNLTPRANDEVARLAASRRRPLTLADLLKYGRPPLSKEALLASANFTLSLLP ARLASRIEALRNLPFIVVANPHVSKIYGNYVHSLSTLLPWQKRQVTTLEEENQFAEVL ADLVHTHSNTIPILARGFLECRKYIDPAEVTRFLDTHLRARIGTRLIAEQHLALHFAS RPAGDGSAEGREQPRKDAPPSNYIGVIDTALQPARIVRSCEEFVGEICELKYGVRPRL EIHGQPDATFAHVPVHVEYILTELLKNAFRAVIESGNEQEPIEVTIAAAPDVPGNHLQ AHEPVTNGKPADALDTGAQSDSDVGFHVDTVVGTADANESIKFSTPSSQSITLRIRDR GGGISPDVLPQIWSYSFTTFSDLDMGEAGGIDALNTISSNSGQLSSIAGLGYGLPLSR AYAEYFGGSIAVQTLWGWGTDVYLTLNGVGKVA ANIA_10808 MVKGQKEKPNEFPKIVTCPNEMVALSMADGYARLTGKPQCVIVH VDVGTQGLGAAIHNASCGRAPVLIFAGLSPFTIEGEMRGSRTEYIHWIQDVPDQKQIV SQYCRYSADIRSGKNIKQMVHRALKFATSDPQGPVYLVGAREVMEEEIEPYAVSPYGW RGVAPPALPAEGVELIAQELASAKEPLVIVGYSGRTARGVEQLVKLADTYKGIRVLDT GGSDMCFPADHPASLGMRFGIHEAIKTADFILVADCDVPWIPTQCSPSDSAKIIHVDV DPLKQQMPVFYIPAMATFRAESATAFEQVNAYVESNTELKQFIASDANTVLGQSREEA FRTTRQAINELAVTPAGGPDAALNASYLLSEIRKTLPLDTIWAVESVTLTPIVADQIA ATLPNSWINCGGGGLGWSGGAALGIKLATDAQAGGGNKGKFVCQIVGDGTYLFSVPGS VYWIARRYNIPVLTIVLNNKGWNAPRRSMLLVHPNGDGSKATNEELNISFAPTPDYAG IARAASGGHIWAGVAGSVGELGRLLPEAVESVKNGVGAVLEAQLDGTEGKYVRK ANIA_06335 MTTPRRPPPAYTAPTDSTVHGTTQVYRSISETASDPAKRELEKS FTIRPCSGQAWIVPAGHICRLTTPNGPQVGDLNIWNANNPRERLWAARTRQIHASHVS VGDRLWSNLPYLRPLVTITGDSLAGGQLHEVLDVDGKRKEGKGFGTSQWGGRVHDLLG TRCDPYVNLLMGGESFDFHCHSNLTRAVTPYGLTELDVHDVLNVFQVTGLDEEGRYFM ETSPAKPGEYFEFFAEVDVLCALSACPGGDLSNWGWDDKEDMGATTRPLGVEVYKITE AKALEGWKEPQSPKYTGMHGMKMPACENDGAGFVGL ANIA_06334 MEQQTNRAHRAPKERKKYDGPNPKAFAFSNPGKGNKAGARSHDI KEKRLHVPLVDRLPEEAPPLVVAVVGPPGVGKTTLIKSLIRRYTKQTLSTPNGPLTVV TSKKRRLTILECPSDSLAAMIDVAKIADIVLLMIDGNYGFEMETMEFLNVLSTSGMPG NVFGILTHLDLFKKQSTLRAAKKRLKHRFWSELYNGAKLFYLSGVINGRYPDREVHNL SRFLSVMKNPRPLVWRNSHPYALADRFLDITPPTEIEKDPKCDRTIALYGYLRGTNFP AQGARVHVPGVGDLTVSGIEALPDPCPTPYMDQQIQKATGKSNKRRLGEKQKLLFAPM SDVGGVLVDKDAVYIDVKTSNFNEDDEERDTDRGLGEQLVIGLQGERKLLGEADSGVR LFRGGEAITKADDEDNDTGRKHRREVRFAGDDNQGAAEEDEGFESAEDGEDEEEEDQD DMEAGSDDGEAEVDVSAPPDFAESFRERQNGPTSRQESDIAFADSDSDLGSISSVEDQ ILESDMEEEEEGSDEEDDGTLRWKDNMLANAKSLHSKRPKYRITDLSRTMYDESMTPA EVIRRWRGEEERDEEPEGEDDEDTFFKKTNVEQEEQAEYRAVPEYDYEELERKWRDEE MIESLRKRFVTAKLGDADDGEDEDVEDAFDEDDEGDGAFEDLETGEVFNGIKDDDGEA SGEDDEKDGPEDLEAERARNAKRKEELKLRFEEEDREGFANSKDNSRHDGAEPEEEFG EDEWYDAQKAKMQKQADINRAEFEALDPNSRARAEGYRAGTYARIVLERVPCEFSTKF NPRYPVIVGGLAPTEDRFGYVQVRIKRHRWHKKILKSNDPLIFSLGWRRFQTLPMYST SDNRTRNRMLKYTPEHMHCFGTFYGPLVAPNTGFCCVQSFSNKNPGFRIAATGVVLSV DEHTEIVKKLKLTGVAYKIFKNTAFIKDMFNSSLEIAKFEGAAIRTVSGIRGQIKRAL SKPDGCFRATFEDKILMSDIVFLRAWYPIKPHRFYNPVTNLLDIEEGLSGDGGWKGMR LTGEVRREQGIPTPLNKDSAYRKIERPERHFNPLRVPRQLAAELPFKSQITRMKSRKD KTYLQKRAVVLGGEEKKARDLMQKLNTLRNEKQAKRAAKQEERRKVYRAKVAEGLEKK AEREKRERDEYWRREGKKRKNEDGEGGGSKKRR ANIA_06333 MLDGSLQVHRRQGAQGQGRTHRRENISNIDVPNGLDRYQGRTTG MRPLSLDASSNMQRTAEGISVDCQVWRHESSAVPLEGYTSPQDKSTAGGETVPKEAGG LETSRQIDIERTEASGHTRSSTNLPGAQHSPRVGDIGRRRSQSTGFGSRGSRIAALSV QLRTRLSYAAARIEKARQSQSQYQSPTGLLQKNSSTPILSVETLSRTGQPSLGEPGDQ FEAGSPDGTTVSAPDAPAASSRHPFEGPIRQTLNATIDVNSQTQPDLNKHAEYHPELR PPRLAPPADIDPGRVNRQRRRPNPNNPSNPSRYAPFPLHRRGRSQQELVADTEVTRVP ETPPLCPSNDNGIPFHGWSDNSQSSSMEQDAIETLLFMSSPGTSGYHSNSQNSQRNQD VRNIDDSASQSVKWHGSLNGTQSDRQSGLTGTVETRTGDEIDLMLDQMNSDSDDDADY TFRRSIRVEAGSRANDAVGSNVQHGT ANIA_06332 MSNLQPSSSEPSIFEVGAFLPGGHNTDPALPENSPTAGFKLNHF MLRIRDPKRSLHFYMNLMGMRTVFTMNTGPWTIYYLGYPSTPQDRADLPAWSAKVGGD NRTLTSTLGLLELYHVHGSEKPISEGGYEISTGNEPPNLGFGHLGFTVPDVPKALERL RGAGVRVIKELSVSTRESIPLSKWEEERGIGVGEIHPNYKAVFDQIAFVADPDGYTVE LVPQTMN ANIA_06331 MQLTEEQAAEVKAWVVKKLEDISDADSDVLADYVLALIRSDAPD DEIRKASVENLEDFLREHTANFVEELFATFALKQTPAAPAQVQLPQAATQPSAQAVPL AGSSNGSYGPQSHGDNLSRKRTYDDGFSDNHGHENAQSHRNFKNPRRGRGGKSDWTGR DGHPPSGPGQFPHSAGGFPVMPPSFPGFDQNDPMATMLALQSMGFPQMPGMPPMPMPP GGGPGQQPDQMGPKSTEKCPFYETQGICYLGGACPYQHDTVAGAPKDDEYDPKTSGIV PDSRRRLDGSMRGSDRGRGRGRGSDRGGFNNRGRRSEFSAAGPSDDPSMKTIVVEQIP DDKLDEFTVREFFSQYGEITDLQLQPHRKLALITYADHATAKAAWSSPKVIFDNRFVK VYWHKPKGHDQRAGGNGRETPQTFDAEEFQRQQEEAQKAYEEKLRQRQETEKAKQELE RQREELLKKQEEEKQRLLQKIGSATSGSATANGGASQSPSDENVSEQTKQLRAQLAAL EAEAKSLGLDPNHSSDPGTSYRGRGRGFGRGGYPSRGRGYDPSSRGSYRGRGGFPARG RGGVLRLDNRPKRIAVSGIKPHSEQDEAFRQFLIGVSEYESITPNPNDENSLIVSFKE RYQAEKFMFGPRNIPSVGEVQLSWVPNPPISVPSQSSSTSPDQGQGQQTVATPGGSGP DAKTGAGSDEDMIMDSVSAEHDQDQARVKENGAATAEVDYDVAEVDDSWGV ANIA_06330 MVNFTIEELRSLMDRKANIRNMSVIAHVDHGKSTLSDSLVSRAG IIAGAKAGDARFMDTRPDEQERGITIKSTAISLYAKFADEEDIKEIPQAVDGNEFLIN LIDSPGHVDFSSEVTAALRVTDGALVVVDCVSGVCVQTETVLRQALTERIKPVLIINK VDRSLLELQVEKEDLYQSFLRTVESVNVIIATYEDKALGNVQVYPEKGTVAFGSGLHG WAFTVRQFAVKFAKKFGVDRKKMLERLWGDNYFNPKTKKWTKTQPEVDGKPVERAFNM FILDPIYKIFQLVTNDKKDQIPALLEKIEVKLANDEKDLTGKQLLKTIMRKFLPAADA MLEMICIHLPSPVTAQKYRAETLYEGPQDDEAFAGIRDCDPKAPLMLYVSKMVPTSDK GRFYAFGRVYAGTVKSGLKVRIQGPNYTPGKKDDLFIKAIQRTILMMGRFVEPIEDVP AGNIVGLVGVDQFLLKSGTLTTSETAHNLKVMKFSVSPVVQRSVEVKNAGDLPKLVEG LKRLSKSDPCVLTMINESGEHVVAGAGELHLEICLKDLEEDHAGVPLRISDPVVSYRE TVSGTSSMTALSKSPNKHNRLYLTAEPLDEEVSKAIEEGKINPRDDFKARARILADEY NWDVTDARKIWCFGPDTTGANLLVDQTKAVQYLNEIKDSVVSGFQWATREGPVAEEPM RSIRFNILDVTLHADAIHRGGGQIIPTARRVLYAATLLAEPGILEPIFNVEIQVPEQA MGGIYGVLTRRRGHVYTEEQRVGTPLFTVKAYLPVNESFGFPGELRQATGGQAFPQSV FDHWAVLPGGSPLDPTTKPGQIVAEMRKRKGIKEQVPGYENYYDKL ANIA_06329 MDLAGRHATPATITAARAKLEPVPPDDEAVIIVAITTRGTEYST AICTARLAKSPARISGLPEGCTSVLFFLLYFNRLFAALLSYGIRAYTWHYYRAYVDIN ALQISLIGGRIFFKGIRYHGVNETIFIHGGFLTWNFWKHAVRRTDFSRTGVQNTEDTE YAGNRNGSIGEKGGVDCPAALPCRITAKFYGLEWFIYNRTPAYDSILTGFKTAAAAST QSPDSESVLSDASLKENQTVAPSSNESSPEQANAADGSTARHGRTMETYTSQEGAPGE QDTRDSLSGLLQLLPVKLECDKGAIVMGNENTRSVLTTTFDSATGLIEACCAASPLDL YRQVFSFKFDHPVVQMRPNPDYKQTQLAAARRLSTVREEKPGVKRKRDTVFNYQFQKR RIWHSVRDLIPYFQTSVESFHVLDRRTGQDTRHTTNVNHDTRWVGLSRYLDQGSQDDH SEWDAIEYGRFSTVLDSPSMIVVYFWDNPGVVKAHSVAEGPPPPRSSDINGASPPEWG IDVKVAGGMINYGPWADRERVGLQNIFFPNAYRNFQPAEPLRPGDTRQSSAFRFRVEF DQETILRIPTRESSKDWQWKGRGDAVRGASKLKKQQRRKQSRAAEGEKGNVGPDIRPF GWFALRIAENSTMHYTMDMVPGKSGFSSQLDLDFRDSKMSSSVNHALLWSCPRQLITC DLSVPLSWKALRRWKFGVENQDMELFLLRDHIFLLTDLITDWGSGPAPDYHTFVPFIY HLSISFTDIRLYANVNDSNIVSDPTNLSDNRLLVIKGNKLTSDISIPLDKYRAEQNVV DFNVTLQDAEIDFVAPVWDTLHTFLKNKKTATLETLTIDGTYSYFLSTSPELTDILQL NLHGISPRLYMFGFLIKSFMIVKENYFGEEIHFKTLEEYQELAYSGDPTAVHNGINPN KKTNDLDVVLHVTVEHPHVFLPETLYDDHNYVQLTAPCLEVDLRFTNYYMDMQFSLAP LSAALKSHWVKEDPKIPETQLFIDGASIYGHRIFGLPPTEPTYVCNWDFDVGSIIGEC SPKFLASLASALQSFDFSFDNEENVLPPLFPIALHDVTFLRARVALVHISILMDIDAL VLKSETITARFNDWAHARFSKRMSLLMPDISIAAIDCASLPKSGSVDALEVLPLALLQ TSIKLRMVQRRSDISESRRLQQAHIRAHDQRTQRTPWLLLDLNELESGTHYPGQDVAP RPTIAIPTMPEPLTRDSGLVGLPPKAYPKDNSSQRSFLLRSDSSNSGSGLKQGTRSVK ETLSMPSSMHQYQRLSETGRVGLRYRSPRYWTRASQQGPQVNPNTWAIPEFTFYRIRL NTSQLPASQVPNAGVSQADPPAPKFDPQFSPSESDSTTYTNFMVDLHRGVRGFCTPRF LFVISALAESLELRHPVEIIDSLQKDIVSDIMAYEKSLPNPKKATSFAIRAPLISLKL VNDSDFFSANEAAFRDEYRVEVCRLKTEFRTRVERQKGDLIAGIKEAITAHVAAESFS VSLESSQADQHRLKSEVSCMLRDISFWIMTTPTIRSNLQVRAFHIATSTKSVEQLAYL VRRTTTMLDSATSSFKHASIRRHKRMRFLIYRLTQSAAEMPDPVFLTRISYMLRMASG HLRHHDSWKILSRIRNVFNNLSIEQQKELTAKCSSDDITLHANAKSEVLSSFDQWRAW DLAHVEKSYVMRRVWGFPEDVVENAPLNASFSSTIKLFRFSIDPGPRESDFVVRDLST VVTSDFRQGELTNHGENPSSLITVESYCLSVNLRLRWEILDLVEGLMNAMSTVTLEST ASDEVPQNTVVEQKALQVVLGTDSGALTVDGINLKLALVGEAIRSSIIWTPLDHKSAS ASLLISSKSSSLDLSNFSSAMVQWRFTEPHIYCFRATETPAAEVQHDWKVAVSSRRMR FDMNDDPLSLAHIADRVIDDEIQFVNHLIQNLNLPARRSQDKATSQRPPRHRFQVATF LDDYRLSFRILPSLEYAITGEVARASIISRLGPKMEIDFDVKRNLHAFLSNDGGIRQP VSELGFPPINGRIIADISPNRTEMDVDVTIEIIELEASAVRTLLDVLTRPEVSHLISD LKQNMESLKLHFQEVLPFDIGSSQVRKSSDSVFLYRARTSMAGTKIHATAPGLNGKNY SADMEFSLGMIRMRLNNGLEAGYPTEYPEFQVDTSRISFDLSKKATHSADSYCSFAVD AKIKGTSVTDENGKTLQSFHLTSESCNIELYPEAAALVVDVAAHLRERIKTLDLSHEV KRLKRLRYRVHSQTKTKTSDVPSFQVPDNGANGDDLFGAIFSLGFNNIQVAWNMATFT QGVSHRRADDLVFSIRRVDLSNKKTTSAKLRIENVQLQMVPVAGDRRTRSLNSASLPE LVFNVAYSSTAEDVRVALQAAGKSLDIRATSDFILPANMIQNSIASAVKTIRDANSIT VSKLSDDNTKPRSLFGNKRVRSVLVDVDFAGAIVSLQGKQSKDQQSLLTATLKQKVAK ESKYGQFVREDDTSTATLRAPGVALKVQFEDSAHEDSTLNAELKIDASTNVLFPSIVP LVRQMSATVKEVMGEQSKPRRPSNTMMLQSQRLMQDTPLDTSDPASVLGRCKLNVGLL ICKQEFSLSCQPIARVAATVGFESVYVAINTVQADGDERFLALSVSFNDFAASVKHVY SNESTASFEVNSIVMSLMNSRHLGKSKGISAALRVSPVQVMLNARQVQDLLLFREIWV PASDSPDPGAKVEAEPSETQAYIVQRYQQVASAPAFPWNTTIAIEKVIIQLDMGSTLG KAQFAIEDLWLSSQKTSVREQVLCIGLKSIGINSKGRLSGLAEFQTIRVRTSINWPEE SSEIKTPLIQASISFNKLQVKVSFDYQPFLIAHIASFDFLMYNVGGSSDEANERLFSI LDGGEVQVFCTTLTASQSLALIQAWQRLMQDKQAAYESSLREIERYIRRKGSIFSDRL ESSQKATKQAEGETELTPISLQTGVVVTIHTIHIGVFPSTFFDNHVFKIEAHEAQARF DVSLDKGKIHSALGLTLGQLRVALSPISRSEPVPVEKLLVAETATRVIETRGGTILKV PRVVAGMETWQGPGSHQIEYLFRSSFEGKVDVGWNYSRISFIRDMWEAHSRALASRLG KPLPPSAVRITGGLNAEGGGDKSDQQEKITAVVNVPQSKYNYVAIEPPVIETPQLRDM GEATPPLEWIGLQRDKLPNVTHQIIIVTLLEVAKEVEDAYAKILWSS ANIA_06328 MHGRPPVQHAWPLNHILSKVDISDPYTFLNVKRGILQYTWLKPV LAIVSIVMKATDTYKEGYLGLSSGYLWTGILYNISVTISLYSLALFWVCLHHDLAPFR PVPKFLCVKLIIFASYWQGFFLSILQWLGALPNGTGDYTPDNLAAAIQDSLTCFEMPV FAVAHWYAFSWHDYADSTISAARLPVKYALRDAFGAKDLIEDTKMTMRGENYAYRLFD SGDHIIPHAESNSRVRRVMHGMRYERGGKAKYWIPQPGEVNSRTPLLGGFDGSSGSRR NSTLDRYRSHSESEETTLDDSDERLFSQARELEFGDFNYPVITANLVPRDQRLASPAP SRSTQQPTLVKKARKNRKSHASSSGRKTSEGHASRSSRQERSETSKLQRRGTDSPKSP GSHRSQLVDLVVEDREAEEEERAQIQRAFGSVAVEDEPKHFQRPSGDPVDGGATKDAE GNAESTIKQQRLGELEDEGTSPKTPAWDYRDLEEDNVWSR ANIA_06327 MQEAIEQAGSVFTGWISTCLFCLDSNGDGERAHHQQAIKQRGAE REMQICHSQPHLVPPMKLVVYDDLPSPGPPSPSSSFPSWIMDEGRNLVSRASIRASMS FRRRSTAPLRISAPTDFRVVAGTSSTLPTRALSTAPAQRPYRPLELSFQDPANRLPEL PRFSDFNFDFGLDNDQPQAAAIARPPKAFSFMTDASYQSRPQTFISHTRQPSSSFSVP RKPVGSGSRRSSLATLELLMERKTPNPTPTRHPLIPHFSVRSSTASVATGLATITFPS PSPPIWLDSTVGVGSPPLSNNTPSRALQSHDATASASTIHKTLKPATSQSQYLTQSKS LPSFPIRNKTYERVSSPVDTGMGIDVDTQHHPFSATMPMTTPNLSLPPSRSSRVTQWL LQQTTAISTAPKTPTSAPPPTFPFAPPSSSSRKPSLSLSSSLSDKLSMRIRSRTLSGS TIAPSTPTVPVPVPVPAGAKTTVVSDPPSPPSRATTATARTSTLDSRIEKEFEIPGPY SYASTYPFPRQARSQTQSSTQPQFHPAPTIHEVQQQTNLNLDYYAYGHNHHPDNDHDH HRQSAIGVAF ANIA_10807 MHKITNFTGQARHGWERMTPTFGMSRPHAELASHSLRRPHGAPP MTPPTGIDPTVNLSFNVPFSSTLAGPDVDDVLHASPKALQRWSFPEGTPEGTPIHQLP VHTSNVEALRILCRQITESSNGRVEALVSSSEPKTVPSLQRRPQRLATNVCITGDGET VRKMRAKILNDTPILLRCATVDVDTHLITDNQTKEVRPPVLEHLDTLAAYTGTDIFLL TPKLRDNDSAVVSSYGYATDNGLDQRFRVCIYGDMESTEHAKTRVLIMIDQILKRHVD AVKLDLTTHTLVCGRTRKNIKLIEAATGTAIYFPPPFPRIFGYIPPGAHRRSEDEVYI TGETQEQIARAKQKLHELVMGVKVYVKDVVVNSNKIDNILLDRLDKVRKVMEMNGSYV LFPQLGSQRGIVRIQGTDVLHVERTVREIMALAGQFYSASWWILVPDPTPGAVRTPTH AEVRTMLSDICTNSGAEVSFDNLNFTINGSDDAVKAAMTVINQIPFVQRSQYQMRVKI ELANEHKEFVSGKKNGKINKIMGQSNVQIIFDGFNEYNFYIDVCGNQFESTKSGLDLV EQEMPASISFHVPDQYHKRIIGIGGQHIQRIMKKYSVFVKFSNAMDRGGMGKDDDDIK VDNVICRTPARNAQSLDLVKQEIMDMVEKVDAEYVSERVVINRLYHRELLARMPEIDE LEKKWNCKIEFPSTELASDVVTISGPEYQVPQAVDALLGMVPESHELLFQSSPELREF FRGADFREDVCAKLKDQYEVDTTVDIPDVQATENGSDSPAFPPEDRVILGYTRNNAGG LKDAIDFLISRLVAHGLDATTVKGAIPRPKSDSFEESLPFFDSKLLQHAPTPIATDSP TRPSFTDETSERGSIFERLRKPGSISSFSSFIGRKNHSASPASFFKHASSNASKASLV SMESRDSGYRNPWNDSGVNLPEDDLPALGSSHSHSSSNGWPARFDTKFPFGTAPGDMT PKHELRASFDSGRPSTSNSTSGYPAPIGPPR ANIA_10799 MAATQLPARSAGHKLSTAEEIKEYEKILKISEDIFSGTHPRLKV PQQFVRKGVSRNPPAPTTPQNKADKKEVSPSKAASHHIASTVKPVGSPRTNFTNGPSN TTASRILPNPASEIDPIFLEKSEDLVRAELQLQRQRVEREIREQVELKRQESKQKVSI QDATPDFDVSEVLTRAHEIVKPSPAPETAAPSDSIDENSFYSSRAPDSPQHGDHKSSS ASSSVPGELGTGAIGRRYSDELHRLEALNRPDSDQSMQDTYSIAEPRPSSQRQPHYSE TYRTHMRQPAEPSDEPEYSPPAPGVAPMERVETYEPPRAVPNGPKRQVVDPRDRYDRR SISPADGVRVVRNHITSPAAPQPSRVSPLAIAKVPSVNQHHESRSEYEPERRGSPEVP AQLPVPRKRRRLHDDRPVYKTQGGGSVKPFIKEEPVSPPPFADTPPLYRPRAQEGPVY IDVPSPRYTPVVDRREPALRTSGYGMEPYDEALGDQVIPRTASRLAAQRPMRDDQDLR RVASLHQARKPEWGREYAEPQGPHPARNGPYTIVERLPQQDGARYYDELAPRRYASPL PPQYPESYYNDYVVQSPPRRIVIDEHGNQYYETIPAPKMSAMPPPATRIPRADLYEAP PPMRQASVRAASVVEDPYSGRRFVQELPQGYRRVTDYARPPPTDRRPYAAPFEVREPY PRSSSVQVHDYAARPSHYAEEAELPRERVVRIPSVRPPTTRYQEPREVIQRVESVHPG GRDTSVYVDDEMRRPREYIERPVQYVAARPMARENRYYENGEPERVVLDGPRDVIHRV PQRY ANIA_06325 MTDTRPVFFFDIDNCKSGVNPLTPSRADRFFVHHLSLNSEDAHM LHMKYYKEYGLAIEGLTRHHKIDPLEFNRLVDDALPLDNILKPDPKLRQLLEDIDRDK VKLWLLTNAYVNHGKRVVKLLQVDDLFEGITYCDYANPPLICKPSQLMYDKAEKDAGA TDKSQCYFVDDSGLNCKAAAARGWQVAHLVEPGLPVPETPASQFQIRSLEELRTCFPQ LFKKS ANIA_06324 MRRLTCLSAFFLASASVAVASPSAEQWAERSIYQVMTDRFARPA GSPGDKPCDPYRYCGGSWTGVIDKLDYIQDLGFTAVQISPVVENIPDNTVYGEAYHGY WPQNMYALNEHFGTADELRKLSKELHKRGMYLMVDVVINDMAQAVNSSLDSGSNINWS RLIPFNDKKYYHPFCRIEDWNNPDESKNCWFSTEVVALPDLKTEDESVVSMIEIWVKG LVGNYSIDGLRVDATKHMDEAYLTSFSEAAGVFTMGEVYTEDTDAVCKYEEVLSGLLN YPMYRPMVQAFTAGNMPGLAENVRAVNSKCKDFTRLATFTENHDTPRFASLINDTTLA RNAMAFNILSDGIPVVYQGQEQHMKGPYAPYNREPLWKTSYNTNGSLYKTTSTLNKLR NHAIRLDKHYVSSHSEELYLDGSTYVTRKGSEGSQIVSVFSNQGSQGGPYNLRIPRAY KPGTEVIEVLSCKRVTADNEGQLVAKMDKGEPKAFFPVKKMNGSGLCGFKGRRGRKGA ICSSAGKGNGTRKNDTVNEGTVEQTSAGNGASVTIPMVLLSICVGLAGLFL ANIA_06323 MAELTIGSVIALTDGRQATVRFIGATSFADGEWIGVELTDDTGK NDGSVQGERYFDCEPGFGMFVRPTAVASTPSKSPTKQLTSATPASRPSISGSSRPSVA APKPRPTTTKPSMGPPTQSTTSRAARTSLSGPGNKTNRQSLQGTAGTTSSGLSKRPTL RPTPTTRTSEEPSPATEGSETLSNDVEGDIEEDLGPQPAHPARTSSSRLLSGQSASPR QSQNMAINRELDELRTKLKVMEKKRVEDREKLKDMEALQADRDKLRGIIHTLQVKYKP HERNLAELEAKLKEAEARVEELEKLIAEHESLMEIASLDREMAVEMADAHKLECETLK LRVEELELEVEVLREENEEFGQTMSPEEKSSHGWLQMEKTNERLREALIRLRDMTQQQ EAELKDQIKELQQDLEDYAAIRSKYEATKEKLMKSESNLQEVRERLETVEGNEQLVEE MVEKNADLEQQIAQLKADISDLEVLKEINDELEWNHVETEKQLQEEIEYRETLYNDQV HKISQQDEVIEDLEYTLTRFRELVSNLQADLEDMRASQQITEAEATDLTARSRAMMDL NLKLQSSVAKAQTKTIDIELKRIEAEEDSQHLSIVKLYLPEYYENERNSVLALLRFRR VRSKASLMGSTIEGMISEQASVPPALEDIFNAPDVLEKLLWIDSICGRFGSYIANCSA ESFSDIQGAFYELEPVERTLNFWLEGLKKNEINMKKCAVELQRSIALLSHLAETLLPT SLETFADELCMSTTLTQSYIENSVSSMSRLLSLLQSKLPKAEEGDEEASFLFNKMEGF ISQARSLKVATVKINRAVDDLRSRSLALSHDACGPFKQAENAAKDLASLSRQMGENIV QLISDDSRAEPISLQEVLTNMSQISALYQSEAAENNDGMSLIFTMLRSLSGTLEELGS ISSDLSITSEFEKRPSPWIARAAELKSNKANSPDAEEEIRRLKNEIHEASTALGVKDK TIEEQAIKVELVESRMREASKKAAAVRDLEAKIQEMTTKESALQAVVENQRKDLQNLE AERDEIKAQLDRVKRLSGTAGAAASPGTVVDNAASLAAMQENEALRAEIASLQSAVRF LREENRRQTILDPYSVQRSSELYAWLDAPLTKKPVPPAQREKIQQTASESRDVLSHLL KLTKESSIADLKASRPNSGTASGWRTSKEKLKYQVLQQRENFERWAEWKNEVVGLERE QDRLVAAKQERAARGGRAGGRGHASHPSMGYGMMGRAWQILGMPPDRKANAVQPVEPA IEPTF ANIA_06322 MVHRGKLSAACGPCRSRRLKCDHKRPSCSQCIRAKRECSGYRDI SSRRFFDQTEEVKRKNSPSFSSSSSPSTASALTSSSHSSQLPPRQQISSPSNPCLQHQ VSIPLRDQGAAFALSRYLNRSVGNGLPSILNTPSARAATASINAVGLAALSNIHQSPQ LMFTARQEYGSALAETNAALSDPRQATSDASLIAVTFLGIFEIMVCDGTSLMGKFVKH MDGCSRIIQLRGSQQLEDPIGVGLFTLSRRNIVLGNFWLKRSTPPWLVQWSHEAFPYR HEGDDMGTDDALFLLMSRVGDLCASLKNGVLIEPAKLVKRALKLDAELAAWAKSFKLT RDYTVVTVPEPVGEITGHGKNISPFRHIYSNHYHIYPDTVISACWNDYRFVHLLLLGV LGWIAGHLSDKEEIDATRRSEYRETVGYSTMLSQQLSDDVCASVPYHFGATETSFQED WSSSRTGGMIRLLWPLFLAAERDAVTQETRDWIANTLFKIGYGAGIRQALVMSNLLRS GSPLSWLPELARMES ANIA_06321 MSAVLLGKRYVSTPDPENIKAVLATKFVDFDLGERNAAFRPLLE TGVFTQDGREWERSRALLRPIFNRAQALPDLLLIEEHVQSRLYRIPRGYDIVNLQQLV RDLTLDSAFIHFLAGRPRSQEAGEETSTLNRSVTHSTRPGLISRSPAHENRGKLGRGC GNESESEGWYDLLSKVADTVSDGVQIRSQLLHVLLAARDTTARLLSSVFYMLARHPSV WRKLEREVLVEFGLATQGDGKCPLPTYTQLREMKYVRAVLNEGCTRPTFSPPPPPSSP YEHPVRNTTHIPPARRRRRRMPAYIRGQEGDRALFYRTMQRFTEIYGDDAEQFRPERW VPLRRGWGFLPFSGGPRICLGQQKALTEAVYVVVRMVQTFCDIEARDERPWREQMGLV LSSYYGVKVGLKSRNGGS ANIA_06320 MAPFMQNGSDADDLSSSKSKQSTLTSLLSSVHADLLSQAHRIPA DIRTLRELSQAGLQGGLIDDKKYLNIMYPHLGASGSHYARTVTPKHPRPAVLPDPGLI FDSLLAREGPAKEHPAEISSNLFYFAIIIIHGDFQFRSYTEQTQVRTFTDGLLKPDTF AEKRLLSQPPGVCALLVAFNRFHNYVVTELARINEAGRFDLPTGGQEKSPDYVWALQK RDEDLFQTGRLYIIPSSSNTTTDIDKANACMGNRVTCGLYVSIILNDYLRTILNLNDN PTNSDWKLDPRKNLSVFDSEGLPRGVGNQVSAEFNMIYRWHATTSNEDEKWLNELSIR VFGSKVDPSTLSVQRYLNGLHEYLGKTAPGEPNTWTFGDLNRGKDGMFADKDLVKLLH DGCEKVAADVNSDPKVAEALEALYGHPDNIELYVGVQAEEAKKPFLPGAGLCPGFTIS FAILSDAVALVRGDRFYTVDYSPVNLTNFGFNTAESDPDVANGGVMYKLLMRAFPGWY EPNNVYALYPFTIPAKNKEVFEKYGRADTLEFKRPEYTRPPVAITSWKGVVELLNNPK AFRVPCMLAYLALRYHIQPWFIYPLTGWYEGNRNTFRLTKHDYMLSGDSVANSEQRTF MAERLYRVPDALEQVRRFYESITADLIRRNSMRLGSSSCQIDIVRDVCNLAHAYFCSE FFNIPLKPKDGHNGNGSGNKHLETTDAYTPKELAEALFAQFAYVFLDIDPVQSRKNRV VAYRETQRMGDIMTQVVSATSESGYGLASASGYLFNRPSWISGGKNSSGLSMNGYGPQ LVKRLREGGKSVEEVVWMIIPTAAAACATQATGWAQMLDLYLSDRYFTHWPAIQELAR SDKPEAFEKLKRYALEGFRLSTPANGVLRNCNTATTTLKDGSATKSISKGDTVFADFA SAGMDPDTFPNPEEIRLDRPLQLYIHHGWGTHACLGRAIVTTAAAALLKIVGRLDGLR RANGMQGELFRKEVGGFRMFLDEKGESWRVFPQNMKVVFDSLDGLKA ANIA_06319 MASYNPNPPPSTASATSSFLTIPHDIPSNPILLTAQDILTVLFL SPLLPNMIYPLHPLASPLDELYPTYRNLRDLCLQIILLSSQISLLLSFPFAFITLWAI PCLVHAVFYISFGIVTSLITWILNERPKWQGNRHDSLIGRPEGREAVNDTGISKEGEV WFFINGIATGTHWHKSNLIMLANIFGREIIGIQNSTKGLLLDIAECLIQRDLNYTTHA TRVGRAQLHSALSSEHTKKVVLIAHSQGGIIASAILDWLHAELGDEELRKLEVYTFAS AGRVLRNPSRTKNGSKKEGKKGRALGSSNSSGSSHMTTNYIHNQHSHQNPPFNHFHGP VFIREGSGHLLNMHYLDTMFSEESGFMDKLIDLPMDGGIVQRPLGDLSRLWKYRGGGS PDDGEYGHGDGDKNKVDFKGPEGRGKDVFRVTTNWYKITPAKQLAKYTS ANIA_06318 MVGTLPAGHTPSHVQSSLPSLPAHLQSDTHLTAHLASRFHVGLP TARLSSHALISLNNYTSSSKGPDGGKEGSAMGETEDLARRAYTRLGARGENQAIVFLG ESGAGKTTLRSHLLSSFLSFSSTPLSSKLSYAAFIFDTLTTTKSLTTPTASKAGLFLE LQYDASSSVNPTLIGGKIIDHRLERSRIASVPTGERSFHVLYYLLAGTSAAEKAHLGL DSPIHVTTAGGRLSSADHKRWRYLGHPTQLKVGINDADGFQHFKTALRKLEFPRSEIA EICQILAAILHIGQLDFGSGQATLTGAEESGGYSHEGGETVTVVKNKDVLSIIAAFLG LGVGELEASFGYRTKTIHRERVTVMLDPKGARRSADELSRVLYSLLVAYVIENVNQRI CAAEDSVANTVSIIDFPGFAQACSTGSTLDQLLNNAACESLYNFCLRSFFDRKADMLE REEVAVPATSYFDNTDAVRGLLKQGNGLLSILDDQTRRGRTDAQFVEAVRRRFENKNP AITAGASGSGNGYGMVSQNARSSFTVKHFAGEVDYSATGLLEENGEVISGDLMNLMKS TRSDFVRELFGQEALQTVAHPKEKTAIMQAQVSSKPLRMPSMARRKTSPASRLTFDAT PAEDPYETESQTGSSAKNSSAKRKSGMLMGGMQCAAGQFLSSLDIVNKCLTSGNLNPY FVFCLKPNDRRIANQFDSKCVRTQIQTLGIAEISQRLRNADFSVFLPFAEFLGLAEVG NVVVGSDKEKSEVVLDEKRWPGNEARVGSTGVFLSERCWADLAKVGERVIPSFAAEDD GGDALLHPRTANYADSKVRLLNPSDHSPGAYIYGDESKQASNTSRDFDGRSDAGYSAF NSGDMFHNLETREQMLEKGNEKQMEEVDEVPVSGSRKRWMAIVWLLTFYIPTPAIRYI GRMKRKDIQIAWREKFAINLLIWLACAIAVFIIVGFPSLICPTQHVYSPAELSSHDGK DGHSSYTSIRGLVLDLGEFMDSHYPGIVPDSALKKYAGVDSTALFPVQVSALCLGKDG NVDPKVLLDYKPTNFSGSVTSTSSGDPNSVYHDFRYFRDDYRPDWYAEQMIYLRANYY KGWIGYSSEYLHTLASKSQNVASINGKIYDLTSYIAGGRRIQGREGDDTTGIDTDFMD SLVVDLFQQKAGEDITKYWEDLPLTPKLRVDMMDCLNNLFIVGHVDTRNSTQCQFARY FILAISVLICSVIVFKFFAALQFGKKNVPENLDKFIICQVPAYTEDEESLRRAIDSMA RMQYDDKRKLLVVICDGMIIGQGNDRPTPRIVLDILGVPESVDPEPLSFESLGEGMKQ HNMGKVYSGLYEVQGHIVPFLVVVKVGKPSEVSRPGNRGKRDSQMVLMRFLNRVHYNL PMSPMELEMHHHIRNIIGVNPTFYEFILQVDADTVVAPDAATRMVSSCLNDTRIIGVC GETSLTNAKTSAVTMIQVYEYYISHNLTKAFESLFGSITCLPGCFTMYRIRSAESGKP LFVSKEIVEAYSEIRVDTLHMKNLLHLGEDRYLTTLLLKHHPKFKTKYNFRAQAYTIA PESWTVFLSQRRRWINSTVHNLVELIPLQQLCGFCCFSMRFVVFIDLISTIIMPVTVA YIVYLIVWLVRDTSTIPWTSFLLLAAIYGLQAIIFIVRRKWEMIGWMIIYILAIPVYS LALPLYSFWHMDDFSWGNTRIITGEKGRKIVISDEGKFDPASIPKKRWEEYQAELWEA QTSRDDRSEISGISYGTKYHPATQSEYGFPGSRPMSQLELPRHMSRMSLAPSEMMSRH MDMELEDVNLPSDDAILSEIRDILRTADLMTVTKKNIKQELERRFGVNLDAKRPYINS ATEAVLSGNL ANIA_06317 MSNRFSVYSTQSAGLPGGRPSNQLSTTTLLNALHAHYTSGLPYQ LDAGTSVVVNNWLTAAQTGADGHNGGTFDRELAARAWEHARRRAEDGCIVLSSTHQST PSIFEPFLTTLPLSTPSVTFTALSALKPFLRAVTAFNPSYSLYSALSANFTFTLKGDL VALTLALSTSGLNVQKGLLDIPTEPGYRAFDVFYYLLTTTSTQAEREFLALREPSAYA LLNKSGTYDPPSYIPTADDAAAAEDFRAALKAIGIKGASLRNLLSVLAGLLKLGNAAG FLVDEEELEQTCEDVGDLLGVDPEILLHKCATDERELLITGIYEALVDWVISKANEAI AGELEELNANGSGEHWNDDDTVSISVVDIPRPAFGKAVALRNVFDDSLGINAEMKEDG IPAPPVGQAILNEMAAAVEQFGPDLGISTDPTKEYELDKRQGVLEKVGIDLDADSFLR QILIPVESQGIAVGKKGRFDLASTLGSSRVWHHISVHPSDDLPGALNTSAPTAAWTAS AVSRQIREWRLVEWANRRLKQFDFTADFDVDEFVNRYSRLGCADGRDGVESWLMERGW TNGDAFVGHQRIWMRENAWWEAETMIDLKADEPPSTHSYFSNSMLDPHSGGTPMAESA SLLGGSQSNLLHRQSAMAPSVMAKSIAPSVPPTMNMAGDYGLGSKGDDKKWDNIYYDG DAEGGDRKHLEKDEITLGRRVWTAFVWALTFWIPSFVLRYVGRMKRPDVRIAWREKVV LVLLILLFNGIVCFYIIAFGDLLCPGKDKVWNEKEVSWRSTSSNFYVSIHGYVYDITK FAKVTHGDTSPEATPSLMEPLAGKVLDAYFPPPLTRMCGNYVNDLAINLQNNDTSATE DQPLAVHKSGPRNRPDTTTKLHNITWYEQDFLPKINEYYKGKLVWSKDTVKKQAESSS ERKWVIAHNKIYDLTDYFYTLDMMNSESSYDWLPSAITDLVKNNPGQDVSDKWPLMSS PFREAQSCLDYVFYKGDTDFRDGPRCTVNNWILLAFTILICAVVLVKFLAALQLGSKR RPAPQDKFVICMVPAYTEGEDSLRKGLDSLTALQYDNKRKLIFVICDGMIVGGGNDRP TPKIVLDILGVDPKIDPPALPVKSIGQGNEQLNYGKVYSGLYEYEGNVVPYVVVVKVG KESEQNKSKPGNRGKRDSQVLLLNFLSRVHHRAPMSPLELEVFHQINNVIGVDPELYE YCLMVDADTSVREDSLNRLVAACANDARIAGICGETSLQNEERSWWTMIQVYEYFISH HLAKAFESLFGSVTCLPGCFCMYRLRTADRGRPLIISEKLLEEYSDNNVDTLHKKNLL ALGEDRYLTTLMTKHFPTMSYKFIPDAFASTAAPETWSVLLSQRRRWINSTIHNLVEL AALKDLCGFCCFSMRFVVMVDLLGTIILPATCVYLGYLIYRVASDTGPFPMISIVMLA GIYGLQAIIFIIKRQWQHIGWMIIYLCAYPIYSFILPLYSFWKQDDFSWGNTRVVIEE KGSKRIVAVEDEQFDPRSIPLQRWDDYALANNLPGRRGDYAVGQEKAFYGGRYMDDTA MEMDDMHSQYSSVKPASTILTGFPGQGRHSYMPPQSPAPFGGNVPGNRNSHFSTFSRY TDQPLQSNSHLQTRHMSMGNLSQLESSGMTNRHSVGMMQSTDNLLRPNSRSPVGFNSR PASAFDFRAGMNGPDEGAITEAIRSCLAEVDLDTVTKKQVRALVEQRLQTTLVGDKRT FLDRQIDNELANM ANIA_06316 MATIKAIEARSSGQVIVDLTSVIKELVENSLDAGATSIEVRFRN SGLDLIEVQDNGSGIAPENYENVALKHYTSKLSSYDDLLHLQTFGFRGEALSSLCALS DFRITTAQANQAPRATRLEFEQSGKLRKTEVVAGQKGTVASVESLFRKLPVRRRELEK NIKREYGKVLNLLHAYACISTGVRFTIKNTQAKKTVVVFATNGNPTTKENIANVYGAK TLLALIPLDLELQFEPSVAETMMAGDKKSNIQVRGHISRPVFGEGRQTPDRQMLFVNS RPCALPQITKAINEVYKSFNLAQSPFVFADFHMDTNAYDVNVSPDKRTILLHDAGALI ESLKQSLQKLFESADQTVPQSQILGKLPLARQRSLPETTRSDRAMEQEASDRDEREGG SQPNPQDRMKGLLGNIRTRDTPPDETLPRRTLSQQHFSPASSSPAPVQEPQDTADDRI SDDDLFVSQTPSQAGTELQDVQHDAPASHSRELIPDFETPNTIQNAFDRMRPRRLPAE MATITIGNRTVTSMVGSGVPRKRNTSDSPTLPPRKRRIHTPSRPSIFGRMQDFAAPGS QMSTHDSDEQESFEDEDEEEVEESDGVHEDLEAEAGKETESEVEDQVEEEVEEAESFV PSDDNATQSDEHTQTEPQPAKEDMNAEEKKRREDAEVQRLIEEAGKANQANSISRANK LHKGVSYRDSTVHLATAINASLSRIESQAKYIHDSHSRRNLSSSEDELDESRLSQVAP EERLTLTVNKDDFARMRIHGQFNLGFILASRTTSTSHRAESDPASGLGFKDELFIIDQ HASDEKINFERLQSTTTVQNQRLVHPKRLDLTAVEEEIVIENQVILEKNGFVVDVDDS GDKPIGQRCSLLSLPLSKEVVFDVRDLEELIAILTETSTPNTTGPEIDIPRPSKVRKM FAMRACRSSIMIGKTLTQRQMERVVRDMGTIDKPWNCPHGRPTMRHLFSLGRWEVWDE YADIHNASREDGDLLDPVDDLNAWRENHPESKMSPTSPAIIADPQSAPQNPTATSTSA SNSNANTGQDPVSDTLDPRTLATSAFTASLHSLGQNYTSALVDRAQNLHSNSQALKNQ EAQLARHTESLRKQNDAWEKVADEGRNALKEIGDVQNWAEMIERDLLVVEDVVGALER ELEEEERRGSLDEDEEYRMEGAEGGIGRELDHGGSMANGVANVNVKMNGKVDRKDGEE DGNDKNGKGKQTPPEKKGWFSWLW ANIA_06315 MGFEFVNNNTAIDSAARKRIRTHAAAGKNANRTLTRPSKAVALR RNVAVPFRTPDTIRRLQRDSKAGAEIERPVTDGLQFLIPVPARSQGLVRQVRETTQGM YHIARTYRIVQDRLMSEEEATSDMTIAILVAMSQYERLQGQYARGYVHVRGMRRMIEL RGSIKQFDSDCRGVIQKVLRADLEYALQLGSATLFGFEGIRFLRECKDICLDHGKEEK LVANPEVNSFLQAGLRLSLWSAFSDMRRLADLLNDAGAGYRRKLGADEFHNTILLLGY SLLYISPLDVSTGPGACSMLGISPLEEVVHLGLVAFLVTFLRGLNQRIPENPLLSYRL RLAIEKLLSSVGGGEESKIIKRVLVWALFVGAVVVFKPSDDEWLIPTTNTAMNALGLS SWKDVKEALAGFPWVDAIHDRTGTVLCTTQKFLSFSTQID ANIA_06314 MSHEVSTQPRDLPILATTESTAGKTYIVTGANTGLGFEAAKHFV RLGAKRVILAVRSIPSGEAAKQKIDEATATTDVAEVWALDLSSYASVKTFAKRAITEL DRIDAVIENAAVATAEQTRAEGHGLSLTVNVLSTFLLAVLLLPKLKESAEKYGVLPHL SIVTSGVGWDVRETWEKIREDPLVRMDELPSEQLMVTYPLSKLMDTLAVRELAARLPV EQGKVVINSICPGLCKTELVRNCPPAQKQAIVEQHELYGRTAEDGSRTLLAGSVLGKE SHGAYTSNCEIRECVAPNL ANIA_10806 MASATESSPLLPQYHHAASSNTSPRGNRPPRTVTFNPLTTVSTY HDSASADPKFKPLASGNSSLANSRPTGLSALNSKLRRRNSHGAPGSVASSYPASKIGP QRTTKTAQKLKLLPDPITEEELVDEETSPSDVYRQIARIKEPAARSLAAKLGKADRDR LPRVTAYCTANSYRLDGVFKFLKSRSKTRGANPKLFDECVYSRFDYQFEAKQKAGNHS NNVDSENTHTVDRMPRERRFSDSAVEVNEDFGNRREELIDLHDRDSHQQNATEASVLD NAPDIDTTIHAPEVFLFDYGTVVIWGMTPAQESRFLSDISKFATSILSPEDTQVENFN FYYAREYQARIYNDFISLRDPRNYMIKLAISHALAQSVKTSLFEDLVSETISNTAPLP AQIAQTGSVNLSRRQINMQVGELFILRINIHLQGSVLDSPELMWAEPQLEPVYQAVRS YLEMDQRVSLLTERLDVIADLLAVLKDQLTHRHGEYLEWIVIVLIAAEILVAAINIVV DLYAGVE ANIA_10798 MLSQIVTAAKGILFPEQNSYGNSTTATTEPNETLPATKSKMVTT RRSAAFQAVSPTEDAEMNGSPELSGKRKSDNSTLARSPETQGNKRRKRSSVETTEDMV KETNEDIGQEAAPKKHFRFDSEEPVLPDVAESEVPVDAQQKQLDEEDSSEDEAPETVD NSTQLNKIKLEAKKRDEARKIEEQAKREKRRQLDEARKQQAKASAKRKEVHTSQVMPG AGTPAEDMLSESSATLQGSFTQDTRRSTLPALLPDDILNAVPDVRPPTPPPEFEVFSQ KKPTKLRFLEKKEKAPKDVRMGDVAIRVLDSESSRKQPNTALAPKASKASRGAREAWL KQARSTGHVNGMRMVSSGKRSFVRK ANIA_06312 MMADPFEVRMRFTAQLQHLNASITSSQKAAHYALKYRDMDEDLH SCILEQLERNNMNNRANILYFIEQFCEMATKEDHLPYVHMIQRDILRVVDCVAPADGS GAANVKHVRRVLNGLQAKEVLSAETVAEIHAGLKDRETHPAHLDLEAEEEEEPGSKSK TGTPRGMKANGIRVDKRQIEQRIEEDRERNKRLRESMWTVRGDDSDEHRKFWDETSDI GEDDFTTAHEELMERNEMINAV ANIA_06311 MDSGPDFEVQQPSSEPSTNNPRLPRLRDNSLSQYTREPLSDGLR EPISFKRKHKHRSGFSLSNLSNIFTTDSDGHSGPSRTASRNGLTTDIDPQPAGESSQR NGNYNIQKEGGLLDWYVEGPGRRVGYDDFTAIDWIFEYTKERQRKRLLSASGKGMLGY ARKVFDASNVWFVLIATGISVGIIAASIDIVSDWLGDIKAGYCKNGPGGGKFYLNRSF CCWGHEEVLGGFIIRHFMGPWTLAIKSLGLPKQQRRFSPVHAEETERELTFIARKREV LSAAAAAGISVAFGAPIGGVLFSLEQLSYYFPDKAMWQSFVCAMVATVTLQALDPFRT GNIALYEVKYTRGWHRFEMIPFVILGIVGGLYGAFLIRLNMRIAKWRKTRSFSHPIIE VVVVSILSALVNYPNIFMRAQNSELVHSLFAECSAGTPDHFGLCKTGAASAGTVALLL VAAVLGFFLTTITFGLDIPAGIILPSFAIGALYGRALGTAFKIWQDSYPGFFLFGNCE PDIPCVTPGLYAIVGAASALGGATRMTVSIVVIMFELTGALTYVIPIMIAVMLSKWCG DIFGKRGIYESWIQLNEYPFLDHREDTAPPDVPAHAVMTKTDDLTVLTAVGHTVGSLR NLLQTTSYRGFPVVMEASNPVLLGYVSRNELSYALKNPTSPSGEELSSATPVFFAHQP FADPMDTLDLRPWMDQTPITLNSGTTFLIVLRMFQRLGLRYVLFVNKGFLHGLLTKKD VWSVVNDPASREGEWTSGPLGGNDTAEEIGLLQSDDALSIAPPLTPLDRRPSF ANIA_06310 MGASPAYEGTPNESQLLEQLPDGIAALIRTASGTQYLNALAVGA LKSGFTEKFFYIYEPIFVDLAARWTLPESGVDQNEVISAFARILPLAPHLRSFASQYA ISRAGPLSALAAPNASTNLDIDDFTISTLLLAIFRLLSFDVEAFSPAISPSQLQTFFR HEDKVIRYLAVRCFCLYMRAADASTERILWSHCGDDIVEGYWEGIKIDYRCLGLWEER RWEILEKQLRSIRATRSYSESLSRVEQLREFLTARTAEVSGVLIPRQVDTSLTPSAIV KTPTAVSNLRRIAGALITPQPILLIGLPNSGKTSLINDVAGTMGQLESMVTLHLNEQT DAKSLLGMYSTSPATGSFAWQPGVLTKAAREGRWILIEDLDRAPSEVIGLILPIIEKG ELTIASRRERIKCAEGFKIIATMKSSYNIAGEEVAPSTAILGSRLWNRVQIDPLTINE VQDVIAQKFPLLERRVVTIMNVYQKLCSSFHGSLAIKSSQGRTPGLRDLIKLCSRMNK RLQRLGVKTGYEATPEGIDDEIFLDVVDIFLKYLPDTTLSDSLALKVAEALHISPQRA RFCLHERTPALLDQGNSLVLGREACRKIKVPSSSASKFASATSRFATTRAALKLMEQV AASVEMAEPVLLVGETGIGKTTVIQHLATLMRQKLTVVNLSQQSESSDLLGGFKPVNI RTMAIPMFDEFNSLFELTFSAKKNQKFLTSIAKNVASGNWVRLVNHWHEAVRLADGVF KSGNGASQPAEGEQPTKKRKLDSPKYQLLRQRWERFAAQLNDFEAQVAQGDAKFAFAF VQGKIVRALRNGEWVLLDEVNLASPDTLENIASLLHHGSEGSPSVLLSEAGDVERVFG HPDFRIFGAMNPATDAGKKDLPPGLRSRFTELYVQSPDNELDDLLSLIKKYLGDLSLS DSRAAPDLAQLYMEAKKLSNENKLTDGAGQRPHFSIRTLVRALIYAADHAQIYGLRRA IFEGFSMSFLTVLSRESEALLMPLLEKHIFSNVKNIRALLGQTPRPPSDGHEHVQFKH YWMRRGHLVPEEQPHYIITPFIEKNLKNLVRASSTRRFPVLLQGPTSAGKTSMIEYLA KVSGNKFVRINNHEHTDLQEYLGSYVSDDDGTLRYQEGILVEALRNGYWIVLDELNLA PSDVLEALNRLLDDNRELFIPETQEVVHPHPNFMLFATQNPAGLYGGRKVLSRAFRNR FLELHFDDIPESELEYILKERSQIAPSFCTRIVAVYRKLSLLRQANRLFEQKNSFATL RDLFRWALRQADDKEQLAINGFMLLAERVRNPQERAAVKGVIEEVMKVKIDEEVLYST SELDKRAPLLRQLTPGIVWTRAMRRLFILVSTALQNNEPILLVGETGCGKTQLCQAVA DAYQKQLHIINAHVNLETGDLIGAQRPVRNRSAIEDAMLNDLRILLQDESKPFEELKQ IFGTLSAEQRLECDPQLLKKIEKNLARLNALFEWTDGSLITAMKTGQFFLLDEISLAD DSVLERLNSVLEPHRSILLAEKGPIDSMVVADSGFQFLSTMNPGGDYGKRELSAALRN RMTEIWAPQLSEDEDILPILQMKLETQLEQIPRAMLQFAKWFKRTFQGSSTNSLSIRD LLAWVDFVNKCQGSDPLFAIIQGAAMVFIDTLGANPAAMLATTLHNLEGNRKLCLDKL EELFNVDASNIYMQKSDIGVQDQALRIGPFYLTIQGDAQPDPDFIMDAPTTIANSVRI ARGLQLAKPILLEGSPGVGKTTLVTALARALGKPLTRINLSEQTDLTDLFGSDVPVEG GDVGQFAWRDAPFLQAMQRGDWVLLDEMNLASQSVLEGLNACLDHRQMVYIAELDQTF KRHPNFVLFAAQNPHHQGGGRKGLPASFVNRFTVVYADSFTDTDLKRICARLYPGSPI TQTERLVDFVSILNVAIVQERRLGVLGGPWEVNLRDIQRWLQLADRGTLQIHTKNFLD IIISQRFRCQEDRERVRHLYERVFDGVSTAAKSYYHNMTTECMQVGLGVMRRDMLLQE TPNPHLKVLPRDLSILESLMLCIEQSWPSILVGASGCGKTTLIRKLAAINGANLVELA LSADTDTMDLVGGFEQIDHNRETSALLEDILLFVRRHILSSCPSETSQEETYTLIELY ERLQSPDLSLELVCTLLETARQRYGDPALERLLDRSRTLFQVSKESESFKVGFEWTEG VLTQAVQKGDWVVLDNANLCNPSVLDRLNSLTEPNGSLILNEQRTEDGNARVIKPHPN FRLFLTMDSRNGELSRAMRNRCVEICILPQEDVPQDHFSTPTYTSQSSLYRLRSLWTY GSSDESGQVTDSSYEIRLDHLSISDLAYLQQSRHLISPYLTDIEAGQFSRLLNRYVSV IHDNQYCQPFDALKKTVNLGDAVFAIQGHSQPLHPLVNEPLALVLEQDLPLDLLILLA HLQESKRDLHRLKQALLLAEESSHSLKPSQMTRLQRSLASKRIPALLKDSTQPVGRFL SHCGQALYDFVQSLDLDFLRHHDPMPPLRAVIRFCTDMLRITASNEVDEGKFLIYLQI GKSLCASIFDSGAAFNALAHSISQALERFHENWALTTGLGMQKLWESWRPATASTPAQ LASMLDLEKVASEFMHIANRTRLDLSQLSQVRSSLVETQRLLLMEGADEGNLVQGLRQ TVTGLASVVQDSELAPNPYFSNEFEILCQYHDIAALKDGSSSAAQTAIQSVLALLAGR PAQPLNSSKLQSRVPDILHRLSLFSGYQRSSGSGTAVSGTVSLSLLNKLAFVDRVTLG QMDALELEKLTLSKALTLTSREIALDQLKLLRQALLELTAEFVDVHKEFFNPQSFEQL VTLLRLAGKRGLPPSLLSLNIRLAQNLPNNHYFKTIADKALPKLVTALLTRSTGEDAA QDTASALVQLAVILLWLFVPDKPFDPSLSLVVERERHYQRVAELTAKADAITLFEQVF SGQSTNIRKEIVQGELSNLGSAPPPSSVTRPATSEINVLHGEFSSIIKSVLRRNPEKL INATKKDAENERMRKLLRDNIQQLSKRLSTNYRSFDDITILVVRFLQILDLGLSLSST SNHEPCETALLRTVSGTTPFLGASDLPIISSENGSPSHDSKHAMDSWFHDLSFFRVAE TMEPGLLRTKTGRESLLRVVDKFYILWKAKLKEDQEEYARKNEMYHFKGSWEDSEEVD ANELYQLFPTYEDGAEQVIDLPDAADPKVVSVRLADLHAKLFEYADSQTVLLDYVKHS AMLLGSIWSDNDYLPYSKLAPKEQISAILLLLEEDLAKRTTTSAKNYNFYTDQNPAEA KKLLILTRSIQARFVQIQQAWPDHAVPGDVISVCKEIYQFRHTEPVAKFLTKVEKLHS LVHEWQLVASREYSAASYYDELTNLIISWRRLELSTWAKLLDLEKDKCVQGVSSWWFI IFEALIRAPIQIAESGTTDLSDHVQEVVGTLEQFTHSTTLGEYSERLRLIKDFRALLS LYVQDYPSLKQLVFALDNFLQYYAQFEPAVSKFLIDKRSSLEKDIKEQIQLASWKDTN IVALRESAKRSHVKLFKLVRKYREVLGLPVEQILSQDMPEGNEETGVFGREQIFSSAS TPEALIICEKGKVWSTRPPRFMNPEGTVKSMLTMYTSIPDEFDVGNDLSGFVRFFLES IKEFRTQTPKVLTEENKPDVQHLKGQKRRFYADTLRQLLEMGVKRNAGTDLIESQATV AKVLATSPSLPAHPAVIQLVEACDRYFYRLLDLVPRARQASRSYSEELSNVEVSRSLG SMEHLLFMIRKQRAAASSALSDLANLQSILAKVSNLWKSGASSIIRSNYHAVSGKQEV TTAIAWLGPTLGVASTVVELHSKFSGIDSSEISNGLQTWKDTFYRLRQSIKHLPELPS GVTSKLHQHTFDDAASSLDQLKTDITKWARDRPDLTFVLDQLLRWTKVKMGPAKFVED VDALTIEDFDSSLTAATDKILVSLQKLKEVPSSITSAGFLSRSDEFFTRALKSAHLAD ITKALIEVLETLHRVQEHSDVGIPLAVALLASLLPIMNKYYDISQDIVGRFLNVHRET CKMSYVLAKSFIQVSSEGFCSPHEESTEEGQSGKLESGTGLGEGEGAEDISKDVGDDE DLSELAQQEQQEGAGEDIDKSKDAVNMDQEDLKGEEGEHEEEEDGEKDESGDEGEEDD IDEEVGSVNDLDVSAVDEKMWDGGHDEQQKETENEEGKGASEADQQAAAPEQKEGEKG EEGDKEGEEAEEEDEEEEEEAPDDEGEAVGREDMDVTDPQAPEQETLDLPDEMQLDGD EKGMDDEDFGSDDGLDDLPDAPNDEQMDEKPDENIEEEGPGDLPGEEEEINPDEEAPP EEENANAAEGEDEAQAPAEEPEETQQDEFLAQRDDNETAGEEVAPSEAVNGGLGAEQD QNQEKGASGNAQQQDGSTDPSVEPKQQTGAAKEGEENERHRDAGGGDDINPEDPQLQA FKKLGDVLEQWHRRQKEIMNASKQEEGETDEPLPQDTEMGDADFEHLADQDDVADTQA LGQASEEQAKALDQNRGVESDVKPTDQEMLPDASDEPQALPENELEDAMQMDHNGAST EDQAAGAFIPGASHAQQNQSDAQAQPAEIEQLDEVDSHLAAIHLSSTLPPLTPRDEAQ RLWSKYESATNDLSLSLTEQLRLILAPTLATKLRGDYRTGKRLNIKRIIPYIASQYKR DKIWMRRSVPSKRNYQIMLAVDDSKSMLESGSGQLAFETLALVAKSLSMLEAGDLCVL GFGSEDHVRVAHDFGKPFSSEAGVQVFQNFSYQQTGTNVRKLIADSIALFREARFKQS PAGGSADLWQLELIISDGICEDHETIRRLVRQAQEERIMIVFIIVDALKGNSILDLTQ ASFEPDNESGTGEMKLKMKRYLEGFPFPYYLVVRDVRELPAVLATALKQWFAEVVDVS S ANIA_06309 MVLVAYSDSEASDSEQENTTPAARTSATKATPTFSNTPSASAPK VTPIVDKSNPRKIRVALPEIRPESEEGEEDGPARKKLRIGGGGAGAFAGFNSLLPAPK RPNAKVETDKLAGSRPTRKVFSLKTGAAPGFDREADEEMRRDMAFENLGMGGDDGNQD ETIPKAASLRGNEDIEARNAVEEKPQEVVLKGNPMMFKPLSVARAGQKKKKPIIPTPL ASSKPRTSAEKTMNEPEVQPEVQTQKPAAPPAPKPKVSLFSLSAPTEETAASDAPTSA HGSTYEPLVYTTNEDSPTAGPQVPQTESVPAASTRPPPSAQTLDYIADDLNLSRSQRR QLFGRNADPSSSKILHFNTDAEYAANQEMAHKELAAAQHNPVRAIAPGKHTLQQLVNA ASTQKEALEESFASGKRNKKEAGAKYGW ANIA_06308 MDTEHSISHSPRDRDHNPPSESSARSAAPDTEPDDYQANSKARG KFRFKSSKSKSSSKSSRNESHRHSHRHRRRHNEEDYRGHRRHKRHRTTTPRSPSPGTV PSYPGAPLSPNTAFRESLFDALGDDEGAAFWESVYGQSIHSFTPPPVGDGDGRGVLEQ MDEEEYAAYIRAEMWKRTREGMLEEQERIRAEKKARVRAEKAAEEEEERRRFERAMED SLRRGMERKRAKEVWRVAWENYIRSWEEIEEARRKGDSTDEGKTVRNLLFWPVESGKR RDVSKENVEEFMRRAPRHPQSSGSRSGAGNGTAPEDTLLYTLKAERVRWHPDKIQHRY GAMGIDEPVMRSVTEVFQIIDHMWNELKK ANIA_06307 MLLPRFSTLLCLAGLTAVPAVNAYDGDENVKSIPLRTHSLSAPY LDSDFQSRWFDFGGDTVIRADKYIRLTSDRPSQQGWIFSRVPLTATNWEIEFEFQIHG EGNLHGDGFAMWLTKQRATQGPVFGSTDNFEGLGIFFDTYKNNRPGTSFPYVMAMMGD GKTSYDQAHDGKANELAGCSARGLRTTSVPTKARLTYFQDKSLTLDLQYKSEGTWTNC FTLTSPETNIAIPSVAYLGFSAETGELSDNHDIISVKAQNLYNVAGSKGTGGSGPPRS ADRPYRKPKKQSGSWSWFLFKVFFFFAAVRGGYDWMDRLPVQDKVFSVLDERVVDFCG LMRSGPMSESEMVKIKTLSVILYLLA ANIA_06306 MALFGSSAPAAAAPANSAEDVQQTKANLIAQLQQEMAMANAKKL ISKVNQNCFENCITAPGSSLSASESTCLSSCMEKYIQFWNAASKAYIARATTQTVAAN AMATEL ANIA_06305 MPTLGGLLKKRRTRDSQDLSKELQAGSTTTGHTTTSPIAAEDSQ SQQHHGHHGGHHFFHHNHHNHQPSNNSANSANSQNSHAAKHHQFDQSSATSNQPSDGQ TASMQSPAQQPSSTSAHSNSGHHSNAASIHNIIHPSQQNTPQVSRAERTTKGKYTLDD FAIQRTLGTGSFGRVHLVQSKHNHRYYAIKVLKKAQVVKMKQIEHTNDERRMLNRVRH PFLVTLWGTWQDARNLYMVMDFVEGGELFSLLRKSQRFPNPVAKFYAAEVTLALEYLH SLNIIYRDLKPENLLLDRHGHLKITDFGFAKEVPDITWTLCGTPDYLAPEVVASKGYN KSVDWWSLGILIFEMLCGFTPFWDQGSPVKIYQNILAGRIKFPPYLHPDAVDLLSRLI TSDLTKRLGNLHGGPDDIKNHPWFAEVTWDRLLRKEIDAPYVPPIRGGQGDASQYENY QEESEPYGQAGEDPHGHLFPDF ANIA_06304 MSLLHNEDFTIWQLRTSYLSTIKDGIGDRLINVNHSVLNTPGFR AAGWPAASANHPSQLAASIKRTYSPPIPTATAVSSEYYQLGARDLNDSQRSGFGQDGE DDEGGMVTGKSTIDGIGRRNPLRNEKRAHRKERQQREQQKARDVEEDDSSDLSDESDD DGEPLQRASEEIKFPKVPVRIRAGSSPIRATDRQERPQVMVTHPSHTSMNSHYRTGSL GTAPSVSERPRRDTTTTTTSSDMSSDHEIDMSAPGYRRRQIHFSGHDQVIDLGKASSD GLEQLDEQEEDSDAGSVESALSSEFDATAGSASLLGAVGITGGLNSSSPIALMHKLPH GPSSQTASPRKPKPPAPELQDLPPPRPISTVQPVSLLSTALNARAKAPANPVERFAVL SGKGLTDALNIRLYVPFSSDPEEPLDLPLARESKLADQPAPVTVAEAIGLALWRYSEE RRQPPIERDKLNVNRWTLRMVEDGEVEYDFPPLGRASAISDFTSNNNSRAGGMRGRSR GKQYDEFALVEASDMEFEENERLYPMYNVSAPAEEARDPAPAAAAAPAGQPVPQTKTA RPNPILGQPFSSALNDNTLTPADRPAVPVSHATPRLGVSKTLKIRYTNLESSTQTTTL NTSTDSYIAEILDSVCKRWGLDKGNYLLKVMGSNTIAPLDRTVEALGNITDLDLVRRR FGPQVLTGSPVSSSPNAPLLVDSPAVPTKKGKKSGQRMLHPLTQQQDLIGGYYRRYFV LRKQSMGFTTSLHRVLTFDNDYMHIMPADTGKNGSDTKTRSISFNDVVGCKVSRRHPK NFRVVVLRGNDATEQKRYDFEARNSFEAEEIVGEIKKNMAQYRTT ANIA_06303 MPADIRSFFGGKSSQGSASSPTKPPAKKEKQTPARNKRGRKVVN DSEDDDDVVVTDAPVSRAKPSSKSKPAVPEGEPTTASDYFASSKKKGRTKSSNADTNN KPSKSSATKTESPEATVGTAEQKDATRAGSRRDTNKATVRLDDEKLGDDDIFATEYGK AGKGNDDYKEEEDDDDSDDFDPLAKPVNGARGRPVSKKRSALGEDDEDVIMEDAPRRP ASAAKPATSQTQRKRKSEAVDKDDDDIQATSKSVGTGARSKKPKALTAKQDQSDSKEI QDIFDSIPTIKAPSPPPPQGSDEKKKFVFGGGKSRDPVSGGAELPVGAENCLAGLTFV FTGVLDTLGREEGQALVKRYGGKVTTAPSGKTSFVVLGSDAGPSKLATISKHKLKTIS EEGLFELIRRLPANGGDGKAAEKYEAKKKEEDEKIRKMAAEIDAEEKRNEAERRKEVK SGQTTQDVKDKKENNADDQLWTSKYAPTSLNMICGNKGAVEKLQAWLRNWRKSAKANF TKPGKDGSGLYRSVMIHGPPGIGKTTAAHLVAKLEGYDVVESNASDTRSKKLVESGLL GVLDTTSLQGYFSTSNKKVESGKKNLVLIMDEVDGMSAGDRGGVGAMAAIAKKTQIPL ILICNERRLPKMKPFDHVTFEIPFRRPTVEQVRARLLTICFREKMKIPPQVLDSLIEG THADIRQVINMLSAVRLDRSEQGDQTGQDYSLDYDQGKQMSKAWEKHIILKPWDIVGK ILNPQMFSQSSTATLNDKSELYFNDHEFSYLMLQENYLRTKPTRANNYEGKERKLKLL ELADNAASSISDGDLVDRMIHGSQQQWSLMPTHAIFSFVRPASFMFGNMMERPAFTSW LGQNSKQGLIIVFGVIAREADVVHAGKLSRYVKEIQGRMRLRASGDRHEVRQQYIPLL WDRLVKRLMVDGKDSVEDVVDFMDSYFLTRDDWDALVELGLGPMDESKVKLETQTKAA FTRVYNQRSHPLPYMKASNVLAPKKAPKEKPDIEDAIDESDEDDVLVDDTKDDEDSDE IDLKKDKLIRVPKKSTAKKSSKSSTSAKGKGKSKKTSADDFIDDEDDDAPKPKKARGK KAKS ANIA_06302 MGSHLKYLARPQFACLQPTCMDHPKNSAAKLMHLPALGLRTSVP FLPLSRCFSTTSPALDWLTPKMAETSKSPKGRPHVPTGGSSRGTTVVWGDYGLRMKDH DRRLPAASLKIAEETIKRRLRGMNYTLYKRVSANIGVYTKGNEQRMGKGKGKFDYWTA KVAVSRIVFELKGDIHEKVAREAFRLAGHKLPGLWEFVNKGDPPVVGLTKLGNGVTLE SLKRARRNPPLGTDKLSKPPKSTSSSPSASQ ANIA_06301 MTDTKLMDIEAGGEQTQYEGVRQFSKTPEKQTGQLGYNLPHTKS QTRTTLAGDHSEAKAKREDSKLAHKLELGERTSGITGYTNESSDETEHDAAKSRREQG YGPGSGIGA ANIA_06300 MALLVDKHRPRSLDTLSYHHELSARLRSLAQSGDFPHLLMYGPS GAGKKTRTIATLKELYGPGVEKIKIDNRVFQTTSNRKLEFNIVSSVYHLEITPSDVGT YDRVVVQELLKEIAQTQQVDLSAKQRFKVVVINEADHLTRDAQAALRRTMEKYSPNMR LILLANSTSNIIAPIRSRTLLVRVAAPSEEDICTILSTSAKREGWNEAPELNKRIAKE SGRNLRRALLMFEAIYAQSEKVSDDTPIPPPDWEALISVIAEEILAERSPARLLQVRA RLYDLLTHCIPATTILKTLTFKLIAKIDDTLKPEVIKWSAFYEHRITQGSKVIFHLEA FVAKFMRIYESYLMGMDF ANIA_06299 MDLSPRSGISSGGDRPPAYKAIGISLAVASGVFIGVSFVLKKVG LLRANVKYNEEAGEGYGYLKNLWWWSGMTLMIIGEICNFVAYAFVDAILVTPLGALSV VITTILSAIFLKERLSFVGKVGCFSCILGSVVIALNAPEQSSVADIQDMKKYVIAPGF LSYAGVIIVACAITAIWAGPRYGKRSMFVYISICSLIGGLSVVATQGLGAALLAQING EAQFKEWFMYVLLVFVVATLLTEIIYLNKALNIFNAALVTPTYYVFFTSSTIISSAIL FRGFKGTGTQIASVILGFLQICAGVVLLQLSKSAKDVPDAAVFKGDLDQVREVATQEE PEFEPKADAIRGTAAIIRRLSTPRRNVEAEEARRYLRERQEDQLRPPADNEIVEWDGL RRRKTVLSEKSQRRTPTPLPPLGMSRFPDDLSEDSHNTSPSVHTFMNEVRSRTSSIMS SPWRPIPGDQQTHGPVLEPDPKVVDTSYPGQHPPRAVSWVDEEQDQSHLAAPAPPPHR HFSFQKIFSRAKSPVDPSSADSRSHTRSRSPRGILRRGHMRNMTSEEEHLGLVQGDKV SGYTNEDEKHERTRTNIQSNHDEDDYETYNYDTYDYYDDGKLDDLPSGRRLDSHSSLY PPRLRLNPLSPLPDNESALDVSHIRNDQQQYQPQPEQQQPLESSKGSLLTIPRRQSGW RRQDSASSG ANIA_06298 MPPPTKTAAQSNHRLFDPWNSASSGHQHSEVNPGTAWRRTREAK LAQQFRSGDCTISSFVYDGVNRVYEKGEWEWDWGGDARGGRGGDRRGGKSTGRGRTAV SPDSKQRDIRSMLGIGKGGSSSGSGQPSTQLPTQSHEPIPDPMDLAPGAFSGTTTSNV ATAPLQKHPQSQCQSNAATSTPPESTIFRGATIYINGRTAPLISDHKLKSLLVAHGAT LALSISRRVTHIIIGKPNAGPGRGGAGGGLAAGKIQEELARGGWRGIRIVGVEWYVTA ANIA_06297 MFSRLTPPWGWSLSPGAGAARSVLSQAKGLAPGRRYFSRNSWLN QSPSAPFNTPQSQKRNASTMYYTISIILGTVSLAYGSVPLYKMICQQTGWNGQPVLTH RAGDGDTSSRVTPVTDSRRLRITFNGSVSDVLPWKFTPQQREVRVLPGETALAFYTAT NKGPTDIIGVATYSVTPGQVAPYFSKIQCFCFEEQKLNAGESVDMPVFFFIDPDFVTD PAMKGIDTITLSYTFFKARYDDNGVLKPIAGN ANIA_06296 MDSAALIRRRHRTTQLLQPVLRAYALGYLSSATPKIISCLRRLW INNDLSYRQRFYELLRVLAGPLRLESFPTFCAALVGGSTLLPILALRVLQTACRRLGS DFKSLNFKSVSQLIQFAAAFVSAWFSFQILNRRPVTLQTVSGKPQDGIGQETTALQPT PQLYPHRQTQFGGRTMDLTLFTFTRALDSMACIVWSWVQHKRRGGHGWSKAIPVIPGL ADSAVFASSAAVVMWAWFYSPERLPRSYGKWIGEVAKVDERLIEALRRARRGIFVYGK DTGQAPLLESMCKDFGWPTAWGDPARTIPIPCEMVHMGCGPSCEKHAVSRFLRTFKFA CATYIPLQTAFRIRSMKSADRTRLGPRLFSRETVTPQMWDSGLCVGAGCVMCGWSILV EHAKKRQEIALFVAPRAAATVLPRFYDQKYLYRERTMFAISAAVLLTCLKESPDMVRG VFGKIGRSVVN ANIA_06295 MSINPPNGTQGRHARNNSALPFGSSPVGPPLLDDTETNMLDNFL TTINSNPFANDYWFSDSQNDANNSLGLPGFDWSTELPPTFQGSTSSLPPFHPPSSSHR SVEKSLGIAPEHANSEVMAAASMLYQNGMNGPEISTAFGNQPLAFSNVDYSQLKGSGK ERKQDGRMPEKGTGISRTHLPAGYHTTKMFFDVPEPISTEQQLITKAQPLHWGSDSSF MDRGYLAPPDQPDEEQRTKEMMEKLECLERQSSATNTRAPTPDRHVNQQPVPLFEPDA INHLNGLRKDYGDSIEDLSYPKKKQRLLIKEEDDENSDGNTSRQRCKRSKGPGRRPST DGVRKSKPSQGSKPRENLTEEQKRSNHIHSEQKRRNLIKQGFDDLCTLVPGLRGGGFS KSAVLTQAADWLEDIIKGNQALRLQLAQLPDLSTMDNSMMRPRT ANIA_06294 MPAKRKHSGNALDQDNDSRRKRSYAYLKPQVRHISERTIKSKWS TLPEPMQDKIRDMLQALERPVIVRQQNERKRYEAQAAVQAVVKNLGKRLPRMPFPPMT KDSVFEYEAALKEHSALEASLSTMNDSIVLLNNEIAKEEAFLAKETKQLQEMEKNAKR AEAERRRLMKNEHPALRQLRDVREQQIEAPSGFNLSHAKGSQLDFSELEADPEASSLL KQLNNHLKSMQSNIAPLTGLKDAIDRSQTALSLATLPDD ANIA_06293 MTEKNTTQPGSAPDQYAGNGTAENTGPSKTLDGNKVGRVDSNAK ENAQKSPASKTESTPAGTRTSPKKRRKVNHACVYCRRSHMTCDSERPCTRCIKRNIGH LCHDEPREPSKRSRSEHEQSAADEEGSSNNEYSKVHAMPRKVDIQDAAGQQILADGSL GLTPSSMNAVQPGPMSSSTSQNMSVTSQQQLLGYNDWVGGQNQFQDMHTFHPSYMFNA PEVTNEYNLLGDFLSNSLLDDGSIFQNEDMQRMYSDPTLINSMAVLGGPSTSLLQQSQ TLQPQQSQQNQGDTASGATIGNDKARETYYMTAADPAGSDPPEERMNKLLKAKYDAGL LRPFNYVKGYARLSAYMEKNLQVSSRQKIARQLDKFRPKFRDRMQKLTDIELILVEMW FERSLMEYDRVFASMAIPACCWRRTGEIFRGNKEMAELIGVPIELLRDGKLAIHEIIV EDQLVSYWEKFGAIAFDNTQKAMLTSCTLKNPNATSPTEGIPCCFSFTIRRDNHNIPS LIVGNFLPTQRDTK ANIA_06292 MAPLGNSGFGRLQIPQVLVEVFYSGQVDSIDRNHPWAKGAVLVQ RTTQKAHPPPPQMPPPPELILDQAFLLARSEQFGFTFQYSSCMSRRRSSVACNDCVSQ AVKFFLVSADEDCRQRAKKAIAVADLAGQKRRELSRLLRTNITENNGTSLLDFGFGKS ANIA_06291 MANNALSVKSKTAGSNHSLRGAPSNSPTIRPPTRSAKPSPYQSA LSLQTVIGTTTTTPNGFSFHDQSNSFAFCAGSAGVLAELDEDNNANQRFFRARPTASS INPVISFYNQCTPPATPDARTRSLPGIKATPHNGSYNGSPSMEIVDSSPRAWSSRERV KAVTCVAISPNGRFLALGETGYNPRVLVFSTAKDAPSDIPLTILTDHSFGVRALAWSP NSQYLATLGDVNDGFLFVWSVSLKNGSAKLHSTNKCTTVVRDMTWLGQALITVGVRHV KVWRLPDIRPVSPSKSRTNTGDAPNIATPKALSGRNCVLHTLADSTFTSVVGISDSEA IVGTDMGALCLIDDNDGTAKLTLIQYVGFSITSLTADSDRACIWIGGRGRRMRRLPFE SLRPSSNLPSPRTLNRLSAEIKSRGPAITCIGSLSSHLVTVDGTRAINIYPIEKLKGE DEQCNLGDTMPAHRDSVLGVGPLKTPNYLEADFFTWSCRGVVKFWNIQGKCRDSRTIL AEPLAGSEDDAVNELKILRATTDMGLFFSGDKVGVLRVFQGPMWECVGEVRAHGGEVT DIAILETSDSCVVASSGRDRMVQLFERRNDNLQLIQTMDDHVGAVNQLLFVNGGERLL SSSADRTILVRERVTRDDEGVTAIAYLVSKAITLRSSPVSLSLSPDDPDTLVFSTVDR CVHHYDLNSGHYINSFRAADSESNDTVIMGSLTVTSAVSGQNPRLLIGASGTDKSIRV YDMEKGALLTGEFGHTEGVSDVLMLERYSDASKDSPERILVSSGIDGVVMIWNISVQL QQSQEVTHAGSNDEEDISSKEPNLSKPPLRKVLSRQDLAGYQRPEGSVLTPTPAREGP EQSPLFLRRLSKVSIVPTMKNAHLVPATPPSAPPRHSPTSSVRPERLRNSPSPPSPRS TGAKKTLESRNHNNIRCSSLDFRARSRATGKSEFGSLNMSTEQVCRTLKAYRKKLNGS TEHLHSQKELERELGLTLRILSSRAKKAEAHKAEMETDSSGKENERIPPPIPSKTGRI PRRVPSTPNLGQEKSPRYSRRRSLDGGG ANIA_06290 MPSICPKSAMISLPTVLQSIFAFEVAPQIRNSSVRSLNRSSLRH RLRSTRTITTVSIDSIPVPSAQKPDDRVTSPSEPSLTADKSTASDTSSSNPASKATSA DNSGSARRTKTNTKTSNEKQASDHENKKNIKKSAKETTASNAPKPPKEKEPWQIQKEA LKRKFPTGWAPPKKLSPDAMEGIRHLHHIAPDQFTTPVLAEEFKVSPEAIRRILKSKW RPSGEELEERRKRWEKRHDRIWSHLSELGLRPKVPDYGSDADAVLYKRKSKGV ANIA_06289 MSSDIQVFVKWKDQSIFAGEDVECTITFKNVAERSEDLNNAQSP HLRKQSRAAVTPRSESFSLRLPSNPFRNPNRRSYPVSPGKSPSHRISSSVSSPLIGSH SFPPPSTPRTGPSAGHKHKRSVSILSIDSEGGNSSIVGDKAPRTPSQLTHSRPVRGHG RSASLQVVPKRYEGYEDNAKGLRSPIRGFLSSEYPMQPSPGGTRVDMDVMKPSGSSGA PSPVRPTPQIRTPGRRPQLPPIDFKFPPAPENDTSTGPSPGTPLTTTRDSSSLAAPGQ MSQSKVLSASSLSGSHRSSTEFYSLSNNSTETLQSEYTNYSNPMLRAGYSRHNRHMSS MESALPVPNGQALLMGYAQISASFTVDGSLINQSAFDEVKRQGMVGGARNGGAANSRP ASSSGKNRKGGGFWGALNWNSIEESISGLLSNNDLDGLRDMRGVSSSRSIPLLSTPQS LLFVDLRLAPGEEQSFSFAFTLPSGLPASHKGKAIKISYNLVIGTQRPSGSHEPQKVN RISIPFRVFSGVNAQGEIIGHDLMRPYVILRDEARVQKIGSAPPMTAKAESISRPAWT SAPEFLSYVDEILEQRSRSSSLKLPSTLAERRASYDLTGGPLSCKDAIDLAILRSNQT INSSQSPNRFEIARGGRRIAVVVLNRPAHRLGETLIATVNLADAALPCYALRATLESS EKVAPHLAVRSGASIRRATRRVHASFFEITLYSTRVVFSPAIPISATPTTLTSGVNVE WELRFEFVTTNTRGQLGAGPSGVRLLETVSEDERGATMSALEHVGCESFEVAIPITIY GETVRERLPEENEGYPI ANIA_06288 MASFITTINARTRAPFKPRSAAKGTTSYQLRQFAEATLGSGSLR KAVKLPEGEDLNEWLAVNVVDFYNQINLLYGAITEFCSPQTCPEMKATDEFEYLWQDS ENYKRPTKMSAPEYIEHLMSWVQGNIDNEQMFPSRLGVPFPKAFSSLVRQIFKRMYRV YAHIYCHHYPVIVHLGLEPHLNTSFKHYVLFIDEHRLASGKDFWGPLGDLVDSMLRSD ANIA_06287 MLSARLARAGLRASAQVSVPRTAAVNGLRTYATAAQEVKPPVSL FGVDGTYATALYTASAKSSSLDATSKALNNLGAALKADPKLTSIISTPTLSAADKQAI VTELQKVAGADKGDILKNFLATLAENNRLGLLNDVVDKFAALMSAHRGEIELSITSAQ ELDAKTLNRLEKAVSKSEFSQGKKLKVVSKVNPDIVGGLIVEIGDRTIDLSVSSKIAK LNKALTDAL ANIA_06286 MVLIDKQSYTSREEQRLKEDRDRIRYWKKWGPYVAERQWATVRE DYSANGDAWSYFTHDHARSRTYRWGEDGIAGVCDTHNLQNIAFAFWNGKDGFLKERLF GLSNPQGNHGESIKEAHFHLDNTPTHSYMKYLYKYPQNEFPYQDLVEENARRGKQDRE YQILDTGAFDNNQYWDIFIETAKEDDDEDEIVFRVIAYNRGPEPAPLHIIPHVWFRNT WSWGYEGREKPSIEQAAPLAVRTSHEKLGQRYVCFAPSPATGDSQEDVVPQMLFTENE TNNELLWGTQNNQPYVKDAFHRYIVNDEKGAVNPANKGTKFAAWYAFNEGEGVPPGEC AVVRFRLSRKMEPFVNEEELDDVVEQRKTEADDFYYHISPLPMSDDLRNIQRQAFAGM MWTKQYYHFVWDQWANGDPGMIAPPPERKHVRNQQWKHLYMDDILSMPDSWEYPFFAA WDTAFHCIPLAMIDPDFAKRQLDVLTREWYMHPNGQLAAYEWNFGDVNPPVHAWATFR VFKIERKMYGRQDLDFLERVFQKLLLNFTWWVNRKDFDGKNVFEGGFLGLDNIGLFNR SEPLPTGGVLEQADSTGWMAFYCLSMLNIALELAKHRRIYEDNLEEKRVLYGTKKTGM PQEFYYDAISYGEPWTQQLPVRSLVGLIPLYAVSTLEPELINKFPSFKRRLEWFVENR QDVAERNLASMKSRGKGDRLLLSLVSKDRLEKILKRMLDETEFLSDHGIRSMSKYHEK HPYSMDVNGQTFRVSYVPGDSDSGLFGGNSNWRGPVWLCVNFLLIESLLRFYMFYGDS FKVECPTGSGDYMHLGQVAEEIQHRLQHLFARNDEGRRAVHAGSDLLNFDEHWKDYMW FHEFFDGDTGRGLGASHQCGWTGLIAKIIHDTGVNCRLPQTPRSPFAAATHYFDDIFT RSVRNSSRRSSSHRPFVRRSSTSRSIGNRSDFESAFATPAPQTPVEPALDEEGKDRHG SNGTPGQEFDEHVSNYVRSQLQRVRSQVSMGAYEDEFETQVDAANGNGNGQPHGNGNG NATNGR ANIA_06285 MTDADQDFKPKARPQSTMAQAFSSALDTAFALDTDVDYLSQTID QKKYQMMIQNRELEELQAKIREAEERLKARKSMIMTGNSQSMGVHKDGGYQSTESASA TTSPTDTAGQYSSGDEQRQQPDDRQQGRNS ANIA_06284 MPSLPDYTPLESLLFFQTLAAQDSRPTDFASISNVLRNNKFVRE NGAFDARRLTPEALEGLYSRLMRDGSDSSASTQEPNGHNSESSPSNPKKRKIATPRSD GFSDAKNPGFVPYLVTHLYAKYKELVTKEIRLEEKRYRDIKDEIARLEKEVHEAPREK PAEPAPAPTHTKHEPAPEPMDLDTTETPVSQPKPDKDVNCAPILPSTGAEAQQLLAAS PHKDQPPAHVTPQSPLPETKSPAQPLGQQPAAQKNLQTQAQPQPQSQPQAPPQVTPPP QPTSHAILHPTPPQQPHHTPQPQAPPIHPQQVQSSAQNVQRQPQPPHEKGASPPQQSL VTAPSVPALGEPANVPPTQPVEPSSIPTAVTPTPAHDPAITPLPPSRQPQPPHPPQTN NVPVASPTPQKTPSTVEAAGKKVVPVPPPRGPPQGSLQQWSLNQPQTPQQPSQHSPSS IPQPAGQLKPSQPPHFQQTQKVAPQPQPVAAPSTPLPSRAIFPTPAPPVPPSGFATPI GRAQGYPSTVPRPSKPQLSIATPGSLTPWKQTPYSTLPNSPRSPDRPGPEDVSPISES APSPFGSRAATPDQPEPPRRKGPGRGRKKATDANHTGPRRRTEKNTATAGKKRDRSTA SSRSRGRSILSRDEESGAEAGKIKREVPSTPSGVDTVGPERSSTSQKAPGSESRPGRG RPKRKRSELPETESQPQSELSQATHVDLDQSAPFVLCTRNFPRTGAPIMNDVLTHKHA SIFTKPLTERDAPGYRDLIYRPQDLKSIKSSISQGSKAVAAATEAANTPVADGESPAP NAGTPSKNTVLMLPKTEDVIPPKAIVNSAQLEKELIRMFTNAIMYNPIPQRGFGPAFP LAPDGGSGRRQYALEPDDGGIIKDTLEMFEDVEQAVTTWRSAEIASKTVLSLRRESTS DPNMDSADDVKG ANIA_06283 MGDVTYGACCIDDYTARALGCDLLVHYAHSCLIPVDVTKIKTLY IFVDISIDTSHLIATLERNFQPGKTIATVGTIQFNATLHGLKPVLERAGFNVVIPQIT PLSKGEILGCTSPSLSAQQIDYLLYLGDGRFHLESAMIHNPSIPAYRYDPYSRTLSRE SYDHTEMHTLRRDAIAAARTAKKWGKPTYDGHD ANIA_10797 MIKSRPGWRFLSSLRAPPTRRFATEARLTSDHVRIVEVGPRDGL QNEKKSISLETKLELISKLAKTGVTTIEAGSFVPAKWVPQMASTAEICEHLLQTPPQS LNAIAYNYLVPNVKGLEGLIKVMDATGASASTPGTKTTPPTTTEISLFAAATEAFSKA NTNCTIQESLDRIRPIVALAKTKDIRVRGYVSVALGCPYEGPDVPPSKVADITATLLE MGADEVSVADTTGMGTAPRTMELLQALKAAGIANTDLALHFHDTYGQALVNTIVGLEH GVRIFDSSVGGLGGCPYSKGATGNVSTEDLVHTIHGLGMHTGIDLEEMSRIGQWISDE LGRPNESRAGKATIARLQS ANIA_10804 MPGEQQMLPLPGSPSTSWARFRAQLGALFHGADPNVCVAFWLFG LINNVLYVIILSAALDLVGPNVPKGVVLLADVLPSFGTKLVAPYFIHTVPYSMRIVIC VVLSALGMLVVALSPAYVDGGSISSKLAGIILASLSSGIGELSFVGLTHFYGPFSLAA WGSGTGAAGLVGAGAYALATTSLGLRVKTTLMTSAFLPFVLAVSFFFVLPRARIQLHS VYGDVEEHADDSVLSNNDDGEREGLLSSSAHSAVSLKSTYRVRGWHRLRANLRRARGL FFPFMLPLLLVYIAEYTINQGVSPTLLFPLKETPFTHFRAFYPAYNAIYQVGVFISRS STPFFRIHDLYLPSILQIINLIVLTLHSLFNFIPNVYLIFIVIFWEGLLGGLVYVNTF AEIGDRVPREDREFSLSATTVSDSGGICIAGFLGMVFEVWLCDWQVSHGRDFCRRT ANIA_10805 MIGMLNRPGMPDVTLRIGLDHVLGRPSTRFRKVQVIAVFLFWSY YLLRGNKHGPPVVRGISARLSRRLTVWQTTVVVVLWLYFCRNFAKIVGLESPEPLANL YSRSFFRATWITTALDAGFWTAMRVRPKWLRDILSLIGTVYYLFAAEQADDKVRRVRA TLTVEHLRVSWNKGTTPYLWALASLVRPRLTRYPPRAIRIPRPRQSIYTEPTNAWLYF DGPMSALREQTCIVLDIPGGGFVSMTPRHSEDRLLAWAGKTKLPILSLNYKKAPEYPY PYALNECYDVYHSIFTTRGRCLGLAGDVPPRIILTGDSAGANLAVGTALMVLQSSDRR PSLAQGQSSLPAPDGLVLSYPALNMKIESWMSEEHVALIQDKSTNRSVVQRKDMDYKR LTPFATPGASSDDLQKNSYLSEPDLETGALVEEAKGSKIDEDEEALDMQTAYLANNQP KKIQTRLAVSSMISYVNDRILSPEMMRAMVILYIGPHNRPDFNTDYLLSPVLAPEALL AQFPKTYIITGERDPLVDDTVVFAGRLRQAKLRRFQERQELGLEKSQRRFNEKDYVEV SLLPGISHGFMQMAGFFPDSWKHIYRCAAWIQTLVDMANIKKSSPSTSSRNLSNSHTS KSDFNQATRPSHKRTLTGESSADEDKPLEMSIGKMGPLTPMVCNSTEGDSDGHVPTPM VEAETETEVETEQSPRTSDITTSSSKADDQKSTGGRRPRGRHARSTGLGRRRTFAPSN INIAPEWASDPVSPMRPRESSLCSLPSEEDLLRRRMSGLAGGLMGIGEGARTP ANIA_06281 MAPSSSDVEAQVLLETKEYDSYAWVLLDDHPLRAYPADTDCHPE VTAFARKCLIVQSLVQLPHIMSYFLEQVYTQDLPGFIQTPETIGRSPGLGLELDQSAI CKYDAPLQTDGVTGDAVEYILASGCTGVKVDLWQRDRELLVGSSLLNLNDDHTLQSVY LQSLQTNLDARNPAYINSTKKHGAKAEIVPVGLFDEDSTQTFILLLDVQTTLQRAWPL LFGQLEALNKSGYLSFRNAEQDLILRPVTVVVSGRGCRRLSLIDDVSRAIKGSS ANIA_06280 MNPPQSHDHSRRRSWGSSGSSAFPGQSDQSSAPSSGARRMPPPS PPQHYPSRGQSGNSSSLSTPFLSREPPSTAAHHRPGSSMSISAMLGSDADRPPRDIGS SIFSRLPASSGPFGSAPPPPAAPSAMSPPTAPARPAQHDYPLFRRSQTPEKPYSRNNQ PSRPYRSSSGGGPPTTAAEQPKYGGLSRLPSAPQYSGKPSSAHPSPQVSPAEPSYNGN ETRRLSFSGPPRPNSQPQHLEPPPRPPGYSPLSRHAAAPGEGSFGASHQRASSYLGHD SQHGRYAGLYGDRQAEEQGRRDKERGMSQPENKVGAAPSRYGSLYADRDATAGRQSSS SAWEIGRSQPASPESKRLSTAEQNSYSFGFGAIQNYTKTLGSQPGGARQPLPVQTQQG PKTSSPRDQPAYLNKRHSGSHLYGGTSSGPSSTFAHSVADDQPRKGSDELLHHRNLLG VGLNAKQGRASPLPQAVQGAQAQILGPAGESGIKSELGRVFAGIGSGVGGVTATSTGS GPSTPMTASPFKRDSLTARPTNTEQTDESKIARPSSATGKRPRKSEEENHADGEDVVD VRLGGSTRGGRRSRAAHHHHHHHHHHHHHKPEEEHSPFGSSHRPLGMNMFHRSNDGLG SETAHPHHHHHHHHHHHVPRTAASTAMTSMREPRTVVTIEPVLSRVAHLPRQHLGSTL YAPRIGVPTAKATLESAKFGYTTTPLPLPRFDGKENCTFTIRVPRFRIDNSHREEICA RRALWGTGIYTDDSDPVAAAIHSGFIRGAWGEDVDPDMLDLEIKDSFQHAPKTAKDMG LDGDQRPKIPPPPPSGKDLHITLLILPKLERYESSVLFGLKSRVWEQNHDGMSFKVLR IEWVDEGVGRAEERSGEARRKRLRNAIQSGRICTGPGVVKLDQLRSGIVQVPRRETKA IEGQDQPASAMPIVS ANIA_06279 MFTAAARSRFSSTLARPRLAPTNSLLARSSVASTMAPRRKASSV PEGYKEDLSKGNMLRFEDSLPRLPVPSLEETGRRYLKSVHAVVSEAEYENTKKAVEEF IRPGGAGQTLQERLLARAADPKHKNWLTEWWNNAAYLGYRDPVIPYVSYFYSYRDDRA RRDPVKRAAAITTAALEFKSQVDNGSIEPEYLRKIPQAMSSYQYMFNCCRIPAEPADY PQKYPAEENQHIVVVRKNQFFKVPVVIDGRPLNVSELEQQFERIYKIAKKAPPVGVLT VANRDHWTAARKKLLEAHPNNANALRDIESSGFVVCLDDASPVTLEERAEQYWHGDGH NRWFDKPLQFIVNENGTAGFMGEHSMMDGSPTHRLNDHLNALIFNNKIDLSAKPVRSN LPDPRPIDFHLNEEVQEAIDVAAKEHRQQIAAHELRVQAYQGYGKGLIKKFKCSPDAY VQMIIQLAYFKMYGKNRPTYESASTRKFAEGRTETIRTVSDESVAFCKAITNSSVPRE EAVRLFRTALAAHSKYTAEASDGKGVDRHLFGLKKLVREGEPLPAIFSDPAYSYSSSW YLSTSQLSSEYFNGYGWSQVIDDGFGIAYMINENSLNFNIVCKRIGAERMSYYLNEAA GDIRDMLMPDLAAEAEKAKL ANIA_06278 MSPDINSLPPSRSASASPRQRRTLSSLLDAVPSTGASSTSTSHN NNHFNSSTMASELPHRHHPISVSERRRSGNVNVNSSGNRLSESIGDNPSASPSDGPRS NILNFAASPVSGFGDPHHHRAPSLGELHQELEQEQEAQVVRQTASFSASLMVDNAQNR LLQIIRNQELRIQQLEQQQHPQQSAILGDATNSTEHSVSFPHTNSLSNFAGSRTSTQL PSSLSSRRPSQAHSPSLRPTDLSRDASEWVAVPGDGLGRRGSLSREESSFFQAEAASL ARENQMLRQRIRELERQLSGQSANIMTSSRMSGNASVRESQAASAESDDIPVEDKMMT RYTPHRSLFHSPSFLRKPEIKRPLYA ANIA_06277 MASPLSEKEIETSQRLREPEASSTSQDDAIIETEKNKDTADLDS KSQLPRDPTAGTTLHQLENKPGEKIELTEDDCYDQLGYAWPSWKKWMVISVIFLVQTS MNFNTSLYSNALVGISEEFGVSMQAARCGAMIFLVLYAFGCELWAPWSEELGRKPILQ ASLFLVNVWQLPVALAPNFASIMVGRALGGLSSAGGSVTLGMIADLWEADEQQYAVAC VVFSSVAGSVIGPVVGGFVEAYLPWRWNIWIQLIFGGFVQIAHLLFVPETRTTILMDR IAKKMRKSGENPNIYGPNELVPYSERFSMQEILVTWIRPFKMFLTEPIVLTLSLLSGF SDALIFMFIQSFGLVYDQWGFGTVALGLSFIPILVGYLIAWFSFIPAIKRNIRERREK PDDEQAQYESRLWWLLWTAPCLPIGLIGFAWTSLGPPIHWIGTMVFSAIVGIANYSIY MATIDYMICAYGPYSASATGGNGWARDFLAGVLTIPATPFFQNIGGKYHLEYASTILF CISFLLVIAVYAIYWYGPTLRKRSPFAQQLSDAKAELQSHGAIHTGRLSRVPTGSRAN SFARSQQNLRIRQTLGSRQNSFAASRPTSRSASARQSFSEV ANIA_06276 MSSEPQFAEGFDPEQLRPQLNGLQDQGWRLDEDKIGIKKTFYFR SYFKAVSFLNVIASQSATKKHHATMTVRIGSVDVHWTTHHPRGLTDKDISMAQFCEQA AELMGAVQEGQGQKCGPAT ANIA_06275 MSLNTKSSQPSVSERRGHQVAPLHVQTNFSRPNSQIVNVASPLK QRPRPSDFENNKTERVPLQAPHVKRQSSRSSLRHIFSREKPARKSDVRLSGIEEAQDL VNESRAEEAVPSSPKAEDCETPRAAVASVAPTPATPTAPTNTPRSKLQPKHRTKTDEE DQLPAEDVAWKPPPLFKAYPQSLKHATLPAPVLSSDSIMRLHATFRAREANLRDNDQQ ASQPANEEPVEEPTTRKKKLDKEKQKYLRALSENVEKGEWTQKTFIIATSGYILQYAG EGKHDRLPEKMLLLGPKSVAFASDAIPGKHWVLQVSQGSEEDSSTTSAESSRPILSRF GFHRSHARRLARNMLLVFNDPEEMSSWLLAVRAQIETRGGKKYVSEKVYDEGMESQLR TTPSMRQMVKKDPNRFSAVYLQPRQSMNFEDKDSSVGDQSRRSSYISLHRRSMVMHPK PESRAGSVSTAQTEEEPARFYAAVGSPPLPDSSIIAEPTLSVAGPASPTFSTPRKRQS MFLPQATSSEAAEIPRAQSAVPEAHFRSASPPAPNFSVPLFSKRFAARQDRHQGPRLP SVQGDHPDDTSIDTVSMFSSPPQSPSRDGFAIPLDNREQRQLAERRDQNNKSLRTSNS EDTLANVPRERDRSRLRYSHLPEHVAMLPCQSTSPRRVSVKPVVPSASHQPMPIAQVV NTSNHSDQPSKTDQPSRPSSIVMARRKSMTGLTAGPPSAPPPNIPLPKIPSAFVDRSP QVALSEKSPPSSPVKDVQQALGDVAPISSARSHLPRTVRSVTTRPMNTPAKYSGANYI ANIA_06274 MSAQTSNDHFKLENLFSVKGKVALVTGGGSGIGLMATQALAKNG AKVYITGRTSEKLNRVAELYSKNIDGQIIPLTADVTDKSSIEKLVEEISSNEKALDIL INNAGVSSATQDTDKQTPEDLRKALFEDASSSMEEWDKTYRTNVVQCFYTTTAFLPLL QKATDAQRGWSSTVINISSISGIVKASQHHFAYNASKAATIHLTKMLAHEVASSGLKI RINNIAPGVFPSEMTASESDEKQKSALPKEKYEGKVPAGRPGKDEDMAATVLFAATNQ YLNGQTIVVDGGPVLGGLQYLGETSGKAYHQFDLEHPSAEYVSLTNGYCLALPAIGNI SFNRISLLLLI ANIA_06273 MKLATLLPAFAAAALAAPSNPLVARQTSGTSTLSYDTKYDVADT SLTTVACSDGDYGLITEGYTTFGSLPTFARIGGAPTIPGWNSPNCGSCYQITYTSATG QTNSIIMTAIDTAPGGFNVGQQAMDLLTGGRAAELGRVDITWTQVGREQCGLTPRP ANIA_06272 MYEKLPVPFGLARYGVAPDHPEVKNCEEKFTEVAASPRFNFIGN VELGETLPLRVLKPHYDAILFAYGAPKDKKLGIQGEDALRSVYSAREFVGWYNGLPEH RDLNPDLAAGEDAVIIGQGNVALDVARILLSGVDRLRQTDIADYALETLSSSKIKRVR VVGRRGPLQASFTIKEVRELLQLPSVSFDPIPRDLLPPEDVISALPRAQKRLIQLLSK GSTNDPTTTTKSWSLDFLLSPECLNWSPVFPYRLSHVRFARNELDPADPFSASAKVRP KHLSDGKPAKVNIPANTFFRSVGYKGLPLPGLEDLGVPFDESRGVIPNDGFGRVTVPL ASEAGTHDTLPNGSLISHLPGLYCAGWVKRGPTGVIATTMTDAFSTADAIAADVAQHG NTLLNPSNERTGLGWEGVRAEAEQRGVRATTWEDWERIDRAERERGSLKSKPREKFGR VEEMLAAL ANIA_06271 MGKSPPFLYGPPDAFSFRGPTDPPFNPKAVTQASWTRPPPKKKQ KGPLINFNRHPDSYCNLPDGRSRWTPMSPRTKSKVFYGRKIQLGLRILSLIGALGSLF CAIVIKNVAASIIWIIRVGPAVAILHTLYAVYHLCRSPVTRPPGSQASYMLFATTLDL GLVPFYTFAAYLGYKEYTTGTYNWQTLLSTDTGVITTIAKATFYLSVVNGGLHLISLG ISAFLFNIFRQIAQLPPDLNPLEDNLTSRSHKRTKSEIAEKHASSSTLDSTNSVAQPL IGVPRTIPFTHTRVKSSEGNSLRPPVDMVKQRGNSQSSIPEMPFRYRANTLEEPYEIP LHDTDFEARPTSSIPSSTPLRQPSPEIPTRSQCVSPASDNTTSDNWVAFPSRSVSMNE DVDNGGAAPREPSSVYSRTGTPGSLNGVVDWMGLAQKYGWDIGETISEDLRGEYESLA MHEYYGNDDDSHNVPKNGLYDHDEMDEHDIGNHRIDIYQDHEDSDGEHGNTLRVNPLG LNPPTPQPMPDITETKPASGRMVLGDIPNLSPTPPKHKVPPLERPEKERFYNGADIHT VTDDDSKSAKKTKLYKRKSHKLNTYGPLQQQSEDTAEKDHEPRPATDLAITDRDRKGR VVSNSGADFGLRVGQGPNLSYGNYIAGLGVGRRRDVSGKMAEEGRGGIDSPNSKSTSG NGNANSTPRAAGWARFAGL ANIA_06270 MSLKFNPDDSLLNATWATVLLSERDVAGQVPINFVTTSAISLRA ACFGDNKFARNAAERCISNLLAIGYRRFNIDLYWSTELRRWILCPVSIPKGLHVVTVS PDATSTATASVAQGTVTAELDSSDTLLYDLGSYKCSDNLDLQDLIDIFSDYFQYTNTN LVIYTRYLSLNLHVAADPTSAEESPSTVTGEQLPAVSERVGYILNDKLGSYIYGPSRL LEDRRNLNVSWYAVDESNKPITQYFTIEEGPEGIQTTPDGWPSANFIQLAADRRLLIE YGSVGSQLSDHDLSAENDVIFPPNYLTSSISVDAGDNGSLDSGCLYNPGTTDISKVNS SWAMSSHIPVPSKSSEESLRSISDLVVNLTACGMAFTLNDTLFGNTADEDPVPYRNLS LSSSWAWALGEPAAPSHDLDSAESDDTRCAVMDLSLAGQWRTANCSELYRAACRVDNE PFRWSLSSDPLTYRDAYDSACPSSTKFSVPRTGLENTYLLRHLLSLSHDLIDPSASDT LKTAVWIDFNSIDTETCWVTGGPIATCPYTADPDQLERRTVLVAAVAGIVICIIAALT LFVKCNANRRNSRRNRRVIQGWEYEGVPS ANIA_06269 MATPGQPTPQQLAAMQQQFAAEAAKRGLTPEEFAKQQREQLNAE AAKHGMTTEQYVTQLRMRAMAAQQKAAEVQRQLQANGQSQGPPRPGQPGQPGQPQTHT QQVPVNPNSPKDPKAIAVAQFLRSQNLKPRTCIMDGQRKDMFKVKRAIRALESPAYEK ARKKNPLLPPVTDRASAENVFKLLPLSVLALRVSKVDPHAGHGHGKTKRVKGLWTVKI EQHQEADPMMHYVWLYEGPQWKQKAMAAAVVAGIFAVVLFPLWPIMLRQAVWYLSVGM MGLLGLFFAMAIFRLILFCITVFAVPPGLWLFPNLFEDVGFFDSFKPLWGWQETGKKK KKSKKAASSAAQTSNPQATESAPAATAETAPAATTTATESADAAGPVKRDLAPRVEEV EE ANIA_06268 MFHRATPRSLSLIPGLRIVRTRPRCYSSNSNDTDIPSILAKPTW SVRSLLPDHAAKPSPSVTPAELKHLLRLSALPQPSSPEEEEQILETLESQIHFVKEIQ KVDTTGVEPLQAIRDESPEAMRENTIGLEQLREAMSKERVIGRNKRIQRIESARNERP DGDVWDGNALGYASKTKGNFFDFGSDAKGQLRDKADSKNDQDLTTILSRPQRADLTVL IAEITQHMRKSLDKTFKAPDAQQFATKHLIDLNDENDASTPDTQKPKDLNDKARIEYV PTAEDTKAEANILTQFDDWADSVLLRVGEVVNRASEEQNENEEAGFDLQYERPRSSYD DEDDNTWSRLSEVYHPIETALIHLPKPKRLLILHSLLLLMLSLEHYNANSRVLMLYAA SSLGLGIKTLNQDEVKVSRGLLDAALQLPANSDAQAQGRESDPSRKWKVGIASVAGAV LIGVTGGLAAPFIAAGLGTVMGGLGLGATAAAGYLGAVAGSGVIVGGIFGAYGGRMTG RMMDKYAREVDDFAFLPIHGSRKHFNDEKEAAREDHRLRVTIGITGWLTEEDNFVVPW RVIGKDSEVFGLRWETKPLLDLGHAIDRLVTSAAWSAGRHVLTKTIFAGLISALTLPV GLMKVAGIADNPFSVAKSRADKAGEVLADALISKVQGERPVTLIGYSLGSRVIFSCLQ TLSKRHAYGLIESAIFMGAPTPSDTPDWRRMRRVVSGRLVNVYSGNDSVLAFLYRTSS LQLGVAGLQAIENVPGVENLDVSDIINGHLRYQYLLGRILRTVGLECISAREVAREEA ALKAKDYKQEQQRIQNERRAGIEGDTEEARRKLESGEIAAEEEAIPQPHPEARHAIPR RPLPVRSAQENKEKDQA ANIA_06267 MTADPRALLQKADKALQSASGGFSFFGGRTEKYENAADLYTQAA NAFRIQKLNKEAGQAFEKAAAIQTQNLNEPGDAANTLQEAFKVYRKSDPEDAVRVLST AIQHYVLNGNLRRAASQQQYLAEVYEQELGDIKNALEAYEKAAEWFDADNAEALANKH YLKAADLAALESDYYKAIEHYERIGRSSINNGLMKWSVKDYLLKAGLCHLATQDMVSA NRALESYRDIDPTFASTREHQLLVDLIQTIEAHDQEAFADKLFQFDQLSKLDKWKTTI LLRVKNGIEGPEEDDFA ANIA_06266 MAPTSTVQHHHRSTTKTTHKPYKSGHASKRALKDQAKGKVERAE RGTRKTPHQQLMSKLDRRNQARQKQQNKQQERAQAISIFSGQNGAPRHVAIVPLSIDI DTTAIIKALNESVDVTSDVFPDTISRVRIDRFRQNLQYIPAKYDLMSALDACRMADFV VLAISAHLEVEEQGELLLRSIEGQGVSNVIAVVQGLDKINPPKKRPQVAGSLKSFLNH FYPSIDKVLSIDSRQECSNAIRSLCTATPKGIRWRDDRSWMLIEDVKWPESASPEVDD VVLTGVVRGKGLKADRLVHVPGWGDFQIDSITAAPLPTARSKRDDAMNVDESEAPQLL DSPSADRDDLATVAPEEIEMEDDIESMPDTDRKGVLLDDHHYFSDDDDHIPAKPKRLP KGTSEYQSHWFLDDVSDSGSDVMDDDDEEDMQMDVSGAPEDGVFPDNHDAMTEGGPSE YPQSEMFLDPSPEDEAQQLEEYRASRRNEAKDDLEFPDEIELHPNVLARERLARYRGM KSLKTSPWNTAEDRPHEPEDWRRLLQFGDYKGSKNRILREALVGGVNPGTRVDVHLRA VPALLRNRPQPLSLFSLLRHEHKHTVVNINMHLNAAVEEPIKSKQELIVQYGPRRLIV NPVFSSADNTPNNVHKFDRFLHPGRSAVATWIGPLTWGSVPVLVFKSRKTSADPEILD SADAPESTTAVGFSTSTLELIGTGTVVAPDPTRVVAKRAIITGHPYKIHKRVVTVRYM FFNAEDVNWFKALQLWTRRGRSGFIKESLGTHGYFKCTFDGKINPQDSVGISLYKRVF PRKARALEEVAN ANIA_06265 MADSAPTKAIVPTKQRKENKRLIEAQKKYGRGKAVHTHSVRDKK LRSNLQAVEEKYKNAALRAKDAEILLEHEAGFLEPEGEMERTYRVRQDEIAASVGIET AKKKFELKLEDFGPYRADYTRNGRELLLAGRKGHIATMDWRNGKLGCELHLRETVRDA RWLHNNQYFAVAQKKSVYIYDSQGVELHNLDRIVEPCFLEFLPYHFLLASAQMSGHLK YTDTSTGQLVAEIPTKVGAPTSLAQNPWNAIMHVGHQNGTVTLWSPNTQTPLVKALVH RGPVRSMAIDRQGRYMVSTGQDLKMQVWDIRMYREVHSYSCHQPGAAVSISDRGLTAV GWGTQVSVWRGLFDAATADVGKVQSPYMSWGGDGQRIENLRWAPYEDILGVAHDKGFA SIIVPGAGEPNFDALEVNPYENPKQRQEAEVRALLNKLQPGMISLDPNFVGKVDTVSD KRSREEKDLDRRPEDTIEKLKNRGRGRNSALRKYLRKKGRRNVIDEKLLKAQALHKER SARAKERYRAEREELGPALARFAKKDI ANIA_06264 MAAEALKAQQQHQQNAAMLMQQRMAMRGQSVLVLGNFAEQLSSF TSRGEAADLAYWSSFVDRFYSPTGVLRQGVFNNQAGAKQFEIATPALARYYLTQFASG IRQIQMLIEGPREKDLPNGGHLVECPKAFFIYWFTNDAQLFTTGTLKAQFDFQNKIEV LDIVVMSHTEYLPRSQLQALELDQKQSPKLTKNGKRASQKQPQQPAFTLPESMVTSNG VPYPVLQFLENANPVFMQGNMNPAMQPGPNARAPSMGGPNQFASPGMAHLNLPVGAQG SPHLPGSAHPSPGQSNLSGPPAIGPQGQMPQQVGSASASPNVSNKRRRASTVKMEGEE AGGEVNGAASGGAKVKASPRVGGKRQKGTAA ANIA_06263 MYSSMQQGRLLWSLARPCRFVAHRRFPAAVTSPLRRFHLSQTWR SSTPSDPNNPRDSVPENAHSETPTLNGTFNPTHADASIDPVDRPSAKDPGPYGSPIRR ALRSRKRSKEPVAQTVTIPDWFRERNTVLSQSWAAETQQPVNIRAVVQDDKSSADGLS SGGNGEEPPAATGQPPLKHRYALTDALWEELCASARAGLRLPPAKYATEPSARKSHLV LHYPGPDGILFLDAVIKRLAGELSADLVTLNAQDIAQLCVEQDLTDTGRTSPIRLLGY EVFRPSVSNSWRESGDGMNEEDEGETDAMVPRNLRPGGMGSPKFITIESAREPGDIPL PNLFGLKSLVAAISPSDGSVGTASSQPSYDRVEERRLQLLHKLISPGEPSGQALTPTA TDQDEPSDFVEPKQEQRGDIIVQVQDYAEIQSSREGSRFLNLLQQVIQDRRKDGHRVL FIGTAAQDVPPDSDSSRLLQNAFDDQFSQVVVVTPAMSTDIREKTFAEDRKKRTLEVN IRHIQSMLRTRLEEQPSAVKDEVFQSSSWPLDPSSIKDSGLDERYWSYNQVHWAATLA LGSLRATEAFGFEHIQSGLEMLRRTERVRDEWLQERTPKARSSETENNRERLISSLRK TCNSHEKKLLNGVVDAKSIRTTFADVHVPPETIDALKTLTSLSLIRPEAFTYGVLATD KIPGLLLYGPPGTGKTLLAKAVARESGATVLEVSGSEVYDMYVGEGEKNVKAIFTLAK KLNPCVVFIDEADAIFCSRTGASSRTSHRELINQFLREWDGMNDLSTFIMVATNRPFD LDDAVLRRLPRRLLVDLPTEQDRLAILKIHLKDEALDASVDLAELARRTPLYSGSDLK NLCVAAALACVREENALAQQHTGESPYQYPARRTLTWAHFTRGMEEISASISEDMSSL SAIRKFDEQFGDRKGRRKKSPGWGFTTHVSDQAIPDAARVRT ANIA_06262 MSSESRDEAIREAKRYVRDVVQNDWSFEFSAKPGAPPPKYPANP EPTEVLEWRLREYDSSGSELEPQFSPIGSPERVDVGDAFDASSPQSAGSQQRRRKRRR QMEEEMSWNEGLRTWLERRDAWSGALSREQVRMWEQKHRSMSTKDAESGDKGGNAATA LDTDAQSIPASTTSRPFAIPATGPATGESPNLASRTEALNIADNQTTPLQAQQAQDQS SDIQPPIPNDNAGKLEITTDAIPEIPVLPQSLTSATAVPTAEPPSTTTTTSSTPSTQD HFYDPLIPVVPSMIATSNPIRASITPAMYPSIYSKVVIQGLTPTVPINLADMTKAMVQ GWKADGQWPPKPQNLVLANDAIVRPSNASATKHPDTPAIPASASGDKNRPSSPESKRK SGIASTVRKVLHFSGFQHHPFHRRGSSSQNISHQEDPAEAGVGAAEGSSVGANVEQGD K ANIA_06261 MESWRERGFVPDSDEEDGLDSQEMGLMLDATTKSANNVSVVVSA LRSEEVAELAGDDHHNGSDGVNSQQRDNADTCGGNTGAGKEGHDGADIVEETVAPVDE DMDLPLLLPDRRLRTPDDDNGEAEPDLGISAAEEARSKERKKSVSSQSSNGSSTVGGS GSSTPRPKQQHDFWDIPSSSPDLLQRDYHPWRKQTSHAIAVTPTPKAKVPSQPHSQNE NAQRALESSPLSSPLSSPRSSILGEMEEQQQQRREALDQTFEDLLPPLDIPEDILRQL DQPERRSLRQRNPIQLHPYLLEDAKYKSLMKARGLKPVRFPQQILQPARAADDESQEK DFGDDAGSTSDSQTTGLQYILSSPLDSRPLSEPRPIEDTVKRGDRRFDRQPKYSARST GQRSPKRRRVVGPGDERQRQRYLSAPRPAPPQVVVDNVSSSEPDASSIFDIVSPLCSD SVSPSLMQKDTGAQFPRRFSPPVATPRTGTRESAHDNFEPVLLDDDENGLDQQSDIAG TIRSVTPSSSSGSDLNDEDEDEDAQEAIFRRFQKKIKGVLPASWLRLDQQKQKGGSGA TQRNNDRMGRLDTEAAKGVARKITKKAHSSAPSSAREHILSLRQLAEEDNDQSSDISD DDDPQQNIARWVGFEDSSLDQKLWPDGDIPEDNQIDYMFPTAPRNPALSGSRKRAKKR RGQEGDEVRTGGQSKKPRLERQARLTDSVYRVQRKKRLSQKPPRLGILDAPDVASVPR NKQPQFLRIAARKARYREDRGRRSPSRKVIRLSSRMDTEDANASLREWRSGRVQQTTL PLVPSQTHRRQPLRGLSTNARQIFNGLSAKRAKESDRGETRQATLMRHGIAAKEISPT VNAPPNGVQHAAPTEYDNVTEENLLTVNAPLDITPSTRGTAMKPAQQRRGNAWIIQRN LAVTSLSRSSPRPVVPEFDSHDSTVRQPSSLQRSLALLARDDRLPLNRFLSTGERQQG HDILQSVPPTNIEIDKPVHAPKSAGALRPRQLRKRPPKRIDLTTNIQDFYSPNLKATE IPTGSTAQLSQGRGAGQLRHFRTAYSVDFDVNPLHTGIFFHESTFIGSGEFSRSLNIT RRDLDKNTSSSHFQLGDCSMRWDAWDDSVSSQLGSVFDKILESAETAKAGGPGDISWN MPDSAYATYRAVIRYASEALSFIDPIDRAGFVTRANSLIAKLLEILSDIMAATGCSAE QFMKVASYNVVFANQVCQVASHSLVNQTIKHETINLAKTASRQVITLISSQAGQECLR KFLAASKQRKLQEEGIKDDHPIVEAYVIVRHVLCSADRFRGCLEDFVAEACPEVDAKS TEKDIGILESGWQQVLTTLPLQEIDVHGISRIGSRFQGGHDNWTVVKRLLRPVLESDE ADLESRPISYYSYCRVLFQRCFILINGWGWRDCKPILDTLYDFFAKRTLYDLKSEESY KSPAFLDELDGNPSFQILPGDSCFHILLKILASGLRFLTKAYDKKTIRNYTWRLLPNH GRDYPKEQPIHQADLNALRNHHDLLCVLYSSVPDGCRPRLGTIKDLVHPATSHRETCK ISLRTWTRLARFKLSTKEDISGLEPFAEWHGYFVTEFLKQHNLARKEIEAQIDGGNNF SQQLIDRTVAQNQRQIESLLKTALQGLQSAIKSAPSLEHAQKIVSQTPIKLILDLFDS RTTRLNATVLEGLQVIVMYLQKCGSLTGSSTTNSANTLISADEDSQDYGDWTDIEAVY GYESPPITSGVEHVEQVFHPAVSRLVSNCFGDDRCPDDAVLLGVVDCWSSMAHTLVKH KLRRWDSYLSPYEADSWLALRWTTQTRKFTPQFLAKCIEKDSEILSECKAQILGMWLS SLVERVSMLKFQHRFTEAILNWNTEDPVLRNLPFSQNPKEERYSITLSDLSQRRLSLI SCVLSNMRVHVQNLDDVKSRDLSTTRQDYREVIQKMMSSMKSNYQELGNGEAEVKGAY VEFVQRIVGFLQQHTRDICPIDPFFTDTATFPLPSKDPLYIVARLRSYQPKLSSAKVA KTLVIFIQSVSERAALDAEQTYFVDQLCESMKNTYETGNLEQPTLRATLLQGVFPAYL ATAFTNGAAWILSRPIIETITRVFQELLFSIDTTDSACVSSVMGIFCAIFESAYRALH HIITDANMLTQPEVLITTTAFLEMITSALPVVDYLDRLVADSSAMSHLLAQIRAFQNL SIFINACLQPRSQQSSEPHISLTPTLEVLHPSTSDLAVSATRELQTYINESWSRHQGK YYFTRRGAHAPQEVIIDASISAKLDSLPEKGVSDAATALLCALDSLDLFGESKDVGDH LQTNLSWEWKEEVLVV ANIA_10802 MSRNFIPVVLAVVMGVGTGYYTFQPALRELQSNKGIIARSPSGS QSFPAAQQPEHQSQQTVSTLTSTPTPTESNTGK ANIA_06260 MSLGRSLRARIVRDDPSAIETNTSTRSTRQSRSTQLLPSVTVVR APSESPEGSRKSIYLTVRMPSSKLREATSGSLRSAAARRSHNVFTDNPIVTGPRTSRS KKRLVEINTSDEEEEQDLDDQEEDEVDDEDAPGEDDEDEDADADGDVDMDDAPPQPPA SRRHAKAAVTSAPRGKPVKSVEEKEMELDEEDDEEDEEELSEPDSNADGEADDQEEGV TLNMDETGEQDEDEEDEELDSDGEMPDMSKLTKRQRGSLGNDFLQLPMEPQIKKHLTA EERAMRRAEMARRRKNLSEKRNEEEKMDTINRLLRKQAPKRRGRIPAAEAAENAAADQ EAAAETDFVDPTMVRWISGREGSRVAVPEEWIGTPPGRIFGGTPRKLVEEI ANIA_06259 MPPSNATQNSQPGKLASPVKHYHERRSSFAVGIWILSILVLGLA AAVVAKAPASPLRSSASYNVAVASLQFFLFFLAGSKPVSTTDARPPKAGTITLVISTL IWAAAFPIMFIFASEDDRYAVVDFDKRVLALDIGTGVVSGLENITLACGAFGASIFLC IIFQWYYTAQLYRGHFTRDHIEAAYND ANIA_06258 MSDPTSKPSIPKWQQQDSGSSPSTSDEKNADYGDRSTLLEQASS FLQDDSIRDAPTDRKIAFLESKGLTDTEIDGLLGVSRNAEASSGSGSGGDNSVEERKS TSAPSTETFNPAVSKPTPPTPSSNSRPVNLTPRDVPPIITYPEFLLHQSKPPPLVTLR SVLYTLYTAAGLGATLYGAGEYLVKPMLAALTDARHDLAQTTEENLKKLNEKLELNVS QLPPSLITKSTASVGDATEEDIESITSDPTELFHRDIGTQTSQDLIQTSSATSTSATI ESTSNDPTTVVTTHQKRLETIGSHLRELEDAQSQSSTLHDTTRSRINDLQHYLDRLMY SKNSYSYSSTMGYGSYSTPGLDSGGGAALGVGKAEEDAISNFRAEIRGVKGALLSARN FPSGRSVGLRSSFVR ANIA_06257 MVRLREIPRTATFAWSPGAASPLIATGTRAGAVDADFSNETCLE LWDLALGNEDAGAELQPVAKIDTDSGFNDLAWTDSEDNSRGIIAGGLESGSLGLWDAD KLLSGASDAVLSKSSKHSGAIKALQFNPRHSSLLATGGAKGELYISDLNDLENPYRLG SSTARADDIECLDWNKKVAHILVTGSSAGFVTVWDVKTRKESLTLNNMGRKAVSAVAW DPTKPTKLVTATPHESDPIINLWDLRNSHAPERTLRGHEAGVLSLSWCNQDPDLLLSS GKDNRTICWNPQTGISYGEFPVVTNWTFQTRWNPRNPNFFATASFDGRISIQTIQNTS TETAKAVADQNQALDGEDFFAKAQSQPQVSSFSLPKAPKWLERPCSSTFGFGGRVVSV NLLEKGGRASKIKITPFEVDEAVGKSTETFESALKEGDLKSICENRITSAATEEEKSD WRVIDALLSDSPRKGLVEYLGFNDQAEDVSEGLAKLGLSKEDEVNGEAAPKESRRPGA RKHKRLQSMFDASPDDNFLSDLAASKGAKTNNPFQIFNGEESEADKNITRALLLGDFE KALDHALKEDRMSDAFMIAIVGGQKCIEKAQEHYFSKQTESPNYVRLLASVVGKNLWD VVYNADLSNWKEIMVALCTFADEKDFADLCDALGDRLEEQIRNTGDKAIRKDASLCYL AGSKLEKVVSIWIEEQRENEQAAIETAAEDSSFSIHVRALQSLIEKVTIFRQVTKFED SERTKDCDWKLSVLYDKYIEYADVVATHGRLQVAQKYLDLVPEKHPEAEIARNRIKLA MRQPTTRAQPATSTARVVSNKPLPQPAAYQPPTTFSAGARAATPTSYAPPAPAANPYA PPAAASNPYAPPVAASNPYAPTAAAANPYAPSAAAPSQSTNPYAPAGGSYAPAAGYQP RQQSFGAPPPSVGGVPPPPRASSQSPATVTTYTTAKNLPAWNDLPEGFAKQPVSRRGT PASSAPISSPFPNQSPAVSQGPPPAGAGPQRTPSVPPPPKGVPPPPRMTSPPSAGQAP PNPLSAVPPPPANPYAAVPQSPSVGSMAPPASIPRGSSPYNAPPTIPPPSNRYAPSPA AQAASPQLQARAPVPPPPQAAASPYAPPPPAQPLAANPYAPSTPPPMQPPLQQVPPPQ SAGSRPSTASSVKKASPAPPKYPPGDRSHIPAEARPIFEILSEDMQRVKSRAPSSFKA QVDDAERRLNFLFDHLNNEDLLKPNTVQDMVQLARAIQARDYETARTIHIDIMTNRTD ECGNWMVGVKRLISMSKVTP ANIA_06256 MSEKTRLKCTVYVGGLDQAVSVQTLAEAFVPFGEVVDITLPKPD LPNSNDNHRGFGYVEFDSPEDAKEAIDNMDGSELYGRTIKVAPAKPQKDANEGLGSKT AIWEQEGYLSKYAVSEEDKLAAEESKMEDSGPSEDPIRRLEELDVAGPKPE ANIA_10803 MYPSPILRMQPTRPSFALPKEGQSAHTISQRLRTLKKIPPELLP LGLVLGVAVGAAIYSSTKKLMTDKTLRLTPNRPEDREH ANIA_06255 MSLLRPSPSSSLLVSYDARFPQQNQTKHCWQNYVDYHKCVNAKG EDFRPCRQFYLAFRSLCPKPWTDRWDEQREAGNFPARLDR ANIA_06254 MASTAKLEISHPQQQVVKPVKKVHYPFWFGGSASCFAAAVTHPL DLVKVRLQTRGPGAPSTMLGTFGHILRNNGFFGLYNGLSAALLRQLTYSTTRFGIYEE LKSRFTSPSQSPSFFTLLGMACTSGILGGIAGNPADVLNVRMQSDAALPPAQRRNYRH AFHGLVQMTRTEGFSSLFRGVWPNSTRAVLMTSSQLVSYDVFKRLCLEKFGMKDNVVT HFSASFAAGFVATTVCSPVDVIKTRVMSASPSETRGHNIVGLLREISRKEGLAWAFRG WVPSFIRLGPHTIATFIFLEEHKKLYRYLKGI ANIA_06253 MRLFATSRALRLGSGRWSITSARSSIRLPLSQLLRAGSVGHREW SSTVIRRTQHTINKSESTATTPSASPKSLTVGGKAYPIDDWTNTPDTILAHIDRRLYL DDSHPLAITRKLIESQFPRPVYGNYSEKDPIVTTAQNFDVLGFPADHPGRSRTDTYYL NEKTVLRTHTSAHQQAYFQQISRNEETRPEEVGYTVVADVYRRDAIDRSHYPVFHQME GAMLWKRPADKPLEHAKQTAAAIMKDVYSIPSHSVPVEDPNPTIHPQRNPLQADYHSE EEVEAIAAHLKRSLERMVIKVFSEAQKASAASEGAEAETLRVRWVEAYFPFTSPSWEL EVFWQGDWLEILGCGVVKQELLNNSDVPNRVGWAFGLGLERIAMLLFNIPDIRLFWSK DERFLSQFKAGQITRFEPFSKYPACYKDVAFWLPSAATSGGSAAGGAVPVHENDIMEI VRGVGGNLVEDVKLIDEFIHPKTNRKSLCYRINYRSLERTLTNEETNDLHDKVRQKLV SQLCVELR ANIA_06252 MDYEMDIEPTGPQVTVREAEPYRVDFKLSSVDLAFANSLRRVML AEIPTMAIDIVEVEKNSSVLPDEMLAHRLGLIPLDSKNCDQDVEYTRDCECEDHCARC SVTLSLHARCTSDEIMRVYARDLVITGERANEWVGNPVITDPDGNGPLICKLRRGQEL KMTCIAKKGIAKEHSKWAPTAAIGFEYDPHNNLRHVDYWYEEDPVKEWPVSHNAAWEP AAPADQPFDYDAQPNNFYIDVESIGNLEPDMIIQQGITVLQRKLAAAIKSLSGEDGTN GVVDEDMMGVQSPDPYEPRDDGGYTAYANGGSASAWGGANAATPYGATPYGGGNFGF ANIA_06251 MVDQVRSMESRVGRKNQRYGSKGERLVAGVVPLSKDKSLVMMIQ SAGRGGWVLPKGGWETDEASAQQAACREAWEEAGVICTVLRDLGTISDMRPSTMLTSN SPRASYQFFEVTVDREEDRWPEMHKRRRQWVTYTQAAAALASRPELLEALNRSSMKR ANIA_06250 MEPIDAERARQLSLFRPLGYQRNSCGYCKSDNGSASYYASSVSV RPVHYEELVNRGWRRSGTLYYKQNLQRSCCPHYTMRLEASAYKPRRDQRKAINRWNKF VLGPEYIRRAAYLCPKTREEKKHRKCNFDLHDAVHAVEYSNVKRPTDPKTKRSLEPAH RFEVNLEGDTVSQAKFDLFRKYQTKVHKEDVSKWQTKDFKRFLCSGLKRSEPNGRDVQ RRIGSWHQCYRLDGKLIAVAVLDLMPNGVSSVYIFRSYDPDYEQWEIGKLSAMREIAF AVEQGYQYYYMGYYIHSCQKMRYKGNFRPQYILDGELTAKLNTRPYVSLSRDRATTAT SGTEANAADNAEAEAEINDEEVSLFALHMPGVLTVDEVKKLDLGSWPLLIHGSFVHMS DLVGWEKMPIDEPQSIKGIVAELAATLGPTVMKDSAVVLFD ANIA_06249 MHQRNEMTGTLSPQSAPSSPSISTRRSSRSSQKPSLSIDLSSLP PLSQPSPPTNTLLITELNDLYLFQPSSLSQIRQLIESIAPLNSFSPLPSFRRIVASFR NEDDATRVRKLLDRESLLNRSVRTRIYFGEPTPLLETDDAGGIKHKLLEAPQVDKLFF ISPPPSPPHGWVMRQEDPPNKEVHASDLAQALAKLKTQTEGSTYATSTVPASQQQTDP DTPMSLSSDKRTGSWPLLQQRSRSSTLIYNPEEHGGSPNLPAVMVEDTSADPDDMDVE MSPIDMPVKQTPPFKTARPPVELMV ANIA_11506 MALTRIQIIPAQDDRSLCSRLPEKLQSRIQTLLATITASRSTLG YRY ANIA_06248 MFKRTLLRQAQASRALFSVRSASTAPLAIRQPSQFQPQLLRPFA RIPSARCYSTENKTETQTENGEKEQKDNGNESTGTADEQCQKELEEKKKEVIELKDKY VRSVADFLNLQERTKRDMENARNFAIQRFAVDLLESIDNFDRALLAVPKEKLNAPKTE ENKDLLDLVDGLKMTQNILLNTLQKHGLERFDPGEPGEDGKPQKFDPKIHEATFMTKV EGKENGEIMYTQSKGFTLNGRVLRAAKVGVVKND ANIA_06247 MLDELDNVFDDHPSMDASLEDIENTSNRHRSPVFGLPSQHSGFR SEESDCEDEDTTPVDERWSPPGFGRRYDFVPYSGWYRHQPYTRKIDHERFGLKPTVGV SPTQSREASPQYEDAPEAPAVGRRAVSTETGDVIVAANVPLPPEADSPLKGRSPSPVR MPLRPIPDAETVDFKPENNISNYIRFAVRAEVQHREPFVAFFTYLRSKFDRITSSKSN TTLSVLIALLSIAFLRTLFMPSIPSAIPDLVKLSGFARSFEPLIYYSENGVQQIGTLQ ETGVAVWDLSESVRGTNMTSAPIIVRQLDELSESLKSLSLELTRFFANVDSDVDSILL VMDWAKRELETLSSQPPSSLPSIVLDNVQDLLSRLGTLERVAGSSGDGTTELTTTPTT FGTIVTALFGQTSAQRTRATLTRTFTEFLSVLEESINSELTHSTALFALFESIDRQFL NLQRTVVRESDAQERAEGEMLSSLWTRVLGPDAAVVRKYEKNKRLLANVRSRTVFNKH LLMDHRGRLLTLKVNLETLRRKLVSPLVRRNDSVSFAGAVDPGSSGGRTLGPVEAVIE GQIKGLEGTYDYLRSVREKQKAKLMEMVYGAGRKLPSSTSLLADGSEEGDMIDGA ANIA_06246 MLINCFFQATLPRVLSSSRPVASSATLSRTAAATRSAPTSTVSS AVRLVRLRATPTPMPTSRPTSPGTRTLCSSTSRTPRSSSPVPRWLSVVSRRPRRGTIS SPTSRRALLKSFAIRRDKPPPGDRRASG ANIA_06245 MPPSAIPPFLLPRGVPSARAILFLSRSSRIGRLPPTHRCASTNS SSDNSQNPRVLAQPDKFRPPSHPARRVVPTRNGKVVNYPGPKFSEKEEAERAKKKYPN MFPPEGTVMFRFLTSRWIHVWIAFGVLTSLATFTFTTNFKATSPFAHLLPPWSALLSH PIDTISQALSVFRMHVQHTSVETREKRMRAVEDAEKRRQFRIAHGLEEPSEQDLAKEE TRAEQVDDQSPIAPEARDGAGAGEYVDWEGKRRPIKKWLGIW ANIA_06244 MAAQRQASSASQTPHFSPAELSYLYTSLSLPGTPIRPDGRSPTQ FRPLTAETDILPGTNGSARVGFADGTQAIVGVKAEVEKTILGVQAQQLEDAEGFGEAG SDGEGGAGRGSSSGHGSWVQMAIEIPGFRDDDALPVFLSEMMREPLVESVSGGEKGGA EEMVGGLKGRLVINRRWHWRLYIDVLLLSQPLSYPLPLLSLTTYLALLATKLPKLKSK GEEDPFFDDDWNAAEYLYPRVKPARTTIPSSISVHKVRPPVTLLVISVAENIIFDPSR EEIAVADAVLAISVAHDTDSGPLKLLSIRTIDPPSRLTQPGIPNSENIATVGSTAAAE ESLIINPSTGEEEVPGVWRPRRGGVKRATIAKMVKMVLEKGGVGEEVLEGLEGVEVG ANIA_11505 MLILSMQWMYKTVLSARRKEFGVRYIISRKQMVTRSDAGVAGVG RTEKEMVERSDK ANIA_06243 MTVCYEHPSRGLTPTSMATLSDRFELMKEVGDGSFGSVAVARVR TAGSNIARRGTLVAIKTMKKTFDSLAPCLELREVIFLRTLPPHPHLVPALDIFLDPLT RKLHIAMEYMDGNLYQLMKARDHKYLDGKHVKSILYQILCGLDHIHAHHFFHRDIKPE NILVSTSAPNDSTFSRYSNLVTPPSTPTTYTVKIADFGLARETHSKLPYTTYVSTRWY RAPEVLLRAGEYSAPVDMWAVGAMAVEIATLKPLFPGGNEVDQVWRVCEIMGSPGNWY SKSGAKLGGGEWKDGSRLAQKLGFTFPKMAPHSMESILPAPQWPAALSNFVTWCLMWD PKNRPTSSQAMQHEYFADAVDPLSVRPRSSTARLLGRKQSEKSVKSPTKDTYESPTLS SKPSWFRRSLIGRSESPALVEPEQPSKPTLPALDSNVDPQLLKPKHSTSKRATWAHGA PMPILPSIRPVSPLSNAVTAQANPPAQESNTNAAKSSKKIGRQLSVNSHGNHYGDVHR QEAERALNGLGNNSTSTISQKESFFSHLRKRARRLSGRNQNAMNDDIEANAGCMPWSN RSSLALDSVNVSEAKQNSDFSELDKAVQNVRYSMDSAALGNVPVSITTPVEASKRQSM PQGSIRSMGDSPASMNGNGGPISSRTRRAMQMTNHPVHRYETPEEEDELLDEVLHSAS SAAMRLAQAQMSDTSSNYSRPLCNEQSHNLPSPYPTPSPSAKCDGVSFGNEDAAPNRR LPLSDEKSATSATRQWPTPPYDDGDWMNPASTKFLTGSTYR ANIA_06242 MANYRLRGDVPSAYAKRYSESVKRQWVSESLIPSHTTEPTVSTL PRLGTVPLTDNVVWFAFRDINAEPVIDENKLLAEGDLLSTTQVQSRTSVHSGWASFGV QRSEAAASVLPDGRGGKLAKVKAWRPEEVSHNTPIRAREVAKKADYFYEDDDDDEEEE EEEEEEEEDIEGFL ANIA_06241 MAYDGFQPGLHPYGQPDNPNPMNTSEHRPSFEPPYPYQQNSDYL SMPQPQVPPQQAPPPQTAAQGYYPEPPREQNSWPPPPPPQTGRINDAVTSAVGNSAPS SYVSPELIAQITANVIQQLGAAGINSPVNHPPPPQWAAPQAYQAPQSYQAPSAPHTPT ISHGTPPPSTFQPPPPPPPPQSEQPDAPSQQSRLSETPPQEKSRRESPASQMSDSGQK ADSRPKPPMRTDTFPTTLEKIWGKLFDDGKPTERLGQFLRGIAMYLIEHFPPGDTLVV VPEKLQKFYADTSIASDPYPWEDIFDDRTSSISRLFRDFQIEHHLVQVRLDERPDVPA LTPKGFELFVTLMIQAHPDKEYERMQKAVLNMPISNPDNKKERFPKEIPRRLFPEIPD LVLREKLDESIKKHCGVELPPITEDDVKKVAALRAKSSTSTTVAVEESNPSTTQREKK PYQPPTSAVVDDEDEEQSPPAIERKRKPYTAQPGGGKVYEGPGSPVHRHANSFSTNSS NKESRPSATRVPDSPPYRSRGTSRTRTGASTRSRSRSRFRSGHEYRHSESDLLNGSRK PGATSSGDYYYDLPSSSSIPSDLLDQYRELDRSAEDHRLYEQIREREKEREKSKYHDS LPSRSSWSNDDDYYRGLLGGQGGGPASGYDYKGYR ANIA_06240 MATSDTTSNWSYKNKDRSNNNNNASKQKPKLPFYPAFCFRASPT HFAWIKMGAADVHRLTRRLEYGERGLFFYQNHPIRFVNLVGIIVARADVPRRTILTLD DSTGATVDIVVLKRDPCPIPVAATVESKPKNNTDVHGEDGAQKEMHLTSTTQTPIDIT PLQPGKLFQIKGTLSTFRSTNQVQLERFFPVPDTKTEMRFVEARMRFLAEVLTVPWAL DEGDIENLRMQADEEGSRIDDEQARHRRRARKRAEREERERRRILKLWEQEEVVRERE GKIAREAGRDYMLELERRNRLLSS ANIA_06239 MYSKFWPAGGLPGILHHFTETLVTFEFTTSATPKPHSLLFVGGL GDGLATTSYMADLAKALHSTDWSLFTLNLTSSYSAWGLGHLDRDTDEIAQCLNYIRDY KSGKFASTNNSGFKGHSNSKVVLMGHSTGSQCVIHYLSKPNPHTSTKAFDSDLQHILR TRLDGAIMQAPVSDREAIQYVLSTGWMGRTSSQVTAIYKELEKLAQDEVARNPDPQFD ALLPLHMTGVIGYPGNVPLSARRFLSLVSPGTPNGSPGEDDLFSSDLSDESLKKTFGM IRERGLLASKLMVLISGADQAMPGWIDKEALLRRWRGFIDGERKDGEEEIWHEDSGLI PGASHALSNDDQAEPRRNLVERVMRYLKGGWRLEAYRLRN ANIA_06238 MLALQRSRSSSTEATPLLGDSPSPRIEHNVSTEDPEAAQDGVKQ AEAITMVWSKKSLVAAYILMFLLYFVNAFQSSITSNLSAFVTSGFESHSLIPVIYIVS SVMSSATYMPLAKILNVWDRSIGFLIMVAFATLGLVLSATCTDIMTYSISQVFYTIGF AGMIFSIDVITADTSSLRDRGLAYAFTSSPYIITAFAGSAASERFYAYNWRWAYGSFA IILPIVALPMFGILQYNRYKAKQKGLLPKKEHHDRTIAQSIVFYAIELDLLGVFLLAT GLVLFLLPFTIAGSTVDEWKSSYIITMLITGIGCLVTFILTERFVAPVPFLPWELLAS RTVLGACLLGTSYQIAFYCWNEYYTSYLQVVYGTSISTAGYISSIFNVISGIWLLAVG FLIKKTSRFRWLLFWAVPLYMLGVTLMIYFRKPGWSVGYLILCQVLIAFGGSTMISCQ QVAVSAASDHNNIASALAFLGVFGSMGGAVGSSISGAIWTNTLPGALKRLLPESAKAD WRVIYESLEIQLSYPVGSPVRLAIASAYAETQTKMLIAGTGIMALSLIWMFLIKDIRL SKDAQSKGVVL ANIA_06237 MNSAHLGSGFRNQEPPNPLAGSASTIAMRSMTHLRKDTLPFPHG YATAADDSMWLGFSTVGMKLVQNLAGFPRLLLAGNPSKIDLGMLLVAILSAIASGVPF PLIGILFGQLLDDFNAATCKSGDSSGDGEQGSINDKILIIVYLAIAQFVLIYIHLSFW TLNGARLAQRLRESYLQNLLRQEPSFFDNLPPGEVASRLNGDIQTIRSGTSEKVGICL ASFSFFVTAYIVAFIKDTKLAGMLVSLIPAYFLMSFVGSHYIEKYSGLMSEYAATAAS IASEALSNFTVVQAFGANDRLEEKFSKALKSSEKEGLKKATAVGIQSGVLYFVAYSAN GLAFWEGSRQVARTVNGEPGGVTVGATFTVIFILVEATLLLSQVAPFLHLFTAGVASY EKLHADINRQPLIDGTTPSGSRLTQAGGFELNEVSFSYPSRPEVTVLDKVSLSIPPNK HTAIVGLSGSGKSTIAGLVTRLYDPTEGQILFDGHDLRDVNTRDLRSFVSLVQQEPNL LDRSILENIAHGLVNSSNPSHTPLRAALLSSDIADIASEVREGKDLMEAAEKRGPEFV EIAKLVQKAAQLADAEAFILALQHGYGTLVGSSGRLISGGQKQRISLARALVKDPAVL ILDEATASLDSRSEQRIQRAISNIASGRTMITIAHRLSTITNADNIVVMHKGHIVEQG NHATLMAKDGAYADLVKLQTLSTTDKNESTTSVDTISKTDQISSDGDLESSSLTDGPR FIEDEKKPETTETPVTDSTEEPEEPDTPNKSLWALVKGYAPTLRPHLLFLLLALLGSS IVGGAFSGEAVIFGNTVGSLNPCNSADYIRSKGNFFGLMFFVLAIIEFFANVVSWTGF GWVSEKVVYTVRVLSFRSLMEQDLQWHQSKGRSPPLLLSYITRDGNALAGLSGSVVGT LFSITVNLIAAIILTHIIAWRIALVCLALVPLLLGAGLMELHILGKFEERHENAYTKS VDIGVEAITSIKTIASLSLEDETLKTYQRSLKGPRKETLTVTLQASFWQAMTYFLGNL VNALAYWWGSKQILAGNYTQTQFLIVVFSLLVSALLWSQMFALAPELSAARAAMARLL GLIEIGSDKMQGHVPPRSLTASSSGEEEAAVETKQHASDSKASSVQLRDVHFAYPARP DIKVMNGLTIDIKPGKFAALVGPSGAGKSTIISLVERLYTPQSGSILVDGVDITKHRD VGFRDSIALVPQESVLFEGTIKFNISLGAKPGHEVSQGDIEKACKLANIHDTIAALPD GYDTLCGPNGSQFSGGQKQRLSIARALVRKPKLLILDESTSALDAESEKLLQDGLEKA AKGITVIAIAHRLHTIKKADVIFLIEGGRCVEHGTHEELLARSESYRANVMHQTVAT ANIA_06236 MGSIQVEDFLGQGQPTGDSPKSFEIADLGADNTSATGLKEDIIL VSWFIALLRTRESSQVSYEWAYGGGDSPKRLAMEELKIGLQSSVEEAAGAVSDYIATV ATAVADTSGSTSLILSNESLSQTAEAKDEGVLHLKLGFENGRLEIRPTWHTENMLPYT VSRYINTFVDVVKLCIATPSALIQDFYLRPTDFDLDSIWSWNHVLPPSYKFCMHEMVS EQARRFPEKEAICSWDGSLTYGQVDLYSSFVAASLKDLGVRVHDVLPACFEKSRWTIV AVLGIMKSGATFVLMDPTLPLARLQNIAQQVGAKMMLSSSKQHDLATMIMPDSNPFVV GEETFADASKLQSIPELAPVPSSALMYMIFTSGSTGTPKGVKLSHETYTSSAIPRARE VGYTENSRVLDFASYAFDVSIDSMLLTLGNGGCLCIPSDEDRMNDINGVIRNMRVNYA GLTPSVARILDTDVISSLEGLGLGGEAVSARDCTVWGKLARIIIGYGPCECTIGCTVN GNAATGRDYISIGKGNGAAMWITDPNDHELLVPVGAVGELLVEGPIVGQGYLNDPEKT AAAFIEDPSWLVAGHKGYSGRRGRLYKTGDLGKYDPDGSGGIVFVGRKDTQVKLRGQR VELGEIESQLRARLPSELSIIAEVIVSHGQPTLVAFVSVQSTKKQDSVELQSIELSEE LRQTISEADKDVATVLPRYMVPTAYIPVNYMPVLISGKTDRKRLRELGAKIDLSQLDQ HSSSYNRSGELSELEQRLRQAWAETLKREPESIRLEDNFFALGGDSVMAMKLVTISRT HGLELTVGNTFNTPVLSEMAAVVKVCDSQTRTETRPFSLIAQSPESAILEAAQACGTE PEAIEDIYPCTPTQESLFTFSLKAVVAYVAQRVATIPSHIDIDSWKKAWEDVVAANPI LRTRVAQISERGLQQVVLKEKISWGHATDLEQYLENDKAEKMELGQNLARYAIIESHG KQYMVWTIHHVVYDGWSEPIVLQQVSKALQKQPIEIQSQMREFVKYVRDTDETAMEEF WRRELRGAVGPQFPRLPSRDFLPTPNGMVERHINLEIGAGSTFTIATLIRGAWALVAS QYTGSDDVVFGETLMGRDISLPGVEGIIGPLIATVPVRVHVHRTSSVEAYLQSVQKAM LSRTPYQHMGMQNIRKVSQDAQHACETGTGLVIQPDPEYVGGELGFEVGDVVREALHF NPYPVMLGCGIQKGGFRICASFDVSLVEVRQMERILAQVETACLELLKNSSRRVGDIS CVPEAELNHIWKWNQLPPLSVDEATGKLRADAAVKPGSPYPRIAVPWVCDPRNPSRLS PIGCVGELWIEGAVLAAETVESPAWLVSGSSTYPGRTGRVQSTGDMVQLREDGSLIFV GRKENVVGVQGHAVDIADLEAHLKKHLPPTILAAAAVSQAPSEEPELVVLVEQPSEEA SVNLLSKQHVITPSASTSQNSPVISAAISVNLALALKKLDKFIRDNLPAYMVPSTYVV INKIPTSTKTGQIDHGLLNELSSAIPRQIISQLRVGFESAWKNLNQKTDLTANESILR AAWSAILKIEGERIDIDDNFFRLGGDSVLAMKLVSSLREQGHTLTVADVFQHMRLGDA AKRLKVGQGPKSKKMQAQTYMPFSTLPAIQDLERFLSGNVRPKMLDPTWAIQDICPVT DSQALDIKGTVDAPRTSIQYTMLIFDSGIDSERLLRACNDLVRTHDILRTIFIEQESS FLQVILQTLEAPITRHQTEKKDLKRYITNLCAADIETPLNLGAPFLSFFHIQGAAGSH ALVLRLSHAQYDGVSLPRLLRDLETLYSGRPISLSTIQPFPSYVSQIMGNKSAESKAI AYWRNLLQDSTLSVLQGKSTDKAEKGIFLSSPANTTAQPFESLGYTTATLLTAAWAVL LSRTIKQKDVTFGGITSGRILDAENILLDNPEEIVGPTYQFTPIRVPFGARESWTPTS LCHFIQSQSAESAAYDFLGFRRIAEHCTSWLSSSTGGLFFDSLVHHQDHEDFDTMPFA GCECEVDIVNPHGDSPDPVKVVSLVKDQKLHFGIVGRESDREFVESTLAELVGVVEEL VAEPEGLIRLDG ANIA_06235 MQHPTVQGCLVSFPTPEILLLTLNRPEKRNSIPLAISADIIRLW EWFDAEPTLRAAIITGTGESFCSGADLKEWNELNARGTVNKMTAPGLAGLPRRRSVKP IIAAVNGYCLGGGFEMAVNCDIVIASEKASFGLPEVQRGIAAVAGALPRLVRLIGKQR AAEIALSGLPFPASQLERWGLVNRVVEHDQLLASAVETAKAIARNSPDSLRVTLEGLH YGWEMASVEEASPPWSMSGIPN ANIA_06234 MAAKQQYPWVKLPHPYLTTYRIHIVSDSSPRVLQLRLHDSQVPG EPLAEPLHNDALTYTDIAFDESAKDIPDNDNSPWARSQRAPGTTFQWTGTEPPSFAQI WNVIHAIFLTHPQREVLRLDLKGAGNEIVREECIRTGLAVPYPSPRVPFGNESEPSGI DTLILLRSSFWQGAGSPLGPRPIWMVDQGTHGLARKSLSQYPVMPKNYEFSMKFPRER IYARHPVRPAKPTPGSLVYSRYIPHLDKHFSLMVVDWQNEEHLKRFNRWQNDPRVAKG WNETGTLDEHREYLRRLHEDKHVLCLFGRFDDFPFAYYEIYWAKEDHYGAHYDAGDYD RGRHSLVGEESIRGAERVNAWWSSCIHYCFLDEPRTQCVVGEPKATGGTVLSYENAQG LTIQSYVDLGHKRSVHVFCSREKWFQLCPLFWDGRERPLESSDRAAWNAKL ANIA_06233 MSSRDQKVPEIPTEPPDETDLYLILGVKEDATPEQIKSAYRKLA LRHHPDKAPADAREEANQQFQKIAFAYAILSDPRKRQRFDSTGSTAEAVELDDDFDWV DYYREQFSTAIDTNALEKFKNEYQGSEEEGKDVLAAFETYGGDMDRVYESVMLCNVLD DDERFRAIIDKAIETGKVKGYKQYTEEPERKRQQRLKRAQKEAKEAEKLAKKLEKEKE VGSAKAGGRKSNKGSAVETNDLAALIQQRQASRAESFFDRLEAKYNPSGKKRVAMDEP PDEAFEATAARRGSKKTKSKTRA ANIA_06232 MADTLDPRMTSVQPRIRYNTIGGINGPLVFLDNVKFPTYNEIVS LTLPDGTERSGQVLEARGNRAIVQVFEGTSGIDVKKTKVEFTNHSLKLGVSEDMLGRV FDGSGRAIDKGPKVLAEDYLDINGQPINPYSRVYPEEMISTGISAIDTMNSIARGQKI PIFSASGLPHNEIAAQICRQAGLVKRPTKDVHDGHEDNFSIVFAAMGVNMETSRFFTR DFEENGSMERVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVIMTDLSAYC DALREVSAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPNDDIT HPIPDLTGYITEGQIFIDRQLYNKGIYPPINVLPSLSRLMKSAIGKGRTRDDHSDVSN QLYAKYAIGRDAAAMKAVVGEEALSSEDKLSLEFLEKFERTFINQSAYESRSIFESLD IAWNLLRIYPPHLLNRIPKRVLDEFYARSGRKIPNKDTRDNSVPEQGQSQTADLIET ANIA_06231 MEHIKNTFEKVKREKRAALVAYITAGYPTIEESVDILLGLENGG ADIIELGVPFTDPIADGPTIQKANTKALANGVTVSTVLEMVRSARNRGLKAPIMLMGY YNPMLSYGEERMLRDCKEVGVNGFIMVDLPPEEAVRFRDLCTSTGLSYVPLIAPATSE SRMKLLCKIADSFIYVVSRMGVTGATGTLSSNIPELLARVHKWSGNVPTALGFGVSTR EHFLSVQEISEGVVIGSQIITVLGEAPAGQAAQKAEEYLSSVTGRKLERDTSGALTRE VNVLEPVLKIETPPMSQPTDVITEKDTPSGPGLGDQLEALNGGGSSSATPARFGEFGG QYVPESLMDCLAELERGFDSALKDPSFWEEYRSYYPYMGRPSSLHLATRLTEHVGGAN IWLKREDLNHTGSHKINNALGQILLARRLGKTRIIAETGAGQHGVATATVCAKFGMKC VVYMGAEDVRRQALNVFRMKLLGASVVAVDAGSRTLRDAVNEALRAWVVDLDTTHYII GSAIGPHPFPTIVRTFQSVIGEETKQQLQEQIGKLPDAVVACVGGGSNAVGSFYPFSK DTSVKLLGVEAGGDGIDTNRHSATLSGGSKGVLHGVRTYILQDEHGQVSETHSISAGL DYPGVGPELSNWKDSDRAHFIAATDAQALSGFRTMAQTEGIIPALESSHAIWGAIELA KTMGKGKDIVLTVSGRGDKDVESVAESLPKLGPQIGWDLRF ANIA_06230 MSGYQRTPQAAVLDCDSAQSLQDGAAYSTYGQPVYMSTPLAPSP MTDHISQMSDCMPYMAKPEYASSYEDEKSPMITVEPCQLPEVTSYSPQRGSEGTRVFV QLQSPYDLHTTPYATLYIVFGSKKCECNPHFLGFRDSAFQYALSVDTPPFMSTGSPSL AVPLQLAMGGQNESPATTLQVGVYTYENAGQQSPSEDGRKRRISSFSADSTSRPIKRA STLPVQIKEEQSSYAAPYSPYLQPLPSMNGFAASYHTDSSPRMGATQYTTVSTNSQPS IRAPSPMAPSPMAPSWNSSFLSVNHDQRGSGYAVGRGVCQPKPSSPASFSNPTLIRTS TLQQSAGVVQTQSFNPYAMYPSKAVLKLNGDLNTMTHNWTREEQVAQRRLVQFTRMQS GSTIHADFKPVSPEERAPNSICISCIYWEGKDECFITSVDTIYLLESLVGVRFTVEEK NRIRRNLEGFRPLTVSKSKADSEEFFKVIMGFPAPKPRNIEKDVKVFPWKILGHALKK IIGKYSASYSSTAGALPTPIGSNYPSTGPASDSGAEGQSAASPQSISEGTPSSSYHQS NAVPIYSPPTETDGPIRTILPAVSQSYSNMTAPYSYTTVCHSGQVAPSGPAARSWELN QLATPSTMNGHPNPGNFNYMPPMTYSQSDYPQGH ANIA_10796 MDEDLIQKYGPGMSFYRAQIKAAQRQKRLGGEVVLTRYCAALRE LTLSNKLLEGKVKQLCSDSLRLTFDVTLLKRHMKAISGELLVTWQADILTRLVEVIYE RHGWRMPGEVLVSDKYSLDRDTLSKIYVMAARKIKKETIMKKAVGLSEQYYLALQRYR TGEWFREVHSTTVARAKAPAARLFLTENWRSLLPCFARWLYLHGPFSKLGTVAVKSVF PRSLLGLNAPTRVLHENPSPRSAIKTSCNYTGVTR ANIA_10793 MSKAIFLGKAEGKPGKVYYPLALKTLPQPTPKGTELLVKLSAAA LNHRDVFLRQHLYPGLTFDVPMGADGVGTVVGAGPDVTNPERWQGKRVILNPGIGWKD SLEGPEDPTGYKIMGGTKFYDKGTLQDYITIDESEVEEAPEHLSDAEAAALPLAGLTG WRALVVKAGESNTGKGAAVLITGIGGGVALISLLFAVARGADVYVTSSSEEKIRKSIE LGAKGGVNYKEDGWEKKLLGLLPKGKKNFDAVIDGAGGNSVEKSVKLLKAGGVLSIYG MTVSPVMPFLMQAVLKNIDVRGSTMGSRKEFKDMVEFVKTHKVRPVVSRVVQAELSDV AALDGLFQDMKDSKQFGKLVIEFGKPSGSKL ANIA_06228 MPTPLPSSFASAAAGNTQDASRRGDGTSSGEWSRTRMNGATQTF RRPSVATNPSHTRDATSATTPTGSAGGAYSTHMSSTRNGASVDTRYSKEQLLDLYKAQ RESGVLSKNVADYFVADWNPHIETPTANGAWGKRDDHKDNPIGPEVCWDHGGQFEPLG LVDMTDDEKETFSTSVNSPLKPPPTNAAKENAATGGSGRRTSVSYPQGNAPYNTSSPS STRPGPRRRETGDSIGNPMSPTTSGSRFFRDEPNTSTPPPSLLRRKTDFRDATSVSKW EEKEKEAQGRDTADTSSPFGSLKRSSTNPVGLPGSTSPWPSASQNANFSPMGAFGAFN LGTSSAAQTPTTEKRPGFGSLRGESRLKGLFSKDSSEDIPSVREKSSLSNLDRLGESE AEKRSQSPWGEQLKTRTGRSETNPFSDEPRSGSAALGGSQDVSTPSQVADQLGFSAFG MTSSIPGFRDLMQSHENSRNPTPHLPGREPTSPTNTNPYQSPHGDRGDVDDVDTDGSD IQNTNHPGLSGLRDSAAFGSIRRVGSGMDLPSIDRSQSSSVAGNRSFSNLGSLGGLPS LGGAGWPSSGAVGTPTKDRSAFATGFGDPIFGSMADLQSPSLATLGAGGLFSPHAGIS TSGSIGRSSKLGSLFPQAMQEQIQSEQPRHDLSSFDETQTGESILSLSKNSLTTSGHQ ADAPGQTVPATTSTSHTPVSAVGSIPTSMVPEGQQASQAGSTAGSVPTAQQRTMVMPD RMRWIYRDPQGNIQGPWTGLEMHDWFKAGFFSPDLQIRKLEDPEFEPLAQLVRRIGNS REPFLVPQIGVPHGPEPNASTWGGAAPTGSAQPPFPGSFPSFGTTLTAEQQNALERRK QEEQYLMARQKEHLAQQQAMLKQTQFQPGVPGIYPPQLQHHSSAHSLHSQPSFGSIAS PIGFQPSPIQGPLQQQQPGSGFFDASGAIRPNPLPNVGSQMLGTDFLNSSQEQLPSLL DRLNVNRSDPFTFGSPTSFAARQPDNLFPNPQVATMLQDRARLQQEQEQFDSTHGDTL FDQQAREERLRQFHALRAQEGDFGMRTTEGLPTHPATAPSQPAKNAEDNAALEELTKS ITSEEPVLTLSQQVQKAAQEQEEQEQKKQQQQQQAQSTSDAAWATRGDSAMPQPFPPP PSASPLPAPAAQRNRQNVAESLAANSRSQTQTPVEAPTTSIAPWAKEVNEMPKGPSLK EIQEAEARNAAQREEMAAAARRAQLLAEQERLSQAQAQQSPGLPSSANWASAGSPATP TSTGSVWNNKGAATTSAAKKTLAQIQKEEEARKQRSAAAAAAAAAQNIAATTPTPSST GKRYADLASKAPAASPVSAGSGAWTTVGASGKAKAPPVAPTGPRSTSGPVPVAASPVR PKAVTATTTAPRTVPATTPSSNPSRAMEEFTKWAKLTLGKGLNSNINVDDFVQQLLLL PAEAEIISDSVYANSQTLDGRRFADEFIRRRKLADKGIVESVSTSALAEKNGGGWSEV AKKGSASTSREEDTSNAAFKMVAPRKKGKR ANIA_06227 MASPTPFGAPMKAHFLLDPNYNNLNHGSFGTYPSQVLEKQQSIQ KSLESRPDIFIRYIQPGLIDTSRAALAPLLNVPVSDLVLVKNATTGVNTVLHNLALTR TLTADDVIFYFDTVYGAVERALFALKESWGVKLRKVKYVFPLEEGGMVKRFREALKSV RKEGLTPKLAVFETVVSNPGIRFPFEEITRACKEEGVLSLIDGAHAVGMIKLDLAALG VDFFTSNCHKWLYTPRSCAVLYVPERNQKFIRTSLPTSWGYVPPQVPPSESGEDKDIP PSTLPNTGKSPFVALFEFTGTTDDSAYACVPAALNFRDEVCGGEERIYAYLERLAGEA GELLASALGTDVLRAVKDASGAGKGGKGLGCSMVNVRLPIRITNLDLNSGTKDKAINV RPEDVSPLSHWLHEQLIARGTFVPCFPHGQWMFVRLSAQVYLERSDFVWLGDVFKHIM EGVPGFLEANKNGTQAKAKI ANIA_10795 MRTLYHNASVFSRTEYDSKDADTCLLVEDESIIYVGSEQDAPAS DKQVNLAGRRVLPGFIDGHMHLLLFGSSLSKISLAGCTSLSEIQSTIRDAATSRSPTT YPRLFCSGWMHSMTDGQALASDLDDLDPHGRPIFIDAKDLHSAWCNTAALTDLGIDKS TPTPAGGDIARDNDGNPTGLLSESAAVTIVWPHVARVATTNEKKEYVKDAIRAYNAAG YTGMVEMATDENIFETARQLREQEPESFSIRLAMHWLITPAASEEEVLAQVDRAIELH EKYNKETSSDFRIAGIKVICDGVVDACTAALLEPYTAGLSNTASTASPAPLWEEGILR KVVQRADGARLQVALHAIGDAAVALAINTLETVEPRPGSQSRRHRIEHLELTSPSDAS RLASLGITASIQPVHADPAILRAWPRLLGPERVRRAFAYREFAEAGANVAIGTDAPTA PHSALRNLYTATTRRSAREPECQETVNEGFKLSLVQAISAATRNAAYSCFADDVTGAL EVGKKADFVVLDMQWNENKLLEARVVKTYFQGRCVFAESES ANIA_10792 MDTGDRVLCHACGQIEIPPDTDPEPSPSPSPSHPHPDPPESFPG QRSPTPSSSNPFADHNPWAHTDDIDDGHGWSNVVGYQYTHRTFQSPGGNIHFSYHAHS LPPRRGQAATRGAVDDPTDPIQPAFHGFNSFFQSLTELNRQRAARAQGPGSPGSPGRP QPRRSFFDEIYGPDNGPHSSRGPFDDGAGGLFPRDADRPQPMGSPLRSLGDILELFHT NLNNLQAGQLGETDRPGRPNVRVVTGGTPIRALLEVLMDISRNGDAVYSQEELDRVIS ELVEQNGNRTAAPPAAQDVIRALPKKRADAEMLGGEGTECSICMDAVKVGDEVTVLPC THWFHPQCIELWLNQHNSCPHCRRGVDPTAADANATNTMPSGTEGTDAQARHSPSSSS NNRPPTASAEEEGSGSRPRTTSRSESTSSNSGGWTGWVRSRLGGGGS ANIA_06225 MTSSNLPYAADAESPLKPAELQVLRAQYEKEGDYVGVQTKFNYA WGLIKSNFRADQQEGVRLLSEIFRAHPERRRECLFYLALGNYKLGNYGEARRYNDLLI DKEPGNLQAASLRTLIDDRVSREGMVGIAIVGGLALAAGVVGGLLFRGQRR ANIA_06224 MLLDENPSTLIHHTIGNFNIAPDKQAVSRINDSLATLQQSRELR MREAESSLRKLSRHLQSLSTQHEEAVAAHDASKHAAAMVELDTKKFRIAKAASELEIE SERLEGELEMLKERLADLEAQGLEGDEATRREREADDAILLRLKIYRALGIDIEPDEA GNFTKAVIRNSRKGDVHVVNLDPKFSRFFYANYFWSTMQG ANIA_06223 MADILTQLQTCLDQLATQFYATIGYLTTYHDNSPAIPPDNPTSA PALAKIQKNSTNPPIPAGAAAILNASQGSPSGAATGAVTPGPPAPNASAGSGAGEAGA ARQEEGLPPRPDSPRTFTARQRELARDLVIKEQQIEYLISVLPGIGSSEAEQERRIRK LEEELRAVEEEREKRVRELRVLRRRVEEVLGAVEGGIYGGSSY ANIA_10794 MLLNPRAYKKQLQKNGKDPDILSCLSGLQQDHCTGPDSQNAPHT LHSSYRRSPTPPEDPEGSDSEGLLATTVGQQGTNSQQRDIAPSMTPQGTAVADRLLSP QRPSSHRASRSRAQYPSSAGSTNTSTSPQSSTHVTPEIDVQFTNTSGVDDCDGKRSAR FVELSNDADLRPGSLIENMYGVERRAGNPYKRVKTEQEKIEKAKRGFTVGNSELGEYM RKDDGGTGISTAITPDIVDLTIDASGSNDDDDLQVTGSNNLSVQRVCYGKLENATIQA SLIPMPAPQTILGDIAHWPSMKLGVHRQAGQTNNRIEVSDPNGKVFGVVDSRTAAVIV PLLDSPALKVEIAARLDVRKKLPNEVPWTPCSSIFRASINLYGLRQDAEKVGNYLGQN NVWLATPFSVEQGVPVFNPHAERRRLQPSYAPTGSQRNRSGVNYEVRSAEEVTDAVMK MFDQLQSADNLPEMDPPAVVTTPLLRHQKQALWFMTEKEAPRKFGPKEEDNNSLWREE YSANGTKKYREVISGIVLDQEPPQSLGGLLADMMGLGKTLSILSLVISSLAQAQEWAR MIPQPGLVKSRPGIRNTKTTLLVAPLSTVNNWVSQVKEHLRDGALTSYVFHGSSRTTS VDELSKYDLVITTYSIVLSELSGRGSRRAGSSPLTKMNMFRIVLDEAHTIREQSAAQT QAIFRLNAQRRWSVTGTPIQNRLDDLLSVTKFLGIYPYDDRSRFNMHILSRFKTGDAT VLASLRVLVDSFTLRRVKDKIDLPARHDKIVMLEFSESEAQLHEFFRKESNVMMRVIA GEDKSKMKGRMYHHILKAMMILRQISAHGKELLDSDERARIKGLSVHDAIDLEEGPSA DAVATDKKAYEMFTLMQESSADMCAICSKRLEEPNPDANGSSVPGKVDIIAFILPCFD VLCPECFSGRKQVFNNRVGDQDSFDVKCDVCEGWISASYSAITAAGLQDYLMEQAREK QTRKQAKVLGEYEGPHTKTKALLSHLLATAEESAKLPEGEAPIKSVVFSAWTSHLDLI EIALRDQGLTGYTRLDGTMSLPARNKALDNFRDDNNTTILLATIGAGGVGLNLTAASH VYIMEPQYNPAAVAQAIDRVHRLGQTREVTTVQFIMKDSIEEKIAELAKKKQQLADMS LNRGKLDKAEVQEQRMREYRSLFK ANIA_10791 MPKNNRPATSGYARLAQEEEDRHNDPYEYSDDDDLYHTSSTVNP YGTHYEPISTRGSMPPGVATTPPEYRRRSSVQHRRGRRNSAVDIKAINARLERWAEEF ASKFKIHRVKGKTLEEEKLEIYHSVFQPPNGVRPITAEELDSEEAEMATRKAREGFEE VVESVRLAIELGVHPRMISQGSSGSYFARNSEGKVVGVFKPKDEEPYASRNPKWTKWI HRNLFPCFFGRACLIPNLSYVSEAAAYVLDSRLRTNMVPYTDIVWLSSKSFYYDFWDR RKAWMGKKPLPPKAGSFQVFLKGYKDANLFLREHPWPDQTNTGFRAQDAPKRKKRPWN EACRPSGIQSDDEDEGEEDEDYHARTPSPQEESRERRFYWTENLKQSFREELEKLVIL DYIMRNTDRGLDNWMIKIDWKTEDVSIVAEPPKPNEHQQDDDDHLVPPRPVSVNSDKA TSPSYPYRRHETMQAVSRTGTPLNSQEPQATIQIGAIDNSLSWPWKHPDAWRSFPFGW LFLPVSLIGQPFSQKTRDHFLPLLTSTAWWSGTQMALRRVFSQDDDFKESMFARQIAV MKGQAWNVVETLKQPDHGPLELTRRTRVCVWDDLVDVPVAIPLRGPSTEAQRRKIRGY DDRYDPDNEEMDIGAAMSVADQDLMGFQSPPSELPNPNRFELSRGRTSRDSVRPPTAS STDYGFSRDSADGLSPRRNGDQTWPSLPPRPGNKHQKHSSVSSARGAHVIWSSDDLEG DLGYAAAEGMEGNQRKVIVERIEAVKSKNPVFTWC ANIA_06221 MASILADQKRPQLQPICQNCGTSKTPLWRRDELGSVLCNACGLF LKLHGRPRPISLKTDVIKSRNRVKTAGQGPKRKSGSAVDGNGLSSSRSEAGTPPLGVS QGYRRASRKMSPGHSDRSNSPVPPTDAHGLSALHQPLAQPHHNSNIAPQHLFDSVTAG DHTSQLPSVQLRQPSPTSTTAPVDRHHEPPQTYEDLLAANTSLKTRVSELDLINGLFR GRVAELEQSDATARRSEMILRDSEVRLRRSLDDAQRREEELKRRIAELERQLAERTNG ANTSQENPLEPLAKRMKLSDVVEHDSNSPAKSPKSI ANIA_06220 MSAVLFHGDTGRFLLMLALGTSLVNFFSTPFMRLLAPAPAAPYI SVSRPRSVESRPLRRPKSTELQSHFGDSGEAGMHTSTTIFSQSAIHSRNHSTASHSQP NGNGPDFDELSSLVSKTPSRTSQESFYERNAEVDDDGFSDVTPDSRQPDIRGLAMLRK IEFWQLFLTMALLSGIGLMTINNIGNSAKSLWEHYDDSASPKFIQERQVMHVSILSFG NFAGRLSSGSHSLGIGSDILVKKFNMSRFWCLFMSSAVFTLTQLAGASIWNPNQLAIV SAFTGIAYGFLFGVFPSLTAHTFGINGLSQNFGVMTMAPVLSGNIFNLFYGMVYDHHS IVDRNGDRDCPDGLSCYQSAYYMTFFSGVGGIIVCLWSIWRDGRRTRTHAKLEHDRLA ANIA_11504 MPIKSLTRHQKQPWKASTAYYINNFRYPWDNLTSPCYYQRGDFN MTFTGLVYQLPLFEPPNSTSQRARIDRADEPESTEPTSQYCRAVRA ANIA_11245 MAFRSPLLTLFAAALLFLGVCTARSIPTRRSPVSLSSFDHDLDS VEIWTRRVHDSGSDSDDASTSSTSTTSSSTTNSNNDNSDHDNDATSSSSTSDTCLKAK NVTRIIQNDDGYGSYYSSGIGSENSTAGGTTPDGSGTAPRFDNSTGAFVTLADDNAAN AVDIKDQHYLSYLGGAAVPFKSGDHSPNGVSPKKLNNLPFTTVDENNVKDGSCAVPNT SYAYDYQSKYSLGSAGNVPVYCLCDPYGLCGCDDHHGNSSFVDAMLAYMGLGDEAKNI SRICTVSLDGATTILVDGGLSNGSTKADPDADEVLARVPRTKSTRCGGNGGTGAAVAV SVNSWSLGVISLAVASLVWL ANIA_11244 MGALLSLPLLALPSAGTVLTAVGSCCGAATCSAVCSACGKFNNS MATRIAYAFILLINSIVSWIMLTPWALKKLQHLTLDYMEIKCDGKECYGWVAVHRINF GLGLFHLVLALFLLGVRSSKDGRAALQNGFWGPKIVLWLLFVVMSFFIPEAFFFVYGH YIAFFCAMLFLLLGLILLVDLAHTWAELCLQKIEESDSRTWRGLLIGSTLGMYIASIV MTILMYIFFAKSGCSMNQAAISINLVVFLIISFVSVQPAVQENNPRAGLAQAAMVTAY CTYLTLSAVSMEPDDRQCNPLIRARGTRTATIVLGAIVTMATIAYTTTRAATQGFALG SKGHNYSELGTDDNEHGLVTQQPSARREMRAEALRAAVASGALPASALDESDDEDDYD VKDDEKGSTQYNYSLFHIIFFLATTWVATLLTQNLDPESVDDFAPVGRTYWASWVKII SAWVCYAIYLWTLVAPILLPDRFDMY ANIA_09490 MNVSKMVGTGIFSTPSSVFEGTGSIGLGLFYWVIGFAVAASMLS VYLEFASYFPSRSGSEAVYLEQAYPRPRYFFPTVFAVQTVVFSFSSSNAIVLANYLFR LAESEPTAWQSKGVALASYTVAVLAVSFNTKWSLQLSNALGWVKLITLIFIAIAGLVV LGGNVSSITDSTAHFRNAFEGTSNATVYGATNSLINVMFSYQGYENAFNLVNEVKNPV KTLKWSTPASLITVGILYMLANIAYVAAASKQEIVESEINVASLFFQKVFGGGGASRA LNFLICVSAFGNLLVVLIGQSRMLRECGRQGVLPFTRFWTSTRPFGTPLGPYWLKWAL TAIMILAPPAGDAFNFVVDLGIYPQSMFNFFLAVGLLFTRRHRKRLNIPRTEWHAWDI VVYFAILANLYLLVAPWYPPAGGANGGDVSFWYGTYVVVGISLIGLCGVYYWVYMKVL PHFGGYQFRQTIITGPAGETSHKMVKVPNDELARWDEEHDAAGRLRRRANAHKTEQPL EA ANIA_10785 MESRTTSQPSTNPPSIEIAYKRKCIALKKRLSEIEAENELMRTR NRRGWQYIQKMRLESCILLERLATVTGMAEEAQAGVNPELRARAAAMVTNTASLDGMA PPRNGSGPGYYEDETEGSSDEQPPTPQERPLRVKRSRKSNLPIDDDDLPAGTTPETSG AGASSAWPRLAPAPSQEEMTSSFRIQQGNGSGQEKETPLPSDREGENRYVSGERAVDP SAETSATPMELDNKVLKEES ANIA_10782 MATQERKYPLGKIEPNTLKYFGACTMGGIIVDPKIYTSNISAWR SIISKEGVRGIFFGWSPTFIGYSFQGAGKYGFYEYFKYLYGERMFPNTNRTVMHLAAS ASAEFIADIALCPFEAIKVRMQTTLPPYAHSLREGWSKIIAQEGVSGFYKGLYPLWAR QIPYTMTKFATFEETVSMIYKTLGGPKESYNSLQQTGISFAGGYIAGIFCAIVSHPAD VLVSKLNADRKAGESAMKAVSRIYGNIGFSGLWNGLPVRILMLGTLTGFQWLIYDSFK VFLGLPTTGH ANIA_06218 MVYAGRSRGCHLCRKRKIKCDEALPECGNCRVYGRPCPGYRLES IFRNETSKVEQLVKKSAGCLVPSDSGIQRARRLRRDARASPSLTNYQIADSTWEERAR CYFFDQYTSGDDSDEAMSYLSFLPSLYSACRENRPESATAASMCLCLAVDATALMTLS NHVNAPPLILHAREYYGRALRELQQALTTKTQAVKDETFATMVLLALFEDITGERNGL ASSHAAGFELLMKLRGEGQLGNPRGRDLFHFAYTHTHVEMLALRGKPHYNTDWIVERL NGADPIARLILIASKMSQLFLATSSLQASASTSASASEPTIASSSANTNTNADDISRL TLLLLTGRQIDLELTSWSQDLPERWLPIVVYPDKSPSRSSTSTVAYEPLITYHHLSIA SVWTYYRTVRIVSHLIMLELRRTLAAATGTDASVEDTPAAARTTPAIIQKQITDICRS IPFCLGDVDVNGNRTTSPSAGSASAPDGISKPQPRARAFSVYSMIWPLWYILSCGLAT PAQEDQIRTCLARIGSVLGIKLALVLAEDAESQRRPPISLEGVMFPGPESEPGR ANIA_06217 MGSHSKAIPPSDKPCTSIPPNLLPSAPASPPTPAPSPTPHQRLP SWRAPEQEEDALLLNTKFFESLSGPRRQQYLEAILSLCSSQQLSFVSSYVAPRLRKDP FRVFPTEISLRVLSFVEDPKTLARASQVSKRWHALLEDDITWKNLCEKHAFTHRRPAE DNQDIIESIHNHRTNSLSGLQRRPNSATIQSRDGFADIPRSLSGDWIASSSIQTRKRR VRPLSYKYHFKQKYMIESAWSKGGRCTQRHISPDQGVVTSLHLTPKYIVASLDNAKIH VYDTNGENQKTLEGHVMGVWAMVPWDNILVSGGCDREVRVWNMATGESIYLLRGHTST VRCLKMSDKNTAISGSRDTTLRIWDLRTGTCRSVLVGHQASVRCLAVHGDIVVSGSYD TTARVWSISEGRFLRALSGHFSQIYAIAFDGRRIATGSLDTSVRIWDPNTGQCHAILQ GHTSLVGQLQMSGDTLVTGGSDGSVRVWSLTRMAPIHRLAAHDNSVTSLQFDNNRIVS GGSDGRVKVWCLRTGQLLRELSTPSDTVWRVTFEEEKAVIMSSRSGRTVMEVWSFSPP PEDTEFDAATIGASSSISEPPPVRDSHPIRPLVLPDLPQRSDGDQVMVDVPP ANIA_06216 MEGLFTLLTLSTVMAITSFVVGSLPLAFKLSPSQLRLISSLGMG VLVGTSLIVIIPEGVETLYDAMTVGHKGLSSRSVPLQFLHERSVIPTITTFPIGNDEA ERWEGFSKISTTDTPIIHLARDSHTEKAKDTSSAESEHDHNGESSPHAWVGIALISGF ILMYLIDKIPEFASPAKPQRPPHHISLDNLGSGLRRNSSPSRDGGLLAAAHSQRSSHS FATTTGLVIHAAADGIALGASTSDTGLSFIIFLAIMVHKAPASFGLTSVLLRQGLSSR AARTHLFVFSLAAPVGALATFLFVHLLGSGTTDEASARWRTGVLLLFSAGTFLYVAMH TMQENSSTPSRDLPVNGYDAREVAKPEKSTRDLIASVIGMILPLFLQIGHAH ANIA_06215 MSNTANHRITLIGLGTIGMSMAALHLSRDNTIVDVFDTRPDLEE ALLKTLPIFLVSSSSRTESQPIEVTSLISSGRLNIHSSLETACASATIVQEQGPENLE FKQTIWKKVEAIAPVSAHFWTSTSGISASAQQQLLHDKTRLLVVHPFNPPHIMPLIEI VPSPETAQERVEFAREYFSIPGSRHRPVVIQKEIPGFVGNRLAFALLREACHLVQEDV VTAKDLDTILMASLGPRWAGNGIFESYQQGGGVGGIRAFWDKLGGTMQEVWDGLGQVS VAEVKEKVVRQTDEVYGTLTSEETRREKEERLREFLKVQTQSY ANIA_06214 MSAEGEWSCDANDAVQITIVHPDQQKPKTLSSFHPQFTYPIFGE EERIFGYKGLIIRLRFAAHNLRPHVHVSYDEKFTAVDDAEPVDIIGALKEFLPEEAFS SLPEFESAVQEEDAKEFVPPGKLSHSYSIRGRNYEIWAASLADPQVQLLLNRFQIMVS FYIEAGTPLSTDDPEWTLDRWTVYFVLTAARYEKVEPPTPTASSYSIVGYATTYRWWF YKRDRSENPMPRDGPFPPPELVRPGELPSRLRIAQFLILPPHQGTGHGVNLYNTIHKT CLDDPTIMELTVEDPNESFDVLRDSADYHILRPEFLKHNIQINPDPWSDFSKKTKRVP TSSLLPLKTLNEIRTAYKIEPTQFAHIQEMFLLGQIPLKNRRKGGANMARLLVKKYRD DDPNNRRYYWWRMLTKQRLYKRSRDVLIQLKMSERHKALEDTVTNVEDGYEQLFGFFN EREERLRAQQEEAETSNNRDQRTKRKFTVEDEDDEDESAAAKRPKA ANIA_10781 MLPLGLLTAAQGHPMLVELKNGETLNGHLANCDNWMNLILREVV QTSPEGDRFFKLPEVYVRGNNIKYLRIPEEIIDMVKEQQQNQSQNRNRGPRDGGRGDR GGRGGRGRGRGRGRGGN ANIA_10784 MKALPTNELEDLLTRRSNTRVRLANAMQPLYVLLRDRRIRPNTR HYKAVIQSNSDPEHGSSKTVRKLLVEMEKYNIPVDAGPLRAALLALAVHPDYLLRQDV LRALRDRWVTLSEDDWHYVVAGLIREQQFELALDHIAHMERKRIVVKDWLHSLLIYNL CDFNEFDLVRELMVVRLNQGHVMSRELRTYVLDSASKAHHYDLTSFIWRRIVELKNAA PPRGICEQVLEVAARHGDTQLKNAVVNMLEHNELELGYKDFLSLVRGHLAAGDLYSAL EICTGTARGARMRCFKSIRVHCRENNIHPRDIWNTIKALKASGKVIQLEYAALVIELC EKAAARDPFVVDDGVNIYKGIYALCGRNPTLPMFNSLLAMCRAGNNVQSAVFFVKEMA KLGLVPNGETFEHLLFLCLKTGNFKSAYLYLDDLYKRGFKVRIRLRNEMLRLCAESDD EYAAKLLEHKEHPQLGKTAAQRERYKRQRAKYKERRKEKRKATSIAKAGEEEGWEDYE PSLSTPEDLVAKTEKVKTAVEQKPTET ANIA_10790 MHLSLSSTFATVALSVLSLTSTSAASLNLPKTAYRMPARTADPS NPALTWHVSQFDLGCSPGGCVYSFNILGHASENTPGFNTSCNGTSTQDDYAPCKDEGI LAQIEPATYPNWTVSVQHQWREAMFEEYYAFGEKNVSVAGNSTRTFTIPVTSVYGVA ANIA_10780 MSHISCLSYTLLQTMHQYNLFAWDEPICTPYIVTIQCAPNDISV LAPVDHREGRAERVFRGKHVQIVILCTDSPRPNPLILLPASILRQLVAGPRLQHPETG LDLCYVADNSEPSPISTLSNAARYLPGRFILTPLKQLVNFLDSKHGADWCIWEFRAEG TGYPDSEVYGRIHHFPFPDHHPPPFALIPKVMASMRNWLQRLDGSGADGQENQRKGQR VAVVHCKAGKGRSGTMACSYLISQEGWKMEDALQRFTERRMRVGFGSGVSIPSQLRWV RYVERPVEILEIHVWGLRDGVKVVVEGFVEEGQKIKQFHLFRKDERTVMSDSTLQSQS SQRSSNTTSEDDEDNKVSSNNDNNSKINPKQKLNATKLSGTSNQVQEQQPISATESDS SLLSTTTPASNSASTTPTTTTTSQPPQKHTHAVFLRPSSPLILPSPDINIDFERRSKA ASYTGLAMVTSIAHVWFNPYFEGGDKYDSGVFEIDWDAMDGIKGTSRKGIKALDRLKV VWRYADDDTTEVQNTHAQKRPVSRGVITEPKPGEPIPETRVKDWRLKDSHHGGGDETA EDKVDVDSSSSNDEGSVGTASEKEKENVPMAGGKSGG ANIA_06211 MGAARAFCIRFAPPAALIYVGGRVLWSIEAARNNSQLFLLHSDP KAAYSTTSTQCARGQVHHSVGEGRPGRSNTDRVEGSFHSSEPDSSIWSSMMQRFEGVK QSVGSPEWIELDQLKNYIIPDWTKFLPETVQKLQRELSMAPGSLADEIWREAHDPDLH PEILREATVRVGNSLCDDELEFRRKRKKHAVKALSAYLNIPEEDIHPDDVPIISMCGS GGGLRALVAGTGSYLATQEAGLWDCVTYTAGVSGSCWLQVLYHSSITGCNFTRLVAHL KNRLGVHMAFPPAALKLLTHAPTNKYLLSGLVQKLKGDPGADFGLVDIYGMLLAARLL VPKGELGASDFDLKLSNQARNIASGAHPLPIYTAVRHEIPILEEKAEKNEKPMPEDLI KESQSESWFQWFEFTPYEFFCEELNAGIPTWALGRHFNGGKNEVPAGTAPIPELHVGS LMGVWGSAFCATLSHYYKEIRPLLRGIAGFGGIDSLIQGKSKDLIRVHPIDPATIPNY VLGMKDQLPPSCPESIFQSQHLRLMDAGMSNNLPIYPLLRPGRDVDIIIAFDNSADIK QENWLSVVDGYARQRGIKGWPIGAGWPRAADTLKETEQSLREPENISEEALNRRVSEA QQSSTHEHAHSSSVTTKQTTTNPDFQPSPADTDLDYCNVWVGTMQERLSDKEPPPSKR LFDPRQSSSDPDHRESDFHLMRPDAGIAVVYFPLLPNSSAPDLPSSTSLTKPSPSDQH SGSENNSSAQDTIDTTKPLSPHPGTINPNVDDFLSTWNFVYTPEQIDAVVGLAKANFA QGEQQVKRVVRAVYERKKKDRLQREERMHGPHKTGLVLS ANIA_06210 MVAPRDTAFAEESAEVEVLYANLEKLKRLTKKIQGSLVRLETGG NVVKHAIGPIYSNTQSLQITNNNIDRVIEAIERLRQPLDAKNREEGVIRAGPQPNNLP QYLAAMRGVNDALMDLTSTNLKSNQKAISEFTSLLGIGNSKLQDLLRLKLGEHVSPIE PLHYLTKDLPFPTIPEDRIAEIAPICAAINSAAVHVPHRGEGGSPALKIYADVRGPYI STSAQNLAIASLNTLKRRVDDESPYKQGTNGIGTYSDALENFIYAEWEAIKRIFTGDH RGLALQMTCRSAIAEYSKTIRELNDYIRTNLLTDCFLAFEIIDIVTAKSYDIELKTGE LKSLFLEALRPVRETAKYSLAELLEETKRRAQAIPMLPPNGAPTPLVDKVMRSLIELT GYQKPLASILTSLGDGNWRSTSASSMNTPLDVNPDSDVLFSHFILDVIETLLIALEAR ARQLHRTKAAQGVFLSNVFCLVDRAIRSSPELARFLGSPDSVSRIDTFRKRATSTYLD AWKETSHYLLDVQYTSHTRGGSRPQSGGAVDSSAIVKSLSSRDKDAIKDKFKAFNASF DDLVARHKSFYMEREVRSVLAREVQAVLEPLYARFYDRYHELDKGRGKYTKYDKGSLS AQLASLQ ANIA_06209 MSSSNDVYQTPLNSRYASDEMKYLFSPRNRFSTWRQLWLWLAEA EKELGLPITDEAIEQMRKHTTINDEEFKVAAEEEKRRRHDVMAHVHAYGQVAPAAAGI IHWGATSCYCTDNADLIFLRDGLNILIPKLAVVIDKLSAFAKQYKDLPCLGFTHGQPA QLVTVGKRACLWIQDLLMDLRNLERARDDLRFRGVKGTTGTQASFLQIFNGDHDKVEQ LDELVTKKAGFDSAFIISSQTYSRKIDVDVANALGSFGSTCERIGIDIRHLAMLKEVE EPFEKDQIGSSAMAYKRNPMRSERLCSLGRHLQNLPKDALDTYSAQWFERSLLLMADK DDSAIRRISIPELYLCADACLILLNNVTSGFVVYPEVIKRRVNDELPFMATENVIMAC VKKGLSRQDAHEEIRVLSHQASDNVKKHGKNNDLLDRIRRTEFFAPILGELDALLDPS TFVGRAPQQVEKFTSTEVKKALQPYETYLATAETSALHV ANIA_06208 MSRRRASNSESLASSSVNEAVAYPFSGMQQNHTFSQRRGPIEGP GGRRLVRRVTWRSSTYKLMASLWVLGVLYIVWLIRDIFYLPFSYSPKPAVTTNFGDDL LAQFVGREECGISSQALYLPPSGDQSELYCRSREDLLSSMSNGGRHGFGAPYTSQGCF YRWFTNAEICETLSRFDGVLFVGDDSLANAYAGFNILLRQDLKQGSLREWEMSTALQT SCGCESQFTNPACSSARITSSEELYSRSAMGTQTPYICSAKTPHKFLSITGSPATSSD HNVFKNLLARPSESESAKKKPIAIIQSLSLSTSYSLSAAKNSIDEWLTLAKTSARSTP FLWIGPTAPGHQKPPSDNVHATSWKYTQDTAQVAQAKGIDVLAMYNATLQAQSWDGMH YGEEVALMQAMMIVNWLASL ANIA_11503 MVQLFAGQASTPEFIQSGDVRTLDHETRRGTEFARAL ANIA_06207 MWKPSERLMDTIRHYASFPATGVSLRQMVQFGDRPSTGTLFRAS QFLSEELPIRLAHRVQDLGELPDGLSEMPSIKKVQDWYAQSFEILAETTQNPSVREGQ YRSAMTNGNGNGKAAAAARRYFVPSDDQGNWPPELNDYNERFAKTLQQIKRRHDSVVT TVAQGILEWKRKRQRLQIDSTIQSFLDRFYMSRIGIRMLIGQHIALTEQTHVRHPNYV GIICTKTNVREVALEAIENARFVCEDYYGLFEAPKVQLVCKEDLNFMYVPGHLSHMLF ETLKNSLRAVVERHGADKEAFPVTKVIIAEGKEDITIKVSDEGGGIPRSAIPLVWTYM YTTVEQTPNLDPDFDKSDFKAPMAGFGYGLPISRLYARYFGGDLKLISMEGYGTDVYL HLNRLSSSSEPLQ ANIA_06206 MVRLTGGICDPEIQSSRRQHIPGPGASSSSTPRPSPLTPASAAS ASTSAYAVPSAQEKRMRSRLREERHAALCVLMDRELLTIQALAAQETLPQARRRFLST LLSPSDPTTAASIRADQFTIQRPTSSTPSSPFSTEPMVVSRSIVDVCETDDSGWYKPE PDLASESAMAASSPSAASSPMSGLKMKGRATPERSRAGRRVSSGRQQQTRERRRRWSG AERQDYGAGLSF ANIA_06205 MSNKRAKRPVRVANCSGYHGDPAYEMYRQATLGDVDFITGDYLA GMWIMDDSSISSSLTRAWRAGKHPGYEETAWKGIQQTIDVIAEKGIKVVINGGALDPK ALALKVQGLVRERNLNLRVAYLSGDDVYDRVGPNMPTTKEELQHLDSGNSSAAPAALT YAFLRGTADGKPIPMVSAHAYLGARGIVHGLRNGADIILCGRVADASPVIAAAWFWHD WAETDYDELAGALIAGHLIECSAYVTGGNFSGFDRYNLDDLIEPGFPIAEIDADGACI ITKHPGTKGMVTVDTVRCQFLYELQGTVYLNSDVNAYIGDVAVEEVEKDRHDLLLSFQ DLTKLIMVHSIRVSGIKGTAPPPTTKLAIFYQGGYEAEILLNATGYATSKKWDLLEKQ IRYFLPDSVQKELETLEFQRIGTPSSNPISQASSTTYLRIFIASRSPHAHLTLTLDMR TAVPRPFLAYYPALIAQADLGERINLLNDDNTITSIETGHPPAYAPLQPRENYHPPSI SPESPEYKTIFDGLTKRVKLGDVVLARSGDKGGNLNVGFFVPSSPPSPFTLETDKQRK QRERQKHLSLWLRHYLTTSRMRDLLGTDWRDDYFIERVEFPAIGAVHFVIYGILGRGV SSSSRLDGLGKGVADWLRDVVVEVPVALLE ANIA_10789 MSSLPRKENARSRRAARACTRCHSRKVRCDGSITGFPCTNCRLD ARPCILHSGKRDKERQLFKAVAKERSNGYPTPAPDRVSLTFFKSQMTLKVPIQEILDV FVKHYFIYVHPFLPVVDEAVFWAKYRGLDSSAGKISTLLFQTMLFAASPFIPIEAAKE CGYDSLISARDDLYRRAKRLYESGIEKDRLVIAQACLLLTWYTTDAERSTNSRWLRIA IRYAKKEQAHLYHKMPQLGSKRKVSDLKRLWWCCMIRDRIISLGMRRPIQITPDEFDL QLQEGLSLRDLEEECLNSEVYTSEIKIALCGVLASLCHLVAAVTDVIMLVYPTTQNMP FSLADRRAQLNRLEETKFALLDWELNWVANPDGKEYYIHPSLTLHTNLCAIYFQSARI ALCNRICLLIGHNAYLTDETDLSRIESCRADLASAICSTAHNVKQLILNGVADKLPIS AYALPSSSHCSLGILLSIQTQLSPTPEDKELHELMLVFFTEVFRFFRSQYYTRLILAV SWKALELCQPRTQTQTQTLLQHQPSSDTSDISIGTTVVSSENGTSGNSDSNELILHGQ RQLIPENALFYYPDLFQLKLTDYIRLLQYVDEFMSLRSMPGENAIYQAPSPALAPAPA IETHVQLQRQQAHAHARTYSHSSICSISSTRPAVTSTTGATAVNSPGCSSTIYSVDGV AVDPTSPKWTEDYFWVYFGEKELEGEPLQTAFTPNAGSPSNSSSVVSEIGGDSVSGNK NENGKSPQSHASVPPRDSGTPGTPKQEWMETQGTCRELAVVPAPADPASTAVQNMLDC LPLLGE ANIA_10779 MSEYSPEIPHRETPHIRLNSEQHDVFSDEDVTSRPLVPEHGVVG LGVSGRPLTPRADSSQSTGTLPTVYSSLNAHGSTEFLIPPQPQTYRQRSGDDITSPNL SDRSYRRASWSSVGSYSTRRGFAYSTRSRSRAESEGDDVNTQTVTEKYNIMPTDGLLL FPEDVEKDDYLHNPDPSDREGECDVWNKRGMINVGGLVLLTIGFLVLFIGYPVVTAMK GFEKTQSGYPDTPESAYSKMSADGKEWKLVFSDEFNTPGRTFFDGDDPYYQAVDIWYG VTQDLEWYDPDAVTTRDGVLEIRFDTFPNHDLEYRSGMLQSWNKLCFSGGRLEASISL PGNGEVSGFWPGFWAMGNLGRPGYAATTEGMWPYSYYDECDAGITPNQSSTDGISWLP GMRLPACTCPGSDHPSPGRSRSAPEIDVIEASVSPLNGDADKMVGSVSQSLQMAPFDI WYMPDYDFTAVYDPSITQINTYRGGPYQQAMSGVTNLNNDWYNGKAYQIYAFEYTPGI QGNVSWFVGADKTWTLDGRAIGPNGNVGQRVIPLEPLSIIMNLGMAWNFAPIDDDIQK YTPAYLRFDYIRIYQDPDAISVTCDPEGWETTEYIEKHPKAYMDANKTHWADAGYEWP TNTLMHKC ANIA_06203 MSSDDAYMSFLDKANADLDSGRAQQANTTQQARTETVDVNVKVP APLTSVDAYYISDTDEPFEPVALKWEGAQRGVWPDASSFSKLISVNTDLSDTIETLSP SSFDPRNQYASALRAVRAAAVENSKGDESAVEVKVYRVEVGTSRVEYYITALDGEGGL LVGLRAKAIES ANIA_06202 MSHRKYEAPRHGSLAYLPRKRAARHRGRVKSFPKDDPKKPVHLT ASMGYKAGMTTVVRDLDRPGAKMHKKEIVEAATVIETPPLIAVGVVGYIETPRGLRSL ATVWAEHLSDEVKRRFYKNWYKSKKKAFTKYAKKHSEENGASITRDLERIKKYCTVVR VLAHTQIRKTPLKQKKAHLMEIQVNGGSVAEKVDFARNLFEKPIEIDTIFEKDEMIDV IAVTKGHGFQGVTSRWGTKKLPRKTHKGLRKVACIGAWHPSHVQWTVARAGQMGYHHR TSCNHKVFRIGKGSDEANASTDFDVSKKQITPLGGFVRYGEVKNDFILLKGSVPGVKK RVMTLRKTLYPQTSRRATEKVDLKWIDTSSKFGHGAFQTPEEKRAFMGTLKKDLVTSA ANIA_11502 MTDIQVELQPVLDPGYGSGGWSKVAKVRKVPVPVELWPILRI ANIA_06201 MSQSHVYSQNEPQIVTAYLSGAEGHPAAPPTAYLPAETQSSSQR HSGADHNGIAEFPSSERASVHTATSRPYPSDSWDSSYPQSNRHSIQPSVKPVSRPDLE PTAAPVASVSSAYLEGNPILSSYGNGAARKEDRIRGSWTDHSSYMSGDERNPNVARAQ KRETHGGDFLSDDGEDAVLMLFRMSLPIPVFSSLASIYTVFGLLFTLFTLPLRLCSCV PYLRKTSLRTQLCDLLVPQLHIHERLIGLRPPSVYNDGEQSSVGEIGEGYSVGGLIMV LLLSSFMSFGLLLLAWIAAFFWVFAMMLGNPDGTERKDDGRAAVLGVSRWWQIWLSKA RSPSG ANIA_10786 MTIPLNPLPPPSFSSNTNATSPAPSSARIPTLLDPTGTVGLGHS QTPTTRRIAASATTATTWTSPPSLRGRLSVCVGTVFIAIINIHLVVPLGLFLLRLCHL DLSALAAADGIRSSNGLYIRVDSALAVIAVSASHIDVLGVVITA ANIA_06200 MATLAERLEKIKSPKLQNQHHTAVVLNAVEDTLRDQKADFSPTA YFAALLALLSQSLSAAQGIVNKDLATSVVYLLDITTNYVPAPILQSKFSQILTSLAPA LSLPEVDAPLLRPSIGCLESLLIAQDAAAWNLPHTQIGPRRAIAGLLSLSVDHRPKVR KRAQDALIKVLKTPPPTPALDHPAADMCAESALKTLGDSIAAASKQKRGRNDPHNREN HDPLVIHSLQLVKTVAVASGGWPSKKIEPLCELLMNASRSTNEYITMGAFEVFEVIFS SMANEFTSSKLPRLLEAISELKPAQNDSQLLPPWIAVLSRGYDVSAQISPEDTFEKLP ELFNMISSYLASPSSNIRVSASECLVSFVANCIPASVIIEPSVYDEKTLEKLAKSTKD LLSVKYQAAWMEVFNVCAAMFESFKWQSSPFLDDIVKTVGELRSNEAFQGKKEADNVL GAAIEAMGPEAVLNILPLNLIEQKAGQPGRVWFLPILRDSVTNTNLSHFRSEFVPLSE ALYQRVMEYASAEKTVEVKIFETLVQQTWAILPGYCELPLDLIESFDQSFAELLSNIL YKQTDLRVDICKALQNLVESNQAILSVENEKDDLILQRRITKEAAKKNIAHLAGFASN LLAVLFNVYSQTLPHYRGYILQCINAYLSITPEKELNDTFERVTQMLESSVTSEQEAA KQGNQPTNSGDKMPPTSHTLIDLVIAMSIYLPRSSFAALFSLAAAILNGGTADQQLIK KAYKLIPRLATTETGAAALRERNAELQGLILATADKTPASARRDRMLAIHELVSHLPT SDLHFIPSILSEVVLGCKESNEKARTASFDLLIQLAKRTIDHELNPPGTTIRNSLVPH MPDDAPDAPATIEEFFTMVSAGLAGSSPHMVAASVTALSRLFFDFHKDIQSAIRLDLV QTVELFLTSNNREIVRSVLGFVKVAVVVLSDDELRPRLNTLVPNLMVWSKEHKGRLKS KVKGILDRLIRRFGAAPLEELVGEADRKLVVNIRKLRERRKKKKKEGEADDDEDDDDG HNPGTADKNAKSFGNAFDKAVYDSDFSDSDDDASEISVDEEGTTHVQSKGGRKAQGRK GKQSEQYIRELSPEDNPLDLLAPDALANISTTKPSVRFLNTGPGSRRKHAAKVGPDGR LLLGGDDDAEDIDMAGGDGDNGESGINAYVQAVAGPDAIRRGQRGKIKMAQAQKKKSQ RDDEMDVDDGNENSANTNRQSSSQAGRGGPGRGSSRGGSNPPGRRGLGMPKTHGPSGI QKRRNPRGGRSGRGGIRVGRERGRR ANIA_06199 MERLSINDPPGTGPHGNLPQGIPPQGNLGPMTHGPPQLPPQMFT TAAQLLDLTDNIPRGVFLVRGENVLLLGEIVRSSWPQYTGTIIKMLTCGFSYLQDLDK EDDIPPNLIKATFKEVFELKKKEDNERKSHDKKRNNKLQELGFEAEHSGEILF ANIA_06198 MTQDDSARIMCADAPEAASKMKSRPDSTAGVPSLSTDSASTRST SSSLSLRTMWASGVIGGGILEEDDTGALVLPPDHINQRHIQSPSPPSSPLLRQQQIYT CLFHILDCHDTFDNCEQWKTHVLSHFRMHEPPDTARCPLCPAQRFSSTPHQRAWDLML KHVDVAHYQQGQTLAGSRPDFELMRYLYNLRVISVEQFKAMQLAPQPSSPAYHRRQDT SVVDISHVAVLRSSNLALSCAFCRNPFHAE ANIA_06197 MSQILTAPQAEALHKAMLAYLSVINAPQTAETLREELHFDESYN EATCKKFEGVLEKKWTGIARLQRRINDLEAEVRSLQAELEASPSAARAKNQDPTNWLP KPSSTHTLTSHRDAVTCVAFHPVFTSLASGSEDCTIKIWDWELGEIERTLKGHIRGVS GLDYGGQKGNTLLASCSSDLTIKLWDPSKDYANIRTLSGHDHSVSSVRFLTSNDNHLI SASRDGTLRIWDVSTGFCVKVIKSATESWIRDVSPSFDGKWLVSGGRDQAITVWEVSS AEPKAALLGHENFIECCVFAPPASYEHLATLAGLKKPPPATSSCEFVATGARDKTIKL WEARGRLIKTLHGHDNWVRGLVFHPGGKYLFSVSDDKTIRCWDLSQEGRLVKTISGAH EHFVSCIRWAPSPNTDNPDPAGEKAGKKDAVKPSYRCVIATGCADNSVRVFS ANIA_06196 MAPPRQRTTAVQDDSRSEASSTTTKELKAAAARGRKGANVGNAT AVSRDLKSTSNVTSAPATQGEQTDNLPRIPWSEMPLEFLHSYRHAYKLTCPSAHSSEY AHNLLSRGIGLLSPTAIAARRAQNHGSQPSDGSLKSHHNRSRPNGTSGDPQNSFSKRS GPHNGKGTLNHIISQDRVAKSQLATAVRKHFNSAGLVEQEAIARFLYKVREEGRGRHF RLKFQP ANIA_06195 MPQPGSSVDFSNLLNPQNNTAIPAEVSNATASATMASGASLLPP MVKGARPAAEEARQDLPRPYKCPLCERAFHRLEHQTRHIRTHTGEKPHACQFPGCSKR FSRSDELTRHSRIHNNPNSRRGNKAQHLAAAAAAAAANQDGSAMANNAGSMMPPPSKP ITRSAPVSQVGSPDISPPHSFSNYANHMRSNLSPYSRTSERASSGMDINLLATAASQV ERDESFGFRSGQRSHHMYGPRHGSRGLPSLSAYAISHSMSRSHSHEDEDSYASHRVKR SRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLKPLSPSELHLPSIRHLSLH HTPALAPMEPQAEGPNYYNPNQPHVGPSISDIMSRPEGAQRKLPIPQVPKVAVQDMLN PSGFTSVSSSTANSVAGGDLAERF ANIA_10788 MVQSPMISCPLKQTNEIDWIQPLKDYIRQSYGEDPERYSQECAT LNRLRQDMRGAGKDSATGRDLLYRYYGQLELLDLRFPVDENHIKISFTWYDAFTHKPT SQYSLAFEKASIIFNISAVLSCHAANQNRADDIGLKTAYHNFQASAGMFTYINENFLH APSTDLNRETVKTLINITLAQGQEVFLEKQIMDHKKAGFLAKLASQASYLYAQAIEGT QEHAKGIFDKSWVTLLQVKSAHMGSVASYYQALADGESGSHGVAVARLQLAEKHSTSA LSWAKSLPSSISPNTNLTSEAGPSLVDIVKFHLANVQSQLATFVKDNDFIYHQPVPSE AGLSAVSKLPAAKAIPVSELYQGQDIQRIIGPDIFQKLVPMSVTETASLYDEEKAKLI RAETEKVETADGEMAASLDYFKLPGSLNILKGGMDQEVMVDEEFQRWCQELAGHDSFA KAFDTLQDRKSEVLATLDQCAKQLDLEESVCEKMRSKYGADWSQQPSSRLNMTLRNDI RTYRDTVHEASASDAQLSATLRQYESDFDEMRSAGETDEADVLFQRAMIKAGSKQGKT KNGVTSPYSATEGSLLDDVYDDGVPSVAEQIARVESILKKLNLVKRERTQVLKDLKEK VRNDDISNVLILNKKSITGQESQLFEAELEKFHPHQMRIVQANHKQTALMKELTKTYG DLLQDKRVRAEQSKYESITRQRNSVMARYKKIYDSFNNLGSGIKQAQTFYAEMTETVD SLKKNVDTFINNRRSEGAQLLGQIEREKAAGTSDHEEREREKLRQLMERLSTEPKPPS VPPGSSTAGPAKAKSPPPPVKAPAYPTNIAPPKASPHFAPTVPQQHGTPVSHSPAPYS QYVPPGAGVSYLPSQSFQQGAAAPLSEGYNPMAYPIPASSMSPPPSQPFYSPTPTPFY TSPTPPVPSGQYMPQGYVPPPPPPRPQQPTYPPSTGPFPSGPGGYAQSRPYGSSQHHK AHSQSSPQTGPSVSANSSDPWAGLNAWK ANIA_10778 MNPSSALMPKATAIPGCILRTSRQCSKHNQTSGIRASSSTRQYH VAAIESPARRRRDSTFMKRSDFIQARNFHATKVLAAIPDPYKVLGVDKGASAGDIKKA YYGMAKKYHPDTNKDPGAKEKFAEAQSAYELLSDKKKRETYDRFGSAAFDQNGGFDPS AGAGGNPFAGGGGFHGFGGGFGGGFPGGFAADINIEDLFGAFAGGARRSGRGRRGPFQ EILVGEDIEVQTNISFMEAAKGTSQDIVITPLKECGTCKGDGLKEGAKRTQCRQCNGT GTRVHLMQGGFQVAATCDACGGAGLIVPRGSHCGTCKGDGVVRERKTVRVDIPGGVED GMRLRISGEGDAPPTGTAAAPGTRTQRGDLYVSIRVSPDERFSRSGSDILYTASIPLT TALLGGEVLVPTLDGQVKVKVATGTGTGDRITLSGMGMKKLGGRSRGFSPNGDLKVEF KVAMPKYLTSNQRTILEVLADEMGDKTARRIMDIPRDGLSTAPAADASSGNESKSEGF LKSAWHKLMNHKKPAGSENSSDSSSNKDSNSSGDSKKSE ANIA_06193 MLRGQTLRWRAALQTPRSLILRPLFAPGGYNVGPRSVLETSRRF RSLPPSLRTFSSSTARRKEKPPPGDEKEDSNKKENKDNDDGTEDKEVERDPRRKQADS SGKHGSSVDPGAPTSGFARRREKAADRDQRSVTEDAKREAEAKGNSSDTPSAIPVSDS SSESKPSGSHNGGDDGGKKGKKNDKALQKPSVPDVYPQVMAIPIAKRPLFPGFYKAIT IRDPNVATAIQEMMKRGQPYVGAFLFKDENADGDVIESTDDVYDTGVFAQVTAAYPLR GEQSGVTAVLYPHRRIKISSLIPPGDSTKSGNSEDKTTEKRGDVVASFEENAAELVTK DHYEPTSFLRKYPVSLVNVENLTEEPFDKKSAIIRAVTSEIVNVCKEIATLNPLFRDQ ISAFYTDQFPGNLSDEPAKLADFAAAVSGGELHELQEVLESMNIEERLPKGLVVLKKE LMNAQLQTKISKDVEAKIQKRQREYWLMEQMKGIKRELGIESDGKDKLVEKFKEKAEK LAMPEAVKKVFDEELNKLAHLEPAASEFNVTRNYLDWLTQIPWGQKSVENFGISHATD VLNEDHYGLKDVKDRILEFIAVGKLRGTVEGKILCLVGPPGVGKTSIGKSIARALNRQ YYRFSVGGLTDVAEIKGHRRTYVGALPGRIIQALKKCQTENPLILIDEVDKIGRGHQG DPSSALLELLDPEQNSSFLDHYMDVPVDLSKVLFVCTANVTDTIPRPLLDRMELIELS GYVADEKMAIAQKYLAPAARELTGLKNVDVTLTEEAIEELIKSYCRESGVRNLKKQIE KVYRKAAFKIVSDLGEDVLAEDKALTAEGKAAQEESEKETGPIESTSEQEKATTENPR VALNVPDSVHLSIGKDSLTDYVGPPIFTTDRLYDTFPPGVTMGLAWTSMGGAALYVES ILENALTPESQPGLDITGNLQNVMKESTQIAYSFVKSVMAKQFPENRFFEKAKLHMHC PEGAVPKDGPSAGITMATSLLSLALNHPLDPTIAMTGELTVTGKVLRIGGLREKTVAA RRAGAKTIIFPADNMSDWLELPENIKSGIEGHAVSWYSEVFDILFADLDKQAANRVWQ KQLSKEPKKSNDKDDH ANIA_06192 MAISIADSSNSSPRSSLAFLLDPDKVEPPRPKQSMWHRLGLRRW LGRDDRDFHSRSPASASNESSPPASNDRFKGNNTPPKSHDNLTRRLSRKVGVGLPRST TFKRQESERRDRLSPVESEPRPRAVSADRRTLSLQRTKSPQSVVDPRLSAPEINWPRD EPDPDPDPSITKDDEPPASNEETTQDNDGRSEWDHNPEVTDTPEGYPVDSVDMELDRR WILNLSMHFRDRSEREKFFVTYAEAANRWRRVTISCDYRDAEPESLEEDLKELRYQRD KCARIYESIRESLPEIQFYDTVTNLKLETRDGRLHVHVTEDVNEIIPYPPISSIGHLP EARLVPERWLHFEAHLSGFVYNVRLGDKSFIKKEIPGPDTVDEFLYEINALHALQGIP NVIQVEGIVVDDRQEVVKGLLISFAEKGALVDILYEYRGTISWERRERWARQIVQGLC EIHEAGYVQGDFTLSNIVIDANDDAKIIDINRRGCPVGWEPPEIAAKIESNQRISMYI GVKTDLFQLGMTLWALAMEEDEPERQRRPFVLDGDVPVPDYYRRLVSICLSPSPRQRL SAKELLTLFPPETGTFDQLPRMQPHTSIGVGLHNGALPLYDSRAAFLYSRGRQLGNFT HSNTHIPEQPPINSSESIHSPETDRTVSDREEQEQRMELYDEAVQLNGFRKSESLIFD ERSSVVDDMVQMRRLARPEEAQQSRRSPPIDYAENEFDVDPRYRSSSTDMTMVDPTSN GEPLSDGIVGGESWKISSENSSIHYNAPEQPATTPAPADTYPVDSVTKSLSSLESLRP VPTLLLSSLPINPAAESLEQVICTTGDILRPSNVALENTQQAIDQPSTYLSDSVLPIN PAARALERVLPGKVQSQANIQSTLEYPQDVAVISRLSDRSLPLNLVTKQPEAESVDLS AENASNSQDEGASEWHQQVIQAQIPDWSVPRLTANPATESTGDLKPEAGTSFIHGIDS TQPTEQTSEDQNQNLSNSQLPINPATITSGDISSDNIDASLTPIAQSIRCTQQTIRDQ NQSSFLRNYHLPINPAITSPEGTIGYEAIIKPGINAGSTSYALPVIQDSNQNSFLNAS HLPINPAAKCSNEGTYNTNSMPPTTINAQPTPNSRHGVHDRSFHLNDSHLPINPAFAD KALQSV ANIA_06191 MEDDDAPPDLVDVSQLPKSELQSSSAELPPQQRVPITLVTGYLG AGKTTLLNYILSEKHGKKIAVIMNEFGDSTDIEKPMTVNQDGQEVTEWLEVGNGCICC SVKDSGVMAIESLMERRGTFDYILLETTGLADPGNIAPIFWVDDNLGSSIYLDGIVTL VDAKNILHLLDEPTPEETVAVENSKDGSDHDHDHAHSGPVLSMAHMQISHADVIILNK TDLVTAEELEHVRDRVTAINSAAKIHVTDHSRTPQIEGVVLDLHAYDHLDSLDFSQKG HSHIDPSISTILLTHPPLHHSKIPLVDVWLRSVLWDSTLPLPSGSEAQNPSFDIHRLK GILALSDGSSRIIQAVREVFEIRDEATPTKSSSAAEDSDRRITIMSDVL ANIA_06190 MTTAAVSPSSSPRLPSPPPFTEVQIGPKSPSVGESFGAEADLQL LGVSSGTDDGPTRRIRPGSKSSEMAAGPPLIPLSQLDSPFQLQEHLKALYNHYTRPED SDTVVPIQREVARQLAEPPEGVERSLWLYELCRFLTMKVNNLIIAFFAENPPCSAQTC PEMRASEWQYLCAVHDPPKACCAIDYCCHTLDWATNILTSPKHFPSRLTLGSESGGGP QAGLRHLTNIFRRLYRIFAHAWFQHREVFWQVEGHDGLYMFFKTVCDVYHLIPEDNYT VPPEAEGDDAHQPQHVQEQGDGRRLTILRKDNESLLPPLDQVEPASISTGATTRRHKS SPSIGSRVTTISESVEDNEEQPQQPQQPPLEVALPQQQLPSEPSSGSPGEPVDSPTQS AESQITVAEVEPEDEASEAAEASSAAQELDENPHSDIAKEESPAVAPEDAKPGATSES DPAVTKSESEPETDSDTNSESRQKEEEPAKKD ANIA_06189 MPLPKGLPIHFGPFLVTPQVFHTTPLSFALVNLKPILPGHVLVS PRRVVPRVSDLTPPEAADLFLTVRHVGRMIERVYGASSLNIAIQDGAEAGQSVPHVHA HIIPRKKRDLNSTDEIYDKLDGEEGDLARGLKGRQGDDRERLRVDDEERVARGPEEME AEAIMLAREMEKEPLD ANIA_06188 MGSQHPFEQSLWTPQSVLSTLPNPPEENSTSPIPFFHLLERLKT TKREGWRRFNINDGESISDHMYRMSMMTMLAPPSLAARLDLPRCMKMALVHDMAESLV GDITPNDPIKKDEKARREAAVMEYIANSLLRNVPSGVSAGDDILAVFNEYEANETLEA QFVHDVDKMELLLQMIEYERSYEIDLNEFLGVAKRIQLPEIKEWAATVLEERKALWKS RQQQESTSEATT ANIA_06187 MNHGRRFLSTVNNPFDNLYQLHVPYLIEMQASKNPRPKPSNWPP QSFHTVLIRNLQLLELDQLEDWPGITPRTLLPTSQNQRQRVKAIEWILFRLVALWDPE TARDKLRPFFPPLEPLQSVNLRAALYRILSDLKKNGDLGRETILRKSMLDDCKGEKFD ELLAVFSTNVLRRKISTRNPAIDLSLTSGLTRQEYTRLLPLILAHRASLSTLSERRER VRDTHEKFSQLLDRKKEELDTRSAIDTHAIRVRDTEIEALAHETRANWQGSVEWVNVL LYGGLSSSRDAFLELPFDSAWSQAMASTVDKLRTTATRSDLILDLETRVSRQRARLQH WCRYSDSLKRSGLASPAKPAATNKGPQLIFRDHQNLTIASISKAVRQPVNRGPPDVDD QNILHSLSTAMERINGVSRQRQSSPSPISGLEPEPEPKTSRSYPPIERPEVIEPPTGS NASDYIDEESLKKRHREIFTLTERTRRSMSFFEGIPESPPQAEPNPVKDSTNSSPEEE PPRESYTLVERTRKSMSLLPPPRDPPRPPRQSRKSRASFPVNQFETPPKPSYDIPSRA STPRDELFEEQADYASVFKSRPRIALSPVASPAVHINPIEDFDLSADGNFGQGHTKDD LNHAALGSPLRSRGRW ANIA_06186 MPPFIRSKRQSSEHNTTSNRHATTAVTTPSASTSLTPASDSSLT PVPTDLDNDSSNEDKKVVSDSDVTDSEEVDWEDAIHTAAPATSFVSPHENLELTLDRN EVHLEDILQGQKAPTKIERQIRILIHRLHVQCLLAHNAIRNDWINDPKCHDILRQRLP PAIQAEIQKWRRASGLEPPEAPKNPPSQEKKGKQSKHQVKSQQRDWGEDSSRQESGQP DLSNGDPIIYLMRILAAYWKNKFKITAPGLRKHGYRPMSQLQTQIASFHKDKHDPELY GEKIPSVEEFRQAAERMEGSRDLGAQLFTALLRAIAIEARLVASLQPLGFGWTKAEAH TSPKSKTKPKHTNLESDGDGFPDSDEEEDAQPSSRGRKAAPRYDQDLPFPIYWTEVVS PITHQVISVDPLVLSNAVAATQELQAAFEPRGAKAEKAKQVICYVIAFSADKTAKDVT TRYLRRRTWPGKTKGFRLGKKGPDDDLLDWFRVLLRNYERPYKDRTAVDDIEDAKDLV PNRPTKSKPTNETVDTLQSLRTSSEFVLERFLRREEALRPGALPVRTFTPGGKKKNAN GNGASTPTESPKAENVYRRSDVVKCQTAESWHKEGREPLPSAKPLKHVPIRAVTLLRK REVDEEARRTGQKPLQGLYSFEQTQEIIPPPIVDGIIPKNEYGNIDCFVPRMVPKGAV HIPFSGTARICKKLGIDYAEAVTGFEFGSQMAVPVIEGVVVAAENKDLVVDAWRADNE EKRRKEARKAEAKILATWRKFLFGLRIAQRVQEEYAEDEEGHLPDAHNPFASRKASTH ARGHTQTPAEEQHPAGLVDEEEEEEEADRGGGFLLGDDHADDGDLIIEVRQPPVRRVQ NISSENESLSGDVGRGGGFLLDGNNDSNDEGQLAQMRKPPVRRIPRILYRDGSPVLEE ESSPEEYTEDISPPPQKRRRTRNSRKT ANIA_06185 MEAVKRFFSSPRFAVAGASNDSHKFGYKLLAWYHQHSLPVTPLN PRSPSIQLSPSHSYDTVASPRLLSSPSQTSLSVVTPPAVTLPLLQEAHSVGIPAVWLQ PGTFDDAILDFARSHFEAVVAGDGGRGSEGWSSSFLFDSPIPPHLDLVGAPSPLFQVP PTPSASSALYRSISISQRKRSRQAQENSRVDVFQTPHRPPPPVNSYFEDPEEAYDHED HDIHRPSRYREYPRTPLDASMTDSVDDFRRKRCRREDPSLVIAASPSGIDEKAAAPEH MATEARPFRWSQAVLNMVGKVWDFCWSGPFRGFYAGGGRGYSLDPPQRTPEEVYPHRR SSTPHPVTIPPRSSSLSPPPAEKRAVSVPGEYPLDAGEEALRGSWVMISPNEGASSFK TPSARSHARKSHAPRRVVHRRSPSRRTLIPHPPFSAPAKPRESPVSVETQRYLAKQRR LEREEDASLQRLNRQLQAMIKEGRQALGTRVEVEDDLDMDLED ANIA_10783 MASETVAWIGLGNIGRGMSRNIALKGPQKTPVILYNRTASKASA FAESINAEKPQAAVAVSSLPAAVKDASIAFICVGDDSALDQIINTITSDDSPDLQGKI IVDCSTVHPDTSRRVHATLSSKGTSFIACPVFGAPNAADAGQMVVVPAGSRAAINRIQ PFLEGVTSKAVLDVGPEAEKDVGRASLLKVLGNTFILNTVETLAEGLVAAEKSGLGID VYQQWVTTMFPGPFAKYAERMATGEYFKREEPLFAVDLARKDLRHAADLAKAAGMTLP SVKVTDDLLKVVKEEKGEKGDIAGVYGAIRKASGLPYDNQ ANIA_10777 MAKPTVLFVTNQELGQATVVLSVAYEFLIRQSYNVHIASSRQLE PEVSKLNAQAARATQLQSSSTTANFHTLPGRTMFEAAMNRGNSSSSSSGSWFNAHNIG LFGARQAYTMLCGVMVPWTGDEYIAVYHRCIEIIKKVQPRIVVIEPLCAQAIDACRTL RCKYAILSPNTVKDHVVQPMLGNLWKFPVLCSGYSFPLPWRHILPNAFLAICAGLTFA NSRVFKAIDERRHAEGITGPYPVMASLAKNPTPLLIASRPEIDFPCFVPDSIISCGPI LRPCAPINEECPELAEWLLRGPTVLVNLGSNVCFDRDQTRKFAHGLRMLLDARPDIQV LWKLKPDRKVEAALWIAEAVEGIFDEVFAGRVRIEEWLPVEPICILESGQICCMVHHG GANSYNEAIRAGVPQIVLPVWFDTYDFAARVEYLGVGVWGSKMSAPAINGPELGKALL CVLHSNESSTIRDQAKTIAAEIGFSEGRVVACEKLLELIER ANIA_06183 MAAESTSGLHLYSLPNEVFVQILYPFSTRELLHLATVSQRFYAL VLRLLHYRLLLSAQLTDYKLILECFHPTSKLTEPHVFCKYLGTPCLSDKYEGEGSLYE NIDTAQQLGRLGTLYSIFKPVETEEETEEGSAAVALDPDSLAVRRPISIESFEDFSQL CVVVNVVKVLPGTDVLLSAVNVEDGVIRLFRDWLKGQSNRLPDLQPHTSSESQGEVLW VDQNRNVGLKFRVSDVTKLDSNFPVLVHRDDETFSSYEIVIDELHIRTTRLLLTVEQS LQEQQSYPKAVIFTSMG ANIA_06182 MVESVLDDISHRRYNPLRGAYVLVSPHRTKRPWQGAQETPSKTT LPDYDATCYLCPGNKRAQGDHNPKYEKTFIFVNDYSAVKEEQAPYHPEAGTETESFFL RADPVTGKCYVLTFSAAHNLTLADLSPVEIVPVINAWTDVYIAHLSPSSPLAAAASKL TISSGSPAASLAKPNEQYRYMQIFENKGAAMGCSNPHPHGQIWTTSSLPEELAAELEQ MKKYRREHNGGHMLADYAALESKKQERVVFENDAFLVVCPWWAIWPFETMIISKTHKR ALVDLDDNEKAQLAEAIAEVTRRYDNLFETHFPYSMGIHQAPLEGSEEEIEASYLHLH FYPPLLRSATVRKFLVGYELMAEPQRDITPEQAAARLRGCGGELYRKKLDS ANIA_06181 MVNVPKTRKTYCKGKECHKHTQHKVTQYKAGKASLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECTQCKTKKQLALKRCKHFELGGDKKTKGA ALVF ANIA_06180 MQCRSTFEVVVLGGLVIGPTEQGETSGSMSSSISFPTTGDSVKK TGLMCVRFSAMATASSVVSQQATNPFTQLLLDCQNDPNMIQARYETHRTNRNAQFKEK LLHEDFPGWQVDEILRKLVAQSKAQNEDAVPAPDPASTKAPVNFADHRHNLNLYARPP KHIKELVAEIQQEIHDIAPSIWFTPPESLHITLLEIVNSRTQEEVDALAGRLLQDGTA LKLVNYAYDNNYCVRLIKPIISYDASAMALSFVPVTSEATAADKQQPEDAYTYHHLRR DLAATVLESGVGLAARYIVPSAHITIARFVTQDGFLLDGRKVDRNSVAELVQRIERIN AILRKEYWPQEGGEGSTRAKGEWVVGQEQGLEFNKGTSWYGGGDKVLVGGCLGLQ ANIA_06179 MLIKVRTLTGKEIELDIEPDYKVSRIKERVEEKEGIPPVQQRLI FGGKQMADDKTAQDYNLEGGATLHLVLALRGGCAALQ ANIA_06178 MSPPTLRQLCIAAAIRNVKYLNDIGNIPYALARPFLLKIESPEK LRSLELQSPHLINDDAELWLEFLKRDIPNLEEYQLPEQPDCWYDLYCELREEVQRGVD EDAEKLKMALDGLNSERAKHSTKFVADRRTIGVPRSRTTARHRFSTFGGFTPKKSNIF SATRRNNALTVPTKQLNNRATQVRQAPRSLIEEHRRPAEPAIARRPPLRAPGRSNSQD APSTRSYSSPSGLSSSLQEREARLRAIASGHVRTPLPLQSSRPASTKTSSGASPTLSE SQLGATTNSEPSRLSPPRASIRKRPARNLFIQPKKKKVN ANIA_06177 MRYCIAEPSEYLVLTGAGIADIEICKKAWVWPWQRCARISVMPF DFSLSLQAMTIEKLQFSLPAVFTIGPEDKLSSLKKYALLLSGKTGHQPSTRPAAATED LNTPTTRNHVQDIVKGIIEGETRVIVSSMTMEEIFKERQIFKSKVISNVQNELEQFGL KIYNANVKELQDTKGSEYFAFLSRKAQEGALNQAKIDVAEARMRGEIGEAEKKGRTKQ EISKIDAETAVLETKRKAEKAKADSELLNRQTELDSQVEMGKIIAKRQTESRDAELQK QVETKRAETELERLRAKQVTKSKVERESAQEQADALYYSNTKAAEGRLYEEKMEADAR FYRSCKDDDAMFNTKKREAEGVMEMAKAYGALIDVLGGPDAFLQWKMIETGMYERLAL ANGQAIQGLQPKITTWNTGGKWPRLFTIGPH ANIA_06176 MAPRSQLEIATSAVQRLVKEEASYRREAEQQKERIKKLEAQDAS TDENRDYMLKQERLALEETERIFPSLKQKIEESIAKLNGLLVEEGKKGAESNVEHINA AKEAIANARVAEREIA ANIA_06175 MTSYGLLDQADEDALHKTRLLNVEEKPFKRISKRLLNPESIIIS NATLPTPPPEGADEDATASAEAEKQKKLEEWRQFREDASLDFAAFEGSIARIQFLLTS NEKERERYVAEKERILSTMQAVRNSTVELRVQLEEAQRILALRKSYDEQTEKITSNRL LKPREDQQANLQKLQAEIAELEKESKEYAKTWAERREQFGRIVEEGMQLRRLIRDEKE EVERREGMQEDEDGDDGETSKGKLSGANTPRPDQESSTPSQPGHDEGDRSPLPVPTDK ATPGATTPLRQVTTAAEVKQEDATMVDEGEVTGDEDERNDDLEEGEEVPDTSNVDKMD TT ANIA_06174 MTEMYSGLHVGQRFASLEDFKALVRSISVRQHWELRVTRSNKKS VVIGCRSSPNCFFRVVCRANRNATYISSLQDSHSCRRNATSTTKTPARSEASHVRFLL SEIPKLFDMRNKIKAQDIVDAVKRYHGYDISTRQAQRALIRLQQRGSQQQSDAANSSS GEDRQESQPPPVEGQSEGSAYAGIPGQRWMPESVPPNLVDNPQSQNEDPPNPTFSATP LQGQPIQQHPQQLQNPQRIQSTVQTQQPLPPPTPVLQAPAVPQHEPTSLNHPLHAQVT SQQPSYSLPTPIQPTPRQAGLTKSPTQTQPQPTQGHPSAPQLVLTNFKIEFSCTTCGA LNQSFFPNQGNVTGGHYIPPHPIPDHASIPHGAGPSAPTAAAQAIVESLQHIPTIVLH KV ANIA_06173 MNPSDLAAPQTRASSPYRDYNPRKRGRTACTRCKTRKQKCDNEY PVCSNCLRAGAECDKASTPDRYTRALEERIAYLESQLESEAAKSRTASNHAHPVATFL SPHAQDTAGQGQAGSTGSAGSGMGNGIDHNAVGELVGFLAINSTEAPAYIGSSSGLSL AANLGEMVQATVWNQVLSSSRNQPSLSGEGRANLDPRVSGLPPQPTPSDRAPGLDRPR TLRMEELLAKSTEPPNDEMGGRILHAYLTRLHVRYPFLDRKELWCLHEDRWRLAKAKR EELTKSERFGIFKLYLTYAIGATTMQLSEKYDYVPPERFYITALQQVPAMCETRSVEN VEAMTLLVVYHLRSASSQGVWYMIGLAMRTAIDLGLHRKANELNLDPITAQMRRRLFW TVYYLERVVSVSLGRPFSIADRHIDLPLPVDVDDDVRDPALLPPESPPVTSPEGNTNG NSTSSRITSLTFSIYLITLRRIDSRIQHKIYRADRPLHLLRSKMDRLFLELEEWKVSA LQRFTGSDLDYPMLHYNRALRLLIQPFLPSLPLTDPYYHICLRAAGDICQTHKRLHQT LEYGHSFLAVQTVFISGITLLYALWTHSDQVWSVRMSNDIRACSTVLFVMGERAVWVK KYRDAFELLVNAAMEKLQGNEAAKKAGMAELMTAQHAGLGVGPGAGYGLGISSHASAG QNPNPAIATASGYPGTAHTHHTVVTPDSNPNQGLFDPASQDHGVRMALQLAPWIDLED GHGPFWVPDFETLENFSGNLWSAGDPTAFNPL ANIA_06172 MASITCHRHLPPGPGGYDHYVHHHNSDVPPLHLAASTLAAPSSA PLCSDPKQDVSSIPTSLAVPVPVPVPVASLDTSSHTSTAAAASEKPHSCHSLDLAGSV YILSEARLSKGAKSTRAANGGMLDHSSPLPVSDKLRTPERRRTVSPSTRSSGEIRSTS RSTRRSGGGNGGGDGGHSHSRRSSLHSHRRTVTTTSLTPSRPDSPVRRENLIALHRES CRLFQDNNLASTTAAVTRQSSFSSSPPFTPRQARTYSNVSSPPVTPILERHHSSTFRH SYSSSNLHANHGTVPVSRVEVNLSAETKPTIIEWTSPSTRRREYKEIDRASSGVRGLW RRVAPRWCQFGDKRIPFFEEERDGKANYEGSVRRFRMDLPDELESGEYRNQGRRGLGM KLKPRLVVQVKRSKTSMSWL ANIA_06171 MVRKLKHHEQKLLRKVNLTTYKSDHAHRESQIIQRYYLQNPVDY KKYSAIVGSLRQLAHKLSALDPDSDPIRQKTESEVLDKLWRMGILKQSREQGAGLSRI EREVTVSAFCRRRLAVVMARSGMVENIKTAVTFIEQGHVRVGSEVVTDPAYLVTRNME DFVTWVDSSKIKRNIMRYRENLDDFDLM ANIA_06170 MRLMRELFFTFAVVLLLVQLVLAAEDYYKILGLDKGASEKDIRR AYRTLSKKFHPDKNPGDDTAREKFVEIAEAYEVLSTPSTRKIYDQYGREGVEQHRQGG QAGGHTHDPFDLFSRFFGGGGHFGHAPGHRRGPDMEFRIGLPLRDFYTGRSFELNIER QQICETCQGTGSADREVITCDKCSGRGVVIQKHMLAPGMFQQVQMQCDKCRGQGKTIK KPCPVCHGHRVVRKDVETSVTIEPGMDKGTRLVFENEGDESPDYIAGDLVLILEEKEP ELGTAEEYRTDGTFFRRKGRDLFWKETLSLREAWMGDWTRNITHLDGHVVRLGRSRGE VVQPLSVETVKGEGMPFWSDGHIHDHDDQGPGNLYVEYIVILPDQMESGMEKDFHALW EKWRRKNGVDLAKDSQRPPAPVKDEL ANIA_06169 MSRAETEPLLPRYDDETSRQRRLHQKIHTYQMLRAFSEGYMPST EQAVANLRSLLAFDIFNPRNPDIGSVGRQLVRDCRLWIQLLIEFLQTKNSEDQLQEFI WHLSHGRATIHARQLTQRAADTKAKADTKAAYDSLRTVGNLLLTNADFRLFVEDLTTV GREIFSDTAFSLSRASRKAGKKLKPKQEDQQALSGAGADEGHTVSNEELREEVTHVAD VAKNGLVQTGKEAKESVEEHLTGKEQETLLYRLKQTVLKLRERRDYTDSVAILARLLQ KYASVYVNAATDAVATAEDETEINEDLKKAIRKFWALLQTIGDSKEWQALEQRLHDVL QHASGDPDFENLVSEIGSAMQEMMTNPDSFDSAQEKIGELKEKSKQVGAESSLRKDVD EFLAQAKRALHTISQDESVSKLTSASRKLYKDVSEGYHNRRGNLPADMLEIFLPLVLR NIQYIPIPRLEISAPEVDLLIENLILEPGHTVNYSSFLPYRMHVTTRNDIDVVKRHSK RTTANLRTMFTVSLSGINVSASEFGFWLRTHKALFFYLKDQGIASFYLDKRGIDISLD VEVGRDRLEQIFTLRGVRVRIHKLDYKVHSGRWRFLIWLTKPFLKHMIRRVLEKQIAE SIVQAAHALNRELVFARERLRAARIASPQDLFTFVRAVLARLAPAPNPDIDARVGIDA PGSGVFKNVYAPGSLVKVWNDEARQAHDAVEEGEETPGLHRTWRNTIFDVPV ANIA_06168 MRWIPSPGGSMLVSRGLLHKIRPSPSAQLPLSVFPSSSFVFGSR CHSGAAVTQKSRMARFPSQQSRPVHATPHVNHNGPIEQNYDTANPAYIRKYLRTYGLT PPRPESYETQKTRCLAQLALKQTPIDKFLYLSTLRKNNVHLFYRLVTDHLRELTPLIY TPVVGEACQRWSEIYQQPEGMYLSWEDRGNLASVIANWPQPNVEITCITDGSRILGLG DLGINGMGIPIGKLALYTTCAGIRPEATLPLTLDLGTSNKALREDPLYLGSRRDKITP DEEREFLDELMAALTERWPGIVIQFEDFKNPFPALERYQDVYTCFNDDIQGTGAVILG GVINAVKRSGVPCKDQRAVFFGAGSAGVGVAKQIVAFFMREGMTEDEARSCFWLVDTK GLVTADRGDKLAEHKVYFARTDNAGQQFKTLEEVVDHVKPSILMGLSTQGGVFTPEIL RKMAEWNTNPMIFPLSNPSSKSECDFETAVTNTDGRVLFASGSPFPTMSFTNSAGETK MYYPGQGNNMYVFPGIGLGTILSKAVKVTDSMIYASGEALSKALTAEEIERGLLYPDL TRIRKVSVVVARNVIRAAQEAKVDRETTLRTMDDETLDAWIKSRMYDPHTEVQSLERE VGSILSTLGAPQQFVNGSSDEAKL ANIA_06167 MAEMLGGFQNIPTPALINVYDQWAKGGWGAVLTGNVQVDVNHLG SPFDPALSGEYIDAETNKDLFEQYRKYAEVSQAHGTPAIVQLSHPGRQSPRGAGRKGL LGSTMAPSAIPLDMGAGFVQRWLSWLVFPPPREMTQGDIETVTRQFVDAARLMADAGF SGIELHGAHGYLIDAYGGSAANRARFVLDIIAQTRAVVPSTFCIGIKFNSADHNSSSF EDTMTQIGLLVDAGIDFIEISGGSYEDPKQAEKSARTVAREAFFLEFAAAVRERYPTL ILMLTGGFRSRAGADYALSQNACDLVGIGRPAAIDPHFPKLLLDESVQESEAQLHLNR IPVPFWAKWIPLAAIGAGAESIQRIAKGMKTIVPL ANIA_06166 MDLANTLIRTVARSFYETRHILIVDALFIHSVLHAEDLAFLLGM QQKDLRKLCAKLREDRLIAVNTRAEIRDGSTRPVNREYYYIPLHPVIDAIKYKVSKLT STIKLQYTPSQERKEYICLRCGAEWTELDVLSLYSEEGFECQNCGAILERTEDVKGSE GIDRTGHEKNSKLMAQLDGMLKLLKQIDSVEIPPNDFDTAWDHKIDVVRNQHTNPTRA AVVVPSKKQEAVRGNLKTDASALEISLTSSEEKSAAEQKEEAARKAALEKQNALPVWH THSTVSTTAGNVSSIKTEPDVKIKPELLKEEEDQKPSLVDLDDKVAAYYAEMEREKAL QAQEDASSAEDSDEFDEFEDVGVSASASPAVGGVNGADPTRAPSGIKRELDTDSGTSA PQTATGTPSTPADEGPAAKKIKTELENEVKKEESDEDDEEFEDV ANIA_06165 MDNNGTRTEGPQDAGRKHKKRDMGRAEWSRQMPDKRERNERAEQ AKRRKLENGEEVVAPIYATQFSKEDIENEQRRPKKKVAVLIGYSGTGYKGMQLSTTEK TIEGELFTAFVAAGAISKANAADPKKSSLVRCARTDKGVHAAGNIVSLKLIVEDPDIV QKINDHLSPQIRVWGILVANKSFSSYQMCDSRIYEYLIPSHCFLPPHPNTYLGKKLVE IAEKEGDLEAYKARQEEVANYWEDIDERVIKPLLESFPEDIRKPVEKALHMDDDTDGV PETKQTSTERGAKTAPVAEASSEETSAEPEPLDEAEIAFRRQIYEAVKTVKAAYLKAR REYRIPATRLARIQQALDKYVGTKNFYNYTIQKQYRDPSAKRHIKSFKLNPEPIIIDG TEWLSLKVHGQSFMMHQIRKMVAMATMVVRCGCDPARINDSYGEFKMAIPKAPGLGLL LERPVFDGYNKKAADLKKEPIDFGKYEEEISEFKQREIYDRIFREEEQTHAFSSFFNH IDHYAQEEFLYVTSGGIAAAKPALGPGESADAKPNDTAQSKRKSQREALAEVEQESED EQNGTEDN ANIA_06164 MAAAPLESSSTPPDATVYPQTRSLGDSMEEIDPQSTRKRPRLDS GSGACESLPAPDEASAPLPETAPDAPAIADQEAAVPNRPASRMTINMKSPTAANKRPT ATEDTPIEGPGADPDAPAKITGGQPLNVVSLSSSPAQSPEIEVAELEDMDQDPNTTNW KSLGGALRDPLVADVVQLREQVHLPDSFPVLDQDREPRENLEEICLYIEKGSEHDTIV FTMVKQWLDDVANSLENFTYETFMDSKLFWDDVPMLADCLLRRAEQFRPDDTAEFWRC LEGFLINYARLAFHLIHLDAQLLGQLTNENDPPELDLMSRNYLYSLGWFLSYDKNPFY VALQRQYGHEVSNMITRLNDQVLAAPINGVQCLSAYTSNIAALIPRWPQLSQPLIQAL SIVHDLAEPKDDHSGYGPDDQMVPHGHQQAMDTIYSLVRSVDELYQTHITKKSPWITN EASATVLRHISNTYMALCNQSASLASQIADDLSIQVPDDAPPVSLPIIVFYGWRFGVL KKHIMDGRMELRVAGIDTMQGDFVNVYSQYMRRDISSGLHNPVVQFMLKMLRENRIVE YMVSIESHPQLISRSHNIVGFLVVTGTYTDADTDTIWKTVTESPDPRTVSEVLGMLMK TFSLHHDLSGLLYLCSKLLELPLTHFDQRMVEFCEQLFHVLRERNPIRQDSFDSVHVD VRPLRLCVRLIRESAATEDLAVDQKASLQKFAGGQLSSFMDVGLSDADKMDIYERCVQ DIAEKNQFSVGSIQALNALLSSQDSQEIRKLATEFNLTYLLISEMAEVVQGNRTDFAD TFSRNGFISRVQMLSRIIERMPDSITPELGDILWQNIFMSSSLPQQGRRILWDMFCAI TRHVVTGNPFIDRCIQYYLPKLSPSADYSLEVLAFAKQTINYEIRFNPPSSVADNEVI SIPGMDRIWNFILTAPPNSIEADATAFAIEVYLDHNIIHRSPSSSVEATHMALVDRCV DQLKSAASKLKLHSGDPADAEEMMVVEDPSDGTFADELKFSRSLFFLRQFLQALRSRP QYSPPQNSPPSIPIQPEKGELIDIRYQAFDGGSRSKVRSLRIGDLSTASELHETLVKM TGFSKLMIIFSGQRMDLLEKPDQVLRDLKLSSGLMIVRRDPSCRGTAGRKFQPLTSVD NEVLKHFDDLYELLNLDDHLAREIYEFLIVFPPQEKVMDMVKSPSETDQNMFPMDRPY KFLYSVNALSESLRQEALEPTPSESMVSHSVQSVITALTRGELLETLGTSPISIQLAA SLIECLLSALTVKAPVSGDVPVIPDSTALVTQLVRILEVGQGLRNPQMSELTIQRLVC CIFAIFLEGSLRDPSFWDATKHQAKFDRLLFSLLIEESHQPIRKGISDIIFLICSPSK QFKKPKFPAPQAQEAREVALSENPTRIDILATIWDAFVRTFSRVLEFPTQSQEFFEAA YIVFTSVAEKSPHDLIFSEYLRQWSGIMLSHRTNEFVGREPVDHIILGFSRLLKSCLD ISASANITLDTFNLTEQLFDNYLFPDLSMPSHDSIVPQIPVMHTQTRQELYTIVGLLS KYGDNYNQLITRLEDIIPQDHTYQPGWSFERQKLIRSPEGYSGLKNLSNTCYLNSLLS QLFMNVGFREFMLGLKLTDPSASQKLLEETKKVFAYMQESWLRAVDPQEFVDSIRTYD NEPVDVTIQMDVDEFYNLLFDRWEAQILSPEDRKRFRSFYGGQLVQQIKSKECDHISE RLEPFSAIQCDIKGKANLEESLQAYVEGEIMQGDNLIFHLKRFDFDMVTMMRSKINDE FHFPERIDMTPFTVDYLSDSNGDTQQDVFELVGVLVHSGTAESGHYYSYIRERPTANG RGTWVEFNDSDVTRFDPGRIGEQCFGGYSDSLHSTSAGQVRFNKVWNAYMLFYQRVSS MISAKSTYHAAENNYPVRVPLPLALANHIVMENELFVRAYCLLDPYHSVFVRYLVSQL NEEKLAKSEPRNKLDKSVVFIALDTLEQLLNRTKEPTGYENLVKEIYRITNEIPKGPQ RVLQWAFDRPAGIPHLLKSVHPQIRNGSVRFIVHALARLRENVITTEDGSFEREKWNA VYLDGLEFVIATLDGMWPTLHSVSRPWDDYFELLCSLASFGSQEVGVILEHGFLLKCL EILWLDSEDSMRLKRQYPTYYKLLEKGRRFSHRKLMDLLSELLAKIDFTARPVSEDER QALPNERFSLTLTEHDLIRPLGQRRELVILKKILTQYNNPQACRNIFGLLMDAEPDAG LMEPIFQVLLEGLRFAPAERCAPFLEAALILCRRGPNMDRIVAILNHVAKGVDTINDS GGREHLLFFTNSMNSRNERLGLDEAWFSSHVIELIPDWVPALLIYPDRTIRNMTMDFL RKILFIDETTADGEEGQSRYAEVAKELLSASVDKLRKLYLATPGISVEAGALEAIRAV IDHCLVSYFTDTEEDQKIVSQAQAVVSAIEEMAVEVPEELPSGRSAFYFVSTLASNPR PPESDVPSPEEWDDGSMIASDSEMGMAGTP ANIA_06163 MSSLMKSRGWSIIYHLEANRLQDSPTLTGKRSRASMRTLVTTLP APITAPSPIVTPGSTVTFPANQQSSPIWISFPDSGPDVPLRKSGSRGCVPLYKLTLGP NRVRAPMVTRRVSIMTQS ANIA_06162 MANDTAVPSASSATPTAAAYNTWTPPNPSLSQSQLQPQSQSQSQ SQPIPITNKTTSTDALLYPSGSKSLSGISLRAFLLGTTLGLSSALTISLLNLTISDSQ PHYLWRLPFFLASLSLFHFLEYYITAAHNTRYADISAFLLSSNGWAYNVAHSSAALEC LLGYVFFPHDSYFKFTASVGGVKVQAVLGLFLMILGQTVRTLAMAQAGSNFNHTVQVE RKEGHTLVQHGVYSVLRHPSYFGFFWWGLGTQLVLGNVVCFVGYAVVLWKFFNNRIQS EFSPFFYRRLMALMVLLGEERFLIAFFGNEYVEYKKRTIIGIPGIA ANIA_06161 MATERSLGALLRSLQIPPHEQDGLSLLPTATSLLAVLKNPLNIT LLASQLLAAPAIWDQPVDLHVCRRIISVFNTAAMAILQSEESEDPRIPWGGPGPRKLD REQWVKAVVGGADEKSPRWRHLLLIGGVLLGFEGQDRQGLSWSVRRKLESALVTAAQL ALDELDPRDGIDGHCIIMVLNYSFQLLSDGERERLDYDRLLPVMVQTTYFSPEGFESA YFLGSVDQDIVQTPSKRFAWPSQSATVQRITAIASSPLVSALGPLSRLIAHAVENSRD PRLVAQSVDRIADFVRTLIVQWRQNKLSEVDKAEEQEFLDAESLRDTIPNLWKLLRNC LYSVVIILRAVLGRVVNDRALASDKSAPFISMQTLHILRNLYFISSRVGQNSSSQHTF VTLAAVDILAQYPELTENFLTSIKPSELGQIPAHPLDRCLDLYFLNTSELFTTVISPK FSEDVLIQAALPYLPAGGNNHLLEIFEAAHSLVLAVFAIPNNAAVAAKHLPFYIDNLF AVFPNNLSGRQFRLAFKTVLQVTAPPSPIANRQPLLPSILLEVLYDRAYNSASKTPLP PSSQAPSASTSDPEMAKAAQIPLSEQAFLVLALIDSLCFLRVEDLEEWLPLTANLINA VSPSEMRKVCVGRFWDALSNGEMDVERAHYCVTWWSTKGGREMIILGSENASAQGDEV QGAYMSGAIGAVASESKL ANIA_06160 MAYYDDGGNDSQPFGRAQGGIQGPRRPRLVTDYGSSMVQWMRNR RPKYQGGHRMETERPSASYMVDMLPPLARIHSPVDSIPVRHLHQSIGKSKKPITVVRW TPEGRRLLTGGHTGEFMLWNGTAFNFETVMDKGDVKYWRPNFNNVETIDDAHHDAVRD LAWSPSDTKFLSASDDTTLKIYDFTARTCDTVLTGHNWDVKSCDWHPTKGLLVSGSKD HQVKFWDPRTARCLTTLHSHKNTVTATKFSRVNNNLLATSSRDQTARVFDLRMMRDIC ILRGHEKPISTLTWHPVHCSLISTGSEDGSLYHYLLDEPNLPAGQIPTVAPYDSPDPA NTPAQVIYPAHRIQYAHAATIWTLDWHPLGHILASGSKDNFTRFWSRARPGETSYLKD RFHIGEEAAEAQGTWSRNFGRRQMREEEEQELQDEADSLVDQRKTPGTGLPGIQSASQ PDGLGSSLLPGIGAAQPPPPPGLSTSMAPPGNAFPPNPVFPAFPFAPPFSGAPPASGA QPMNSPNVNLAELQKQLAAQGITLPPGFPHHFTPVSTPLAGGGLPGLQGGYQPDNNYG R ANIA_06159 MATRKTAIYRHAVAVHSQVQHSCLSRDSTKATSFIGFRNLMVVV LVAMNLRLVIENFLKYGVLICIRCHDYRKQDVVIGAILFALVPCQLLCSYFIELAASR HAQRVIGRAKKQDKDRILNESKRTWFAIALLHSIISFFGLAATSYVIFYYVNHPGIGT VCEVQVIIVSLKSYSYALTNRDLRRAMLGSPSADSDIPELYRSCPYPRNITLGNLAYF LWAPTLVYQPVYPRTPRIRWSFVGKRLFEFVCLSVVMWLLSAQYAAPLLRNATQKIAT LDIASILERGLKLSTISLVIWLAGFYALFQSLLNGLAEIMRFGDREFYTDWWNSPSFG VYWRSWNRPVYIFMKRHVYMPLVTRGWNPTLAGTVVFAVSAVLHEILVGVPTHNLIGV ASIAMMFQLPLILLTAPFERFKSPLGKAIGNSFFWVTFCVVGQPLGALLYFFAWQAKY GSVSQTHP ANIA_06158 MVEISIPANYGYAIAVSLGAIPVLGFIHGVLVGSFRKAAGVPYP HAYASIEQCKANIVFQERVLNDVCEQPKAYKFNCAQRAHGNFLENAPQTMLSILVAGV KYPEAAAGLGAAWVVLRTLYMLGYIYSDKPNGTGRYNGSLYLLAQAGLWGLSAFGVAK DLM ANIA_06157 MSSKSHLPYAIRATNHPNPLTSKLFSIAEEKKTNVTVSADVTTS AELLDLADRLGPYIAVLKTHIDILTDLTPSTLSSLQSLATKHNFLIFEDRKFIDIGNT VQKQYHGGALRISEWAHIINCAILPGEGIVEALAQTTKSPDFKDANQRGLLILAEMTS KGSLATGEYTARSVEYARKYKGFVMGFVSTRALSEVLPEQKEESEDFVVFTTGVNLSD KGDKLGQQYQTPGSAVGRGADFIIAGRGIYKADDPVEAVQRYREEGWKAYEKRVGL ANIA_06156 MDSPQQYQYPDSGCNPSSATRKGIGQWQDLEAILYEWHHILDWK GSYITGDILVEKARQIWSCLPQYRDQPPPAFSSGWLHQFKQRYNIKQRTYHGEAGSVL EEAEEKMKAMRTFAGQYNEDDIYNMDETGLFWRMPPSWSLSSVNTPGIRKDKSRISII CCVNASGTDRLPIWVIGKERTPRALRNINISAIGIRWQWNKNAWMDQIIMREWLLEFY QYIGQRSILLTMDNLPAHLSGLELAPPPPNVRICWLPKNSTSRFQPLDQGIIQNLKIY YRRQWLRYMLSHYERNLDPLQSVTILDCIRWLVRAWHHDVQSSTILACFYKSILVQDP IQLPIEAPDLRPLYMQVQQSGRISDCMDISFFLNPAEESLEISNSSNEISSDILLEQL IAEASGNADIYPNDLDDVSGEPARLPKPQDALDAVRLLISYMEGQDTAKTPILRSLER LERNIEGEIITARAQAP ANIA_06155 MAALLYFLGIVASLVAAAWVLRRTTYSPESQVQLEVPTNPSPIS KKLAEALPHIVLLHRNKAFFKSINSYWAQQEREVMQACIVQPREASEVAKVIGILKRE YDEQEASLTSRSGDVLFAVRGGGGLSFFTPCFGLACSNVLAYEVVLASGKIVTATAQP YPNLWRALKGGSNNFGVVTRFTLRCFPSTKIWGGFF ANIA_06154 MLSGSPPELEPPPRLGLMLGLFTNRSGQQRHRAAYRTSSLYPSN LPWSHQIEALQNQKVVQEYILRSLTASQLVPANRLTGFSTPPKLAEENTRPSFSAQPV RIPNNRVSQFVPHPSNCHPSTAAQILKKKQDPSMRRCTSSRRYASKPTLAPRLPADNT LAPNLATQALDLQCRVLLEYLFKALTPHTLSTYKPRVELTLIDYIQQYPNPLPAQLLW ATRCLATLHSSVDSTPQPGTSALVNSRRMYQQAIRHLLISLYCPRSARSDETLTAAML LCVYEMIDRTNPEAWMIHSRGITNLLRIRGPKAHRSGIGQTLLLCLRPILVAQALTRA EPCLLGEPAWRKLGNEMMRSQKGAEHFLNTWTECSFGEIAMCPGLLARTQAILSDPGF SLEDEAITKRKRLLTQITSIRTALRTLNLQIELEFGKYDADEKSPCGLRRLSECIPPD SAERFVLYLHRGIQVGAAMLDQLVTLLVSDDKRRCQQETSASAALKRYYDTQDSTGVG AKTIPRTRLDATHLPTQTESLAIAEDNGRRETSCLAHQLDILCMSQGMIQHLLGSIQQ KIIIRLFEHLDLLNKIDIRYFAWTGLDYDGAEVRVDFLHQPTPSATLQRSYGSFRMTQ ELRLLLQ ANIA_06153 MAIVEIVLYLQVTAASRGRIIVLVLQNALDASLLVSMIYLTRLV RTLKNANTASPGIMTTTVQTTQPVAPRPPELSAQTHPQTSVAGAVHPVPVHEVPAYNT YAHPNLTPQQRAELEALPRSQSPGQWIFVPNGTFPQDGQVQAGSDIQSEEAEL ANIA_06152 MPDLADLPAELLDEILFLAFGTTPRYNNRYDEGVLDIKGLSRLL LVNRKHHQAFLPRVYSHWTYIGTLHSYSGLWKFLRTIIENPSLALIVEVLNIGNWGVC PSYLDRNHELQDQDEQVQFALNDKETVKTAIRRAGLQGDIESQIYNAIFADGCEYQSR YRRPLVALLLTCLPSISKQWPATGRLWCTVDLTTQPGGIHVLSEVPGYHDRDPNPLDG YIDDPSLKLDNIWPVFYLDRLHIVRLYDFDPEGFSRLVQQKIQTALKSLSFPWDNDKA KAKENVWQTFNNEFWAAILKYKRTLEYLDVFHDFPPERRKYRLADYFGPLTEFTQLRY LSSWLRCSLAAILRSVAPLRLKEALLASIESLVFAAEDAGRTITDLPRQVEEVVSDFP HLKTLELQMVGCICTLTINLQYCPLPQGARCLGRWKEAYSMRIDAGFRQETMYQRAAR KAAGRQETSSPEPPQRQYRPLKTHVLPFQDHGKSPSFMVSESEEHSLLPPLIAAEGFD DNHWRLDVYFLSNATNEGRFAHYQAEKAVRLPPPTTPRLPGMIEIYDDDYHPDRSWRD GALHMCHICYGPGFELGQPLDESWYGIDPDPDQSLSYLIWEHSRWDGWQGTLGVYQRA TDREWITWSPGACKSWVFTRDYYATSIQKDPVDPRARLVKQFLNLDQLNLDWYLTYGT TDPDAQPACVPTREEISTILRPWQSDNMHRRAWRVWQVYINAGGNNPLLLRTWYDPAD DERVNSWATLSEEFPDDADRAILDDRALFDFGAGPWQRVLEILPGIAIPLVKDTPPGY VRQKDWRADIDDYTFYSRFTEGLNAVERSNPSWRNDLNILVKKNAAARCFLHLTFETD NFLLAYLDAKGRVTMQGQILVDEDRLTRVSLDWFERRPPIEVFEGHAWCGLGNGFYAP DSIEQGVL ANIA_06151 MPIRTEHNNQAIYFRSLHDPKNPLILTNVYDAATASLIANHPST KAIATGSYAIATSQGIPDDALSFPQNLAAIRSIASVLKRNEVLSHQDQTDISLRFPLT VDIQDGYNDVALTVKEIIKLGVVGCNIEDLDSNTGQLRPLLDAVHRIKVALQAAKESG VPDFVVNARTDVLGSLDKGTIEDAIERGKAYLDAGACTVFVWGGAGGRGVSKDEVERL VKAFEGRLNVKLVLREGFLTVRELKKLGLARISLGPELYRAAMNAFQEKADAVLRD ANIA_06150 MGNGTGHRPLSEVSPMAHRRNSPVWNQSNRSTKSIDSSPYESPS STRRFWQGRESGSPFQKNSENQAPYDPDVTFTTSKRPSLENLKRASRVKGNSMFRDYN HEYDPTSVYVPQRPLAINRGSHEKQSQVVATARPPSPDKTQMGPRPPSPSKDQAPPAK SSLSRASRFGTKDMTFDPENEIWSDADGTRYTKSVTFDAAPPQVNEYEMTTPDPSSVA SGSRDGSYDSEEDEGDISFDRGSLDRDDSFDASLEDLEKTPVVMPEDWRFMSPDTAND ELAEKNEDPFTSEGSESPEPRSVSTQQVPARRSRVESLDSNGERRPLPPLPAIQGLSF SPRASDKLAAAFELASGNQRVLPAPPAAATYSKSDITGLSHSSMSLEDRLRLMMIQRE ERENANGKQETKPENKEDESKAETAEKSKGQEPAEGPANPTEADEADVFSPPRISRDS ILRDLRRGDDYLDVSYENSSQGSASPRQYSHYDPDIPIPSLESDHDNSYEDDDDETSS VIIKPELEDDSNNLYDIPDYYGTVPSKDSSYKDLKDQEDGDNKSHHSNHSMEDVFCKS NGSVPSEATPVPADRAEEGLNDKTPASPAEHQDNEVAAPKMTAMLESLRRPVTPENAE QISPPSTPDSVIRHPVDDEISDLEDSVDESVPEPIATVKAHGSSLKIRPSLTRADVEQ MAATRRKISGQIPPTTQATQEPEESEQLEPDSQEKTLQPEPSAAAAPRKPSFMKLDIP FSIQEDESLGFGLDKEFDRVIESQKRGYLMRHNTKVIVASSRPEEEPVPSSNGESSGD MRSTKAGASPQRKVSQQTWTTVPWNSGARRASIRTPSGAIRKKPVNGPVPPLPGQPSI AQDPPTPVEEIDPTFNALEDGEERGRLFVKVVGLKYLDLPLPKGERTYFSLTLDNGLH CVTTAWLELGKSAPIGQEFELIVQNDLEFQLTLQMKMDEEKFRIQEPAPSASPSRQKH SAFSKMFASPRKRKELDMKQQLASQQHKKQDPNAPIWERLRTLVASDGSFARAYTALS DHEKHAYGRPYTVDVACFNEWAVDEQPSSVKSKKSTASGMSQRRPPYKIGKLELQLLF VPKPKGAKDEDMPKSMNACIREMREAETASTRSWEGFLSQQGGDCPYWRRRFFKLQGS KLTAYHETTRQPRATINLAKAVKLIDDRSTLTQKETTARGGKRRKSAFAEEEEGYMFV EEGFRIRFGNGEVIDFYADSAADKEGWMKVLSETVGKGPTGANQAKPWTELVLKRERS MKATRRETADRFSQFGAAPPPPVKKDVPKPPPAQAPTSQAAPSQRPRHKHTQSQPEVR SAESRRQKARSLIF ANIA_06149 MNRLGGLSLPLRPVCLFCRAQTSLALSPLQGGQAVRSIATGRLR RRARMTLSKDVAKSSLKPKRTDRGKLGPFPNMNQTRARVREDPRSRSPAALKRSGETE EKPAMNTESPLYKALKMQTALAPISYGKRTAIKAKIAEITSFDAFTLLPIVRNSIFSQ ALPGIADAVPTPIQRVAIPRLLEDAPAKKQAKKVDDDEPQYEQYLLAAETGSGKTLAY LIPVIDAIKRQEIQEKEMEKKEEERKVREREENKKNQAFDLEPEIPPPSNAGRPRAII LVPTAELVAQVGAKLKAFAHTVKFRSGIISSNLTPRRIKSTLFNPAGIDILVSTPHLL ASIAKTDPYVLSRVSHLVLDEADSLMDRSFLPISTEVISKAAPSLQKLIFCSATIPRS LDSQLRKLYPDIWRLTTPNLHAIPRRVQLGVVDIQKDPYRGNRNLACADVIWSIGKSG AGSDEAGSPWSEPKTKKILVFVNEREEADEVAQFLKSKGIDAHSFNRDSGTRKQEEIL AEFTEPAAVPTAEEILLARKQQQRENINIPFVLPERTNRDTERRLDGVKVLVTTDIAS RGIDTLALKTVILYHVPHTTIDFIHRLGRLGRMGKRGRAVVLVGKKDRKDVVKEVREV WFGLDS ANIA_06148 MGIPHYFSALSALTFLLTTVIGGTNAATNSDPLIHLTYGSFQGR YDSTYNISYFRKIPFAAPPTGENRFCAPQPPLPVRDGIYDTDQSFDMCPQRTVNGSED CLYLVIYPNYRVNAFGFLPGKAVKDSPTSDLNPGLLDQEYALKWIQDHIAHFGGDPRN VTIWGQSAGGGSVVAQVAANGRRGRKRLFSKALASSPFWPKTYRYDDYEAEVIYDSLV NLTGCAGENDSLVCLKRVDVQAIRDANQIISASHKYTTSSYTWAPVIDDEFLLHPLTE ATSHSLVETDYIFATYNTHEGENFIPPGFAYENTNATSDSFNSSSTSFRSWLSGFLPG LSPSQILTLEHRYYPETGQTETMDLYNSTYVRAGLVYRDVVLSCPGYWLASAARQKGY LAEYTIPPAQHADDTAYVCLLLAPFLYSIILN ANIA_06147 MPSSKARSSPSVDRRERLTLAKLASYDDVATDALVDCAYFWTKT RKNRTKYIPVRGLAEDTVAHILLHDVIVAKDVSAAERKILDLIGMKRYLAKLPNDREK DWFRKHLRKYIQMYLPDCPFEVTTTNRYTITEHEAAICARKFIPQGQEIKYLSGTLVP MTKEEERDLDLKRKDFSIVMSSRRKTPSFFLGPARFANHDCSANGRLVTRGSEGMQVV ATRDIYIGEEITVSYGEDYFGIDNCECLCLSCERVPRNGWSQNLAPGPQSKPSTPEPK ASEDHLTPRKRKAQSDIDSDSSPSSTPRKRGKFTPRGSKLRSQLSLTEDIAISIESEP RVPSSNLALSAQEAGDSGKSSSAGDNVESSGTDSESLTSITPQESQRSSASTAATSVF DEAVSLNTRPTKSAVTTAAPAESSIAAEAPLSTTIPETDIKLEVEPSCEPTTTVTAQL DTTVRADSCVSDISSSTKLEDGSEALEHVKKPRKPRTKRVYLTIEPESKLNRVPGDYT KTPKLLAHSYDRWVDCHTCNAWFVQQNSYLTRRECPRCERHSMLYGFRWPKTEKEGPN DDEERVMDHRTIHRFLYPEEEALVSRRGRGVSFGLTPTPELSDMRSETPDSEALDERG NTRVTRRRTRAIRVTTV ANIA_06146 MIQLKTLLNCIDNSGAAVVECVNVLKKKRAATVGDRIVIVVQKQ RNFGPEGTSSTGIANKVRRGDIRHAVVVRVKKEMQRPDGTLVKFDDNACVLVNKSGDP IGTRMNGVVATELRGRQWSKILSLAPMHV ANIA_06145 MVNTGLPAGWEVRHSNSKNLPYYFNPATRESRWEPPADTDMETL KMYMATYHSGAATYHEAPSQEGKIRCSHLLVKHRDSRRPSSWREAEITRTKEEAREIL RGHQERIMRGEIRLGDLAMSESDCSSARKKGDLGFFGRGEMQKEFEEAAFALQPGQVS DIVESGSGLHLIERLQ ANIA_06144 MCLFWITKYLCSCLYDEFYYPCSWASRNPETNPDPALRRASYPQ NCHVRSVEEIIDCSVLCELCFEKLHDWVRKDCDIKKTLARNGTSPLVEQLGMLILLEN EKLEQWKIFGKDSYRYMTVGIEELEKAERGVEMGIGVRRARKGNGRDAWKRATHYTQE ETEKRELAASATGMGLDLNFWGLLE ANIA_06143 MPKVISYTPPWLSRPFPGAALFSSPAPKDPASSKSTKDTPYLGP SRTLARRGNEVFTVVDNQIRWSSLTRLKDDWQQRARSKSQKPDADAGAPYRVLMVPVY DQIRQIIPSPNGAFLAIVADHTVFIAVLPDSAHLATADSSPIRVKTYQLGPTTHVIPE SPVVSALWHPLGVYSNLGGCLVTVTEDAVVRVWELDRNNHWSFDRPTLAVDLRKLIDG TSSDQDFAPSGFGKNKGFSSDYVDMEVASARFGGVGSEKEDAWAAMTLWIAMKPGDLY ALCPLLPSKWRAPSAAIPSLSAAIVPKLSALEEDPLDHEDQLAACRQQWEWLAEIDNQ EPLQIIPGSATEPESEILMRPSNLSAIPKLQGPFRVDIGDDADDLDLCDMLVIAAKAD TDALMMGEEDELTLDSGGDKLSATVICLSSLSGRVYICLEIVGVEGQWLPKARKNAFR VPESESSDLLLVEVINTTKEEHRTLPTWPMFTPDAHSRYSFFVTTSHGVVFISLSSWI QRLEDELQSEDTSGSGFRLQVLCQGNASVLESIIQVDDAGTSSSQPFHLPASLVYYDY DLGYLLLTSHASNPYAAILEKPTFFPTFSLESDVQPTDSPTIPPHRPPYQVPSILYSD SPLEFFVDKHVPHRYRSSLKEPVLLSPATLDLVAAAHRILSAHTNALERAASDLFRRC ERLQGELRDQLGQIADVADRIKGVSSEIGEDGQRKEGSRSTAALNARLKAAKDRQSEL VWRYEAIRNKVLKSGGRPLSEKESSWIAEVEALSQSFDKERKEESAQHIAKRLDAVKD IASELITEAKSVAARIFTTTEPSTPTSPTSAQPRVPQRLQRAKIADAMKMVERESAVI ESITARLARLNTLV ANIA_06142 MSIPERRNTVERLDRPSAYYLSKNKRRKYSSDESEEQKDPMEGL RDATTLYVGNLSTSSSPSSVSQPHNPDLLLTRFRCGEIKRLVMGLDRYNKTPCGFCFV EYYTHQDALDCLKYIGGTKLDERIIRTDLDPGFEEGRQYGRGKSGGQVRDEYREEYDP GRGGYGRAYADDQRRREEEEYGAGR ANIA_06141 MIKITVGVLALQGAFLEHLELLKKAAASLGSQQSSPQWEFLEIR TPQELKRCDALVLPGGESTAISLVAARSNLLEPLRDFVKVHRKPTWGTCAGLILLAES ANRTKKGGQELIGGLDVRVNRNHFGRQTESFQAPLDLPFLSTSGTPQQPFPAVFIRAP VVEKILPHHDGIQVDEAKRVETVVAPSRQAESEASRRAMSRDVEVLASLPGRAAHLAV SGTPIRADEETGDIVAVRQGNVFGTSFHPELTGDERIHAWWLRQVEDSVKRLQ ANIA_06140 MVQDTSSASTSPILTRWYIDTRPLTASTAALPLLETLQPADQIS VQKYYHLKDKHMSLASNLLKYLFVHRNCRIPWSSIVISRTPDPHRRPCYIPPSGSQED SFKDGYTGINVEFNVSHQASMVAIAGTAFTPNSGGDSKLKPEVGIDITCVNERQGRNG EERSLESLRQYIDIFSEVFSTAEMANIRRLDGVSSSSLSADRLVDYGYRLFYTYWALK EAYIKMTGEALLAPWLRELEFSNVVAPAAVAESGDSAGDFGEPYTGVRTTLYKNLVED VRIEVAALGGDYLFATAARGGGIGASSRPGGGPDGSGIRSQDPWRPFKKLDIERDIQP CATGVCNCLS ANIA_06139 MSLLYYIASGASTYIAFTASLFLVGQKVPRASFVARCLASYGSL LVCAMYGVVASIVLRVVGYGRISQWATARSFKWVMRFTTGVRFDIVEGKEYLSTRPAV IIGNHQSELDVLMLGEIFPPYCSVTAKKSLRYVPFLGWFMALSRTVFIDRANRQTAVK AFDSAAEEMRSHRQSVFIFAEGTRSYSEKPELLPFKKGAFHLAVKAGVPIVPVVVENY SHILAPKKFRFEAGSIKVKVLPPISTDGLTAADVDGLTTSTRESMLNTLLELSNAGPA DLPSSSKGQSTAVDL ANIA_06138 MTPSTSHISSQLRQLIYYHLDNNLARNALFLAGRLHAYEPRTSE ASYLLALCYLQNGQVKAAWETSKHFGSRGAHLGCSYVYAQACLDLGKYTDGINALERS KGQWTSRNHWNKHSETRRQHLPDAAAVLCLQGKLWQAHKEHNKAVECYAAALKLNPFM WDAFLNLCETGVDLRVSNIYKMSPELYSMVSSAALEDVESQVLPPDGPLQTQVNPNPS LDPFTAGTTRSDSTSTHGSSALWEKLNGSTVSVASSGTGPHLPREGMETPGGQSSESD DPRVTNGNGTDVFEPPLAPAKKNRTIQTIGGDHPMDPPPKMRPTGIRPRTRTKFESDE GHTERDAGMGHRLGDRKRTVSGQVAHPSVPHSTDQGVGQRRSVRLFNQIKPSTNKISS TALGVKEGREVKKVRTTGNKARTTTSSNVGRVVSGNNRRHAGEIHDGDSKEYRGTSST SNGSQNASSKLAISERTKSVEALAWILDLFFKIASGYFCLSRYKCSDAIQIFSSLSQG QRETPWVLAQIGRAYYEQAMYTEAEKYFVRVKAMAPSRLEDMEIYSTVLWHLKNDVEL AYLAHELMDVDRLSPEAWCAVGNSFSHQRDHDQALKCFKRATQLDPHFAYGFTLQGHE YVANEEYDKALDAYRSGL ANIA_06137 MGSSNGKAVESSDRVVVTPGRSKPRSTSRVRWTVGLLVRLTIWY FLLTPFFRCPSNVAELTDSSPRICKPYLVARSYVEPHVIPHYNAHAAPYVDAARPYIR IINEKVYTPASNIAKQGYETYGAPALDRAQAYGQQQWDANIVPHVQVAKGKATDWYEA QVAPHVDHVVAILSPYYNKVHRAYWTAVDGYFLPFAAKYQPYIGKTYTSGQEILTTTI LPHAHNAWYLTVHFVNHSLWPRIYSLYSENVEPQLVKIGQRLASYREGNRLRGVADEV ESSAVYSTVSSSSSVKSRSPTANYVPESTPTPSLSPSELAAQIRDKISSDLVTWKERF ASASEKGVENLESRVVEIVDTYLADGAQSEGEKLVMALETVVEEQTAAIKSRINALTE SLPSTDAPAEEETAVEELLKGVRNSAVIIRDRAHTLREWHVSFEEELIRKVSVAVNST LAVLDDVRDLGLQEIGMRWALMDGVTYKDWEDYHSLKEEFEDWKAKFREVGLQHARIE AVKEAADGILSRGMDVAEAAAKELARLKEVGRWKIAAREVSDDFDTRLEPPPPLSKPA VEDEDIPVVQEEDQDFIEAEENPPEVNYTVAESDTETDSESSELFEGEEDDSDSVSEF TVFSEESIDGDNGLDGEALKPEHAAAPEFNNTFGAAAAAVLLEKAAADLDNADHDEVV DAEATKISEALSQSLGIENPDTEPTPSQAPIRRKQSMEDLLSHILADTDPAFADKILK RLNAIYETPQPSPNTQASVEDSEEPTQPANAAEDQSAQGNL ANIA_06136 MDFSGRLRSEHLSSANNHDIMNVSAYDTWKGKERELEEPDTCRI CRGEGTEQDELYYPCKCSGSIRFVHQPCLVQWLAHSQKKHCELCKTPFHFTKVYDPNM PDSLPTLLFIKQLSIHCFRTIVTWLRWVLVAFVWLGWLPWSMRAIWRALFWLADGRWS SADPTHQGYDRHNVTASLHNATLVASSVVAEPSSVAGQPSLEPTSSMIGFSASEPILL NLMKKALSTLFFPAMSSSFGSTDSINVTATPLKRRPSWLSDVKFLNSLTPSPTINNIL IDTLEGQLITLLVVVSFILIFLIREWVVQQQPLDNIAEGEREAADQLIANNRPQVEAR DPEPEAEHFENIPEEEIPQNGQGVIRQEELADDRNTTSEQPAREPLDFEAPLSIRDLL AGVAGGPVTPPIRHNSDGNDGFILDIANSQRGPQIDQPQYGINMDLYRDIYRRSNGDL DEMSRIIREEGRFEELVRNVTTNNRPEQTINGYPRFIVSDSTNMNAETVDDARLAPPR VSFSPRLPHQGDADSADSNMPNAYASTWPSSSAPLNQDQSQQASASAISDSETEDSRT EPSSSSGLVADNGGGGAVANSEFGEESPNPSATSQAMAEPETSLTRRVVDWFWADLDP DDPNQGHQPDAEEDLIDDPAVEEHLEHPENDRNVEVDAILPGIDQNDVDAVEEADDLE GILELIGMQGPIFGLLQNGVFCALLISFTVAAGIWLPYLAGKIALVLLAHPIQFVLGV PMTAVSVFADVVLDTLIGSLGYIMYWVSMICKLVFSPLAAIVPLGEWTRPITSASLSL IDASSQRLGSVINTFFVFHEADVPMFSVLSHLALKIHEARIASLFRLAFLLGKLILHD LPLHMGSFSKAFFLGQNSSTLGNLLALARDQVNDASHDIYTRFFMPPSAWVSPNVIGL SANDGAIDYSLAVWDTKDRTIAILMGYLLASTVGLGYLKVARFISGADQGQRVEGMVA EGLHQAGGVMKVILIIGIEMIVFPLYCGALLDVALLPLFEGATVAARVEFTSEYPLTS LFVHWFIGTCYMFHFALFVSMCRKTMRSGVLYFIRDPDDPTFHPVRDVLERNITTQLR KIAFSALVYGALVIICLGGVVWGIYYGFDGVLPAHWSSKDPVLEFPIDLLFYNVGIPL VLKELKPSDGLHSLYRWWFRKCARFLRLSDFFFGERHPDEEGVSSLSGNNASPDRPAT DEDEKSAFTNKTEPQGKRDGKFVRAPASDQVRIPKGSPVFLEVTEANERVDGKPDNDQ GLHGRLNNQFTKVYIPPFFRTRVAAFIFFIWVFAAATGVGTTIVPLLIGRRIMSFYVP GRPVNDVYALSVGMGFACFVAYFLLSCRTGFRLVRGRLGPYLRSPGEATRVMANALTS GLSILYVIAAFFIFLPSLFALAIELYLLVPVYTYLGHENDLVVHFVQDWTLGVLYVQM AVKLALWHTRSRPAAVLRGIFRDGWLKPNIKLATRALLFPMTLLALVAVTLPLCLGFA SNLILFSFRPELQSKVYRYAYPVTLAMGLLAWFAYLVYRQVEVWKVSIRDEFFLIGER LHNFREKRAREVGVPRRVITG ANIA_06135 MCFASMTKGVFALAIQSFVTADSMGVFPELKHFMGKHNPGTLEI VNKGIVEVPPKAWRWINEMQQIGAMMKDEGGFSRELRGFKGINPVLTHGCLIIVIAED TVLGSEQIGHRKRGTTVEDVVAAVREGMATKEKVE ANIA_06134 MWLPMLWYACSLIASAAHAVAQVISPDNAAAESVSASTVITSYV LSPVLITSYVPTSERSDGWAGFSPSLTFPASTGGVSTSLSSSIVLIPSVSNSLGPSSS VAVSLGPNLLVSTLSVMGPVVPSSLGFPGSSILVSSSSESILSESSPSIPRTSTVAIS IPSASIVPSTSSNAVPSTEGSSSSSTNAVPSTSTGEISSANTLVIPSGSSSVTPSNSP GVISSTSTSNAPGSGAVFSSNTSTALIPTSTPSVTLSPVDPSVTLATGISTSTTSVPD STSSSSVTSTTRVPQSPPTDVRTSTTLVVTVTEEPHITVANPVTITTADDAGPTVVDF PITTNDRSRDPLITAAPVSETTIAPPLPRTVLASSMSGISDDFASVLPIIDAWVEKPS PSLQTQAVKGIDGVEDDIEHFIKVLGGELPPSCDSLRKRGLIDGALNIAKDIAGAAGD VAGKLLRQLGCIGKITSHLKDAIEKQDIDGVKKMMHDLIAPENIADTPVLDPTSKPPG PDNNDDDNHNEDRNEHHSNDDFGDKDNGNNAEDDSDDTDDESDEDNGNSSTSTSQTTT TTSCTSEGTVYHVTVLCEPTPVTFGDSTTSTTACYPTTTITTSGCSVTATTTTVTSTP TSLELCMPGTCGSACSYGHGGWMTLSTLDCVKVPTVAVSALPTESQISHRRVPPVIPP AIHRRAFSFVKRDDDNDFIDEDGPNDDVEPVDYDDDEPMDNNDFFDDDEPLAEQVPSD LPAIGEMQHEDLYRVYKFLTANDKWTVVMDDTAVSGEWWPYEPYGEKPARIAIGMPGL YGCTAIFIVSNKGVYTAHFWEWPVFWSDQQGLQETTDEHFKKYTVDALLQGGNEFPDV QITLPDLMGTDERPGPLHPFNLPKVYVITPWSEEGSALVQYPERTHWLGSQITAFLYQ IYEGEVPDGANYEVYGYLRTSKYSIYSDDDHIGKVLLDASPYYRFDVPDLQRYHRVGR YRLWVMGVSVKDALFYAEWNSLRPTIDQVQRRDVPLDNHRLCPIPIPSLTSSPIPTPA PTQLCEPGDCGDVCSAGGGAWVTLSPVDCGNIPTTTVTALPTESQTYNSVIDLSIRRR EYELLRREDDNVKPLPNTQQEHLIDIYRRLTSETAWFNFPRVSTAGMWFAFPEKASTV LGIKGLYGCTSVIIVSSKGVYISHIWEKPTFINRDEEGRIYETDEEYFKKYSFDALTQ GGEEFDNVEPISSLVGTLANPGPLHPKNTPRVFVVTHTTEDGKRLEYEYRVSLLAELF TAFLYQSSAWGIPQGADGQTVGYQPGPRDLAEGDNAHWGKVILEVSPIDHVLQAGNQH VAVGRYRLWVSGTRVDDTEFWTFPHVPAQVQQRDVSYNEVCPMITSSSTASPNPSTFI TTTSVRPVNPPGQPPGFTLPTYVPPKPTNNAEGTLSANMDLVSERICFEEDDFVPAKL DQANVEDVAELCRDGQGPINDNTMLGPDDERIEYSLASGNSPHEEPWYVVDVEWVKGC EGPAQNVHHPMVGRNCHQLIVDNFSMKNCKTKYGYGGSIVIGCLRYTSQLRGGGIKGV QVSRPEDLDSSGSSSSTESGAAEPLPSAKSLVKETVCLLDSDPINIKNDSLVDELIEK CEGDGISKHDMSLGPSDKIEWIVGGLPKSTEIYYSIVSWEKGCEGPNQNPVTPMVGLT CKDITKKHFELGCIRPGNVGVGGTTVVGCLRYQSYMGGYPLGELHEGAMPEA ANIA_06133 MSSNPEIDVLVIGSGNAGLCSAISAAESGAGRVLLIDSCPEHWA GGNSYFTAGAFRTVHAGLTDILPLVDNVDQEKASKTDVEPYTPADFLGDITRICVGRS DPHLSKTLVGDSNDAVKWLAEHGVRFQLSFNRQAYEIDGRFRFWGGMCLKTQNGGKGL IEDERHAAEKLGVEILFNTAAKRLILDPSTGGIRSVIVQNTSTSISSGSTPGLELGER EIFTKSVILAAGGFEANPRMRAQFLGPGWDFAHVRGTPYNNGVCLEMAIRDASAKQAG NWSGCHSVAWDANAPADSGDREISNEFTKSGYPLGLMINAEGKRFVDEGVDMRNYTYA VFGKAILAQPGHVAFQVWDADMVPWLRAEEYREEIVERITGDTLEELADQCAKRGLRN PNQFLDTIREYNKAVAAFRDENPNHKWDPAIKDGLSTQSSRRKLALPKSNWATPLTRG PYLAVKVTCGVTFTFGGLAVDYETGGVISSATDSPVPGLYCAGEMLGGLFWDNYPGGS GLTSGAVFGRRAGRAAAAHARK ANIA_06132 MSVLPHHGGSYLQRRLTKMYTDTKTSCESVTTASNAADDPELVS IHRNFKTQRDRLLAWGLDWSDASAAQPNDIDESLTQAGFSDVVESVMSSIQDILSEAE RLQNKGASDLPPKGGKAESPAADGLGGFSNKTNWTSAEIARSKTLLTELTACIDVLYD LSGSRRDMTANAQPSGKSRTRSYPSPQVGSPGGDLGRGNLQNSKSSHGASFDSTQRHQ SPQSPKSKEAFEYSSFTNLHAITQSPVFEQPKFNKAFSKASVEPKRYLIDRSALQLTG ASHDNNPPPYEMVAASTNSRVLGRMKTSALPFRHNLKDATVSILVEYTPMVLDSSSEP PYPGDARLDNVHQTLDQLVQNARVSHLGLLNFLGYYIDRPNSRYAFVYQMPIDYFPFL QNPTDLLNDLKPKPLVSLLQMGDDFPVPSLETRFRLAYDLLMAVLQLRSQNLVHGNIN SHNVLIFQGLANSNQNQVGVTENLRRPYLTSFAQFSGNNPSPEPLSSNMYRHPDDKRL IEDDAAWAYDLYSLGLVLMEIGLWTPISRLWKFKYNNSMFKQRVESMYLRKLGPKCGS AYIHVVQLCLDAPNFHLSTQPFDDLNLRIPQTFHYPVLDLSAPESTFAFSMNFVYTLC KITWSCCRIDIFSAPAAEELDDCLPLALVPGSEADAAKQAAREYKGPEQVTRFSQPVA VALTPETKLMKIGLEEKRLRKRTFKKLTTVEIPQEHLDEWNFRMLPKLRKLLQKVLKD SSESCGVTLMMTGDGLENAKTTICVTCASVKKVRAALKKYFPLDDKEDWDLLVLRGDI ERSKVPRKKRRRPAKTGPLSTIEAPPPPQDPNPCFQPRPLCGASMGAFMNEEHLPPVS YGGAILVDGVPYGMTVHHMLEAPSDQEDADDIHEALEDALLRSAGNWTRDLASQNSQL MSAWNDDSSAHSLEFEVSDDEDGDDYYDDFALSEGYSSDEGDDDFAYDDDDRASIGDT AGIEPGEEPPVFVTQPAIDDVREDFFPSPEDRDDEHLASHTFGYVYASSGVRRWTRQG IKHEIDWALIKINQDRLDARNIVYDKPSYSLVASARRRPGEPMPLQQRNPILLNDIAR FEELGGLNVHCCGRTSGLQTGQISKALTMVKLHGRHTFSTSFGVDGNFGVPGDSGAWV FEKSTGRVCGAVLAWSEALRIAYIAPMEVLLEDIARTLHATHVTLPDGPNESVTFPMQ HPSVPPNPRYLGPPPLPDQLHVDLNRQLHLDDQSVGAVNTSRGLREPYRNLPPILTPG PGRSLERQLA ANIA_10776 MNSLPLLLAAAFVGFLYVFLTKGRREKGLPSGPPTLPILGNLHQ IPVKGSYLKFTEWASQYGGLYSLKLGTGTAIVITDPRLVKEVIDRKSSKYSNRPDSFV AHTITGGSHLLVMQYGPLWRTMRKLVHQHFMETAVEKSHIHVQNAEAVQMLRDFCVRP DQHMLHPKRYSNSIIMSLVYGVRTPSVHTAHMTQLYEMMGCRLTMRPSSFQERWSKVM EPGNTPPVDIYSFLHYIPQKVFGNWLSRAKGVRDEMCQLYGQYLDLVDSRRKKVGSTG SFMDTVLDQNEKLGLTRHQLYFLGGVLMEGGSDTSSSIILAFIHAMTKWPQVLKKAQA EIDSVVGEDRTPVWSDYGSLPYVAATVKEAMRWRPAVPLAFPHAAAEDDWVDGHFIPK GSTVIISGWGMHHNEARFGNPSVFDPDHYKGQTALAPELANASDYTARDHYGYGTGRR ICPGIHVAERNLFLAISKLIWAFSIEPGVDESGKLIEPDLHPTTGYSEGFLVCANDFP CRIMPRSERRRETIMKEYQRAQEEVFSRFENISS ANIA_10787 MTPATKPIRLVRLAHVCYTHVDLAAASRFLIDFGFQELTQTVSP STGQRTIYYRGTTTQQPFVYCAREGPEDAFGGAAFVVESREDFDYAAETLPGPAGIVD LEAEGVPGGGLSLTFHDPVDGFPFHLVWGQRGREEEGEKQGEDGLPVLQYNFPTEKHR PGNSSQRFKPGMSSLASPGINYCIQTNLRSGPAPVHKLGHFGMCVTDFARAYEFYTTR FNFKASDLIHDEAGKDVTAFLHLDRGRELVDHHCFFIFEGPKWHVHHSSFETHDFDTQ LLGHHWLREKGYTNCWGVGRHIMGSQIFDYWFDPSRFILEHYVDGDLVDETYPTHRSL ASPDNLHVWGGSFLFFHVRTRYWSWC ANIA_06130 MAPSFLTNSGASEALTNANTMSGASVDEIVETEFLIAGAGPAGA ALACFLTSYGLKGIMVSAAPGTANTPRAHITNMAALGLDREMLKVACDGEQHMVHTRW CHSMAGEEYARIYSWGNDPRRKGDYERASPCSPVDLPQTLLEPILVRHATWHGFTTRF DTTLLSFVRDEKQRITATVRDNLSRREYQIRTRYLFGADGARSQIVKQLDLPLAVKPG QGLAINVLVRADLSHLVAHRKGNLHWVMQPDRDHPSFGWMAIIRMVKPWDEWMFILFP TRDYDPASANPSKEEYLQRVRQLIGDETPAEILNISKWYINEIVAETYSDGNNVFCLG DAVHRHPPLNGLGSNTCIQDAFNLAWKVAYVHRGIAGAQLLSTYSAERQPVGHGIVTR ANQAFRDHQQIWAALGMLGASLEERQRALQELAEPTIAGRERRQTLQKAVAHTAHEFH GLGVEMNQRYEGPGIYDADEADPFALPGRAAEDPVLYYEPNTYPGCRLPHGTALSHYS PVLEVSRGNRRRRA ANIA_06129 MSSQNRTLTGCGTCRNRHVKCDEARPTCENCQQQGLECLGYERQ LIWSSHDADRVFAALYFPVYFVTGRKMTRMITAGLEHQSASATLARLENGSANALRLE GAIFKGPFGVQVLPNPASANNNQDEPHSDQIEHDLLEWDPLWPAIAGDSATFDGLYDV PGSSGNATILDPVLDVMNDRQSLLPPSLGTDLDELIYQPTSLSLAPIVPSLEVSRNKN IPPQAAELLRYFKVNVISLSFPLKNRRQCPWQAVHLPAAISAFAELSIHHTTSHTRMS LFYSLLAASCLNKQHLDLALNEEVLGPKRAKYKEILTAVLSMVMLSIFKEESSSAQAF LVDAEHLIRIRGLPKQHKSLKVRSLYHIYTFLRIMAESTCGYALQDIFPDRPSSSLLA IEPSPESLRSFRLADVIRVANEQELLHRDGPTVDARIAVELKRRASMLEQYILSWEQS PEFKPNDPTTITNGLDTAIDQNLTATHFMIKAMHQALILFYYRRVANISALILQDTVR NCLNFLNRYDKARVEESRNEPCYTPNTAFLWPGFVAACEALEPDMQSGLLEWLVVTGH RTSLGPFFCSCGDCATEIQSGNFPLKQL ANIA_06128 MYPSTHSPLLVESLLPVEMPLPLPLSAVAKRAPAQLTHILKLRA SRIFLSSLLLWLLLYAYCRTRFWRDPHSAFFQEAHVYDLDYSLYREREGTHFLARHNA PTTRPGEEFHYHYTKNNSEGGSETPAVCVAIVTVRREQDTYFDASVGSLLEGLTEHER KPLHLSVLFADIDPAVHPSWGQKWVERLVDQVGGYNVSAEQLEDLRKWEAERNFYRKG VFDYIYALRTCQGVNAPYTLIFEDDIILATGWFARTLKSLAEISRREAGANQGHSHAA KNQKPWLYLRLFYTETALGWSSADFAYEHMPLIFLTLTALSFTALYFLQRSRRFQPLH LDTPSILVISLLCIPAFTALAYMVGKYNLMPLRGVVQMNKFGCCTQGLLFPAQQVDRL IGFLEDRGHGQTDSLIEEYADLNGLNRYAVALPLLQHVGLKSSRDNTDVNTQSTWAFW FETNKPEALRREHAELLGDADVQQMLELPCPRLP ANIA_06127 MPFPAMDHFLESSDAQAPFLRDCKGRCGRLLIGRLAAGLDHIVT IVMAGILGIYGLVVSVLIANNLAQTVALYTSLLQLGAGLAVGLCGLAAGFAIGIVGDA GVRGTAQQPRLYVGMILVLIFAEVLGLYGLIVALLMNARAGVIDFKCSS ANIA_11501 MRLATALPAFAAFTLERTSSSISPLTLPLNASNPSNLVPRNQPQ SSTLALEAPIPGYGVEDFTWEMDTTPGGPTVLLNGIPAVNCILSKYVNRYL ANIA_06126 MGVPDGTTNGHGGSRAAKHNLPSHFIGGNHLDAAAPSSVKDFVA NHEGHSVITSVLIANNGIAAVKEIRSVRKWAYETFGNERAIQFTVMATPEDLAANADY IRMADQYVEVPGGTNNNNYANVELIVDVAERMDVHAVWAGWGHASENPRLPESLAASP KKIIFIGPPASAMRSLGDKISSTIVAQHAQVPCIPWSGTGVDEVKVDENGIVTVEEEV YNKGCTFSPEEGLEKAKQIGFPVMIKASEGGGGKGIRKVEKEEDFINLYNAAANEIPG SPIFIMKLAGNARHLEVQLLADQYGNNISLFGRDCSVQRRHQKIIEEAPVTIANPTTF QAMERAAVSLGKLVGYVSAGTVEYLYSHADDKFYFLELNPRLQVEHPTTEMVTGVNLP AAQLQIAMGIPLHRIRDIRLLYGVDPNTSAEIDFDFSSEESFKTQRRPQPKGHTTACR ITSEDPGEGFKPSSGTMHELNFRSSSNVWGYFSVGTAGGIHSFSDSQFGHIFAYGENR SASRKHMVIALKELSIRGDFRTTIEYLIKLLETPAFEENKITTGWLDQLISNKLTAER PDTTIAVLCGAVTKAHQASEARLEEYRNGIQKGQVPSKDVLKTVFPVDFIYEGKRYKF TATRAGLDSYHLFINGSKCSIGVRALADGGLLVLLNGRSHNVYWKEEAAATRISVDGK TCLLEQENDPTQLRTPSPGKLVKFTVENGEHVRAGQPFAEVEVMKMYMPLIAQEDGIV QLIKQPGATLEAGDILGILALDDPSRVKHAQPFTEQLPPIGPPQVVGNKPAQRFFLLH SILENILKGFDNQVIMNSTLKELIEVLRDPELPYSEWNAQSSALHSRMPQKLDAQLQN IVDRARSRKAEFPARQLQKTMVRFIEENVNPADAEILKTTLLPLVQVINNYIEGLKAH EYKVFVGLLEQYYAVEKLFSGSKARYEDGILALREEHKDDVATIVQIALSHSRIGAKN DLILAILSIYRPNQPGMANVGQYFKSILKKLTEIESRAAAKVTLKAREVLIQCALPSL EERLSQMELILRSSVAESQYGETGWAHREPDLGALKEVVDSKYTVFDVLPRFFVHKDA WVTLAALEVYVRRAYRAYSIQGIQYHHEGEPAFLSWDFTMGKLGQPEFGSMTAVTHPS TPSTPTTESNPFKRVSSISDMSNLLNDSPNGTPRKGVILPVQYLEDAEEYLTKALEVF PRAGTRKPSDHGLIASLEGKRRPAPRADSESTELTGVLNIAIRDIEELDDAQIVAQIS KLVSSFKDEFLARRIRRVTFICGKDGVYPSYYTFRGPNYEEDESIRHSEPALAFQLEL NRLSKFKIKPVFTENRNIHVYEAIGKGPENDKALDKRYFVRAVVRPGRLRDDIPTAEY LTSEADRLMNDILDALEVIGNNNSDLNHIFINFSPVFNLQPKDVEEALAGFLDRFGLR LWRLRVTGAEIRILCTDPATGMPYPLRVIISNTVGYIIQVELYIEKKSEKGEWLLHSI GGTNKLGSNHLRPVSTPYPTKEWLQPKRYKAHVMGTQYVYDFPELFREAFQNSWTKAI EKSPSLIERRPPLGECMEYSELVLDDTDNLVEISRGPGTNTHGMVGWIVTARTPEYPE GRRFIIVANDITFQIGSFGPLEDKFFHKCTELARKLGIPRVYLSANSGARIGMADELI PYFSVAWNDPAKPEAGFKYLYLTPEVKKKFDASKQKEVITELIHDEGEERHKITTIIG AKDGLGVECLKGSGLIAGATSRAYEDIFTITLVTCRSVGIGAYLVRLGQRAIQVEGQP IILTGAPAINKLLGREVYTSNLQLGGTQIMYRNGVSHMTAANDFDGVEKIVDWLAFVP EKKGSLPPIRPLADPWDRDVSYHPPAKQAYDVRWLINGKEDEEGFLPGLFDAGSFEEA LGGWARTVVVGRARLGGIPMGVIAVETRSVENVTPADPANPDSMEMITQEAGGVWYPN SSFKTAQALRDFNNGEQLPVMILANWRGFSGGQRDMYNEVLKYGSYIVDALVKYEQPI FVYIPPFGELRGGSWVVVDPTINPDQMEMYADEEARGGVLEPEGIVNIKFRRDKQLET MARLDPTYGELRRALQDKNLSKEKLSDIKDKMAAREEQLLPVYMQIALQFADLHDRAG RMQAKNTIRQALSWKNARRFFYWRVRRRISEEYIIKRMLTACPAPVQGEGSGAVAQGV SPAPSDSPRTTHLRTLHSWTPFLENEVENDDRRVAVWYEENKELIQEKIEALKSQAIA SQISDVLFSNRESGLKGIQQALSFLPVEEKESILKYLGSN ANIA_06125 MPSADEPSSTRTNGTSSRSDQLAYYKKQYEQLESELADFQASSR ELEAELEKEIEASEKRERQLKEKVDNLRYEVEEWKSKYKQSKSEASTAQNALQKEITS LRDANLTLQLKLRDTEVANDDYERQARHTTSSLEDMESKYNQALEREVLLDMEYKQGE QERESLRIENQRLRDELNDLKIETEIVQERLRNNNRRRRPAPLGRSPSTPHTPEIFDR SPGESTVSSPLFSTPPTKLSLTLASATATPPSPPMSETSSSMRKSLTAASGFPLQKAS ASESFGTRSLYGNRPQRFQAHSRATSYAFSNGRSTPSATTTRPSLPKANNTTANRPSG IPKSGSLHQIRGLIGKMQKLEERVQSAKSKLPPPSETASRASSRAGSMLDASPGAATI AMRRDTRKRLSGSSFSSSVRDGDGAPSYVTSSRPSYGTRTQGDSRPSSRTSFSSSLSH STHPSVTPSNRPESRQSRTKTPLGHYSTNPTTESRRPRSSLSNPAGQSTPINGMTYID EDEDLAEQFNMRATISSTRPTRLPSFSNPAFSTPTGLKKRSTSGMSGIPAPRTLRRGN TMGPPKTKPKPVAGDLGETF ANIA_06124 MADPTDLNLDAPSDLQDIPDMSMQLVPPPEGTYPDKTSLLASVQ AHAKAHGYNVVVKSSSTPTEKKPGRTAKVWLRCDRGGHYRPRNGLTEETRKRRRTSRL MDCPFMLVAAGTPGIWTLTVLNGTHNHGPIVEKPRQVPHHKVRKGQIAAVPYDWPHDA TLTPYTTALVIIDMQKDFCAPGGYMEFQGYDISPARELIPKLQQLLNTFRSAGFPVYH TREGHRPDLSTLSSRETYRSQNNSSGLGIGSPGPLGRLLIRGELGHDTVDELYPLPGE PVIDKPGRGAFAYTDFELLLRNKGIKNLVLAGVTTDVCVSTTMREANDRGFDCVILED GTAASEPALHVSTIESVKMEGGIFGAVAKLEDVMHAVENFKAVTVKKLAPQMTSN ANIA_11500 MSYYFTILSPTDVPLFNIAFGTSKSGGDGIARFRFPDAAQNTYM TQFIIHSSLDMLEEAQWMGGNMYLKHIDTYPPASAYVSAFLTPSGTRFLLLHQPPQPN LPPSGAGGGSGGLGSSTMSLGSSAMGMGGSGLFGGSGVGSSGRTSSSSIAANPTAPQT EEAVRQFMTEVYEAYVKTVMSPFYRRGMEIRSPVFRSKVSAAGRKWL ANIA_06123 MPPKTLLSLSKKNPLAGGGQKRKKAVFDSDSDTDERGGATNETE ITTIGGIELDSESVTQKKPLQTENESEERPAKRKVVPLGQGAKPKIKPLSKTSIFADE DDEKEDGKTETTYGLNNAPAKRDQKQEREREYTNLSALHSMKKHASEAQDLDPSIYSY DAVYDSLHAKPEKKNKANADEESVPKYMTSLLRSAEIRKRDQLRARDRMLAKEREAEG DEFDDKEKFVTSAYKAQQEELRRVEEEEKKREQEEEERRKKNGGTGMVGFYRDLLARG EEQHDAAMKATEEAARKIQENGGEVPTDTTQEDEKTEAQIAAELNARGAHIAVNDDGQ VVDKRQLLSAGLNVAPKPKNAAPAAARTTAGAGTGPTSRDHSRQAAGRAGQRARQTEM IAQQLEEQERQRQEAEAARQKEIAERSRSHKSATEVLSARERYLARKREREAAKGS ANIA_06121 MTLVNNLIELLYISASMGVNSWSDLLAIELIHLSVLSKNDLLSE LVELFLLLTMQKLLGFLESLGATASCFEGNACPNPEQSEPESDPAEPADTNTKADIDR DPKAEATQADLEAVVNGTSTSDAGSRFESASVFVLLPEPKHTPASNLEHHPVSGSDFE SVSSSTSELVAESKPQGLDERESQTNLEPESQIEDPLVVKEKQDAQCQIESENALMLA KGLRVESQDQHEEYISSEHQINSQQGLLVESQNQDRQNLVNSEPGSNTDIKSDDRYGH QIHSGDQKESPLLVNYEDQVHKEHISAGSELAPVSGSESEPEAGFDSDSVSSPAPTPE LVEEDKTSVTKHQGPHLGAATGPLILQAKPGALILRQNSDLLGLRITAPRARSPSPLR SFSRSAIECLGIPMPSEMPRPSTSNVPDSLADIPPEYLQLPFVDEHVNSSAPKGNKNW HSVDLPHEQLTAANLTRAQDLSNCDLREPVVSDCFEKRWINDKSGFGLVATKHIPAGT VIIADELMILWSGEHKKCKSYGDTNAMLQAKAARMGPEWNNEFLSLAKGQKKKGFAIR KRRLGLEGAIWDQHALPTAWEGNVGEVLGLNLAWLNHCCIPNCVLRFRNEYPTNKKGE ICYDKKPRLGKAVVRACADIKPNVEISIAYMQTEGTARERRAAMNRRFGFLCACRFCA TPHPSADKAMCHYRRLKRRIEDPNIVSNKPAIAYLHASMLLDHLAAMRVQDFRVADIW VKCAMIAGHHTDLARAWCFLREARELYLVLEGLNGAIYHQVEGWYRDPTSMPGFGGTR RGLSSRMKAFTDFNQGIMPKKMLFMLDAKPNEYIAVPRYRPLPRTDNDKGPSYEIIDG PDFAPAELKFDSKNPSDMCRTHDNCQTLVDHLDRVREKRRNRGPAREMTDDHDSEPYC DGFKQDFLAAFMAVARERFGKAAVDAELEKDHDNPEESFNQDVQVFTSCGETCPKPGC THQQYEVELQNPSCACDKEPGEEGQPDNKENEESHIQEPTVGARNPVVKHVGAEKKPQ FVNLDDFAYCDAGVEYN ANIA_06120 MHWKGLRLGALYDSESKRNPADPQSRHSEQLARSTLSATNLPPR ISESSACSPNLTGLDADGQAESEGSNRAPAPGNSSLKSRVPNALNSSREALNRSGSRF SLMRLRHASDPQLSKSYAKAGTEVPPVPNIPPPTIITTAPTSHELDQPVKRKNKFSIF PEPRKSMEAHAEQQTVRNEKAKRSQDSTGSQSADTSLTASRVSAEEPGRLSTTSIRST GRDRPNGSYRSSVVDGRFSESSRSDQSAATNNEISRTNSPLGGPASGTKRFRMPRLKR NRVQFPLPPKPTADNGQTPGLTQSRSVPDGPTRGSDASDGQDHVSPLPSPSRSSAGLS ASRPPIIRKDSSNSAHSVHSTPSIRGGRNQGLPKNRARSSTLDSVTNIQDTDPHPSPN QPSSSRTSFSTTGRKSFGDIFSISQRLRQNSEPGYFRTNSSPSGTKGTSSPLTKVLSY PKREEGDTPATYLARLEENIPKSAVAGVLSQSGEDFYKTALRKFMRGFSFFGDPIDMA IRKLLMEVELPKETQQIDRFLQSFADRYHECNPGIFASTDQAYFIAFSILILHTDVFN KNNKRKMQKGDYVKNTRGEGVAEDILECFYENISYTPFIRIEDSNLGTRHLPKPKKAL FRVPSAENLSRATREPVDPYALIMDGKLDTLRPSLRDVMNLEDPYCCNPTTGPADIKS LHRAFAQSAILQIVSARSRPDAFMPASMENPADSNPGLVDIKVAKVGLLWRKDPKKKR ARSPWQEWGALLTLSHLYFFKDVNWVKSLMAQCDAHQKEGRRRAVVFKPPLNDFNPVS MMSTDNAVALLDSSYKKHKHAFLFVRPNSLEEVFLANSEADMNDWLAKLNYAAAFRTT GVGTKGMVVTKYDAQRNRMSRRLSFQSEMSRFSSDKEPASPSSGMGAAEELMAARTRL IQQKVREANETLFISQKQLDDILRNARHLQVLTPVHTRAREQLIMAAGRMSAKLKWVR QDIWRTKSHREVLVRDLGEENDQAVTAPEPQRLRLEIPASNSLSGNGGDSVWDKQLSP MFAKSPSIAPEKPSSVNQISSPSSSTGGVRRPSLATSVTSPDILLRTGRPPSVDNYER AKSVSPNPSHVLEREASVLSSGSKMDVSSLASKTSKLTLPGSFDDGEERLLREAGLLD ASSASRKGSVTADGMDFDLKKDDAPEGSQDRMSRVRHSFHRTLRDSSSGHHYPRSRKR ESAPSVTAAEDSQGSGDAGGLSRKGGSFTVHGKKASIVTFGSDWQNMPPEERLKLRKP TPSEEPRASDTDLVSGGESTRSGLRASLDIPVRLSEEKAEDKLDRRSVRSESAVSTVS QEPSSPSTNVHNSLRSVPLPDSSTVDENPTPTLPQAIHA ANIA_06119 MRSFRSLHLLLLAASAITADAVPAGSSITPPPPVEPVSLLSSHS NPQRPWTRLRNWVIESIWGIPKSQTSRPTAHDYSAPLQGSTRYGSDVVLRFRVQGLDE AEALTEATNILFLDVWASTTEFVDIRLAKEVIPSLLGLLPDSLQTAYVPLIDNLPEAI SATYPARRSLGLNDQFMPSPSTRAPDLFFEDYQPLSVITPWMQLMASMFSSHARMINV GVSYEGRQIPALRLGRSSQPGESRPMILIVGGNHAREWISTSTVAYIAYNLMTRYGSS AAVTSLLQDYDWVLVPTVNPDGYVYTWDSDRLWRKNRQPTSFRFCPGIDLDRSWSFEW DGNQTQTNPCSENYAGEEPFEGVEAQQLAEWALNETEHNNARFVGFLDFHSYAQQILY PYTYSCSSVPPTLESLEELGLGLAKVIRLTTHEIYDVTSACEGVVIPASGDKSAKSLF PVGGSSGGSGLDWFYHQLRTRYAYQIKLRDRGSYGFLLPSEYIVPTGTEAFNAVLKLG QFLTEQDYPGIRNIDWESEYQVGDETPTQESTAFTDESHEEEGKDVTVDTDDEDDDYY DRWEPLKWEDQRPLGFRRRR ANIA_06118 MGVEKDDGITAAPQNPVYDASVAEKGVLEVPQENELHRALKARH ITMIAIGGAIGTGLIIGTGSALEKAGPGAILISYAFMGFIVYLVMCGLGEMATWLPRS SGFTGYAVRFCDPALGFALGYTYWFKYIIVTPNQLTAAALVIQYWLPADKVNPGVWIT VFLVLIVFINYFGVGFFGEFEFWLSSFKVVVIVGLILLSFILMLGGGPDHDRKGFRYW KDPGAFNSYIDMGPDGNGSAAGRFYAFWATLVSATFAYLGTELVGVTVGEAQNPRKTI PRAIKLTFYRIIFFYVISVLLVGTLVPYDSSELKQANSASTSSAASPFVLAIQLSGIP ALPHILNACILAFVFSAANSDLYIATRTIYGLAKEGKAPKILTKTDRRGVPFVALGLC TLIALIAYMNVSSSSKTVFKYFVDLVSQFGLLSWISLLVTHICFVKARKAQEIPKNEL AYTAPLGVWGSYFALFWCIVVSLTKSFDVFTGDTFNYKTFITSYLGIPLYLILIFGYK FTTRCKRVLPHEADLYTDKDAIDREEAAYLARQEAKRAAQGANHKQANWFYKTFVSWL F ANIA_06117 MGHGGSEEEKCALADHSTVEDALRQVSVLRDKYAPWPNPADTTY HDHTTNLASYQLVQTAVDGQLFMAPLESVADFSRRARLSAWPCEKNCLISKRYNVQQA NA ANIA_06116 MVAIRLPRPTGIYVPSSPRSVTSDMISSPLSADFTFDSETLTPS IIPALEYISHKLQQKLMHVTLLVGRGKPYPTGQPSDLIVIPSTTLEPSVSRTLTRTIA KAAARFALGQSWSDALARSHHERHANEYLIERSILQNEVVFSREGLTLLNVDRIYTFK RRLCILSASGSSSGSKATAPPESYINSCVRLLHRTIEDFNGRPFSKAFFHRVYEQLDV SDELIAHVADLYKKQYKQDGIVIPPAPKAAIVVVEKKKSPVRTVRIRKPAATQKSGLS PAGTLTKRGPKTPLSASDVTPITRNEWNLLFGPGFKKTQPTVTKWTPSPTVLAAA ANIA_06115 MSTRPPADSPFIESDLDDKKWTAKSLIRHAERSHSRLPGIRKVP LRSIAVILLVAFVNVVVWVAVAIVLRLRSLVSNAVLSYTLGLRHAFDADHISAIDLMT RRLLATGQRPVTVGTFFSLGHSTIVIITSIVVAATAAAVSSRFDKFSTIGGIIGTSVS AAFLILLGFMNAYILYKLYKQMQKVLNLPEGQEDEAWKIEGGGVLFRVLKRAFKLIDR PWKMYPLGILFGLGFDTSSEIALLGISSIEAAKGTSFWVILIFPILFTAGMCLLDTTD GALMLALYLTPDAASTLDDPITTPQNHRDPVAFLYYSIVLTTLTVIVAIIIGIIQLLT LILNVAEPTGRFWDGVQTAGDYYDVIGGGICGAFLVFGILSVFVYKPWRRWIGKRYGK DAASAGDEEGAYRGEDTGTDAGTSIPRVDTPLNEGGSSVTYGSVHVQPKGNTK ANIA_06114 MSSTSTASLTPSDPGQDLDIEADLLTTESGFSLAKCPPIYVLPT HLDLDALHQTEETLIQHGARLTYDVAEATLILGKVRQKKRAALELRSRGVWTEEVEST SSHTSGPPAKRRRLESGRNGSAVSEIYLSTEDESEEDGRRLTAHLEHPQLSESKRSER TSVSKLLSKDEGVIRVVRLDWLAQCAEAQTLLPLASFVVYHARKIERPATRGDDETAN SQAILDRAKQDAAFKAPFPPSTDKHDRVASHRAPPKLYRQTTSENEEAEPLPPPPEWV RDGVMYACMRSSFLNTPNNAFINQLVKIRTIRELNLDEIGVRAYSTAIAAIAAYPYEI RRGSQILSLPGCDSKIANLFVEFKASESGTLAAAEALDKDPDLRTLHTFYNIWGVGSK TAREFFYARQWRDLDDIVEHGWHSLSRVQQIGVKYYDEFLLDIPREETEAIGKIICQH ANSASVRPTAQYDGHGVECIIVGSYRRGKPVSHDVDIILSHRDEAITHNLVVDVVASL ESEGWITHTLALHLTTSKRDQQTLPYRGDDDDRNHFDSLDKALVVWQTPKDKLGSGTQ ASSANTNPHRRVDIIVSPWRTVGCAVLGWSGDTTFERDLRRYAKKAHGWKFDSSGVRE RVTGGQVIDLEARGETWQERERMVMEGLGVGWRPPEERCTR ANIA_06113 MGANAKAQSWLHKLEEQGMLCAFHEEGTGSLTNQTMPVNVDVDA MDPDFIKSLPITPHDMTSNQIHVHGQISEPKNRQLLLDVAREYKDRSWVDVYTRVAVL LCKKNINLISGRVLLQILPSYAYDRDKVLSHARLYAKEFESVEITKDRFCIKIPSTGP ALSVCSTLEAEGIRTLGTAVFSLPQAIAASQAGCLYISPYFNEIRANFNLSLWPNVED PATQHTMSARLMQMLEMYRKLYKETGKTQPLIKNANFISPKEALAQGEFGVDSATVSA EVLSQLANIPYDVSVRPSGIVDIPKPQYPEHQNSVSSTPKRLQHLATTDPLAAADWDG SIASTDVDYLKHNGAELEKAIKADPIASARISDALDVFLKVEGESRELIEGVMKELA ANIA_06112 MASGRAPGRHPAAGRDDDLLQLEESTPIYNTGRPPPVNDESLLR QYNIDDSENAQPRPSVSYDNFVGGRVTPHAGAHATGSAPPVHAGVYMNDPYSGQDVSR TYSQTSGLDNYQRYSMDEYEGGHGYYDMTGQDPMEGDSRMRERNSILSMGGGLMGRAK HMLGMKPEYSEMDLPLTEAGARAARADSTVSEDGPPHAKKSSKPSFKFGFGRRTVDSS TLGPRIIQLNNPPANAVHKFVDNHVSTAKYNIVTFLPKFLYEQFSKYANLFFLFTAVL QQIPNVSPTNRYTTIGPLVIVLLVSAIKELVEDYKRRSSDKSLNYSKTQVLKGSTFHE TKWVDVAVGDIVRVESEQPFPADLVLLASSEPEGLCYIETANLDGETNLKIKQAIPET SHLVSPADLSRLSGRIRSEQPNSSLYTYEATLTMHAGGGERELPLAPDQLMLRGATLR NTPWIHGVVVFTGHETKLMRNATATPIKRTAVERMVNIQILMLVSILVALSVVSSVGD LIIRQTEKDKLTYLDYGSTNPGKQFIMDIFTYWVLYSNLVPISLFVTIEIVKYSQAFL INSDLDIYYDVTDTPATCRTSSLVEELGQIEYIFSDKTGTLTCNMMEFKECTIGGIQY GEDVAEDRRATVEDGVEVGVHDFKKLRQNLESHPTKDAIHHFLTLLATCHTVIPERSE ADPDKIKYQAASPDEGALVEGAARMGYKFSNRKPRSVIITVAGQEYEYELLAVCEFNS TRKRMSTIFRCPDGRIRIYIKGADTVILERLHQDNPIVEGTLQHLEEYASDGLRTLCL AMREIPEDEFQQWYQIFDKAATTVGGNRAEELDKAAELIEKDFYLLGATAKEDRLQDG VPDTIHTLQTAGIKIWVLTGDRQETAINIGMSCKLISEDMTLLIVNEDSAEATRDNLT KKLQAVQSQTEAEQMALIIDGRSLTFALEKDMEKLFLDLAVLCKAVVCCCSRVSPLQK ALVVKLVKRHLKSLLLAIGDGANDVSMIQAAHVGVGISGVEGLQAARSADVSIAQFRY LRKLLLVHGAWSYHRISRVILYSFYKNIALYMTQFWYSFQNAFSGEVIYESWTLSFYN VFFTVLPPFAMGICDQFISARLLDRYPQLYQLGQKGLFFKRHSFWSWIANGFYHSLLL YIVSQLIFLYDLPQADGKVAGHWVWGSALYTAVLATVLGKAALITNIWTKYTFIAIPG SMIIWLAFLPAYGYAAPAIGFSEEYYGTIPRLFTSPIFYLMAIVLPCICLLRDYAWKY AKRMYYPQHYHHVQEIQKYNVQDYRPRMEQFQKAIRKVRQVQRMRKQRGYAFSQADEG GQMRVVNAYDTTRGRGRYGEMTSSRNLV ANIA_06111 MNKEAPLSIAERDFILDALREGVRLDGRGADQLRPLTLSFGEEY GHVKVQLGKTSLVVRISAEVAKPHDDRPFDGIFNIAMELTAMGSPAWENGRQGDLETY VTNVLDRVIRHSNALDTESLCILKGVSCWTIRADVHITDYDGNLIDASCIGVMAGLQH FRRPDAVVKDGQVIVYGLDERVPAPLNITHKPLSVTFHTFDDGKLAILDATRKEEQAS EADVVIGMNNAGDVCYLSKFQGSPVDALVFITKTSLALEKVKYINGIIDKALQADLAK RSKGGLAEQARAENDRPVA ANIA_06110 MTTAHRPTFDPARGKEALRGPAYHQRLLPAYTHLKVRQSGQGTE GEAARRDLRAELLQAEAAHFAKKNGAPVPEASAESTPKRQLEGAPANGGDGELEEDPE AKRRRILEETREIDADSEASEEDSSEDESDDEDEAAELMRELEKIKKERLAQKEKEER ERAAKEEEQREVDIARGNPLLNPSDFNIKRRWDDDVVFKNQARGTEDKRGKEFVNDLL RSDFHKKFMSKYVR ANIA_06109 MDLQPTSKNMHNRINTDISQLLQRFENIMATATVESTSHTTTAV ETYQLDVESTALIRAAEDILSLTRTMKETWLFGKLDTLGEDESETKRREGLEQDAAVI QKIIEDAGILKAPKK ANIA_06108 MGRKTYDSIPPRLRPLGKRLNVVISRDPDGSVAERVKIDLESKL EREREAAEAKAKQQPQNQAEGRNSGEEPVNAAAVTAVVAPPVKEGSTGAFVERSLEEA LRRLDNAAAGEEGVGNIYVIGGAEIYNASLKLGSRSENERTIRIVMTDVEKLDGSGFE CDTFFPVDAEELNSGKWRKVSPAEVTGWVGEEVTGEWTEEGDVRVRMVGSCVSIE ANIA_06107 MPRSIPSYFTSAPPAEEHHDPENVSPGPRLNPRTAPPSPSVMRR TYSHLSESHTAYQSLEPPLEAGETTSLLGKAKEGGSGRMEPQRSYTTFSASSGPESGF RYTLLGSSLRRSRHHSRANSVHQRFSRRASMDEVPVESTAASIKDAMTSSFMDDRLWY DQFTSTDWVHDSVADGVRLRELRRRKDIRGRLLALFDGAQGWILVALIGCIVAAIAYF VDITEEYVFGLKNGICTTGWLRSRETCCADEEECPAWRSWSEMMNHHGGGSVSVDFGI YVLWSVILAAISCLLTLLTKTVVPSSVSLTTLDENLGANGSRQATEADGSPASVSGSS PYPPLPLRPDMVYYSAAGSGVAEVKVINSGFVLHGYLGFKTLVIKTLALIFSVASGLS LGKEGPYVHIATCVGNIACRLFSKYNLNDGKRREVLSASAASGVAVAFGAPIGGVLFS LEEVSYYFPPKTLFRTFFCCIAAALSLKFFNPYGTGKIVLFEVRYLGDWEIFELAIFM FLGVLGGAAGALFIKASSIWAKSFRRIPVIKRWPLFEVGLVALVTGLISFWNPYTKLP VTELLFQLASPCEHSTSDGLCPHPEGIGEVIRALTIAFVIKSFLTIVTFGIKVPAGIY VPSMVVGGLMGRIVGHVTQYLALQYPNFFLFGSSCAAGTGMESCVTPGVYAMVAAGAT MCGVTRLSVTLAVILFELTGSLDHVLPFSLAVLCAKWTADAIEPHSIYDFLTEMNSYP FLDNKLQTVSDAVLGDIVRPIRRSRLIDITETPLVPARELRSKLQHLLMAGELDSGLP ILRDGVLIGLIPAPDLEYALDNLEDEDRTSCLMATDTSSVVSDTDDEDTLQVDFTKYI DPAPLALDIHSPIDLVYQCFVKLGLRYLCVLHDGQYAGLVHKKAFVKFMKEQH ANIA_06106 MLSKLALASTLIPAALACLGYEGGVPTPTASYSNSAPIEIAAGQ TFDAGWARYDRGSGACTDGEGGNDDAVFILRSGATLKNVIIGKNQKEGVHCEGPCTLE FVWFEDVCEDAITVRGDSAGDHTWIIGGGAYHASDKIVQHNGCGTVNRDAALTDHYLK IINFYAEDYGKVYRSCGNCSSQCKRNVYIEGVTAYDGGDICGINSNYGDTCTLNNVCT DADHPCVLYEGCAGGCEPEKVGYCSG ANIA_10773 MSSSDSNLPDFEHFLSDSSTDSSSSHSFPTAVIVLLPLITGVVL MAGIWCFAVKRGARNRARTLAKEQEQEQQSNPHGSGAGAGETLIHMQQIPPVVVRPGE RHGVGDGVRNEVEDAPPPYSVAVQSADRSR ANIA_06105 MVMSTSAAPTPSASASAPQTANSNGSIACANTVATLTGTCPCGS ISVTVTDRSLEGKHGQRDSNPNLTIDAEKTAIQDRQKTLKMYFDLDTMSGRQIEKFFC SSCGCPIMSMTALLPGQVNLTMGLFPRIPASGFETTSSAFQPHRHQNPHPRSLEGRLD DVVQWSAKANAGLA ANIA_06104 MSSINFSPLPSSPAASSPLAAPSFTSYFNLLTRKRQQKKMSITQ TYYLAHTARRKLTREASRADHDLRLLVGHANLLDSLMLDLADAEQEQERWFNQTVSGA TKGAYRSENQHHIQWAETLVEEPEEDWDPSEDLSDEDSDLSEEEDSDFEENYAPVRRR APSPVAIVTETELEDYDSDSDSEYDYDDAEDLDELALTRSPSRQPPELSHDEDDSEDD SMPPSPPQPVLESFDEKQAHTEVTLADPDFEHRYYVRPAQPMIEAC ANIA_06103 MKAFFAISASTLLATVHGHGYLTVPASRTRLGFEAGIDTCPECS ILEPVDAWPNVTEAQVGRSGPCGYNARVSVDYNQPGDNWGNEPVVTYKAGDIVEVQWC VDNNGDHGGMFTYGICQDQELVDKFLDPDYLPTEEEKQAAEDCFLQGELKCGDVDGQE CEYSPDCGEGEACYRNDWFTCNAFEADSDRGCQGVDGAELNSCKTTIAGGYTVTKKIK IPDYTSEHTLLRFRWNSFQTPQIYLHCADPTIEGGMEVRMRMIVMHGSFGVDTQHSFG HSFGFQGEGVYRAYRYIRGVAIIQMNLNINASLLPQPTLPIRGWSTRNIQHT ANIA_10775 MSASNQPLRVAPKRLRATHTKSRQGCYTCKSRRVKCDEAKPICG ACALRGEPCGFPPDTSRQPRRERDDASGGTTSRQAQREPPSVSNARSPHALEFDLSPA PSNVAAEANSQSMNMVDLQLLSYFMIHTGKNMSLIPSRQKVWQTVIPRLAARHEFLMH LLLALAGLDYFHPDGNPDADLAVGSESRADQSTSISVEHHLQLVVRHHQCGLQGFRNQ LSALSDSNCHEVFSGSLLLVGFAFASLRMRNWNDSHTSPLPPLRKPRLDWIYLIRGLT AVVEQCWPSLRMGPLREMLQYPGATEDWKMYPEKMSTTVIPRGCSPRISRFCQGAYRA LARLQALEGSTPSSSAEDSPESRPSGLKDGLDLVESVYMRILYLAQFSRDEKCTSIEL QADMEDAAVMGWPQALSEEFLATLGDPDIAPNISLVILAYLYLTLALFEAAWFLNGAF DEDIQKIDALCKSYGDRTLISLMEWPVSVING ANIA_10770 MSSAESSQEVYFALYRYTPSIPAAAVFVAVFVALAVFHGIVLVR HRALFFTPFIVGLIFEAAGYAARIFSHYDTLALGPYIVQTMLILVAPPLFAASIYMTL GRVIFRLEAEWASMIRVKYITKIFVVGDVVSFLLQCGGGGYMAAGSLSAMENGERIVI AGLAVQLLFFGFFMFLSAVFHYRVKSDTQHSVRPIAAHSRFSSSWEAMMWCLYGACIL IFVRSVFRVIEFVQGNDGYIMKREYLLYVFDAVLMALQAILLLLAYPGAVVKGSRRTG DVALNSRGESSDGMLPAGSGKREPC ANIA_06101 MNSLLFREALQHASSTDISKLLLSAATLGVLSHVSLFRSLPVEE YLYGLLGLYTATVVAVTVLYLTATAFSPLQVLLRVGCISAAFNTGLASSIAIYRLFFH RLRRFPGPRLSKLSRFYDAYLAGKGLQYHVEIAEMHKRYGDFFRTGPREICIVRKSAV PLLLSPQSKCGKSTFYAQAQTEAEYCNVHQTRDFEDHRRRRKAWDRGLSVKALATYEP SIRAKADLLVLHIEKNRGRAIDATKWSMFLSFDIMGKVGFGKEFNNLSTGVEHPGIKA IHDHMAILGVMCHVPWLLNLISNLPGASFSMAEFFKWCEDEIVQKHRSWDIKETPQDI TSWLLKAYVHKEVSAAPTANALHEDSRAVIVAGSETTATTLASILYFLCKNPEILAKL QLLLDEAMPGGSSEWTYDKIKTISYLDDIINETLRLRPAILTGGYRVTPAEGLQVDEV YIPGDVNVFVPTQLIQTDERYYVDAKRFVPERWNEKKEMIQDGAPYFPFLYGPYVCPK KNLALMSLRISVSKLAQLYDIHFAPGENGELFETRTLDTFTTTLPPLHVQFLSR ANIA_06100 MPPGTQPSIKRAGSPVKQPSLPPRPMDQNTDPIKIDSVPSQDVR TASLPSYRATNTQPKITIKCGPNQQQAPQQNSAVTRPATEPIKGKQPANTADELQRLQ LEHAESRKRIQDLERQLEEQCALTKTILNERDKVAAQAEENWKLWKQTARELRKAKQA PSHYQFTDSQLTGLIQQLRYSIRDFAVQYFTGIPRSHVSRDRLDVWESCVVSTTPGTT AYQEYIRSPSRCASIIQAIVWKLLDRRVFGSFVWAGKAGESLCDLRFYLKYASRHDPV PDPDLERKFQIWSSEASALILQMCDFTEGSQEYKRMQSTRKEIREEFWSIAGQYLSIR SSAPGQDFRRILENAIALDREIHRQAARVTWEFPPVDAHVRFNPEFMEAEKGQQRPRV DQQVLLVVAPGLTKRGKSDGQDFAGEEQLLVPMEVSCLLPGDIPGAGGLSSLVYSWLR ANIA_06099 MLASRVTSLSESALNTLNAINAADGDITWPLQGLRINEDDDQLV IGIDFGTTFSGVAWATPEHFEADQINLINAWPGTGREEGKAPTELLYDDEKIMWGYNI PGGADTVRGFKLLLLHDEDLTDDVKTSEFFLRAKKMLRDTGKTPVGLIADYLRALWQH TMDTINKALGDSVMDGLRFHVVITVPAIWKAYARQSMQDAAKRAGILKARKAGGTTLS FIPEPEAAALSTLCERGRRIKKGDVYVICDAGGGTVDLISYEVKDTNPIAMREAVEGR GGLCGGLLIDEAFETMCKRRLGRRWDNLSKKGINDLMRGEWEQYIKPQFKPGSTPGDW IVGIPAEAFERSKLDDLSRQPYIKQGRIHFRDCDIEQAFTSSLAGIDASRRTAICRGA IYKGFLHLSESNPNNQNGYDYKSIKVVSTISRISIGKMYWTDFDESKHLEEDRKWDSD QEKWRADHQMHWFIRKVRLSSTSVLILQGDDVSTKHRVSHGYHKIVERDFDGFFRVRI YQCEADDPPTRRDSSVKLLCTINCSLDVQTSELKTFRCSDGTKKKELYYKLEMVPSGA AAECTVYIDGRKQGSQHVEIQFQ ANIA_06098 MPDPGSCLCPFFIPSNPTYHRASSNNRIQCHSDSALGPSECRST LLVFQEWSIVFGIVISYWTSFGTRYMAGEWSWRLPFLLQIMPLFNLAAGIMVLSFSPR WLASKGRNKEALQSFSRLRRLPITDRRVRQEYMDSQAELNCFRKRTWRRIHIAIMIPF FQQFSGINALIYYSPTFETMGFDLSIQLIMSGVLNSLQLVGCTSTVWTMDTLGRRKLL FAGSVSETISHNIIAALVGRYSSNWASHQTAGWVSAAFLLVYMVAFGAAWGPVGLAIP SEIFPSSLRAKGVAIATCSNWLNNFIIGLITLPLIEGTGYGTYVFFAVFCGLSGIWTF FFVGDDGAHARADGPCF ANIA_06097 MSYRLLLPGDRPTFTILALDVAKRNCAFSPTIQPIQCLLGRASC LARECRPSRRALSGLLYTFEIDHAQKACRTTSQQQTQGAPAHYYGTSSNAGLERLLLV VMLRARSTIALATTKTPAGLCSEMMLARKSCRISPTPSRATAPTKTASDNAMSTKCWR TVKVGCKLQTWDQTAFGSSLGARTRPSLNLKYALGFSVRLEQAPGMPYSPLMLMLRIH YICPRRAFPHHPRLRPVCCTCRLLPAAAPLSISPIQGFAPNIIPTIVPPTHQLRIDSA EICLHPTISNVIYASNRWERHIAQREPQIQDTRILTVLPAGDAIAILLLSDNGRAVVE IRHVRTNLDVICGMRLSNDGRYVVLAGQEGGGVEVYDISGEGDEVWTLAAGLNEGLDA GLKHAVWL ANIA_06096 MSPPAHFKLNPGALIPAVGLGTWKSEPGEVARALAHALKTGYRH IDAALGVPREEIFITSKLWNTHQPNIKEGLQKTLDALGVDCLDLYNETSDLLPVNPDG TRAVDRSWDQSETWRQMEDFYKSGKAKAIGVANWSIPYLEELLADEVTRAIVRGRVAQ DALEIGHAGNLGGHWNAAEGGGHDDV ANIA_06095 MPSQNEPAEPIPDGIFAVAKQSWGDLFRWKQRVIIENEQGESYA EWQDPEPFKNPISLLMLLSARDWLFFLVGLAAWTADAFDFHALSIQQVKLADYYGKTK TDISTAITLTLLLRSVGAAFFGLAGDRFGRKWPMVINMIVLGVLQIATIYSSTFQQFL AVRSLFGLFMGGVYGNAIAMALEHCPLIARSVSARGLMSGILQQGYSLGYVFAACANL GVGGGTETYKTVFWIAAGISIGIGLIRVLFPESKQFLEAKAAGKRSVSAGEFWRETKV MVGQEWKICVYCIFLMTWFNYYSHTSQDSYTTFMLTQKELENSGASRASILMKTGACV GGTIIGYLSQFVGRRRAIIISAFVSGLIIPAWILPTTERSLSATGFFMQFFVQGAWGV IPIHLNELSPPAFRSLFPGLTYQLGNMISSPSAQIINAIAEKTFIKGPSGNPVEAYGP TMGVATAIIATGIMVTTAFGPEKRGRRFETVVVGMQEQNRTDKQLDLEADSKPGEETV ERVDKV ANIA_06094 MSSPSQQPIKGRLISHFENRPTPSHPKAWSDLWDSGKSSLWDRG MPSPALIDLLESYQDTLLHPFEIDIEDEEDSSDAGKTRKRKRALVPGCGRGYDVITFA LHGFDACGLEVSTTAVSEARAFAKKELCSPQSGNFGRRFDRERARHIGVGKAQFLQGD FFTDTWIENESTGLDQGRTENGKFDLVYDYTFLCALHPAQRTRWAERMADLLRPGGLL VCLEFPMYKDPALPGPPWGVNGIHWELLAGGDTGQGKFTRKAYVQPERTFEVGRGTDM ISVYERK ANIA_06093 MVKLQYLLSILLYAYSCTALMLDRRDPTPGQLSQVTDFGDNPTN VGFYIYVPQNLASNPAIIVAIHYCTGTAQAYYSGTPYAQYAETYGFIVIYPESPYSGT CWDVSSQSTLTHNGGGNSNSIANMVDWTINQYNADASRVYVTGTSSGAMMTPQNVMAA TYPNLFAAGIAYAGVPAGCFYSEANVEDQWNSTCAQGQSISTPEHWAQIAQAMYSGYE GSRPKMQIYHGSADATLYPQNYYETCKQWAGVFGYNYDSPQEVQNDTPVAGWAKTIWG ENLQGILADGVGHNIQIQGEEDLKWFGFTS ANIA_06092 MKLPLSFSGGIALLLIGSLAGDVVATKLDPSRDLVRQKSRGRKN QMRSLVGRSNQHITHQSRPYTNEYASPCQITPPQEIKAPKENVWYGLTDDETADVAKW LFGRPELNLTTTENAGEWDNTIALIELHRPNKSEAIPYLDGSGPAPTRHAHVRLNNRA TTDPYFADILVGPLPVSNATTWEPLEFPYTRKTQGQVRNVEPDGETVYSEWLFKISAS IADITLDLWNGTALGLENDTLDIWGIDPLWQDDGRIIRWDMFWNMADDEFDSETLLPL GLYLKSDVTGRDPSQWKLLGWMYNDIFYETTEEFRKAYWSPGFVKLKPNVDGAWAHTE QRGPVPPQDRKQPPVMIAPDGARYSVDAERKYVTWMDFSFYIAFNRDTGLSLFDIKYK GQRVLYELGLQEALAHYAANDPVQSSVAYLDSYYGFGPYAFELLKGYDCPSYASYLNT SFYKDEETHTHVDSLCLFEFDADYPMARHSTSEFVSVTKNVYFTLRSVSTIGNYDYMF SYNFHMDGTIGVEVRASGYIQSAYYANNQDFGYQIHDSLSGSMHDHVLNFKADFDILG PNNTIELVSVVPVTKQFSWSGNKTRNTMQLGRSFIHSEDEARLNWGFNGQTQLHVVNQ DKPNKFGEPRGYRILPSAGTAHLTVLNSSNLVHAAHWAEYDVQVTRQHDFEPTSAHPY NSQDIHNPPVDFSTFFNGESLNQTDLVVWLNLGMHHVPHTGDLPNTVFTTAHSGVAFT PLNYLPGDPSRETVNMVRVDYSDGAATAVRTFGQSNETCSVVLQPVENELWSYQGDVV VRKFPYDPNDPFYETDSDA ANIA_06091 MPVYPIRRRPRECKTCHQCRASKVRCDRNAPCSNCVKRGFTCTY GRPPPTLIPPRPSIAPESFAVAAALNSAPQVQISPTYSTINQDVLYAGDSSVDDSSLD TITISPIEWEELNSKMQEMAQVIESMKSIVQAHSRPPPRQRLSNPLSDVSGGGLIRSP SQQSNIYGSTTLKTGSVHIGNRSALHDILDKTKGSVGPAQALPKDDLLAELALENDNS GYPFLDLWSSDPLHFNIGGVCDVLPDDNQCLKFFGFYKSIAAVLYPVLPDIDRFENDL KRLLEGRRRAGGVYKPDGDRLLKPFGMSIAFLSLCFAVFASGCQLCDLPGIQREMTSW IYISCSYQCLRMLNYVSQPTVEVIQILLIISSVLSYNMNAGASYTLLGMTERMCMVLG LHAEAPGYPSALQEARRRVWWAMAFQNSHFSLAYDRPSITMISQPDIPYHRKSMPGHR SYFETLSRILGVVLETLRVLMMTKQSYLQPKEIGMYVQRIRDILGEAAAHLRDQGRCT KLADSIEWAELRLHSSYYISLLCRPSLDPDAIMSAEDRKNIRHDCLTNLLGTVEAFLD LHMISPYASRTWISVQRTIASAFLLIANTNDQVLPRTQDLLRRLEKVLEDHVYTDGTV NPTTRTDTARHLSSSLEALRAVNSAFSAGKRHGKKQNAAPLKDESAAPSAGIPTTTQF LSSAEYANLAVSSMPPCTGSYSGISLEDGQIGDILNQVSGVMLFPNMNLGNS ANIA_06090 MTRIVSQSVVPRDITEEFTQAASKLKTGQLVKDEHFTLFEAVGA LEIMDPKMDSGYLGPEEKGQGLEDDYDILRELTPEEVVWIMDELLCHEMAWHMGHPLS QTLFTSLYLDKLLWPIPKNIEDAHFGREGLSVGREQPDLVHIVLRAYCLALVKCCDFV HARVTSEFYYEEEDFSTQLYNRTLLSHFAYEHFPSLLNRAISWIDEQESIDAAAKSAI KSRLLFRQEFLLGLQQDINILETRPVVKISFEDALAHLERLCQDGIHLNQILDYRGPY NLKVAIWTLLSRKPQPSVYIRSLVQSIIMDQSTVLGSVPVKQFLYDELAALVLPSSIL LEASLDETEVPSDPRFQIAQLMDGFVRRFSQPFVDTFRSACLNRCRIRRTVCHTLADW DNLQMEAEDLDEQLRTLSEEPPLSLPNGDTTYSYPLSSWAYHQKLIQFRLILQLGFEL SIYGPEELPGMYWYLSHICSTHLGHIDRIRTFILAAVQRNRRSPTQHATLRSSFLLFD RLTTQIVAIDAFAIALHALYVLLSRHRILPTASAPNAYSNDQFRYELRMKPFLQITLP ELVPYEEYRREATLQGDSDEIVMERATKAIGEARKAWEATLANGPFDNFNDEKPDAPA LEEDWKRDVKDTMRACIGASIAIETVKKAIANNATGDAESLGLRVNIPDAGSKNRWHD WWAVPQVSQVQTQSPSTTSKS ANIA_11499 MVFAWKSAGLTYNRYLAVAARAVRRSLQDGPRLAAERRGNMDLR FAKWENGKQGEVKNLAEANDQAIAAQAEKK ANIA_06089 MQRALSSRTSVLSAASKRAAFTKPAGLNLQQQRFAHKELKFGVE ARAQLLKGVDTLAKAVTSTLGPKGRNVLIESPYGSPKITKDGVTVAKAVQLQDKFENL GARLLQDVASKTNELAGDGTTTATVLARAIFSETVKNVAAGCNPMDLRRGIQAAVEAA VDYLQQNKRDITTGEEIAQVATISANGDTHVGKLISTAMERVGKEGVITVKEGKTLED ELEVTEGMRFDRGYTSPYFITDAKAQKVEFEKPLILLSEKKISAVQDIIPALEASTTL RRPLVIIAEDIEGEALAVCILNKLRGQLQVAAVKAPGFGDNRKSILGDLGVLTNGTVF TDELDIKLEKLTPDMLGSTGSITITKEDTIILNGEGSKDAIAQRCEQIRGVMADPTTS EYEKEKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRVVDALNATRAAVEEGILPGGG TALLKAAANGLENVKPANFDQQLGVSIVKSAITRPARTIVENAGLEGSVIVGKLTDEF SKDFNRGFDSAKGEYVDMIAAGIVDPLKVVRTALVDASGVSSLLGTTEVAIVEAPEEK GPAAPGGMGGMGGMGGMGGGMF ANIA_06088 MAGDIITNVDIVAIPAIGADRGGAWTGEDTQSPWLTTELRRFIP NARVLLLDHGELGVEDTLDSLATRLLNKLQEARKSTSRRRPIFFICHSTGGVVATAAL VKASRSPSPLDSVFSSCYGIAFFGTPHYGSSYLSAPEFGRSIHRLLRLKHTIPTGLRE TFKPRHEQLQRLAGQFRAISADMKIWTFLETVDSVFTITDTETGSTIDMHVPITSIRS GLLGFEHESELPLATDHVGTASFKGQEATARMDFIRDLQSSISTALELSIMSDVPLQV EQEVMVHVNGFFEDTARGVSRESPLQLWSTKTPLEQFLETGPTKCLEERLKTSTRLSS GTLDSDTSDFSRPTSAPAELSQIDSRLRDPDIISLEVVPSRPSVKRSRSFLAQHPSQQ QPSPRIHVTEPPIEGYFDIQSEGSASERRISHEDSGDEGTENDEIEASDNLGTISPSQ RNLLSSLSSRYREFLNVPFMERTPEERPRMIPRFDRPEPGTEKMIWVHVPYTHTDWVP AVLSKTCKGKTKQNLFRKLVNPDNWYSNLITARHLEPHARYVRPACIHFKLDSPPIKA SEPHDPQLALYLHWDTYWNLLQRRRVIEERLRQGRSRPVPDDISRSSLESKLIWKYLG NEPPIHIRRTLDQFGYPNLRSTVARDDDQMLWKRTRKAINLVDELGNSLPLHDRSDLQ SSVFVDGKVLMVDQLWLWIVDQKTVVTFFPKQEPTTVEGKFYEQTNLFNSIYNELNGD LARRFETAGDLAALIVLHAVTVLFDRTLHSDLQILRIFEESISILTELTTKSFKQFRN RGFVTRPAEYNKTREGRIMTAAEREERDREVAQQNRNDLSSMLELRDIVDELGTIMKL LEQQTSTINDMAKYFEHRGYGKRFILASLARLDEYRTHISEMRENAIAAQKAVENLLD LKQKQANVDESRLARWEAEVTQSQSRAVMVFTIFTVIFLPLSFFTSLFGINAREWSGE PTNLTLQTMLIIAGPTSIAVIVSALLIAFSERLRDTLLKFQKIIFGLCKDLIFTPLAA FFHQTYQRDQKSPRRSKSSLASTTKTSKTSRIGDRFGRYLASWRYRGDTEEDFWRRDD EREKGGYSSSATASNLNGAGYVARRTEGTGEGMTLPSVLVSEASGHAASNGHGGYVDR MRGPLDGMVRERHPA ANIA_06087 MSSPGTQGTSWDRYRSNNLTSIELDGHSAETWNWAAEDTDMAAT SGTGSVSTVEASPAGEVSITQKMVSATCGSVLTGLLVTPLDVVRVRLQSQSPIKNTSP FTSHTTPTLKNAPPNLGVTACCREVFWGGQNSEICMVGPSGSALGATSQSVAECAVEE TQRRTFTSTLDGLRKIARNEGVLTLWRGLSPTLMMGIPGNVIYFAGYDWLRTDDRSPI KRVVPGAYVPFVAGAVARVAAATAISPIEMFRTRLQATPGTGAGHFKATLEGLYQMTQ AKGYGSLWRGFTLTMWRDVPFSGLYWWGYEEVKKSIIEVRKKARGHNPPQTAPSSTQL GTSDVEGNTFLDSFVAGSISGALAALVTTPFDVGKTRQQVFRHMGDDAPSTAGSKLPK GPLQPEQLSLPKFLLHIFREEGAAGLFRGWVARCLKVAPACAIMISTYEVGKKFARDV NERRQSPPDGNVSDAS ANIA_06086 MEDNAELESFRRQWREEVSRKTQTTIAPGPSRTTPSRAAISQPR QFPPTRHEASARKDDEDEEGPASYSQNEITQGLDRLSLAKPEEEDVFHARKPRAEPRS ALEHFERAVEREAEGNLGDSLQHYRKAYRLDAAVDKKYRNKHFANAWKKTSQTGAPSE PAKTTATDQTPIVPTPELINSFASAPILPADPIIEGDAPPPCPIAHIPSEVIVEILSH VALADPAAFARMALVCKRFAYHFAHEQHIWKRLCQGAKFGFKSMHYSFACDIHGNPEH TLAPQPRYTPFPAHAPVQLPSPLSSWSEVFHSFPRIRFTGVYISTVNYTRAGAASAYS NISWNSPIHIVTYYRYLRFYPDGTVIYILTTVEPVELVPYINKANVAVARAPSRKQTP RNAGVGSGTQEPVPSVAMDILKRAQRGRWRLSKPASPTDPPEQATNPFPSKDDLSTTP DPRDLVVETEGVKEKYVYVLHLALRSTAARPTNASPFHPNPSRNTKLVWKGYWSYDKL TDDWAEFGLKNDRAFVFRRVRGWGMD ANIA_06085 MPERVRTVFVDEDAAPIVEQGKPLKSNKKPSPETGERPSDNKEN VSKKNKKRKRDQLADETRLHRTKKSNTSESQAAAVEQNENRKEDEAVNNSGEATIAKS LPKDQPENQKHKRKKHSRPFKDEENGRTASLKKKAQMLYEIRKNLPIFAHGDEIRQHL RKNDVMLLIGETGSGKSTQIPQFLVDESWCRPTKTTIVQENGSKKEAVVGGCIAITQP RRVAAISLARRVAEEMGTPLGSSSPASKVGYSVRFDTSVSPSTRVKFLTEGMLLQEML HDPWLTKYSAIVVDEVHERGVNVDLVMGFLRNLVSGKREGRGGVPLKAVVMSATADME SLQEFFVEGYKARELQKEEKSEKDGIAVCHIKGRQFPVKTIYSPEPVHDFVDAALKVI FQIHYKEPIPGDILVFLTGQETVEALENLVNEYATGMDPSLPKIQVLPLFAALPQAAQ QRVFVPAPPRTRKIILATNIAETSVTVSGVRYVVDCGKAKIKQFRTRLGLDSLLVKPI SKSAAIQRKGRAGREAPGQCYRLYTEKDYLALDEVNTPEILRCDLSQAILNMKARGVD NVIEFPFLTRPPREALEKALLQLLSIEALDETGKISETGSHIAKLPLTPTLGRVLLAA SDFGPDCLTDVIDIISCLSVENIFLNTTSEEKKEAAEAARRDLYRREGDHLTMLATVR AYASENTDRKAWAERHLVSHRAMQSVMDVRKQLTAQCRQAKLLPSPTESRDSDSSSIR EPSPVFILKSFLRGFATNTARLVPDGSYRTVVGNQTVAIHPSSVLFGKKVEAILYNEF VFTNRSYARGVSAVQMDWVGEALAGE ANIA_06084 MAFRLPPQVPRRRPSYTAPQPSPLDIPQSLPEVRETESTEWVLF SPSQPSITARTHTTSTERTPRSPRTVGASRLSDFGSLDTNTRSGREPESEADGTLDEP LDEDGTELDSLDDELHAFGEPLPGPESAPRFDSLRGDQAPAQLPAHDGLGSFLASSQT VQNQLWQHEQYNPTRRPELGHRRRSSVQRHLDANADQEHTDADRERWQRIEQWRMEQS RALLHEIEKATRRRRNSRLSGSNVEAVTQASPSDRSASVRAVPEGEHTPSAEPEVEED ESFWHRITRKVIRDLIGIDDSLLSVIFGEALPESEDQEDPAEMAVEKQIDEILNQESE TATGGDPWETKLLQRIARELGTLVNQLCEHPGAFTTYLNMANDIPNQYAGIPLGSLPE EDNHPSTSARPAAESTSNLDSISSPQFMPTLQDPTREHAAQWGIEDDDYPNSPAEPLS ESARYQQEKEYWERELDIMMVFRYLRNRLGRRSSNPDVHSTRRLPQDASRRAAIIRQH HPLVARAHSRSQTQTRRQSQYSGLTGVSSPVLRQHLRRPSSSCASQSAKMSAISSRRT LTGSSRNYWDIGGSVDSGSAIAPAPPGIGAWGDV ANIA_11498 MASQFPSGTRVRYQDAHYIVIGAAERGRVLIQNEDNAEDILRVE PDSLVKV ANIA_06083 MARRPARCYRYCKNKPYPKSRFNRGVPDPKIRIFDLGRKKANVD DFPLCVHLVSNEYEQLSSEALEAARICANKYLVKIAGKEGFHLRVRVHPFHVIRINKM LSCAGADRLQTGMRGAFGKPQGKVARVNIGQIILSVRTRDSHRATAIEALRRSMYKFP GRQKIIVSKNWGFTPVRREDYVQLRQEGKLKQDGAYVQFLRGHGQIEENMRRFPDAYS TEA ANIA_06082 MAPKSKKAGDTISSRLALVMKSGKVTLGYKTTIKTLRSGKAKLV IIAANTPPLRKSELEYYAMLAKTPVHHFSGNNIELGTACGRLYRCSTMTVLDAGDSDI LSSQ ANIA_06081 MSATPRKTGSPANANKTSATESTANGTPSRGHNRSPTATSTNGL SRSPSLRGSAPVSARAAARKPGRSNLSMSSVPKITADPSEEEARAQNAALIEDLKEQL QKAETASEQYRKQLGVLQMRLDEAVTEQAKLEDQGHEKDSKIEALNGEIREHVRQIRD LEQAHELERNAMLQEKEQQASREEEMQATIQRLKEAVAQKDMRISADSDKNVSRSSSF RNRASPDIDGQFAPSSQIERSPSRNNSKLLLQKDKLIESLRLELAESQIKLVEMENKG GGRQRELEKELLEARMANARLMEDNESYQLLLSERTLNGDFAKGDFMREAHPESSDAK ESAGGLGSLADELESADARPEPDDSRRLESELKALKDQNKALTLYIERIISRLLQHEG FEHILDKNDNEPPTGKQAGSDKDLPPTPPEKEDPNQQTFLQRAKSVVSGQNNKPQPRS RPTSMMPPPPAPGSVSAHENPQTAPSIPINRAQSVRGHRRTRSEQTTDLGAAVVVGQM YRGRNSGGPISPTIMGPGSRNTFTGANYVPGGMSVSSRAPSLSSQPERGHLSSSGSVS SDPPNDTASTGATSNSPRSSNGMTNYTGAVMTQSKLRPLRLVSETKAAEEEEAARKKA NRGSWISWFNRPGSSESSQH ANIA_06080 MGSLSLFSVNAVLVMSADDGSRIFAKYYSPPHPPAGAAPNSTDY PGANPYPTLKEQKAFEKGLLEKTNKQTSDVILYDNRIVVFKLESDVMLYVVGGAEENE VLLYNVVLSLRDALGILFKGATDKRTIVENYDLVALAIDELIDDGIILETDPVLIASR VSRAPQPDAPNLKSIDLSEQGLLNAWELGKRRLAEGLRQM ANIA_10769 MKQRYSSLDVQVISKELASELVGLRVSNIYDLSTRIFLFKVAKP DHRKQLIVDSGFRCHVTQYSRATAATPSGFVSRLRKYLKSRRITSVTQIGTDRIIDFS FSDGMYHMLLEFFASGNIIITDRDYTIIALLRQVPGGEGMEEAKVGLKYTVTNKQNYS GIPPITRDRIRETLEKAKALFAQENDAPKKSKKKSTDVLRRALSQGFPEYPPLLLDHA FATRAADPAMPLDQVLGDAGLIDVVLGVLEEAQNVTKDLSADKAHPGFIVAKEDTRPK PPGPESEKNDSPSKPALLYEDFHPFKPRQFEGKDGFTILEYPSMNATVDEYFSSIESQ KLESRLTERESAAKKKLDSLRSEHEKRIGALEQAQELHIRKASAIQDNMDRVQEAMDA VNGLVAQGMDWVEIARLVEMEQKRGNPVASLIKLPLKLHENTITLLLREAGDEGYEVE ELFSSDESEDSDEEEGKGAASPQKKPEGLTIDIDLGLSPWANASQYYEQKKVAAVKAE KTSQSSAKALKSHERKVQDDLKRNLKQEKQVLRPARKPFWFEKFLFFVSSEGYLVLGG RDSMQSEMLYRRYLRKGDVFVHADLEGATPMIVKNKPGALSSSISPTTLSQAGNLCVA TSTAWDSKAIMSAYWVDAAQVSKTSAVGDLLPVGEFLVKGEKNFLAPSQLVLGFAVMW QISKGSLVNHKSFRSEEALVSQARVTGEDNIANVQEGNPDKLIQASEKADGAEEQKQE QEEEQAEGSEEAETHKEEVTDLAGTVEQEDTQENEGQGEADEEAEGQDEKDERADDQS GKDDAEGVATPRSQGKRHLSAREKRLLRKGKPIDTPTARSEAASDRSTPAANGTSTGP ETKPAPAPVRGRKGKAKKAASKYADQDEEERALALRLLGANNAKAQKAAAEAEAKAKR EKEAEEAKKRRKAQHERAAQAERKRQALFEEGATDDYDEETAAAEAADLEWLPALVGT PHVDDEILAAIPICAPWSSLGRYKYRVKLQPGTVKKGKAVKEILGRWLAETTTGKVKK EHAEDLGISRVAAERLRAKEGELLKAWKDTEIINTVPVSKVRIMIAGGGGDKGKAKGG GGNKGGKGGKKK ANIA_10771 MSSKKSTRIASVIRLNTDGPAKDQSLAEWWASEQGRNTPEAVAI AEAANLLRTSDVPVAFPTETVYGLGADATRSDAVQGIYKAKQRPSDNPLIVHIDSLQM LNRLLNPASDTSCPTKVVQSTIPPIYKALIERFWPGPLTILLPNPSGSRLAPEVTSNL TTFGVRMPLSPLARLLIHVADRPLAAPSANASTKPSPTAAEHVFHDLQGRIELILDGG PCGVGVESTVVDGLSNPPSILRPGGVSIEELRTCPGWENVQLAYHDGTYDVKEIPRAP GMKYRHYSPKARVVLFEAGSKSQAIVDHVKRDLQDTAVGAHSIGLVRTRTWKRGLQLL PEEDIEKMAKATASLVGSLVQFSIPVGGKTKEVFDCHLGTDVKDIARGLFAALRAMDE KQVDVIYVEGVSDTEYLAAAVMNRLRKAAGSTFKV ANIA_06078 MCPPNTPYQSQWHAFLHSLPKCEHHVHLEGCLEPPLIFSMARKN NVSLPSPSSNPAYTSVETLSKRYGHFSSLDDFLSFYFIGMTVLKTQSDFAELAWTYFK RAHAEGVHHTEVFFDPQVHMERGLEYRVIVDGYVDGCKRAEKELGISTRLIMCFLKHL PLESAQRLYDTALNEGDLGLDGRNPVIHGLGASSSEVGPPKDLFRPIYLGAKEKSINL TAHAGEEGDASYIAAALDMGATRIDHGIRLGEDPELMERVAREEVLLTVCPVSNLQLK CVKSVAEVPIRKFLDAGVRFSINSDDPAYFGAYILECYCAVQEAFNLSVADWRLIAEN GVKGSWIGEERKNELLWRIDECVKRFEGVL ANIA_06077 MASKFFPALPRAGRQFTRQISRPQFRPFSAAPQRLSDTLHVHRN TPENNPSIPFKFSEQNQQLIEEIIARYPPQYKKAAVMPLLDLGQRQHGFTSISVMNEV ARILEMPPMRVYEVATFYTMYNREPVGKYFVQLCTTTPCQLGGCGSDKIVKAITEHLG ITPGHTTEDGLFTFIEVECLGACVNAPMVQINDDYYEDLTPESIKELLTALKESATAT SGQVKIPAPGPLSGRISCENSAGLTNLHNPVWDPETMMRKDGALDGEAQQAQ ANIA_06076 MPAKRKPPVIATLASSRSRRYPHQSGTVPEPAHQSEFRLEPGPD LQIRLKVEVEAEFGLHGTRHHQPKPFTQDGSTISARQFRPGELEPLSKYEDVSNEDDN DGSNVAKRPRMVVVLRLPLSLNKPGPRFQSVQRAHDTSVTATDPYPDSGAYRAALKRE HPNSIVATSAVKRPRRSPRFQQSNAGQLEAADEVLDGAKAHQGVAGVKNKIPSATNNT LPSPSKMVAAMARSSIIQFSFSSTGCRIPSLDDNNTDQGPSRLDPNTPELIRSQPYSG RMRPRTQLAQHLPPLYKLSDIFKSLTERAIDLGLDKVLTHLGNRRLRVATVCSGTESP LLALEMVKENLQKYFNRDLDFKHLFSAEIVPYKQAYIERNFRPRLLFRDVAELKDRVA RTAYGSLEKVPKNADMLIAGFSCVDFSGLNNYRKELDETGESGDTFWGIICYAKIYRP RMVILENVKTAPWAKIEGHWNDIDYVAVHSDVDTKAYYLPQTRERGYMFCVDKRLLSH LAQNHHGLGLDADEIGLNMRREWVDTLAAFKRPASSPAGMFLLDAEDRRLEQIEKDMA QKIVASAATVRATVNWDRYQVRHQGYRLKQGLGHRRPISKSQDDGTCKMPDFAWQVWM RSLPERVRCLELSQGLDREMDSRAFGIVGCITPCGIPYMTTRGGPLCGLESLALQGLP LDRLLLTQESQRELQDLAGNAMSSTVVGAAILSALIAGHKVLRKGDFSQTSKPTSRTQ KSRITPQGDVALTSSNMHLDPDTVIDILKLREQATTSARYCVCEGHNSIRATCSECSG NPQHAYERWLDLPRTVPLDFVSSLRSILPGRLAVRGITPDSYKELKSNSYIPAKCWSE FLDAVFRAVGDELRFSDINRSECWTVTYDGKYSVLKLVIEPFGSITWLFFAKPRETDP ALCLIREVLSKPVARMTLPVPSHQAGSTSSSILEGGTWEICAPLSSSCSLKFFGTGSK VDSYEARCGLQLPAYQNSQVWSHIIVHGADKDIKDLEVDVRGTYELLPDCGTANSCLH RRPATAGGNPTIYLFLDPTKLGEPTNDSFVFALEHRRIPGYATRMTIAEVSHTWRSSK ATDKAETVIIYYRKWIPCPLISLQPYSRETGSSIQCYTLDSNASVAITNGECHNANVT LLAFTIPAGVATSDSHSPWFAREWEAINPIDCPELLRENAWLLQRAAGYSDFCEWNQI VEANIQGPCRVCVPPKPGILWGRDAKGRVKAYEDPYGAARYEREIKSRPSAFLIFRRE CYQDIKAISAELRVTINVQTLLHQAYGRLPHVQTGSPAASFYWRLVPNSYDVRDCLYP KFELRSNRNDPQASQPPQFTLEGRPKLRPEQLRSLSWMIAQEKENVEPFIEEETEEAL LGSLMWRAEGRVTVPKTVRGGILADDVGYGKTAIILGLLDASFANLNIDLSVSLNSTG FVPSRATLIVVPRIMVQQWRAEIAKFLGDKYNVLAFSSAAALRKTSIGDIRNSDIILV SRSVFDTAAYYQHLRRYAGASEAPDKPGRKFDDWFHLAHGFMKQHVRVLAESGPLAFL QSLRNRRAPTKYPETHQYAPSKRLRGKQYALANKDRDFEMKDDRPYAEISSNEESSGT SDGEYGNPIMLKAKIDHLLRLIPPKQFKKNEGLAGEQSSSHGEIEDDWKGFGIDGETQ SWEAVLGLPFHAFHFNRLVIDEFTYAKMDRLTQLLTLQARSKWFLSGTPPLNDFEDVN TIAPFLGVHLGIDAEDDINSQHFRLKELRKQRSDAETFQAFRAPRSEAWHRRRHELAQ IFLDRFARRNVAEIDEIPATEHIVLVRQSPAEKVIYLELYKQLMTYNRQLRRVNGRGG DQAERIDEIIAYSSTPEEALLKRCTSLALQGRWDDDGQPEAATCASLIRTREEQLDQT KNALNNKLKLAAWLYCSCKHEHDKFSQFMNSVIMHNFGDKSVTEEVDPLLTMAVKESK RSDWRFFYSGSDSDQKTGDEGQHGDVDEDSETIEEEDEDELVLKQKGLGGQRKTQSRF TAESKLKPRHKQKSKAKPTLTRKDENKKNKSEPLLPTKPTEPREFDSELRDVTGVLRK LVVEWVHRKRALRFLTAVRKIQTNPNPQAIPACDNCQTQPGVLSKLNILGSCGHALCS NSDCTQKTLEKEECVVEGCRGSGKNFNIINAMTLGCDTTSTPTAMSSDGDSNGDIDRS SKHGGTKLEALINIITKFPVEERALLFVQFPDLMTVASMALSSAGIKHIIITPTDQKT SSKIEKFQKEGFGDTKVLILNLGNEMAAGLNLQCANHVIFLSPFLAETQYDYDSVMIQ AVGRSRRYGQTRHVHIYHLLAKMTIDVNVFQERRGNKVLVERGGRATLLDAEEAAEDE TMTCQGPAMVVENAI ANIA_06075 MLVRSHLDMKAEALQPHLNTTIQAWYRVQNLVKYGEIEIDGNRL DIPGVVAVACHNCIPKITEDPAVLENIDASIRLLKDRLNQGYSVYGVNTGFGGSADSR TDKMTALQSALLQLTQAGVLLESDKSGNQNKLLESHAMPASWVRGTMLARCNSNLRGH SAVKLSILQSIVKLLQHRITPIVPLRGSISASGDLMPLSYIAGAIEGNPDVYVQVDGL DMPRIMKSIEGLQYAGLEAQKLGPKEGLGLINGTSTSAAVASLVLYETNQLSVLVQAL SAMGLEALTGTAESYHPFISAVRPHDGQVECANNLLSLLRGSKLVQGLDGQKFQDRPG LIQDRYALRCVPQWVGPQLEDLLLAHRQVTTELNSTCDNPLVDVKSKSIYSGGNFQAV SITSAMEKTRQCLQMFGRLIFSQATEMIDPSINNGLPTNLVADDPSLSFTMKGVDISM ASYMAELGYLSNPVSSHVQSAEMRNQAINSMALVSARYSMQAVEVLSLMCACDVYICC QALDLRVLHNTFLEKAIPQLHSVTERVLSPFLPQPALEDLNRSLDQHLTQTWPMTNRL SPADRVHTVIEKAIPVLLENLKSHRGPSLGDLETWKSQARNLLNVVYQEIAESFFVKP HTADYLGEGAKALYVMVRQELGIPFHQGFIEHPTVENEILNGRPKKTTGSWISIIYEA IRDSRLMGPLIQALTPTDS ANIA_06074 MGPDRAGKSVFLGNIPYNLTEEQVKDILSTAGTVTKFRLMMNPE TGKPKGYGFADFADADAAASAVRNLNDYEIMGRKIRVDWPHNNEKDSVPEDYSQPSQM PGQDGQLGGPPLSAPLPPLPPGVELPPHLDCPNAISQTLAALPPNQLLDVLQQMKALA MSDPARATELLRQAPQLAYAIFQALLLLNLVDYSTLGAVVEQAAQPMAPPPAPPAAAA FQPFGAVPGQVSTPPMVNTPFAQPPPQPTQQQVPGQEELLQQVLNMPQSAIDALPPME RSQIMLLRQQLMQGGLR ANIA_06073 MSRIPKDQWERLQLILQSRNRFNGFRPGGGGGGGIGASAALIVL GLGGWALSNSLFNVDGGHRAIKYSRFGGVKKEIYSEGTHFAIPLIETPIIYDVRAKPR NIASLTGTKDLQMVNITCRVLSRPRVDALPQIYRTLGQDFDERVLPSIVNEVLKSVVA QFNASQLITQRENVARLVRDNLARRAARFNIALDDVSLTHLTFSPEFTAAVEAKQVAQ QEAQRAAFLVDKARQEKQAFIVRAQGEARSAELIGDAIKKSKSYIELRRIENARHIAQ IIQENGGRNKLYLDSQGLGLNVNAGADGESK ANIA_06072 MANPVTEIDVDLNTQEVLLAASQHDTAKLRRLLRANDAAGNPAN VKDPETGYSPLHAAIAACEPDEEEDVKSNGVQTNGDRQTHGQESTVEAAVQTVKLLLQ EGAIWNDLDLNNETPGCIARRLGLTELYDMVVDAGVRAELLLNRLDGYEQLSDEEMEE DGEQEQEQQDAAVAADASITNTAEDESVPQLVDTTAAAPPQTADAEPSVTSSRYLNSD LTFQQDRLLDQDQNGVMMAWESDIMAKSAKQLLPTPGLRVLNVGHGMGIVDGFIQEQS PSAHHIIEAHPAVVAEMKRKGWHEKPGVVIHEGKWQDILPGLVAEGVMFDAIYYDTFA ESYADFREFFTEQVIGVLEQEGKWSFFNGMGADRQISYDVYQKVVEMDLFEAGFDVEW EEIDVPKLEGEWNGVRRPYWSIDKYRLPLCKYMD ANIA_06071 MANDLGSALEHAGGKGQEREGISLKTFIASLAAALIIFAVEFLL FLVLKGKLTRIYQPRTYLVPDRERTEPSPPGLFKWISPIFRTSSSEFVQKCGLDAYFF LRYLRMLLKIFVPLGCIIVPTLITVNRVDGKNQTYKNGTDTGDRWNVTGLDQLAWGNV APENTHRYWAHLVMAVILIVYVCFVFFDELRGYIRLRQAYLTSPHHRLRASATTVLVT TIPKKWLTVEALEGLYDVFPGGIRNIWINRNFDDLNEKVKERDRLALKLETAETELII KCKKAQMKQAKAAAKKARRKGAEQKEQDVADTKASKPTIGAGISSGNPHQAHTLQEVL HRDTMASNDGRTHKRKYMNPLEPAVGLAGAVGQGVGKLGKSVLGTVKKHDHHGAPDEE LPHQDNLSDANAADRSVWEGSAAMPAGESTEGDSSQPKRPFWRSRPSAMSKSSNRTEA DELPLTAPESPVDVEDGEFSSSSGNSLTKARDENDIDITRNDGAEIEDDTYPVAYNEN FEKEDLGEPLWKKYIRQEDRDTMRLPIFGISWMPSIWLLGKKVDTIDYCRKEVARLNL EIEIDQQHPERFPLMNSAFIQFNHQVAAHMACQAVSHHVPKQMAPRTVEISPDDVIWD NMSIKWWERYLRTFGVYAIVTGMVIGWAFPVAFTGLLSQLSYLEGAFTWLSWINRMPE WLISAVQGILPPLFLAILMALLPLMLRFLCRAQGLQTGMGIELTVQNYFFAFLFVQLF LVVAIASSFSTIIDNITNFTNWPELLAQNIPSSSNYFFSYMILQALSVSAGALVQIFS LVSWFILAPILDSTARRKWARTTNLNQMQWGTFFPVYTTLASIGLIYSVIAPLIMVFN VITFGLFWFVYRYNTLYVTKFRFDTGGLLFPRAINQLFTGIYVMELSLIGLFFLVRDT HGSVSCKGQAIIMIIMTILTVGFQILLNEAFGPLIRYLPITLEDDAVRRDEEFARVQR ARLGLDDDNEEEAEEEQDTLEHRLKERERVEIQANEDSREIELKKIEGGISRRASGLR HKGQGLGPETAAKLGASRRPSWAVQTAQSPNRRSKYFGANSADAVPSIQRMREKLEKD AEAQGPVAGHSRSSALFAGIHDELEDLTPDERDQLVQRAFQHDALRSKRPVIWIPRDD LGVSDDEIYRTQRFSKHIWISNEYQALDGKCRTIFSRSPPDFSEVDLIQL ANIA_06070 MKEAFFRCQACNHSVQVDIDRGKIAEPTICPRQACQERNSMEIV HNRCVFADKQVIKLQETPDSIPDGQTPHSVSLCVYDELVDVCKAGDRVEVTGIFRSNP VRVNPRQRTQKTLFKTYIDVLHVQKIDRKKLGIDVSTVEQELSEQAAGDAEQIRKISA EEEEKILRTSTRPDLYELLARSLAPSIYEMDDVKKGILLQLFGGTNKSFQKGGNPRYR GDINVLLCGDPSTSKSQLLRYGSSAVGLTAYVTRDPETRQMVLESGALVLSDGGVCCI DEFDKMNESTRTSILASANPIGSRYNPNLPVPQNIDLPPTLLSRFDLVYLVLDRVDES EDRRLAKHIVNMYLEDRPENASEREVLPVEFLTAYITYAKTKVHPVLTPAAGKALTDA YVSMRKLGDDIRSSDRRITATTRQLESMIRLSEAHARMRLSAEVTADDVEEAVRLIRS AIKQAATDSRTGLIDMSLLTEGTSASERRNKEALKRGILGVIDDLASGGGAARWAEVY RVLSDQASSEVDSAQFTEAVRALESEGIVNILGEGARRSIRRAAGAVL ANIA_06069 MTQCPFRSKALFALRACPNLCVIHFQYIQLRNIACTSAHLNRLV PAVFQPSNQFSKMPDPKEGKQATLGRFFGSNTNAPKKQTILSFGGNRSKSTSASRPNR EPSSLNGNTKNASAVTRGDDVNTGDAFEVKPEMRAGADQNPLKRDKSEDVSDSDESEK VQPSNKRRRKSSGKTPAKPQIDSSPESTKGRKSQVKEPTPEIVVKASGEETPEDPDVS EAEEEGLSASEDETDKKPELKKKEIEKVQATIKGSGNDPYPDWQPGEPVPYAALCTTF SLIEMTTKRLQILAHCSLFLRQVLRLTPQDFLPTVQLMINKLAADYAGIELGIGESLI MKAIGESTGRSLAVIKADQHEIGDLGLVAAKSRSNQPTMFKPKALTVRGVHEGLLNIA KVQGHGAQDKKISGIKKLLSAADAATTGKGSKGIDITKNKGGPSEAKYIVRFLEGKLR LGLAEKTVLVALAQAVVSHEAALKGKKAPSAEELAEGEAILKTVYSELPAYEVIIPAL LEHGLSNLREHCKLQPGIPIKPMLAKPTKSITEVLDRFEGKDFTCEYKYDGERAQIHY VAPDETKNYPGAQLTLKESAGLSAIFSRNSEDLSKKYPDVLAKLSTWIKPGVKSFVLD CETVAWDVEAKKVLPFQQLMTRKRKDVKAEDVKVKVCVFAFDLLFLNGEPTVKKPLRQ RRDLLHSSFQPIEGEFQFAQYGNTNDLEQIQTLLDDSVKASCEGLMVKMLDTEESGYE PSKRSRNWLKVKKDYLAGVGDSLDLLVLGAYYGRGKRTSVYGAFLLAAYNSNSQTYET ICNIGTGFSEAMLDELHTTLSPLVIDRPKPFYSHSTVPKDQPDVWLEPRYVWEVKTAD LTLSPRYKAAADEFVGTTGGGGKGVSLRFPRFIKVRDDKKPEQATTTRAVAEMYRKQE AVAKEGSGKGGVDDDFEY ANIA_06068 MDSSRQKAFKKLREPCVELSSVGLKFRGHQASPGDVLKALRSVY HVLNELAEEHVLDEKLAEYAFFPLAHIFNESKRSTVNVLELAINCLRLLVAEGWKARL SPQMGKQLIILLTLVVGGAPDSAKNDRIIQTSSVELEIAGFNCLFAIFDVLSGPTAEE TIYHEIGTATVVDQTVYLLLEAIVGNRSDELCISAAKALEALYRRVSNRVVLASIMPR TVSALTKVLKPTTQTKRSYKLLAICLRVLSGILKAVLNDRVNSQLPEKSAQPQGADET LVLDESWLKATTTQIKLALTQVIQLRRHDREEVQAELLSLCIMIIEDCLTTLQESIPV LVETIVVLSDLDEQQMPNNAYSSLKHLATTYPTVLDSLKNSLHTWLTAFPRTMQSNDE TAKQWAIKQITTAFQILSELQSESDLLTCDLTAGLCDSVAVIADRATSALQPLNSDLA SNQTFEILGAGKESVTFSPVLLDHKSQRQTLKDLRGMISRLNFSNSANSITRLIIKRI HQEQGNSIIAPLWLATTFLKDTTQFMSSLDDFITLDDIEPSRPFSTRASMIDELYYIS LPIINETMGNEDSDWRVSALALEAVALQAQELREAFRTELMDALYPVLERLASNNQAL QRHAMTCLNVLTQACGYPDTSTMIVENVDYLVNSVAIKLNTFDVSPYPPQVLLMMVKL CGARLVPYLDDLVDSIFGILDLYHGYPKLVELMFKALSAIVEESTKTPSILAIENGTG NAPDHLKRKYQELNIHTLAEDFARRKAKRTEDAGLAGDNGLLNHPIRPWAEEREDKAP KDIPDSDSLSDILGKDETEEPLPPPREPEDAEKPLSKTHSLLLHIVKSLPLHLSSPSP YLRRSLLSILIDVLPVLAADENSFLPLINDLWPAVISKISFPSSIGSTSSSSSTALLN LGNDTPDESAGARNNQRGHQKQAGLNDEFDFKEETFVTTTACKAVETMFKSAGDFMAS RVEAAFPRWERIYNRAWEKVCQDTDKIIERQQRQYLLEDSNPDESSTVLSTTQPQKRF IQSLSLAKAGSSSGSRAFTPHHILWRALISLFLTMLSHVRLPLAVGDRICLILGEWIA RYAGTGYYSSRAAFLLKDNDSNCAGAEEEINSIETAIRAMETWNSDLTWFIFQQQDVR FRDSMGPRNGARVCEQSLQNNDSGAALLSSVAFNGGRLRFAEMNF ANIA_06067 MAPNNINIRRDVSDPFYRYKMEPLQSKIEGKGNGIKTVIVNLNS VAGSLSRPPAYVIKYFGFELGAQANAKPTDDRWIINGAHDSRKLQDYLDGFITKFVLC KKCKNPETDVIIKDEKIILDCKACGQRSDVDSRLKLSTFILRNNTSGKGKKDKSTKKT RRERNKEKEAANGENNGSPGESNSDNGDENEDGALEAGSDDELTRRINTAAQGIEAED EIEDDNWNVDVSEEAVKARAKELPDDLKRALALDEGDDEGADGPTAYDELGSWVLDTA TEKGGISKVEDVEIYLKAKELGIETKHKTLAVLAQTIFDEKIAKQVDGRAPLLKKMIT SERHEKAFLGGTERFLGKEHPELISQVPAVLLGYYQNDLVSEETLKAWCSKASKKYVD IQTSRKVRKAAEPFLQWLESAESEEESEDDE ANIA_06066 MAAISRACRIRNPAVFICDMQEKFEKAIYEFPKIVTTTTKLLRA ANTLSIPIFVTTQNRARLGATVPVLQQLLNGPNVRADIDKTLFSMVTPEIDGLLPVPK KGEALMDAIIVGIETHICVTQTTLDLLERGHRVYVLVDGVSSINAEERGIALARLRDA GAIVTTSESILFEILGDANHQHFKAIAGLVKETKEETKEALEKLSKI ANIA_06065 MDAQYPFASRDDIWRVFEELKDLHATQIEQAERIARLERRRDED ARLKSVWGPLSPFPSSVGGTISTEPVFQPTVDTFKGFDQGQHHNMGPMALDPEDEPRR GTSRANSVRFDESAIHGYYGQANRSSSELPIRTGSGMGSLPLTERSLSHRSDGRQSSS GYSHHSARTNSLGLETTNRIMGSMLSDSPLIPPPGLFLLGPVPAIIRCWMTTNFSNDS LLYAAACSGSYRSLLSHAMVRKLGFEEQLVQDVDSQYIKLPMYLPEASVHQASSRPSS PAPQVPTLTIRFLVQHVSTDDTSVQIILGSDVLRAHNADILFSQDKIIMVDDERNKVS IPLVRPENDSVFKHLHTASRHMTPSGDISRTSLDLTSERVDIENPPAVGVIGKRTRVS QEAHPASSPSRDFASEFANSRAAESPDDSRNGKDDSPQVPAKTGISTDTQGDSVVKVQ PAGVWGSWKRDTKTDANAAGAGKPSRPRPMKVLRPSKATNRSVSATGPPGASSSEATG PPSSHPASTMTSPESRTGKPLTPNPIGGASAFPWLNAS ANIA_06064 MSAVEDSLYKPYDQFVLFGDSITQMSSNQDQGFGFQPALQDAYS RALDVINRGFGGYTTAHAVKVFPKFFPTPETATVRFLTIWFGANDASLLESDNKQHVP LDVYKKNLVSLVQHPATVAQQPRIIIITPTPVNEYQLQSFDEDKGNVHPTRKNSRARE YAQAAREVAESLNIPVVDLWTAFMTAVGWKEGDPLIGSREGPNDEKFASLFTDGLHLT ADGYRIVYNEVVGAIEANWPDQAPGKLPYVHPAWMEAPK ANIA_06063 MHPSRFRNSGLPFIRSPSRVPAPALYGTLDLDPLPSASNEDPYN WPLWKKVINLVLVAFHACMSTFTASIIPAYEDISIDLGVSMQRASYLTSLQIAVLGGA PLFWKPLSNRYGRRPIFLLSTILSLVCNIGCAKSPNYASMAACRALTAFFISPAAAIG SAVVTETFFRKERGRYMGIWTLMVTLGVPVGPLIFGFVTNRAGYRWVFWVLAIINGVQ FALYLFFGAETRYISSNQDQSSFISHSLCLPFRRIDPTPLKLSEFYHPLTLVTLPTVF IPAFAYAMVFLFGSVLITVEVPQLLQEKFGLNAEQLGLQFIGVIIGTVLGEQIGGSLS DIWMNRRTRKLQARPEPEFRLWLSYPGVLLTIIGVVVFLVCTQQAAEGHWEVSPIIGT AIAAFGNQVVTTVLVTYAVDSYPQDSGSVGVFVTFVRQIWGFIGPFWFPGMFSNVGIA ASSGVAGGLMFVFSFLFIIPVHVFGAKWR ANIA_06062 MPQFNCPPCHGSDPTCLAAAQSMSRLLLVQLRYSNFVVTTAYFY PTPTESCPDSLALPLTPYTKAQDMSSRPFERPLLPVPSAVASPVGNTIRPRIKKASLA CTECRKRKSKAMLSCVGLPPPCERCRRQKIECILDEESDRRRRGVLERRLDVLEQDRT LLVRLVDIIRDGSQEEASRVLNYIRSDASLDDIRRFLAQSPSPSEAEHVPRQRFSPLY HVPAWPWTSVTDDSNYISHLISIYFSWNSPVLKWIERDLFIRDMQSGNIDSPFCSPFL VNAILAVACCYCDVPETNSASDVVPPGRLDFFDEAKRLLGQEEGKFSLTSFQGRSAST WIMGKHMLSWQYLVEIADCARQLQARRNAIAVSADEKAQELLLALDTAITGSFSALSS IFPSLHKASIMPKPTLYDLRPRHHTPKDVWWPYGVEDGSPAMPVPIPAHSNCVSTELL KLQLVLWEISNNPFQSIGQLCCTKEEMAEIFHQRLKQWVTELPECLTHASLLDSASTP AVLDMHLSYHSAVINVFEDIKTANDPYSPLNEVQAIRLSSARIICSLLEIFGSRWSVH YMPFIYIRYANIALSILLVDLDNAENRGHFINSYTSLHALSIRLPIAKEVLQLIVEQA RQKQTELPKEVLCLS ANIA_06061 MASISPYTSPDPEDGSILPGHERTASVSELSRSGSMSMTDNPHR RSIQFNIEQVESPPSRPSSTTGQKNLQSEVHGRDQKVHGRGYSPPPPQTYERGVSFDT FDNPDTPDFSLTLNYKHKGYQLSRRSRTFLCGTDLNDYSEFALEWLIDELVDDGDEIV CLRVVEKDSSIASDAAVEAGKYRQEAERLFDQVIQKNSQNEKAISLVLELAVGKVHDI IQRMIKIYEPAVLVVGTRGKSLNGVQALLPGSVSKWCLQTSPIPVIVARPPTKREKKK KKRLADPTRRSYNHILEMSEQRGSHIFSAPSSRNSSVSKLPDEEAAVAAALGLPQAYT TSRSSLSTSERSSVSHDGPLTPVPDSLEAINNTLASDLSIASDDTKSNGNINKSPNEI SSPASDTPSPVEGSNNSTPEPTNSEVNIPVIVTDGVTSDTKTKRRSV ANIA_06060 MSSIPQKSGQPQGQSTAAQAASHSSTPSLSGKTSPQAPVPAPTS TSTAVPRSYANATKKSATDSTAAAPVTVGGSSQHGKSTSTSVSGKPMQQPSNPTIVNG APASGVPQGDHSKKPSVTITADYNPSTRAQTGRPNSLQFGFANTQSSPNMGNPAALAN QPQSGLGVTPPMNPRATSPQTSPSPIPQPASSGGRPPPSSYQAQVNFGSFGNAGDNAQ APLAAGPQSTHLRRESSQSTHSDMSNHMGGGPGRGGFYHQGGRGRGHSQSSHQGPVAF SPTPGFRQPSQPRGGHNMGPQFHSNQGRPMPGFPSPQASRSPALANAHPVTPQMSQVP MTHAQMPTQPYGAYPQHMGPQPVRPPHPYSQKPPRRGLYNKRKQGPRGFNSRHSNLPH PQVHHSFPPPTLASPNLAPESGQFEHYLTLMKHNQGYPYADPNYGYYPNYQYMAPPSP QPGPRMPYNQSPYMQNQYPVPQAVPQATGLSRTPSQVSDRPGSSLGQNAPSAVPSTPG HTHTPSRSSNTSAGGKAPAFVIPQPARKAITIRDPDSGNVKTFEKTPASPARATPSPV KAATPTPTPPPRTGSSTDHTRSTSVSAKTAAEKKQELKDAVLQKMAQDQEAEARRKAE EEEAAKRKKEEEEEEAAKKKQDEEEARQKKEEQEKAAKKQAADEEAARKGVEDLSIKE KKDDAPTEETAKPSEPAPAPADDDEIDYDAIEREMAELEAKEAAAEAAYYARKQAEKE EKERKEREEREAYEANMKQAEREAEALEEAKQKKREAGEEASNKDLFASLKKGGFSAT EISTPADSGTVTPSSDTSMPPPAKPASAAGKPKPAALKLETNKPVEPAQPTAGMKALN SARFVDDLSKISYPESIASPNPALNANAPADRKFHYNKEFLLQFQSVFKEKPSVDWDV RVRETVGDNDSSRPQSARTPASRNPSRGGPTSDFQMGAFGAPSRLPPGTTSEMRFALS NSRPASIGSAFSFPRMGMGSGVTPLSRSNSSQPMSPRAGSGRSNTRTGSKREKQQAKK EEDMAKSMPLTAGKEVPGLQVSATGWKPRSIGQAAAASGPTPGGHLPPDVVQRKVKAA LNKMTPENFPRISSQILEIVSQSKDESDGRTLRQVIQLTFEKATDEAHWASIYAKFCK TMLESMSMDIKDENIKDKNGNVVAGGSLFRKYLLNRCQEEFERGWKVNLPPKPEGVTE EAAMMSDEYYAAAAAKRRGLGLVKFIGELFKLGMLTERIMHMCIKKLVDYEGTPDEAE VESLTSLLRTIGAALDSPDNEQNRKFMDAYFQRINLMVQTPNLPSRLKFMLMDIIDLR NARWVSKDADKGPKTIQQIREEAARAQQEAEMERQRQQASRGGGGRAAMGRGDARSYS SGYGQVPPPDYASSKVGSDDLRRLRTTRNTNQPMSFGPSSMLGSRSNSGRKNLGPGGN LVRGSDDSGASSRTGTPPAGKKEDKEAASSINAFSALAQLQDQDNMATSPPSNPTSPM LTKSQPAVERRPSATPSKDGEEAS ANIA_06059 MFFGIFADLLSSILTILFPIFASYKALRSSDPYQLAPWLMYWVV LSAILMAESWTYFIIGWIPFYSWIRLGFFAYLVLPQTQGARILYQDYVEPFLAHHERE IEEFIGRAHERAKALGLQYLYQAIDFVRERVLGLPPQRPPTPPPASAASYAQSLLSRF NLPSAVGGTNPAPANDWYSAISSAVAAVTSPGKSHESRADELSASGSLLPREFESKTR AEKASFYSKQRDMLDVLRNALINEERNLEHAEEDPLAYGGGAPLRKNRSDNSFDHIEH EDTRDRSPRRSGNWFGDGEQTGAASGVEFAMRTVDAIARAREAR ANIA_06058 MYSSLTQPTSEQNSDQSNSGTWMGITRAGKVAVLTNYRESTSDA AIGQQSRGAIVNSWLTRSKGDGGADADATKTYVEEMVASPTARSVGGFSLVCGYVNEP LAIVSNRSSTMDQITWIAEKKGQTKGLSNTVFDDRSWPKILDGERLVGEALKKHVEEG EGEKELIERLLDVLNTNSLPELKGDATAEDYLPYFRQSIFIPLIGTRDKTGSKFPAAA AEILEPGSTPSVCAEGHSNGVALDPAIVKEANPGNDVIDQSYLHGPYGTQKQTIILVT KEGRVRYFERTLYDQEAKAVPIGHGDRSFEFQVAR ANIA_06057 MLFLSVLLAFAAYLLIYQYAMTNWNHARRARLWGCSPLPRYPTD ILGLATLRESLKADKEKKIPLLLQNRLKRMSAREKRPVTTFVIRQMGLDNIFTCDHGN VQAILATKFKNFELGVGRRHTLYPMFGVGIFTSDGETWSRSRALLRPQFTRDQISDLD LEESHVQQAMRAMNVDPATGWTSSIDIQAIMFRLTIDSATEFLFGESAGSQAEALRNG GTLPLNHFSGDFDLGQWYVAQRSRFEKFYWLVDNRESRAVVKRVHEYVDRFVHAVLTT AEDRIEKSQSSSYVFLEALAASTKDPIELRSQLLNILLAGRDTTASLLSWSILMLARY PEVFTKLRSVILADFGSYTSSRDKITFASLKSCRYLQYFLNEVLRLYPAVPINRRVAT TATTLPKGGGPAGDKPIYLRAGQVVTYSPFVTHRRTDLWGEDAEVFNPERWVNKKVGW EYLPFNGGPRVCIGQQFALTEAGYVIVRLLQRFDAIMDCFPEREIRYGLTLTLAPADG VFVRLHAAE ANIA_06056 MTTRTTTTTAPKSRSLPNNFICIFIRRLANSFALRMQAKDDDKR RKLGYGRIEDGPDDNRVPGYYNLTSLGEKQTSKKWTFTKKDKRGSFLLTKNIDSKWRD LERNMTFRVPRNMLIPVRTSVLFENAMNESRSNGVRGSSLISLTNKRIFSMPFNNNGK VLQVTGKVDHVIFTGDPRNLEVALVVLKARKRGKARVWTLLKVMAEELAMIHHARKKE NMDGEIYGIATDSTEWAFAHIDNKSRYSTWFLQWRNHGFEIVSHVMRILAHAGARAAT SATIRASTAASSKASMKTRNTGPAGCRVYCEEEVGIFICHYSWQGLINADGGRLKQSA AFETPGVSEQESIMKARLTDIPLINPESFWDDMSFLSSFDGATIHFSMAPLPPGPASS VVVEG ANIA_06055 MVDAYTCTAGAEDPLVPARPPVSFHDTLWRRARRTPAPRGRGSM SSSTLFSGDGTTVESVRHCLYTLRRLLASRYAVIPVTADMLIKEPWTLTCALLVIPGG ADLGYCRALNGAGNRRIEQFVRRGGAYLGFCAGGYYGTKRCEFELGDKTMEVIGEREL AFYPGICRGGAFKGFVYHSEVGARAAELTVSKDALSAGVIPSSFRSYYNGGGVFVDAP LYADRGVEVLASYAERLNVNPGSGAAAVVYCPVGDGAAILTGPHPEFAAVNLNPKAGG PEYAEVVEALAADDKARTDFLKACLSKLGLQVAQETTHVPSLSSLHFSSLSSGDAERV LKSLEELAGDEGLFKDEYDTFRIEKWGTYNMGALTESLPESKEASSELHESEGIVDYQ SIVKRIIVHEDVPSSKTTPYFNHHAFYSHLRDYQAQSKEGASLFGSNLLYGEVVTSTN TILERNAKILRKLPTGTTATATTQVAGRGRGSNVWVSPAGSLMFSTVVRHPMEKMQSA PVVLIQYLAALAVVQGVRSYDEGYDAVPVKLKWPNDIYALDPGEPEHKKQYTKICGIL VNSQYSSNEYTSVVGIGVNATNASPTTSLTALAARFVGHKAAPITLEKLLARILTVFE DLYTRFLRTGFDRSFEEMYYEAWLHTNQIVTLEAEGGTRARIKGVTRDYGLLLAEELS WDDRPTGRVWQLQSDSNSFDFMKGLLKRKVN ANIA_06054 MAFLRPFQVADPLLQRASAYRSTPRLITCSNSYRHFTQRSFQPQ QSSTSAAPKPTSPTPTLTPAAARAAEIAMQKTPTSATTPNISKTGLSDKPLELDNTPA EKIDWTRSFHGLSAEPFPKEVADILLAETDPDEVEIKPDGILYLPEIKYRRILNKAFG PGGWGLVPRSESIVTPKTVTREYALVCNGRLVSVARGEQDYFSPDGIPTATEGCRSNA LVRCCKDLGIASELWDPRWIRKYKAQYTREVWVEHVVSKKKSKIWIRKDDPVGYPWKE TR ANIA_06053 MPNPNDVTIDIPLTSVSSRGQTGARNNSTNIPNSPSGGYSAGAE HNGGAEKGGLTSSPPSSSLGFGHRRRRTINDKTGLPAEEPEDGTVTRMGRFYQAVLNF STVTRYLIYIAPLAALLAIPIIVGATAAEDAKIGGVSLPWFFCWVEVVWVSLWVCKLV AKVIPFVFQFVCGIVSAGTRKYALILRNLEIPITMVLWMIVSLVTFLPIMVYNPRNKR EGDTETKSWEKSVKNVLFAFLVCALIFLGEKTLVQLISISYHRKQFDARIKESKRNIN LIGILYDASRSMFPMYCKEFREDDAIISDSILLGGPETGRPGHSRSNSAAPLRFIRGV QQNVGRIGGKITGALGDVAHEITGKQVFNSSAARSIVSEALERRRSSEALARRIWMSF VIEGREALYLDDIMEVLGAGKEAEAEECFTMLDRDGNGDISLDEIILAISEIGRTRKT LNHSVHDVDQAIHVLDNLLATIAFIIAVLVFVSFVTSGFGTVIAAGATSLLSLSFVFA TTAQEVLGSCIFLFVKHPFDIGDRVEIDSKPYIVQRISLLYSVFRNVNDNRVTQIPNV VLNTVWIDNYSRSSAMQEKLTIEVNIDTTTEEIQALKDEIETFVRSPDNKRDFHPDVD IEVSGVGALDKLELTVGLFHKSNWAIESVRAARRSKFMVALVAAVKKVPIRTPGAAAE DAAAADGDRPDDKPDDAEQPPARQSSISEGIRHPTVPDDSFPSDSKSTGVDLGRPGSV QRRGASATAATGNNSAGSAYSETTLNNTVSEPYQRSFTPNTGDRDTDHYHGSMSSPVT ERHLGVSHDSIARKASTASTGRRRAGIMTTANQSLASPTTMQSESALPPHLQPPPPLQ PSSSQYSQQYPQQQSQSPYSYTYSERYDQPESSLQPLEHTTSYNQSLPQVYEYAPAAD RNSLEGHSPHVDPRHMTEEQRRNYESRRL ANIA_06052 MSTIYPRQRVLPADISTSTSINYLPHLSYILSLDPSLDHRCAGY VDTNGRRCTTETKPQDRSEAIALLNMATADVRAGMWRDIENETLEELARRLLCSASTH QAQARSLVQRWRADFQAFVHGTEIILGLNDADMMTEWNNMKMDLDNESWYKQVSRGIQ WGFDHLALAQLVRGRDPGFLYASRALRENLAHQHLQGSSSDWNGNVDREFSIDPEPGR TTSTNTSTGHGIYNNPSTNAQHPPVGIPAPTRNHSSAFGLSRLAPKKTEMSRNHQDNT VERLRQPIDGDCSICLISLLDEPSDFDFYTDYAYTDSITDRLSSPFSDSSCQWHETDT GTPGLTDLMRRDYAYHRVLSYICEGGPEINVSRQGKVGERKYPARLELSWCQAGCGVN YHKRCLDKWIAMAPSGLATCPSCRRRWVGDFHHRGSPYGCFIPGLQTDYECNTSRDLP DESKIALVPYFAFINLSAKINYGPRNFYIVEPLWTEKANQNPHSENPDIRVTDTHIYF LRGILSNWYLSPYHFTGQRALELCLAQLDELGIPHLAEDAISTRLIKPFRFRRGEQWM MAMKAWLFERDSTPLGESVLDDASFDQLQAELLSDKPVPGSNDPRRKELRESALCRIM RTNNPKSQKTLGRKVPNFDDAVWTTASGVIVVAGCIARAEADDELRKLYFSSEKRVFV EGSASDRVWAVGLNWESDEILDEGNWRGTNRLGKAHSEAARILSDSSAAR ANIA_06051 MTHTDENISKQSNRISSRLFQTTSSIQLSDFETICSRTTEPSTY PLSSTVTQNIPIYDAHNYNPFDTDQATALQDEWYHILSSGPGIFVLKGMYDPSQYAQT LSATNAAFQAIIDKERKAGGRIGDHFAAGGKNDRIWNSFSKHAFADPISFTEYYSNPW LKVVSEAWLGPAYRVTAQVNIVKPGGAAQDSHRDYHLGFQDEESCAAFPRSIQLASQY LTLQGAVAHSDMPLQSGPTRFLPFSQTYEPGYLAWRREDFRAYFQKNYVALPLALGDG LFFNPAVFHAAGANETEPAPDGGFHRKANLLQISCALGKTMESIDAVPIVERCWEQMV KMYKAAGEIVDGKLDALVRAIGDGYPFPTNLDERPPAPSGMAPNSEQQVLFRGLQEGW SRDVVAEELRRIANKRGP ANIA_06050 MSVQVVSDPLAVSLTTEDRQFSATYWKKRFDVRPPSPHPAIATL DIEYSELAKAWKRLQEILPLSEQVLFEERPQTLQDVQVLIRDVQAYWVSSPRQRLFSR SMALCDTFLATSGSHALPLKALPSHQYYSSLLYGTLQTIIKASSKYPRVVNGVLEALV NVNRSIYLPESGEPLQITNDSIPALANFYSHLFFFLGELMDWYARRFKCRLLQSLHED IYSDFRNLILTVQSSARGFTHAFVDAPSLNDSGCDETDTVMQHADLYLWENARLSQLG RRNIERRFAAQNAMTRLLIWEIQHSADQRAQLRDERGQLLVQMFDMASKQLRSNGHQH GAMVRLTTAAGQDSLNNITLAEKQKHKYTRVELQLGSAHLQDYFDIDDQLASYEPTDV MVEEDVLDALKQWSTETYPQILTLGSVPESACASPVALVSACYTNLARNANSPVIAYS CSVPPTAKDGMTLFQQGLIALVYSLIRQLLEYLPPVVNGSSTHIVKAENFALLDGTLA SWQEVLSLVDTLLYYAPPLLLCVVDGLDRLQDQSTDQYIRSLVRIFVSHTRQSSDSTP GQQNVLLKVLFTVTGRLAPLMETLSENPLTLKESSATERSTLNPPSCSDNDVPMGE ANIA_06049 MGLPQRPFLSRPSSFSHALLSCPLSLLFLVSLLSTVPTVGSTVL SSETPVAEDPALSQRRFLFEGSQVDLPGGLDLHVAPLLQSPVSFEGSQTRLRGLNRTG TLVQVGPSNSLSLQSSDIAFISCDRTAYTGNLDADATLNNVLSRDPEAVLLYSTTVSH CNYTNDQSNYRYIFTLLSASTAKAIESQLAAGNQTGSTSLVPDMSTFGPANIPGDNDG GGTGGDSPNTGIITALFLSIIITGAIRAHRHPERYGPRQRPGRPRQSRARGIARAMLE TIPIVKFGDNTPDGKLDDKGDVEMSLESETPTSQLREGTDTRQPMATGGTTSPTHETP RDTATSSPESDPEQRRAGTTETETTTEHPNFSCPICTDDFIKGQDLRVLPCNHQFHPE CIDPWLVNVSGTCPLCRIDLNPPQAEGETENQDGETGSEENAATNNQPAEETHTHRHR LSSYLTSTLNARRMREASVEERLAALRSVREEANRDPADGDENEQRRRRIRLTARLRD RFRIRTRAHGDESTSTLAAPTST ANIA_06048 MSTPSSVSSSSYSAAKSRLASLASHIMGSSSASPVFSTAVVPSA PEDPLFGLAQAYRQDPSDKKVDLVIGAYRDDNAKPWVLPVVKKADELIRNDPNLNHEY LPIKGLAEYTTAAQKLIIGADSPAIAENRVCTFQTISGTGAVHLGALFLARFHPATPK PTLYLSSPTWANHHQIFTNVGFTLANYPYFSPQTKGLDFDGMINALRSAPAGSIILLH ACAHNPTGVDLTQEQWKEVAVVMRDRSHFPFFDCAYQGFASGDLARDAWAIRYFVEQG FELCIAQSFAKNFGLYGERTGAFHFVSAPGPEAAQSSAHVASQLAILQRSEISNPPAY GARIASKVLNDPELFAQWEDDLRTMSGRIVEMRKGLRQRLEEKKTPGSWNHITDQIGM FSFTGLSEAQVKVLREKWHVYMTKNGRISMAGLNSHNLDYFAEAVDSVVRETS ANIA_06047 MTDLTPLFTELLHKQDEYISLSRPLTTQTADEFLKEAYRINTHI SSLLSHLHKIRPSFLSISTHASGKSTHRSSPSTTTTKGKDTILSPDELDAVTSSTSSL LHTLSSSISNLSSAESLRQETHSTLLDKKYNRKRSRASNLLFQWASGSSALAESEDAG KKPEQIIEEQIENNTKAVRESVLWFLRRRLEGTIEMQRDMVEKRIERAREREKSVLYK NSASASTSAAGTLAGSTRSGVAGRGRGVSVSAPSNYPDAALEMADTVGVGAKGNTLDA SEVAAIEAELTPEQLQLFAEENDSMVRYYEDTLSKVQCIEYRDEYRAREQRVEACE ANIA_06046 MSLKQEIETWVQALEHFDNQEYDLALRSFAAIADTSKILFNCGV IYATLGEHEKAVECYQGAVGLDQYLAIAYFQEGVSNFLLGDFEEALANFNDTLLYLRG NTYIDYEQLGLKFRLYSCEVLFNRGLCYIYLQQIGPGMQDLEYASKEKYSRSRLTPQG YTVFSIPVGVVYRPNEAKVKNLKTKDYLGKSRVIAANRLSTPADTSQRSVDSVPFATS HLVQKNLTSRSRQQSEPPMHRNLFPPTPPPDADKASLSSTGSNGTVRAQPGKAQRPPK LDLDRPGAQPAGRSTTDLTAPEKPRLGTIRTASEPRGQSRQPRGYAPERHVRSSDGYG HRRGASDHGFGVSNGHSDDAYGMYGEARAMTLANGGRPFQQQGYIDEEEEYGSSPCDE DLVPDASFELMGSRPRARSCSRGPARGYSRRPEVRRFRVKVHSFEDTRYILIPPTIEF AEFETRIREKFGFQMALKIKMQDEGDMITMVDQEDLDLLLMASREIARREGSEMGKME IWVEERRMI ANIA_10774 MNSILMQGLKPLRFLTESTPGSVFSRLASGFRAFPEPPAPGVPA THSYPSTSIPFCRCPRPQRFEQSPQPRYWNPRVEKALPCSWTPPYCVQSAPRLLPVDP RTHCLVPLRPLPRFQRSHVAPSVHWRPGALP ANIA_06045 MIEPFQTTFAVPMTCDGCVKDISQALHKVEGITKVEANLKDQLV FIEGTAPPSSIVTAIQNTGRDAILRGTGASNNSAVCILETHATSVPNKIRGLARMVQV SSNMTLVDLTINGLAPGKYWATVREAGDISKGAESTGGIWEAVKAKLQGANAQKEPRG IFGSVEVDAKGRGNVFLDRPVAIWELIGRSMVVSKSTEGPFRREDSNTLVGVIARSAG VWDNDKTVCSCSGKNVWQERQEQVAQGML ANIA_06044 MSTSKSRWADEDPETEALIAQRKREKEEKRRAKAEKQRLEEQAK AQAAAERQAGDSRLNGDPSEAPPKKRRRLSNEQQPGSSGEQAAKQGKPTTLLQFPALE WGPCRHVDNFERLNHIEEGSYGWVSRAKELTTGEVVALKKLKLDNSPDGFPVTGLREI QTLLEARHQNVVYLREVVMGNKMDEVYLVMDFLEHDLKTLLDDMREPFLPSETKTLLL QFISGLDFLHSQWIMHRDLKTSNLLLNNRGELKIADFGMARYYGDPPPKLTQLVVTLW YRSPELLLGAESYGPEVDMWSVGCIFGELLTKEPLLQGKNEVDQVSKIFALTGPPTPQ NWPGFRSLPNAKSLRIPPTQTSSTLLPRSKFPFLTNAGLQLLSSLLALNPTSRPTAAK CLSHPYFREDPRPKPKEMFPTFPSKAGMERRRRRETPEAPKRGQEAPKLDFASVFGGQ SAGDYGESGAGFTLRLG ANIA_06043 MGSSSDDSDDVRIGDSLRDRPSRQPTLVSACEESAHYPVFDPED PEHNPTIERNGCLQDVAMQSEYPNASLRWMRGLPRRSLRQARSGIQALRTGLRMSCRR TGQISAGLWSRSDSAGSSNDPRYQQFSSTVSEASTDTDWEFGTNLYRCNYPKHENEVG KDTNVASCTWNLPSASAKSSTAERPMIFPLDVRATSGNESHCSPNPVIPGDGEELLHD AGQYRAILPEFSETLCADDDIHPGELEASVKSNPEIAVHLEDEVNLIADKEGINETCV EDYISISSLLLEALGDEYFLVAKAPGEEPDSGRTPIKDDEFNGHELEHNWPFPMQEVP ELVGPRGPLGFNPEAYRRHPHSSDASDEYSVDYTLIQRNYFA ANIA_06042 MAATQAVSFDDIIKSSRQKKKNEELANQILGKNRRASAPGFGPG KAQNATPGGSLASRIGVTKRSASVNLSSKSGSRASSAAAGRNTTSAKPTRRYRPDENR LVSAINSANGQATVRNAGGINIKGASSAPPVVIGSNFAPGTTAADIQSAIEPVSGKII SCWITSQKPTVTAEITFAETSSAEKAVANFHNQRADGRILSFQLSHRDAFGRLITTGS PFDDQREQADRNRRAQRTADPAVQDGRYGFNEQAQQPLDSRDSRYNGRGNNRRGNRGR RNFYGGDQGENQGAQKTGQYSDEIMTDAPPQGPRNKSNRRQ ANIA_06041 MNCSTQRIVNQFSRQTARRRFNIRSRRWNSTFETREWSTPLART LANVIKTTGPVPIAAFMRQVLTSPEGGYYTTKPGGGGEVFGKKGDFVTSPEISQVFGE LVGIWTIAEWMAQGGKKSGVQLMEIGPGKGTLMDDMLRTFRNFKPFTSSLEAIYLVEA SPTLREVQKQLLCGNAVMEETDIGHRCTSKYFNVPVIWVEDIRLLPHEEDKTPFIFAH EFFDALPIHAFESVPPSPENEQQEQEIMTPTGRTKLQRPPKAANTPQWRELMVTLNPK AVDENIKDEPEFKLTLAKASTPSSLVIPEISERYRALKSQPGSTIEVSPESRIYASDI ARRIGGSSQPPRTAAGRNASAPSAIAKRIPSGAALIMDYGTMSTVPINSLRGIQNHKI VPALSSPGRVDVSADVDFTSLAEAALEASEGVEVHGPVEQGHFLQAMGIAERMQQLLS TVKDEKKRKILETGWQRLVERGGGGMGKLYKVMTIIPENGGRRRPVGFGGGVPL ANIA_06040 MSSITIANLPRISRDALSALILSASTPSKLAIIDVRDSDHVGGH IVSSTWVPSSTLDVRIPELVRTLKDKEKVVFHCALSQQRGPSAALKYARERERMLGSE ESHKQEVFVLEGGFVQWQEMYGKDVRLTEAYVEDIWREY ANIA_06039 MERSLTRCLRSRPTTTATTFLLSTRQSILHSQFLLTRQNQSLRF SSSTPTNNASETNTDNTTSTASPPPRTQLLRPKYPGNIDEVLNRLNLSTGRQNRAPSR NEASSQQTAESGTGISSGKSTSAVPRQERRRVDLKLNPTLGRDVAVVPERGQDLEVAL KRLSGILKENNVKYQYLDQKYHVRRGQMRKNLRIRRWRKLFAYSFAHTVGKIQRMRAQ GW ANIA_06038 MTSAGRMFPPWTLVASCLFQIAAAGRTDGYAYGQPMPVTCLNRT IDSGEHITDDLGKLQFIPFPTCKETSAPLALRYGVSESVNCTIEALPDELYHLLEYYV HSDVPMTCRVPTAPLDSSSATDSKTDEQNDGNNGGDNVSTLEDNGPPYTPITFALQGT LQKSHLHIWTDMNVLAHNIPQVPSPEKTKTAKKAKEKGYMVAGTAYSVPEFEYSLLHG KGKKKDNGKKSDEEKEASAVAEAAREPWTEGHGTKVIRGEPLTFTFHVSWIEGGRGIG WPGRDISVSSSSLSGFWWLLSKVIFFGIAASVGALVALYWERNGNGIVGRRRGWKGDG ILGVPAVGKGAVGISFGNGSRTNGYGYGGYSANGSGGGYGGFASGKRD ANIA_06037 MPSFSQAVELPAWKELQDHHGSVGRNIVLKECFEKDPQRFEKFS RTFQNTVDNNEILFDFSKNFLTEETLALLVKLAKQAGVEELRDQMFAGEPINFTENRA VYHAALRNVSNQPMQVNGKSVVEDVNSVLEHMKEFSEQVRSGEWKGYTGKKINTIINI GIGGSDLGPVMVTEALKPYGHPDLKLHFVSNIDGTHIAEALKDSDPETTLFLIASKTF TTAETTTNANTAKSWFLEHAKDGAHIAKHFVALSTNAEEVAKFGIDTKNMFGFESWVG GRYSVWSAIGLSVALYIGYDNFHQFLAGAHAMDKHFRETPLEQNIPVLGGLLSVWYSD FFGAQTHLVAPFDQYLHRFPAYLQQLSMESNGKAITRTGEYVKYTTGPVLFGEPATNA QHSFFQLLHQGTKLIPADFIMAAESHNPVEGGKHQRMLASNFLAQSEALMVGKTPEQV KAEGAADNLVPHKTFLGNRPTTSILAQKITPAALGALITYYEHLTFTEGAIWNINSFD QWGVELGKVLAKKIQKELETEGEGSGHDSSTSGLLLAFKKKAKLA ANIA_06036 MYNPYQPPGMYGRPPDYGVYPGAPPGMAPPPGLAAPGTAPPGLQ QANMQQPGRPAGFPPNFQPPPNMPNINFSAPVIRLGTSGPSKSATPDASKERGGDAGR RAGLGASSLESQRQNVRDAMMQLQPPTRDEIVRTIFVGGITEGLGGDEGVERILRSAG NLRRWIRATDADDKPCKFGFAEYEDPESLGTAVEVLKDVQVPVKRQTPSDSEVKEERE VEKSTLLVVVDESSLTYLEQYEASRGSQDPAERQSKLDAAKKALEGVLHDLFHPTSPT QRENASAVDREGDTSMKDAEGQDGTSAEVVTIPITVEDELSDIPPDMRETVAKEIAAF RDRSNRRDIERLKREEEIESLERARNSGGRVNRLASPPASAPSGPAAGANGIPLGGRD RGMPNAPSGPKGFGVQIPKDYQKGVSFVNGGSVNGAPTVYIDHEDENTDADDEELERR RQAKREAELEKQFLDQERRWLNRERSRTAALEREKKRDQEEEDRAQEVRDEADKRLSE WNDDVEASRKSSEYYADRGAWLRSRAAFRAREISMDEADRAAEERERARSIQQREQAR GMADDFLARQAEELETRMEAPREPQRFKLSLGAAAQKAQAATSRRTVAEVEGLLEDEE EPQATARRPLIPIKFDSAAEAAGLTEEERAQAARQLAAEIPTDKDGLWKWEIKWEFVD ESVVSEQLKPFVEKKIVEYLGVQEQMLVDVVEEHVRKRGNPQELVEQLEEALDEEAEV LVRKLWRMIIFFSESEKRGLSG ANIA_06035 MVKITGFITRDVRFPTSLDKTGSDAMNAAGDYSSAYCILYTDSP YSGHGMTFTIGRGNEIVCSAISLLAPLVVGKDLDELTSNWGATWRYLVSDSQLRWIGP EKGVIHLALGAVINALWDLWAKILNKPVWRIVADMTPEEYVRCIDFRYITDAITPEEA VALLKEVEGGKAERIKEAEQSKAVPAYTTSAGWLGYGEEKLKALLKQSVEQGYKHFKL KVGANLEDDRRRLTIAREAIGYDKGNILMVDANQVWSVPEAIEWMQNLAEFKPWFIEE PTSPDDILGHAAIKKALENTPHGPIGVATGEMCQNRVIFKQLLQAGALTVLQADACRV GGVNEVLAILLLARKFGVPIVPHSGGVGLPEYTQHLSTIDYVVVSGKKSVLEYVDHLH EHFLHPSSVKDGYYVTPLEPGYSVEMKPESMDRFSFPGEEGVSWWKSEEAKVILEGPR I ANIA_06034 MSLTHFRPSPLLRPSLVLSQPLIPRTSQSTYATHSDLGRGSASA PRRRNVTVLSDDGRYTWSELSGREKVARATQQSINFVVVLAGAALTVNTIASRKTWQF EKAVERIKNDARFANLIAGSTTIEKDHQGREHMRMNFHVSGPRNSGTVFVHMVKPTDA NEWEYRLLALEVKGYSRVVLEERHDPKVGGEVKIFGIRWR ANIA_06033 MSATKAESQKIFEKLKTKPANKTDPVFAEITQICFDCGSKNPTW SSVPFGIYLCLDCSANHRNLGVHISFVRSTNLDQWQWEQLRIMKVGGNESATKYFQSN GGSAALASKDVKVKYTSNAAVKYKEELKRRAALDAQEYPEEVVITDVPAGATSNGSST PAGDDDDFFSSWDKPSIKRPSNPPSRTGTPPVVSRTSSPFLNAGANTARSKSPLSSDK ESATASPAPTAIRASAAARKTSGTTTAKKGSVLGTKKAPKLGAKKIGGADLIDFEEAE RKAKEEAERIEKLGYDPEAEEAEAAKTKTSGTGATAIASPTPLSPNKVGFGATKTTHE RNSSDVERLGMGIGRLGFGQTVGSKPTAPAPKKLGFGAVGAARSAEDEEELQRTKNKF GAQKGISSDEFFGRDRFDPVAQSEAKERLRQFDGAQAISSNSYFGRPEDDYPPVDDTY GDLEAAAKDFVRRFGITAGDDLENLTQLVGDGASKLQGAIRSYLNS ANIA_06032 MDALKPSHRAFIALGSNVGERVEMIEKACLEMDRAGIKVQRTSS LFETAPMYYLDQEPFMNGVCESIEVSLGRKKLIDKGPRSIDLDILLYDQQVFTHDRLN IPHKLMLERDFVLRPLSQLIPNEVPPFKGHKTTYLSHLASLPPPSPTPVATTYISPSF PPLRATDPSRRTHIMAILNLTPDSFSDGGKHLAEPEAITSTVRSFIQSGATIIDIGGE STRPGSTPVGVDEELRRIIPAIRHIRTSIPEAKNIAISVDTYRARVAAEALAAGADII NDVSAGLLDPEMLPTVAQSGKSIILMHMRGSPSTMTKLTSYANGVISDVGTELLARVA AAEATGIRRWRIILDPGLGFAKNQAEDLTILKDFAALRKTQGLEYFPWLMGPSRKRFI GRLTGVEKASERGWGTAATVTASVAGGADIMYKNLTEGTTDL ANIA_06031 MASPQKIKTTLTDLLQINHPVLLAGMNVAAGPKLAAAVTNAGGL GVIGGVGYTPDMLREQVAELKSYLKDKNAPFGVDLLLPQVGGSARKTNYDYTKGKLNE LVDIIIESGAKLFVSAVGVPPKAVVDKLHKNGVLYMNMIGHPKHVQKAIDIGADIICA QGGEGGGHTGDVPTTVLIPTVAKLCEGKISSFTGKPVQVVAAGGLFNGNSLAAALMLG ASGVWVGTRFILSEEAGAPKAHQEAVRTAGFEDNIRTIIFTGRPLRVRKNDYILNWEN NRQAEIKELTSKGVIPVEHDMENLPDDVDDEYLENARPYLMGKVAAVVNEKKSAKAIV DELVDDAAALLAKGNKLLAKL ANIA_06030 MDGSHKENGVRKRSTNACQRCRKQKIKCSGFQPCNICHKRKLTC VFDDRDQKVLVTRGFIGDLQRRIALLERGDNELASSSSWVEQDRARPEDGTSSNWSFA RKILGMTHENLYHSPLPTDSLLFEGSTYNLGWNGLRTTVYAEALVVPTLDYSIYLINA VKFHAGQLYHLFDEGTFMGGLYAFYEDPQQQMTTGGLWYIHYLVIIALGKALVVQRNR DTRPRDIGQAMMIALAQGMHTDMPVQHLGEALVQRCRKIWWTIYVLDRQMTSLMGLPQ SIQDNQIHPQLPSFPGSPQKVVALSMQIRMCQIIAEINSTVYGADGRLNRKFLLRTKA ALASTTDLTGDLQTSFDLQLDKSTISGVSRMSAHLHLLYHQCIVLATRPLLLRFLKMR FQSADACLETLNSSANVLRLLQPCWTKHAELNPADSFLPFDLESTFVSSVVLLMAQAI DHNLLESRRPWLDKSYLILDDMISRGNLIAQYRKTELERLSALMYQFATDRQPDDMSK EKGLEMSSPLPPAYRIPELPGLNDGLTTAEIMAVAESIDTGDVDWVAHAVTENQIW ANIA_06029 MAGVGAHSTKQVLELANDASEAGANYLLVLPPAYFGKATTPAVV KRFFADIAAKALHPVVVYNFPGATNGVDIDSETIADIVRTSKEASGGKSNVVGVKLTC AQVEKITQLTAAFGPDEFAVFEGQSDFFIGVYRPAVQGWEVEKALALHRKAALAESPR KSKIVSTKYAAAIVSACRAGIENAEKFMPRTPYEAGEPAKANVRKVMAEVIAIEDSL ANIA_06028 MILKRVTAPRIGWMLARNNQYGLGSMGLTMAANLQRYLAKSPDE RNLTYFNRTLSAGDPLRELGAIPAASLLDLVKKSDVIFTMVPSISVTSPSNKILTETF NAITSSSTIIDKKTFVNRSTVHPETTASISDILSGLDAVFLAAPVFGGPAVAQSGQLV FAFGGPSQNQNQLDIRRYIVGVMGKKVIECGTEARSVSLLKIGGNIITLNLMEAVGEA QVSAERTGLGTAAMEELITESFWNCCRGYSKRLTTGIYAPPLNTRPGFGVSLTIKDAD HALSIASAANAKLPGWNWRAFTCGLLENTGESTRRAKRTGYTPV ANIA_06027 MSSESKMKQEPEDLALAQDTSTLGTGEIQPHLATAHDDVFGSIS ADGPNYRDVCCRSIYSSLSRRMQLTGGTGRLARHRRPDNEDAGRLGHPLDPVCIDTLG LIPGLICMLTIAVITTWSDYMIGVFKLNHREVYSIADAVGLMFGRVGRLFFRGAFVLY WIFVAGSGMLGISIGLNAVSTYGACTAIFVVVAAIIGFVFEIRSLSHPLLALDRPDIP FFTVTIAVGVQKCPSEAPRTSGP ANIA_06026 MAIDGAYPPATLPAGDTKTESESAAITAAAHPLSALPTPVLLRS LLIATISSKPSLLIPSLSALSFLCKPRAGPLLNVDRNPILHFILKATFYRQFCAGETP DEVRSTIRQLAGMGFQGTIMTYAKESVFDAKTKMQTGLGVSTKMSGKQEVTEPTLARG EAFCPQIEAWRKETVETIELLGANDYLALKLAYLKSTPATIARHLQAASDVGFTLGLK LVRGAYIFSDERSLIHETKADTDAAYNGIAQGVLCRQLGAFGTEKPFPSVELFLASHN KESVMKAHALRLQRLKEGLPTVPVGFGQLHGISDEVSFRLLTLKNERNEPMVYKCSTW GRLSECLAYLVRRAVENRDAVGRTEDEYRALKREMARRSWYSLL ANIA_06025 MSTKPTTQTLCILGCGNLGTAILKSVLATPEPDRLFTHYIACIG SPSSKERLEFQFKDQANLTIALDDNIAAIRAADVVILALDPALIPAGLAQPGFRDALG QKLLISVAAGWTVKKLTETIYGDGLAEEGKARATIIRTLPNICALVGQSLTAIEVDPA AKTAEEALSLTTSIFSRVGKTLIIPPSLIDATTAVAGSTPAMFAVIVDSMIDASVAVG VPRSMAQAMIYQSMRGSAEMLQSGLQPGDLRDQGTSPEGCTIGGLMVMEERGVRGGVG RAVREAVSVARRMDGRLHLNDTR ANIA_06024 MSQPVYHYLALGRLGRGEVLNLFLKDAGIATKDVLYPYDESWPA TSEKLTQQGLTRTGLLPALEYNGEIYTQHIPTLRFLARELGAYDGETSHEKYIVDAVA DTYIDWRSQWVASLKGATDEYKNSVVPKYYRILGQYYSERPGPYLLGEKITYVDFAVY QSIDNERRTGTLPETLPESLVKLQDAIEARPNIKEYIAEHK ANIA_06023 MATATQGWHPGETKLHNLLHFPSSIATRYTAIEPQLREQHRIFH TSSLPFIPLTVTDKDGRPWAGIAAGRSGENGFVSSPDLKTLVFGIRVWTGEPLAGILQ SWNGKEDGLGTLTAGLGIEFSTRRRNKFAGAIRDVLAKGEGEYMVRVEVTEALGNCPK YINTRHLIPYPKTNPAIAHQAAKMPGSSRLPTDVTNMIKSADTVFIASIYQSDPATAS RFPSHSGMNARSGLPGFIRVRPSDGRTVVLPDYSGNRFLSSLGNIEASGLAGFTIVDF ESGDILYLTGTAKNVVGEEACTIIKRHSGCITLLEVTGYTLVRDALPVRQAPGSMVGR SPYSPKVKYLVEEAEVQGFGGTSEKARLQSARQLSSDLAVFRFKVVPSDTGGVRLSIR PGQAVVLDFMDWLGPPQYRHMADNAPGSINDDRVRTWTVSSSHEGSQMSWFELTMREI KGGAVTGALFDVLRKHPQEPGRLVEIEQSVAADIVGVTGDFVLSDKEINALWVAGGIG ITPYLAMLEALGSHEAEGQGKSTGDILFVLSTREPDVMLELLQSPLENVPTGMKVKID LFTRSTVKADIGEFQTGKIQVSIHEGRIGPQYWKTVPTGKDVFICGPNDFGDVAVEGL RAVGVPNERIHREGFY ANIA_06022 MGEGVMCAGAKDLRDRLHTPFNYRDRGRNQQRCNCGSREVCPER APVRAELVISRCATRMIASDALWGNMLGGAPDAAEDKAPFSFQIELPTSILKHIYAIS NRLLTSRLSSADLRLPRPQIRTKATAPSRLPDARNEPNPTYVKGSSERLKIESALSKL RSQLPVQSSIYYNGKVQAAWRSWDQPLPAEHAAIESALKAKKDWENTPFIDRAAIFLK AAELVTGKYRYELIAATMLGQGKNIWQAEIDAAAELADFFRLNCNFAAELLERQPTRG TVGMWSRMEYRPLEGFVYAVSPFNLTALGGSLLSGPALMGNVVLWKPSPPNVYTSTLI YKILLEAGLPADVVQFVPGARKKSPTSRYKREELPPHPPHGRHSQRRQPHHPRCIRVP GTKVLGDLARIHPSVPRGRIHLAPQGRVQEITIGSPDKELEAFMGPVIHRRSFDQIKR IIDESNDDPSLNSITGGTYDDSVGFYVHPTVYQANAPTHRLFDEIFGPIPALYVYLDN EWSEILAKVDQAGGGFALTGPVFATDRRVIWEAEDALGYSAGNFYINCKTTAALIGQQ LFGGARASGTNDKAGSSDILRRFPSLRMIKEFFLLEGFKYPSNQ ANIA_10768 MAPFSKSIPIAIIGDGAFGLSTALHLVQNGYTDITVLEQDEKIP PPYSAANYLNKIVREEYEDPFYTNLTVLCPMGSGYINTDKATGVSHSPFPVNQEASSG FLPAEDETRIRKLLQQTLPALANRPLVLKSLCWFADTKDSDFIIDFVPGSKGSVVIES ADSGHGFKMFPIVGSWTSLLSKRHTPNSIHSGKPNRRYRPSASAPISGSPINTSLCSI VSINTGMLLCCYDPINPNLDSYPFHHQFSNIATLPRP ANIA_10766 MGQSTYRGNYGLPWVLPSVQQARRGFNEKGLVHEYLPILRLKGL REGAARYCTLREKGGAADADAASDAVAARKVYIPSTTWSNHRLLFSSLGFTVGQFNYY NNATRSLNIDSYLAALRSADHGSVVLLHACAHNPTSLDPYIEQWKQIWDIIKERRLFP IFDAAYLGLNSGDYDKDAWAIRGRLTEPGEGVGRIILQPTPFSQSVLESLQRSEISNL PAFRAKIAEAIMSDDMLKNVWLEDLKTMSGRIAEMRRAFSTG ANIA_06020 MAFFMCICLLNFFTGSLASPVSPPTTSPATPLFAFDLSCTDLST FNDAIMPLLNLTPPSGTPEAMIPLVDQRLSAIGSFVSSYQTMMNTFNMNNCVGSEYAG LQVRQTDPLDTLVQAICAILEGTDTSGLPQQVIDLIDQVEAALGCSAETV ANIA_06019 MSPPTDTTITTPIPSPTLNPKSLSTARKCLILFIVSWNTLVVTF LSTSLLIATPEIASDLETTSEILNITNAAVLIAMGCSSLIWSPLAEIFSRKRSYDAAT AVMLLASIGTALAPNMAVFTSMRVISGFTGTYFMVAGQTVIADIFVPTVRGRAVGCLQ VGSVAGSALGPCISGVIVTFAHWRDIYWLQVAMAGLGSALSVFAIPNIQSEVKQLYEE KAELDSGSDTIPQRVFQALARFNPTKVLKLYLLPQILLSDLICGFLAITQYGTLTSVR HVINPRFGFTTPLVSGLFYLAPGTGFIVGSLVGGRLSDHTVKRYIRKRNGLRLPKDRL NSGIVYFFAVLPISMVLYGWSLQKQFGGLALPIILAFLIGAGLMGAWNGLNTYSAEVI PSQRAEAVCSKYILQYMFGATATAAVVPLIDAIGIGWSFTILSYGQVRVIVSDRMVSP ACSIEFIEEKTSKSSNPSHMGDATLAGLYRSGR ANIA_06018 MTFDRDNSQLRVIIIGGAVSGLTLAHCLEKAGIDYVVLEKHRDI TTNIGGSVALQPAGCRILDQLGVLDHLWKYMNDIQAVNVGLPEGYTHRHAMFAQNLTE IGYPFSALTRRNLLYALFNTLEGRSKVKVGAKVVGIDRSTESNGQLTVTTENGEHYTG DIVVGADGVHGITLKEMERLTEPPASPALVKDKSKMTVDYMCLFGMTTPSKEMTATMK PGEIYTRSYLHAFWTIIPNFDTTINWFVMIKLDRTYVHPNVPRWPQREVKAKLEELGG YPLYGQIRFRDLCQRTSSVASTPTPEGILESWTSGRIAGVGDTVFKLTPNFAQGANLC IETSAALANSLHRLTSCYAGTFTKPTDVEIRRALSSYQDTIQPRIEGISAFSYRVSRV HSLEIPLIAQLMLRYTIHITARLANYRNTMEYEGGMVLEYLPLPERDRLCATKRAVTL AEWKAFFQILQFRCGVLLLVLMLATNVFLYPGSIDAVVGSVPLGFRGGLNRVFGV ANIA_10767 MAGAFDFDLEKNPPVVQSTADNSSDGAVPGETFTYGDSTYAKIQ RLAAELNIEQRGIERVPAAEQTDTSVFNIGSMWLAANMVVSSFAIGVLGKSVYSLGFV DAILTVLFFNLLGIMTVCFFSCFGPFGLRQMVFSRLWFGWYVTKGFAVLNILACLGWS AANAIVGAQMLHAVNSDVPGFAAILIISICTLLVTFAGYKVVHLYEYWSWIPTFIVFM IILGTFAHSGDFQNIPMGVGTSEMGSVLSFGSAVYGFATGWTSYAADYTVYQPANRSK RKIFLSTWLGLIVPLLFVEMLGVAVMTATDIKGSKYDVGYATSGNGGLIAAVLQPLGG FGDFCLVILALSIVANNCPNFYSVALTVQVLSRYAQRVPRFIWTLFGTGVSIAIAIPG YSHFETVLENFMNFIAYWLAIYSAIAIMDHFVFKRGFSGYVVENFDKREKLPVGIAAT IAFGFGVAGMITGMSQPWYVGPIARHAAGGDVGFELGFAFAAFSYLCLRPFEIKFFGR ANIA_10765 MSRPTKADWADDEEFDDPSALPPQQITTNKDGTKTVVSYRFNDE GKKVKVTRRIKTTVVREHVNPQVAERRTWAKFGLEKGHAAGPSFDTTSVGENIVFRPS VNWKAQAAEAEKNGGEKGSIKDQLKDKKVKCRICSGEHFTARCPFKDTMAPVDEPGAG GAEGGAAAGEDAAGGLGAGGGSYVPPHLRKGAAGGGERMAGKYEKDDLATLRVTNVSE LAEEQELRDLFERFGRVTRVFLARDRETQRAKGFAFISFADRSDAARACDKMDGFGYR HLILRVEFAKRAT ANIA_06016 MDQTLLRLSVDRTVLRSSLAGDQDLHIVWTAELPVLEEMILKVK CSSKSRVSAAQVAIFAKILRTGYYSFGTSHCAIRLAILKQAKVTHPSDSWFLTLFHGF PADYSRLITGSYVLSTR ANIA_06015 MPDFRAHRAPALHPPTGTGYNVPRATPFPPLTPLSDIPRPQYTN NPRLDCGIDRSLIFGQPGTMYLDQRVAAPTADAPPFHETNTLHTVFTSQNHSVRTEIG AKIHKGFFQVDDKWTCYRRNYFSISCSFSFQQGAQGPFFLKFDNRSERIQQFSMSISA IVNEQHNEVRELVQHTPKRDKQSERPPQRVVLQPTQNPGMVPSLGSTSTSAQHGFPLM SQSAGLGMEYGSTYGGAPQQPQPPTQHTFERIQFQKATANNGKRRAQQQYYNLVVDLY AEISNQLGSTEWIKIARRLSYPMVVRGRSPGHYKDGRRDSSTSMGPDGGSGGAGDGGG GAVLPPGLGQTSRSHITLMPDSFQRGGHSYSRSDYHQMTTADHSPLSASPHISSSSSS TFDIGMMSDSLDPMDSIKSTSSIEPYQESGYGMMDIRKDNHFRNHPPHYEFDAISKAN EHPDTSFSEAYDPIVSMVANDSGDSHFLKHPPRYHHASPNGYDTIYPARSGNGSSGSS PYYRLPASQSLCT ANIA_06014 MTKDGSVVYPRMSKKGPFTVEAPGVEPVPGETVPRRHPRAKDGL LLRPAEDLATTYDAFRWAARSYGNAKAVASRRLIKTHIETKKVKKVIDGVEQEVDKQW TYFEKGPYSYKSFIEYETLALELGKGLRKIGLNKGDKVHLYGATSANWLAMSHGSASQ SLTVVTAYDTLGEEGLAHSIVQTESDAIFLDPTLIKSLTNVLDRAKSIKHVIWNSDEE LKQEDMDRLKTEFSHLNILSFEDLRKLGEENHYDPVPPAPEDLCCIMYTSGSTGPPKG VPLTHANVVAAMAGVDAIIGPYVGPSDALLTYLPQSHILEFMFENLCLFWGGTMGYGN PRTLSDASMRNCKGDIREFRPTILVGVPAVWESVKKGVLNNLNKNSALVKGLFWGALS AKNFLMSTGFPGASMGAWFLDNVIFRKLKDATGGRLRVVMNGGGPVSKETQKFLSMAI APMISGYGLTETSAMGALNDPGAWNPNALGEIPACIEVKLVDFADAGYFTKNNPPQGE IWIRGGSVSTHYFKNEEETKAAYAEGGWFMTGDIGEFDKNGHLKIIDRKKNLVKTQNG EYIALEKLESVYRSSPIVGNICVYAAEDQDKPIAIIVPVEIALKKIASENGIEGDSVE TLVHNEKLKSIVLKQLQTAGRASGLKGIEIINGVVLSDEEWTPQNGFMTAAQKLQRKK IINRYHKDIDRAYGKK ANIA_06013 MGDLISFLSTIGQEVEDADEESFLLFSQDIPSSNLGFVDSKAST VQITVHEREYTVHQSPTLLSSSRAGGTTGAVLWKITPLFASWVSNTTNPFWTDNVLTS ASTIVELGCGISALTALALSPLLEPESNGDGHYIATDQEYVHRLFRQNLQSNPPKPQR TQASSNQGRKGKRGQKASASSSIQGDTAHSHSNITFTALDWETDHPETLKAQVVREGG QQEETDDPGFDLLLSCDCIYNEALIAPYVRTCAEIARLRPSPSTSTGIGTARKPTVCV VAQQQRSPDVFEAWLRETMRYFRVYRLNDDVLGESLGVGSGYLVHGLVLKD ANIA_06012 MTVAAPPVPPVHENGMNGSVSSHHVNMSLPRFHPIAMNPGQPGP TEAMMHGHQPYRHFPPPPMQEQAPSMPSGPPGPPTPNLASIDHIEARLRQLEHEEAAR MAARSHLLAIRKREDEEFRRMTESAEVEEEELRRQRKRLKRESMGLYNSSVESPPLRP TPPRRLSETNAATTLAFLQQQKPQEPRNIAPPPPPQAHIPQPPHPQHMHHDSTGNGSI RRKQKYTIKNVEAWGERHGRPAAHDPTGRALWKRPSDGSLVYLTCPVAGCGKADFVTL HGFMCHLTKKHKDRSLGSQSRALEVCGVVYDPAAPLPPVSARHRASTEESRVDSAPTD PEVYPQDNEYYTGSDDESPGHSVVKTEATDRPLPPPGALLTPAEEQPPVAAPAPPKTN SSTRQSISSIIDREPETGPALREHDHSPFPPLYNTISKMEPTTPEEAELKARELKLKG ELGENKENTEAK ANIA_06011 MNQNHDKQIQNTGHKVWKTSTFQGRVSRVS ANIA_06010 MLSSRLSRALPRTAPFARAPAFRLPSTAARRWNSTEEKVKGQVI GIDLGTTNSAVAVMEGKTPKIIENAEGARTTPSVVAFAQDGERLVGIAAKRQAVVNPE NTLFATKRLIGRKFTDAEVQRDIKEVPYKIVQHTNGDAWVEARGEKYSPAQIGGFVLG KMKETAENYLSKPVKNAVVTVPAYFNDSQRQATKDAGQIAGLNVLRVVNEPTAAALAY GLEKEADRVVAVYDLGGGTFDISVLEIQKGVFEVKSTNGDTHLGGEDFDISLVRHIVQ QFKKESGLDLSNDRMAIQRIREAAEKAKIELSSSLQTEINLPFITADASGAKHINLKM TRAQLESLVEPLISRTVDPVRKALKDANLQSSEVQDIILVGGMTRMPKVTESVKSLFG REPAKSVNPDEAVAIGAAIQGAVLAGEVTDVLLLDVTPLSLGIETLGGVFTRLINRNT TIPTKKSQTFSTAADFQTAVEIKVFQGERELVKDNKLLGNFQLVGIPPAHRGVPQIEV TFDIDADSIVHVHAKDKSTNKDQSITIASGSGLSDAEIQSMVEDAEKYGAQDKERKAA IEAANRADSVLNDTEKALKEFEDRLDKAEAEQIREKINTLREFVAKNQSGEVAATAEE LKQKTDELQTASLTLFDKMHKAQSEQQQQPNQGETGQGENKP ANIA_06009 MTTDSALSYQPTDSLILPTKRRFFPFKIPNYHQQLRHYISTADR DRIYVVVDRVVYAIHISSRKRETIAVIPFEPKCLAAGYGWIGIGGTNNGECAFVKLSD RNVRASRETPGSQSVDVDSALPIDLEPSQTTSPRASAGAEQTNSGSGVSQLPEVQLHK FGGSIVNSVIIHRLPAEEKGFLDEDVAVLSNNDKSVTVYSLTRSKVLKKLSHPACMNY AIISPDSSILAAVGDEANAYFYGLSRDFSTVVSTETGEKLSGWTLDLLLCIEMEIGPR IEDRCCFTIAFSQSNRLCAIGSQSGVITIFDVRSIQERALGPSEESPIVCYFNSSRPC CNGGAVRCMSFSPEPWDLLVWLEDKGRAGIADVRQAFVRRQIIQLDMNDPAVEEVDTE PIIDESTSLGFDLDFQPSPEPRHDLDARGLLDSIEGYSGDRASDFSTSPLRERMIHDL TERERLVLEFLNTARWSSRFEDGPPDRLASGSANSHSHSGSRARHQGPTSGANRAPRP TSPRPVILSHINHDARNQDANLDDSTPDPQPSITLSWTASPGELESAALANQTHTTDS SSSDQGGSGNEGGSTSRHRGTLTRPSANFNYSSIPLLSGRNHQRSRSVHRRSERQDNS MDIPHNLRANIVAVERLRRQRQLINEAETLSRNNLREQRYTQQSSFGFDHSRSPRWIR TVINDLPERSYGIGRRDQDPSSSAGLGFGADGRSLYIATVTGIFEYQINIMDRKTFPV ITYR ANIA_06007 METLSSTLAQLDLGSQNIGGKAFDELIAAEGNGTYQNRARKRRA RPSAAELRRDLENEFLTPSPRFSSEWLNRLQRRWDVSTDYTDLFEIADTQTRTIVRFD REGLEGRVTGYHEVTVPANSANAKNSTSLLRRPAGRADFVRGAAGFFPFAPGGLDGVE AIAEMEAEVQTAGPSGSAGKQAGLDRIINFASEGGLLEVAPGFSRGLKFEEAKSKEAA DDDEEVEQTLQEEESNINPEQDETASDVGGVKIEDEESASEDEEEDDIDTLLPVEFPS LEPRAPLLSAFQKKGGKEWAHVVDVNKEISNFHELVPDMAREWPFELDTFQKEAVYHL ENGDSVFVAAHTSAGKTVVAEYAIALAAKHMTKAIYTSPIKALSNQKFRDFKNTFDDV GILTGDVQINPEASCLIMTTEILRSMLYRGADLIRDVEFVIFDEVHYVNDLERGVVWE EVIIMLPEHVTLILLSATVPNTQEFASWVGRTKKKDIYVISTAKRPVPLEHYLWAGKD KFKIVDSNKRFLESGWKQADDIISGKDKIKAQKAAEAQAQSQASRGGSQGRGRGQAPA RGGPRGGGGGQRGGGQRGRGQPANRGTGNIARTGRGGGRTTAAQDKTVWVQVVQHLRK ENLLPACIFVFSKKRCEQNADSLSNQDFCNASEKSLIHITIEKSLTRLKQEDRTLPQI LRLRELLSRGIAVHHGGLLPIMKEIVEILFAKTLVKVLFATETFAMGLNLPTRTVVFS GFRKHDGKGFRDLLPGEYTQMAGRAGRRGLDNVGYVIVVNSGKDEAPPAGALRKMILG DPTKLRSQFRLTYNMILNLLRVEALKIEEMIKRSFSENATQALLPEHEKQVQISEASL EKIKREPCEICDVDLAACHDASIEYGKLTSELHLNLLSSPVGKRLLMPKRLVVYRKDG YRTAGVIVREVGGGPNPVIQILEIGKLNSKRHPSEILPFLPAFRGFLNPLPTRAADMT LKVFKIPIADIECVTNTIVKLSGPTWYLNIKKEAIRFADKELSKLCSSWTTPVWDELD WARIKELQLRDILDKRREQANIAQSCDCLKCPKFLKHFEMQRDEWQIKENISQLKQLM SDQNLQLLPDYEQRIQVLKDLGFVDEQSRVQLKGKVACEIHSADELVLTELILENVLA EYEPEEIVALLSAFVFQEKTENVPTLNPRLEKGKEAIIRISEKVNDVQIQHQVLQSTE DINDFASQPRFGLAEVVYEWAKGMSFNRITDLTDVMEGTIVRTITRLDETCREVKNAA KLVGDPTLYAKMQEAQEKIKRDVIFAASLYM ANIA_06006 MDVAALRNRIQSTLDANADIRRQAELDLKYAETQPGFINALLDI LQGEQVNAVQLSAGVYLKNRINRGWSTIEDSPLRAPIAEEEKPGFRERLIPALVSTPP NVRAQLVPLLQKILQHDFPEQWPGFLDITMQLLGTNDAGSVYAGLQCLLAICRVYRFK AGDKREEFDKIIEHSFPQLLSIGSRLVDEESVEAAEMLRIVVKSYKHAIYFELSPHLQ SHQATVDWCTLFLRIIAKEPPANSMMESKEERELAHWWKCKKWAYANLNRLFIRYGNP TTIPKSSTPDYSQFAKSFITTFAPEILKGYLQEIDKYVSKGQWLSNPALSYTLIFFEE CVKPKSMWDHLKPHMENLIAHFVFPILCQSDEDIELFETDPSEYLHRKLNYYEEVSAP DVAATNFLITLTKNRKKQTFSILTFVNGIVSKYEAAPDDQKLPREKEGALRMIGSLAS VILGKKSPIADQVEYFFVRHVFPEFRSPHGFLRARACDTLEKFEQLDFQDPNNLMIIY RNILESMTDSELPVRVEAALALQPLIRHDVIRTSMQQNIPQIMQQLLKLANEVDVDAL ANVMEDFVEVFSAELTPFAVALSEQLRDTYMRIVGELLERNAAKGEEDTYGDFLDDKS ITALGVLQTIGTLILTLESTPDVLLHLETVLMPVISITLENKLYDLYNEVFEIIDSCT FASKSISPTMWQAFELIHKTFKAGAELYLEDMLPALDNYVAYGSQTLVQNPAYLAAIV GMVEDIFNDEKVGGVDRICGCKLAETVMLNLRGYVDQYIPVFIELAMRVIDAGEARTK SYRLHLMEMVINAIYYNPVLSLQVLEAKGWTNKFFSAWFSSIDSFRRVHDKTLSIVAI TSLLTLNPADVPTSVQQGWPRLLQGVTRLFHTLPAAIQNRQEATKESDFQYEEEDEDD EGNDWEGEVEWTEGDDEAGPEGDIPDESAAYLDFLNKEAAKFGSFAGDDDDDELDEES LLETPLDKVEPYGLFKQVFMNLQQQQPQLYENLTNILNDEEKKIIESVFHEADAKALA AANEEAAKAAALQANGNQ ANIA_06005 MSAQFSGRQSPPPESQTGAQENSPPGSGRTDPKFAPPPEYAPKS SSEGEGQSQTAGLSSNPKHILEDIEAAKYKKGTGN ANIA_06004 MSDRTTVHVSNIDPSTSEKEVQDFFSFCGKIVSISVTPTSGEPG SLKSATVTFEKDAAAKTALLLDQTQLGPSVVTVKAAQTLDEIAGEHSATAAEAKDENQ NDLEQEDKPRSRIIAEYLAHGYTISDQAIQKAIAIDKKHGFTSRFTSVLSNFDKKTGA SERAKGLDESYKISDKAANSWRGLHSYFEKAINTPSGRKLRDFYSQTDKQVRDIHAEA RRLADLKAGKTEEKKAEGESSSPATESNPAPAPAPGVEPEAAQAAPATTEKA ANIA_06003 MVIYTVQPLIPCQMESATPAGSSDIPPFAQPLAPYIKSRAEALR IRQALTIYLRSQITFVDNDPEHAECLSNSHLSLCVSDNAVSDVKRIPAELTGLRKKYL QALQANVAARKQRQLIVEKLSAERSDGSEIGLSSQDSSLELQAYLRLVRDRRRHAKLQ VFEQYLQELRNRDTPRPEDFDNQERQNQTALLEEFEDEGQASGEASADIEELVHKLER AVIRAKSQLDREKKLYAELKARSAFESYGEEEPAPALKAAALQVTRNELVQWVEDKLV GSGDSEEAPIQEIPAEEIEESARILEEQRMRIIQQYTVYTETRKRLLETVARACQPVS TASAKTASRPLEVRTPSTEKELPIEPLEVLSYASDVLHPMSKIQRSLALQKFYLSGML AKEKSTTMRMLNRLSDESHLLPEYPILARQPRFKHATAALNPRDTANQADSAKEDEIV RLAEAWAFASSAAGSNEKEYVGKKVEDGSEHADGAYQELEKVYKLLNQDLEEALEGAQ EKNDSDIWAHEARSTRSSARLQAEKRPKGPWTRLIGNVGVAD ANIA_06002 MTLPVLIIGAGLSGLTTARLLTNAHIPCIVFEASPPSRTQGYAI SLRDWGFNALLRALGNLPLSSLTRAVAPDRHIGGWGWLDQSWRNNQTGEIIMMPPKES KEKPTILRANRNALRQWIADAGVGEDEEIDVRYGHRLVGVQLLREGGDGNVVTAEFAN GATYTGSLLIAADGVHSTVRTLILPAVKPEILPVLVYHGDFKLSREEYECVIRPHAGE STIVAGVGDGFNTPLTVCDVTSTTVHMDWTYSRPSIGDNDPLYNPNITSEEAKVIPEA LIEEINAKKLGEPWSLFLNGEAMRRHRVFNWLTRCVSMERSDVNSCTGKGVVFVGDSW HAMPIFGGEGGNHAIFDGIELAKMLEVAWGRSKEDVQAAIGKYYDKSWRRCNDAVRRS KQRFYQLHRPISEWIEIAEKQKMRA ANIA_06001 MAFRIPFAQDFWNEYLSGRENTIPTLPVVTDITERVIRVLGGNA GPMRLQGTNTYLVGTGRSRILVDTGQGMPSWIRDIAKVLEERDIDISYVLLTHWHGDH TGGVPDLIAYNPALSSRIYKNRPDAGQKDILDGQVFRVEGATLRAVHTPGHAADHMCF LFEEENALFTGDNVLGHGYSVVEDLGQYMNSMVQMANLNLPLGYPAHGAVIDDLPDKM REYIKHREFRVQQVYAILEESRAAGQGRGRGGLTLHEIILAMYGEITDEVEKALAPFL SQVLWKLAEDRKVGFEPGSAAKRRWYVRSRRPN ANIA_06000 MKDNTHSTTLIFFGNEFPNDDLKGLFRCLLRLSKDRRFRQLAAF LEESTLVLKKEVAALPQPLRDLVPHFHTVLPLAELGDFRQGPLGAAMESALLTVLELG MFIGHYEAEGRDWNLLEHNTTLAGLSIGLLAAAGVALSTNLAEVAQNGAECVRVSFRL GVYVSEISRKLEAPQADGTLLSWAHVVTGETKSAIQDELSKYNSESGTPELLKVFISA ADKTSVSVSGPPSRMKACFSSSHLLRYSKSFALPVYDGLCHASHLYNEDSINTVINSA ESVIPVSRPVQLSLHSSNTGQPFPAATAHELFQAIGKELLTGTIYLDNIIDGIIKRIE GFNPSDLQVETFRTSIVFKSVRAALEGEFPDLEIKITDLIPWAFRDYGPRLPRSFAHS KLAVVGMACRMPGGGNDTELFWEILEQGRDVHTTVPADRFDLSTHYDPSGKTDNAATT PYGNFVDKPGLFDAGFFNMSPKEAEQTDPMQRLALVTAYEALEMAGVVPGRTASSNPK RIGTYYGQASDDWRELNASQNIGTYAVTGGVRAFGNGRINYYFKFPGPSFNVDTACSS GLAAVQVACSALWAGEADTVLAGGLNIITDPDNYAGLGCGHFLSKTGQCKVWDETADG YCRADGIGSVVIKRLEDAEADNDNIIAVVLSAATNHSAEAISITHPHAGNQKDNYRQV IDMAAVNPLDVSYIELHGTGTQAGDAVESESVLDVFAPRSPPRRPDQLLQLGAVKSNI GHGEAAAGIASFLKVLLMYQKNMIPAHIGIHTVINPTIPKDLEQRRVRLTQTNTPWPR LPGKKRIAMVNSFGAHGGNTTVLLEDAPERNKDVARENRSTHTVVISAKSKKSLQANI ANLALHLEENPDIDLGDLSYTTCARRIHYTLRVGFAVSSIAGLKEALRKAGEKEALAE VRPTPGDVPPVVFAFTGQGAFYQGIARELFESFSYFRDEVLQLDHIVQRLGFQSIVPV IDGSIGENPSATVSQLSIVVIEIALAHLWTLLLGMQPSAVIGHSLGEYAALVVAGVLS TADGIFLAGRRAQLIEKCCTAGSHAMLSVRASVSEISKLLGNAKYEISCQNTLNDTVI GGTKANLDAARQVLESSSIKCVPVDVPFAFHTEQVDPVLDQLTRVAETVHFKAPSIPI ISPLLRSVVFDGKTINSSYLIRATREPVHFAGAIEAAQDLGMVNDKTVWVDVGPHPIC ASFVRSLIPKARVASSCRRNEDNYATMAKNLVALHLAGCTPVWDEYFRANEKAYNLLT LPKYAWNDVNYWIQYIGTWTLDKAHLKYTGTNGPPQVKPSSSALRTSLIHEIIEETIG EETATLKTVSDLQHPEFLEAVHGHRMNNCGVATSSIWTDMSLTVGEYLYNKLAPGSKV HMNVGELEVLHATVANPAKNCTQNLYLDAHLDLRTQKMSLAWFNVDPATGSKAAESYA TGSVRFEADAEKWKSEWERLTHLVLGRIETLESMAKDGQASQLSKALSYALFKNVVDY ADHYRGMERVVMHDYEAFCDIKLTPERRGMFHTPPHWIDSVSHLAGLIMNGSDASNTR DYFFVTPGYESFRLLAKLDPDVKYQSYVRMFPLPEANMYGGDLYILQDNQIIGMVGHF KFRRVPRLLMDRFFSAEAASKQSVAASASSAPKTATKHAPLPASKPAQAPAEPTPSSL PTVQAQNTSPPQQVTPSKPAMNGVKTPEEEKPGKADAEGPNGTTSQPEATGVVGQCLQ LIANETGQSVNELTPDATFVQLGVDSLMSLVLSEKFRAELGLEVKSSLFLECPTVGDM MDWLEQYC ANIA_05999 MVLAARCGQATSSLLRQRCLAETRRSALALRSFTSPSTTRSTAS ALRLQQKTPSPWRSQQLRNFSSALCRLAAESSSVGDSLFSSGIVNPGANLVDVKKVLV IGSGGLSIGQAGEFDYSGSQALKALKEAGVQSVLINPNIATIQTDHKLADEVYYLPVT PEYVTYVIERERPDGIFLSFGGQTALNLGVQMNRMGTFERYGVRVLGTSIKTLETSED RDLFAKALNEINIPIAESIAVGTVDEALKAADEVGYPIIVRSAYALGGLGSGFANNPE ELKNLASRSLTLSPQILVEKSLRGWKEVEYEVVRDASNNCITVCNMENFDPLGIHTGD SIVVAPSQTLSDEEYHMLRTAAIKIVRHLGVVGECNVQYALQPDGLDYRVIEVNARLS RSSALASKATGYPLAYTAAKIGLGHTLPELPNAVTKTTTANFEPSLDYIVTKIPRWDL SKFQHVNRDIGSAMKSVGEVMAIGRTFEESFQKAIRQVDPRFVGFQGDKFENLDEVLK NPTDRRWLAVGQAMLHENYSVDKVHELTKIDKWFLYKLQNIVDNHNELKEIGSLFGVN KELMLKSKKLGFSDKQIAQLVGASEDDVRARRKGFGIRPWVKKIDTLAAEFPADTNYL YTTYNATSHDVTFDDHGTIILGSGVYRIGSSVEFDWCAVNATLSLRNMGKKTVMINYN PETYSTDFDTADKLYFEELSYERVMDIYELESASGVVVSVGGQLPQNIALRLQETGGA NVLGTNPKDIDNAEDRHKFSQILDSIGVDQPAWKELTSVAEAERFAEAVGYPVLVRPS YVLSGAAMSVIHSQDELKEKLLNASAVSPDHPVVITKFIEGAQEIDVDAVASNGKLLL HAISEHVEPAGVHSGDATLVLPPASLEKPVMSRVKEIAEKVAKAWNITGPFNMQIIKA DQEGAEPQLKVIECNLRASRSFPFVSKVLGTNFIDVATKALVGRDVPEPVDLMEVKRD YLATKVPQFSWTRLAGADPFLGVEMASTGEIACFGKDVVEAYWASLQSTMNFRVPEPG EGILLGGDITNPALAQIVDLLHPLGFKFFAASPEVKAHIESATKEHTPVQVIEFPKKD KRALREVFQKYDIRGCFNLAKTRGKTLLDEDYVMRRNAVDFGVPLFMETKTAQLFAQA MNQKLPRPEGIPSEVRTWSNFVGGKLL ANIA_05998 MSNSTPLPSVKTKATPGPASNGLGRGLFAYTDIRTCDDILHIQD PFVAVLKTERLQDTCSGCFGKRHFDSYSGQEVSLKACTGCHVVKYCDKSCQSKDWKLT HSRECVIFRNLKPKVLPVNARALLRMVLRTEARKNAYTEEELVLFQTLETHIDDILNR NAPQAERIALTSRAVKEYSKTDMEEEKIVAYHARLDLNSFNLTNDDDIGIYLHPYAAL INHSCDYNAVVGFDGSEIFVKAIRPIATGEQIFISYIDTTYPTRIRQKELQERYFFTC NCAKCLCAKDPEPTGEAGAAAREAYALLEESRASSCVTDEQKLVDVTKSLLSHDLPKT KQPFISILDEKIVSDISTGKFDQAFFNSALRVTRIDPQVYPYEAHPLRASHALTLAKL ALYSYQSSCQLSSYEKNSLGVEGPQKKSQLHFGLLAWALFSDLVAKEGEYCTVPGFKE RVRNGFAEVEKWLMSQGIDPGRMEKEIKRERRKLAMLVDKLHMLPVR ANIA_05997 MNVCFLKELAWKALGLDPSLRRALRPRPPSSRSGTESEPAQKIK KRRQFRKFTYRGIDLDQLLDLSSEQLRDVVHARARRRFNRGLKRKPMGLIKKLRKAKQ EAKPNEKPDLVKTHLRDMIVVPEMIGSVIGIYSGKEFNQIEVKPEMVGHYLGEFSISY KPVKHGRPGIGATHSSRFIPLK ANIA_05996 MKHLAAYLLLALAGNESPSASDIKEVLSSVGVDADDERLEKLIA ELQGKDINELIAEGTTKLASVPSGGAGGAAPAAAAGGAAAAEAPAAEKEEEKEESDED MGFGLFD ANIA_05995 MSFLDSVLSSIETGKPTPIPTPSTAPSPTVSSSNSRPEARKPTP AVRPATDERKNGLQTGTKRKAEEPLPRTQKPGSQIPARPAAAKPANAPAPSNQRPATT SKSMKPPTSNNAPVTRKTPPAPSKPAPKGSFADIMAQAKAAQQNAPAQIGMFKHQPVP KEKLSRMERKKRMMEAQAKERESKLAKKAGAASSVSATKVGSAKPSIKREQEEPTYKG TARPTSTEPVYRGTANLPSRNGVRRGQFRSNKRSRMDDYLGTDEEDEDDYADDYDDYY SESSDMEAGIDDVEAEEAAALAAARREDEEDMRAEAAAKREKMERRKKLAMLASKRQ ANIA_05994 MANVHHISVPSKVLFQKARKLVPPMLEKFHKGQQGRVAVIGGSL DYTGAPYFSAMASARLGCDLSHVICESSAATVIKSYSPNLMVHPILPSSASVKDPSSI DAPSLASPIIAMLGRLHALVIGPGLGRDGVTLKVVTEVMKEARSRSIPFVLDADGLLL VTENPDLVKGYKDCILTPNVNEFSRLAKALNIEVPSLAQISSKESGDKTSKEAEACEK LSQALGGVTIIQKGPHDVISNGVTSIVSDLPGGLKRSGGQGDTLTGSLGTLLAWRAAY HDALWDSGEQEHSKEAENKEEVQGELESNKRMSPSTTLLLAAWAGAAITRECSRRAFK AKGRSMQASDLTDEVHESFLTLIGEPEGSKVPERL ANIA_05993 MKLSALLLLSTAALALAKPTPPPKCGVCNPISGVNGCDITTSCI NTGTRFHCACRAGYKASKKNNDVTKQFRLPMPDYEFLVFTPEYTTCDTLCDNPYGNSK DLCKEVPVYDKCAV ANIA_05992 MALNQYPAPVDYHGQLEAFKDFLKHFKSFESASASAATEAIEDL HIDEDGISDEYDFMEDADESGAQSRAGRRRNKEPKLKYMQMLQDVADRERLDVLVELD DLVNYERSLPEEVDLKLAQSVQRNTKRYIEVMSQAVDAVMPKETKEISFKDDVLDVIM SQREKRNEAMTMAAEADPEAALDASMFPPELTRRYTLHFKPLTPSGSSSERASKALAV RNVRAEHLGSLITVRGITTRVSDVKPAVQINAYTCDRCGNEVFQPVTTKQFTPMSECP SKECKENNTKGQLFLSTRASKFVPFQEVKIQEMADQVPVGHIPRTMTVNCTGTLTRQL NPGDLVDIAGIFLPTPYTGFRAIRAGLLTDTYLEAQHITHHKKSYNDIGIDSRTLRKI EQHQKSGNMYEYLARSIAPEIYGHLDVKKALLLLLIGGVTKEMGDGMHIRGDINICLM GDPGVAKSQLLKYIAKVAPRGVYTTGRGSSGVGLTAAVMRDPVTDEMILEGGALVLAD NGICCIDEFDKMEDGDRTAIHEVMEQQTISISKAGITTTLNARTSILAAANPLYGRYN PRVSPVENINLPAALLSRFDVMFLILDTPSRDADEELASHVAYVHMHNKHPENEDAGV MFTPHEVRQYIAKARTYRPVVPSRVSDYMVGAYVQMRKRQKRDEANKKQFSHVTPRTL LGVVRISQALARLRFSEEVVTEDVDEALRLIEVSRASLSNDGQSHLDQSPTSKIYNLI RGMLESGAAAVGDGEDGELSMRRIRERVLAKGFTEDQLTMTIDEYENSHVWQVIANGT RLVFLDNVDDMDM ANIA_05991 MAPRKPSTTAEVALVSLKNCLVNLPPSLVSLLVNANTPAQNVIV ELQFRPTGRTNSGSTTPRSCYLGWTGMPSKRRIAPVVGRDGINNSPSTREQDAATVEL DTTFGRFLGLGDGQRVGIFIHLDPPVAHTINIEPLTPEDWEIIELHATFLELNLLSQI RALPNPTYTAAQADHMHPLTLHLSPTSTANIVITSLTPAPPSTSPFAKIAPDAEVIVA PKTRPKANTRVSRGDNRSVTGSSKRSAGGRSSGGSTARGKSSKSETSRGALYFRGLDR QWSDQYFDEESEEDNNEGFRVWLDPDVLASNELRGAEWACVTLVQPSGLKPPSDPQQQ MSQAEQKASDSGAPTTKLVAKLLPWIDAPDTQHAGLSSLLCSAIGAEGMVGGIIRVEA APPPLQRSATRALKVYPFLADATKRNDGLKFGADTIAAKDALVERIKVLYGSTGSGRG LLTGPITDGMVLPKSDNQGTVSAFDGALIRFDPPLKSTSDASKSVFGWLLGSDAKLPL EIQAEIPKPANQSALNLTMEDPIPATAPPMVGIDQVISQALDNLNKSCSVLVTGGLGS GKTALGQLLAHRLQKESLFNVKYFSCRKLVTDETRISNIKETLNRLFMSAAWCARLGG QSTVILDDLDKLCPVETELQVGGDNGRSRQNSEVICSMVREFCSMNSGVVLLATAQSK ESLNNVIVGGHVFREVIHLRAPDKEGRRKVLEYLTSQDRRTTSATAEAGPSGATNGHT RTVSTSTNDSWLDPSNPGSRPSSSGGDGFILGRDVDFLDLAGKTDGFMPGDLVLLVAR ARNEALIRSISEASDESRAIILGAADFDNAIKGFTPASLRNVTLTSSTTTFSAIGGLH ETRKMLLETLQYPTKYAPIFAQCPLRLRSGLLLYGYPGCGKTMLASAVAGECGLNFIS VKGPEILNKYIGASEKSVRDLFERAQAARPCILFFDEFDSIAPKRGHDSTGVTDRVVN QLLTQMDGAEGLSGVYVLAATSRPDLIDPALLRPGRLDKSLLCDMPNHADRADIIRAV SKKLAMSNEVVARIDEVAARTAGFSGADLQAVVYNAHLEAVHDALGDRSGDKPPAKTA KSSTPSTSSRSFIQFLYSDLEQRAGTVAMPAPAVVASKLDALKNARRRQRQFEQAAGS LAPTTATDNAHETGPAEGREEIVVRWEHMERSLNTTRSSLSEAERRRLLAIYREFVEG RNGEMPNGEGAREVGGRTSLM ANIA_05990 MPVYSQYPPVDIPNIDLWAFLFERKDRQFPDNKVIYTDADTRRS YTYNDVKQSAITFGQGLKSLFDWRKGDVLALFTPNSIDTPIVMYGALWAGGVVSPSNP AYTVEELAFQLRNSGAKAVVTQLPVLSVARAAAKEVGIPDDRIILIGDQRDPEARFKH FTSIRNISGATRYRRTKINPEKDLSFLVYSSGTTGVPKGVMLSHRNIVANSLQLAAGE AGNLTWNGGADGKGDRLLAFLPFFHIYGLTCLVHQTIYKGYELFVMAKFDLEKWCQHV QNYRITFSYVVPPVVLLLGKHPIVEKYDLSSLRMMNSGAAPLTQELVEAVYNRLHIGI KQGYGLSETSPTTHTQPWGEWRESVGSVGKLLPNMEAKYMTMPEDGSEPTEVPTGEVG ELYLRGPNVFQGYHNNPAATADSISPDGWFRTGDVGYQDSKGNFYITDRVKELIKYKG FQVAPAELEGILVDNPAVDDVAVVGVESAEHGTEVPVAFVVRSAKSKSSGVSAAEEAK NIAKWLDGKVAHHKRLRGGVRFVDEIPKSAAGKILRRLLKKQAQEEAASPKAKL ANIA_05989 MSNMNVLLLGGHGKVALHLTPLLLARSWNVTSVIRNPAHESEIL ALAESEKVRGKLSVLVSSLDDVKTSADAAKIISQVDPDYVVWSAGAGGKGGPSRTIAV DEVAAKAFISASYAHPRVKKFLLVSWIGSRRTKPSWFTDADWSHSRNVFDNVLPTYAK AKLEADEFLTAHAAVRDRLSAAGKASRLDAICLRPGLLTDEPATGKVTLGKTKSEGKV SRENVARVADALLAKEGSKGWVDLLDGEEEIGDAVERVVREGVDVVEGEDIDAMVRRF GLDG ANIA_05988 MNRFRKSKKEKEVKREKAKEDVVVTETSVPPTTFGAILKKNKKE PESKPDLDIDLSSALPSTDDFRTSLLMPKLSARFSMLREQDDPESLIGKASDDSVLFP RRASRLNLFGHNPSLLTDIDEVSSSGRPSFNHERGSYASGSDGYNGDDDSQHQGSIMS RGRRTEGNNLFGGRQKVYKIPSSGSGRSGRALYEHDVNNSLFRRKPSVKKGNEEEHAP NSPQESEDALSRVSSVNRTTFSSTTSGPMSNTRISTAATSVDEHPLSTSPAQTHNSSS ESIQPKPTGPGMATERASVRTRRLYGQALEQSAHTQQASALHRLESLSRQRAGTPEIP SLNRNYSRSAVNLRNGLLKLTTAESSPAASRPTSPPSSATSPSRPQIESELKPPGQPP LSPPVSENEEATLMSALQPEDRGKATAMGMFNKPRTAYDDSQFSHRQLQLFQGRNTPP LRQQSPAPAPSQDELTRQRGFSNASHRSRSGSAGSSNYSEAHRPGSRSTGKSVYASPA RPGQIGTFFDNSSPSETEDEGYRPVSRDDYSIHSNHPAFRSRTPSRPTTPTAEENNNT LPEVRFSDLGDLKPIAEHRESIAEQGSNTPASPEKPDSPTIPAGLGLSGLVRTHLRQD SDKSSIMPPPSPRFPPPTEPETAPEPSTEQPQFGQPSHPPTAAPPSVPVVPDPIPDWR DELGISRHQRQASTETQREREEFANELAERRRRVQEKLRDFADESRAASPVSGRQTPD FGQPKPGNAFALLKSKTGKHHLFGRGESRGPRGLPFGGNASTPALVSDDPWGEEDRMA FPHIGRHNNSSSPHVGERSMRSRIASFSRRSQEESRESSRSRGASPHSSFRSRRERSS SNASSRSKSRSRRDRDNLTTLEEDEISSDNGFTYGRRRVTSVTSSTRPSLENYERPMF DNRAPSAASGAYFQESRSATPVDRPFAAPIANSPIIGAPRPSPAAPPFSANATPPLND ANGDSPAASTTTLPQPLPQRAAGHTGLQKRIIDKSKISEPTFISCTSNVPTVGLPPGA SLSNGMETPPIPPMNPRRRRQTTTQTILNAFKSQSDYEPSQAQERSTFDDEGQKRSRS RSRPRNRLRKVSSEQGELNATADAMARQSTAPGASASPSPTPYQPRIPMDGGMF ANIA_05987 MTSSEFGPELGDIVEEYVLPQVDGTVARRKVKRMEKSRKKKKKR RRRRDEEKESTAEEDDNENVSQERNMDGDRDVSEVEVDVQARQDREEERPLSRDSGVF IVHGNRGVKSPGPEPEIELQQQRLETLQGEQRAELEVRRDDGVVCRIHYRPECQFHRA CCVHKPVVSGCGCPPRWSCCCIHHAGDCCHCQTKPVEKSVSVDADADAEEESKTLSLG SSPATPPPERGGGGEGEGEGKCEPNTTTIAASAATPEKPSGVEVRVTPPSPTASQTIE PVRKMGGAEDTKTLAQQAAFELSNALIQMMECSHMSDITLTLQSANEQFWPIVMTAHK CVLARSPLVTNILKNDHACSDIKAFAGRHFTMIKAWEQAVHYLYGRNMLTMTTLKPAT LEALGYDPYPGYEPEYPFSLKLAMADMALGYAVSGAFFYMTDLADRGFRLALDLLSWE TLEQILYFGLRTGDFCVVFPNPPWLQGSDTSLKCDRLAQGEGEAGEASDKTRPKPNNT ASQGAENAAAASQNPAREAQPPYPIPLIKNDWSRRIITASLAFVVENIKPDFKLDCSA QSKIVPDRIPAFLKTVPVTTAADRRNTPVKVHGDFPYVPVTTVPATSSATVANNPRLA DVQFGSLPSQNQTAIEEIHKSEREKCAARHTPHTPTPRSKKSPPASSADVPGKEITIP SAILLALDYPELQFVFSMLSRRGVMTSTLAQAIVLERETRRRQALRNYAALLLSSNSK GKHDTVTVLARPAGTEGVGVGAVTLSTEAPTTGGSSPKKGRPKGKARKAAKEAKEAAK DASKEFETATATVVHLPDDVRELCYREFFISKLVGGSGRWDDDESGQVEIEIVLERYD TTRKCIWRR ANIA_05986 MSLADTTYKLNTGAEIPALGLGTWQSAPGEVSAAVYHALKVGYR HIDAAQCYGNETEVGEGIKRALSEGIVKRSEIFVTTKLWCTYHTRIQQALDLSLSKLG LDYVDLYLVHWPLAMNPNGNHDLFPKLPDGSRDLVREHSHVTTWKGMEELITNNPDKV KAIGVSNYSKRYLEQLLPQAKIVPAVNQIENHPALPQQEIVDLCKEKGILITAYSPLG STGSPLFKAEAIVAVAERRGVTPASVLLSWHLARGSSVLAKSVTPSRIEENRKLVKLE PEDVELIGKYSAELAATNGFQRYVYPPFGVDFGFPDKS ANIA_05985 MSPHSNANGAALEELSDAIDNVNVLKQNLKSQNEAKAVEKGLYD ESEFDKEKDKTQFRQYEDACDRVKNFYKEQHTKQTVAYNLKARNDFHSKTRAVMSIWE AMEKLNTLIDESDPDTSLSQIEHLLQSAEAIRRDGKPRWMQLTGLIHDLGKLLFFYDA RGQWDVVGDTFPVGCAFDDRIIYGTESFKDNEDFNHPIYSTENGIYTPGCGLDNVMLS WGHDEYLYHVVKDQSTLPDEALAMIRYHSFYPWHNAGAYRHLMNEKDEAMLKAVKAFN PYDLYSKSDEVPSVEELKPYYLELIDEFFPNKQIKW ANIA_05984 MAAKRLKIGCAGLGRMGKRHALNFLERTPRAELVAASTPDDTEI EWAKVHLEPYGVKLYKNYDDMLKHEGLEAVIVASATAVHAEQAIKAIEAEKHVLCEKP LSTSVEISQSVLDAANAKPHLKVMCGFSRRFDASYRDAYNKMSSGALGTPSVIRSQTC DKLDPTGFFVAYAEFSGGIFVDCSIHDIDLTLWFFGQDSKVRSVSAVGITAVEPDLRK HNDRDNAVGLVEFYDGKMAYFYASRMMAAGQEDTSEFIGTKGKVTVNAQPQLNLVQTF DAGGVKKEIPQHYYDRFEYAFVTEANEFTAAVLENKPVPLKLEGAVQAVRIGAALQES LITGEKIFFDENGRRVEKNKL ANIA_05983 MPPAPQPDPGVNPVLRNALAISLSAKEYRALHDHVQLYPSIQSK LLTPARFEAITRSKNKYNEAAVRSALRVFLGSGVALKILKFIAGRISKVPVETKDRTS LLRSAILRISTALSLMVLLHRLLHRFFVRLRANLRTDEAAPFRERNPRVSKALTSRCA PAVGASLAGLALGICTSDQLRMTLAIYTATRGLEAVYNVMDEKGWLKNKPRWFGSWLL MPVSLAQLFHAFVFDREAMPKLSPTYIHARPATLPLEFAWPEREAIVDSLAVIAKLRW PPNVLPTGLQFISPITGPAHPSIPNLSCALLHPSSPSCATAFTHHLLTSVSALGQFFT LVAFARSALDVKGWLTQPITTINELSRHIIASTAVVAAAVGSAWGSLCLCNAVLPRSV FPTQRFYLSGALAGIPFAFLGKGKNSRNVCLYFFRTAVDSVWKAGVKRGLWKGWRGGE LWLFVVAWATLGCVLEARPGALQGPGFRKLLAWSRGEGWTDPLEKRRRR ANIA_05982 MAQAGPITDVTQRLFIELKSKNEETRVRAAYELYENVLAISRDW PPEKFIEFYNAVSQRIAQLVVTGSDAHERIGGLLALDRLIDFDGVDNAQKTTRFASYL RSALRSSDNAVLVYAARALGRLAKPGGALTAELVESEIQSALEWLQSERQEGRRFAAV LVIRELAKGSPTLLYGFVPQIFELIWVALRDPKVLIRETAAEAVSECFEIIAARDIQV RQLWFARIYEEALQGLKSNNVDWIHGSLLVLKELLLKGAMFMNEHYRNACEIVLRLKD HRDPKIRTQVVLTIPILASYAPVDFTETYLHRFMVYLQAQLKKDKERNAAFIAIGKIA NAVGVAIAQYLDGIIVYIREGLALKAKNRAAINEAPMFECISMLSLAVGQALSKYMES LLDPIFACGLSESLTQALVDMAHYIPPIKPTIQVKLLDMLSLILDGTPFRPLGCPESR LPPLPSFAKDFTLQELHSDAEIALALHTLGSFDFSGHILNEFVRDVAIHYVENDNPEI RKAAALTCCQLFVHDPIINQTSSHSIQVVSEVIDKLLTVGVGDPDPEIRRTVLWSLDR KFDRHLARPENIRCLFLAVNDEVFAVREAAICIIGRLSSVNPAYVFPPLRKLLVNLLT GLGFASTARQKEESAQLISLFVSNATKLIRSYVDPMVTTLLPKAVDANHGVASTTLKA VGELASVGGSDMKAYLPKLMPIVLDALQDLSSHAKREAALRTLGQIASNSGYVIDPYT DHPHLLAVLIGIIKTEQAGSLRKETIKVLGILGALDPYKYQQISETAPDVHHINEVQV VSDVSLIMQGLAPSNEEYYPTVVIHTLMQNILRENSLAQYHSAVIDAIVTIFKTLGLK CVPFLGQIIPGFISVIRGSPSSRLESYFNQMAILVNIVRQHIRAFLPEIIEVIREFWD TSYQVQATILSLVDAIAKSLEGEFKKYLANLIPPMLDTLEKDNTPRRQPSERILHSFL VFGSSGEEYMHLIVPSIVRLFDRSQNPASIRKSAIDSLTKLSRQVNVSDFASLIVHSL SRVVAGNDRMLRQAAMDCICSLIFQLGQDFNHYIHLLNKVLKHHQVNHVNYQILVTKL QKGDPLPQDLNPDESYAPLADDANYAEIGQKKMVVNQQHLKNAWDASQKSTREDWQEW IRRFSVELLKESPSPALRACASLAGIYQPLARDLFNAAFVSCWTELYDQYQEELVRSI EKALTSPNIPPEILQILLNLAEFMEHDDKALPIDIRTLGKYAAKCHAFAKALHYKELE FEQDQNSGAVEALITINNQLQQSDAAIGILRKAQAYRDVELKETWFEKLQRWEEALAA YKRREKIDPDSFGITMGKMRCLHALGEWKVLSDLAQEKWNQASLEHRKSIAPLAAAAA WGRGQWELMDSYLGVMKEQSPDRSFFGAILAIHRNQFDEAIMYIEKARNGLDTELSAL LGESYNRAYNVVVRVQMLAELEEIITYKQNVGDPERQEAMRQTWNRRLLGCQQNVEVW QRMLKVRALVTTPRENLDMWIKFANLCRKSNRMGLAERSLASLETVIPDGNGGTRTIS PPEVTYARLKFSWATGRQREALHMLREFTANLTEDFTRFNALVASQSDHNGINGVNGI AEGNHTDIMALRERVGDVNKFRKLLAKSYLRLGEWQTALQRGDWRPEHVREVLNAYSA ATRYNRDSYKAWHSWALANFEVVTTIASQASKDGGNLALVPGHIVTEHVIPAIRGFFR SIALSSTSSLQDTLRLLTLWFNHGGDQEVNSVVTEGFTAVNIDTWLAVTPQLIARINQ PNFRVRSAVHRLLAEVGKAHPQALVYPLTVAMKSNVARRSQSAGNIMESMRTHSANLV EQADLVSHELIRVAVLWHELWHEGLEEASRLYFGDHNVDGMFATLAPLHEMLDKGAET LREVSFAQAFGRDLAEAKHYCMLYRETEEIGDLNQAWDLYYTVFRKISRQLPQLSTLD LKYVSPKLKDCVDLDLAVPGTYQSGRPIIRIISFDPILHVLQTKKRPRRMTLKGSDGS SYMYVVKGHEDIRQDERVMQLFGLVNTLLDNDSESFKRHLTVQRFPAIPLSQNSGIIG WVTNSDTLHALIKEYRETRRILLNIEHRIMLQMAPDYDNLTLMQKVEVFGYAMDNTTG KDLYRVLWLKSKSSEAWLERRTNYTRSLGVMSMVGYILGLGDRHPSNLLLDRVTGKVV HIDFGDCFEVAMHREKYPERVPFRLTRMLTFAMEVSNIEGSYRITCEAVMRVIRENKD SLMAVLEAFIHDPLINWRLGIRESPDRMPFNAERRQSIVSNVNLEHGVQPSNFSRHRR PSILEGGILDAQEGVPNEAREAQNARALQVLARVREKLTGRDFKPSEELNVSDQVDKL LAQATSVENICQHWIGWCSFW ANIA_05981 MSSPPFTVKAVFEYVSDHEDDLNFTIGQIITVTGVEDDEWYYGE YLSESGNKVEGIFPKNFVEKYEPPAPPRPTRLNRPKKEPEAAAPPEPATAESPIAETR PSKSEEELAPPPRETRAIPPPQSPPATVEQTPTAESAPTKPAPASAPVLPPAPAAQSP PEEPSEPAPAPAPKLASKPSPPVAEKPASSSFKDRIAAFNKPAAPPIAPFKPSGYSSQ SFIKKPFVAPPPSKNAYIPPPRETPAVPYKREEDPDIRDRPAPEPPVSENRPAPTEGA EENAEDQPKPTSLKERIALLQKQQMEQAARHAEAAQKKEKAKRPPKKRSETQDEGLTT ADPAPEDSESPEVGRDHSVETLKGATAAVPAVHPAVQDLASDTNDADDSAAADTEDAD ETSTGKEDSEDRSRAPAQREPLTRKLAEEHGDEEVEKGNEKQEEEDEEEEEDEEEDID PEVRRRMELRERMAKMSGGMGMMGFFGPPGGMPAPGPVSRKPKAPVQSEKDSGESETV AAPSAPPVPVMALPGMNAVRSPLASPTLERNDEPRSIASVEQYGSAGPSDSAPVPEDE SEEETPRRSVDRPPPTPHERPVPPPLPPMDTRPTPPSSSHGLPSSPPQIPGGRPVPPP PPMRSPTSGGEDSDDELSVHAKNMSLNAAATNQHSEPAPPVPDHLDSRRSSTYDMTSP KSPTVSSEKRLSRPPPPVPQNNPPVPTQNRPPPPPPQLPIRRSSTADSRASIPHPRQA GEDVEGEVTEYDGDYDTDIASGAKFKDALKAHGRDSSIDEGTATDDQSLQSPRSPPEN RPPPPPLSAPRGVPPPPPSQPPKSSGSGRASIDAPRAPPPPPPQPQRELSYGHDDEYD PYRYTAPQHGLPAPKAAPVPTGRPDLPPPPPPPVQEDDSDGLYDASPVQTAPETSAPP LPSQPPAAIPPPTPLSNRASLDVPRAQPAVRRSMDVGRPSMDQGFIAMDLDLAEGTLW WAQPNVPPPVLQNRQDILLEFEESSSSKRGGKTTITKDVYVLFRDYSQTVISVSFDAR NPADAVLEQRHEQPPLPPRQDQLENAHVQVGTRVSEGVTAIQNTTVADGTPFGLVKHL LDPLSDALRPVGNRAYGALVYSNMANASVQQHDEIRPGDIVSFRNARFQGHRGTMHQK YSAEVGKPDHVGVVVDWDGKKKKIRAWEQGRESKKVKMESFKLDDLRSGECKVWRVMP RSWVGWDN ANIA_05980 MSSDNSGLKTSLPSIHASSARQSNTSPPKSRPSSSRRSPLKPKQ KPSKPETDPRHLAIALHHAHRIQAQKNAQDLILDRILELLTIPSSPSADPAAPSAEDT HKFKSSLIIFQPTDYDNLIQERNIEGLCGYGLCPKEHRKEKSRGAFRITWGARGSGPG GRGRDMNIVPKEKYEMWCSDECAERAMYIRVQLAEEPVWERRADDLRGKELLLLEEGR SAKGKNAMWDSGSVKEVLGRLDNLHMDTTPEPNAVAEDISKLSVRDDTRSLELAMERG DLNPALQNGRVDIHIQEKANVTQATAPEMRPGDERGGSIEGYMPHES ANIA_05979 MGRVRTKTVKRSAKVVIERYYPKLTLDFETNKRVCDEIAIIPSK RLRNKIAGYTTHLMKRIQRGPVRGISFKLQEEERERKDQYVPEISALDVSQTESGQLD VDSDTKDLLKSLGFDNLKVNVVAVTQQQPERPRRFRS ANIA_05978 MASAARLSPTANLLRKSRLFALPQALTPVEAPTSRPYAESDTAT RPHPIRASIVTPASSLARGDWGLKRPLPAKSTSQKSSRPVVRINELDTFEHVTDFESA SDHTVTLEKFQELHMPISLPAKVHFSSNMVTRHHSVFDQDVDNTEASENIDQPDIKQI RHTGPWLSGLTDAEFAAYLKKVQKQKPQLVFKLRERFLEKRMAEIRNQAQDKGEDLEN LPAPTEEDFQTYLKTLRADPFALGPVVYELLDLPATAPVPNERMPKKYYQSPPSKMAS PEYVTSGPPITHPSAGLSYARSHASIYNHPQYGPQAHPRPVEARILRPRGRVRGKSGK PILGVGGIAVEDTSTIAFSEQGGPAGLSAFDPSIPGGARYHVTPIRAFVGSTGRISLI TNRATTNAKAPYGIKDHKAAKSSPQRYTSFDLRASDRRVPRLDRARTFSKPATGFEQP EKSTEDVAKNLLRTIKSQ ANIA_05977 MPKVLLTGGSGFIAAHILDQLLERGFDVVTTVRSKEKGEKILAA HPNTPKEKLSYVIVKDVAQDGAFDEAVKSDPPFDYVLHTASPFHYNVQDPVRDFLDPA IKGTTGILKAIKAYAPNVKRVTITSSFAAIVNVKNHAKVYSEEVWNPITWEEGLDSSQ TYRASKTLAEKAAWDFVEKEKPSFDLATINPPLVLGPVVHYLSSLDSINTSNARISSF VRGFSKDALPPTGTYVWVDVRDVALAHVRTIEVPEAGGQRFFITAGHYSNKDIVDIIR DAYPELEDRLPPKDAPSDMPKDVYGYDNSKSMQVLGLKYRGLKESVVDTVKSLLENGA ANIA_05976 MTIMTSASQILVWGLLAASGAQAQNYGGGSSRSDDAFSYVQPKN TTILGAYGHSPAVYPSPNTTGSGGWETALAQAQDFVAQLTLEEKANMVTGQPGPCVGN IIAIPRLNFSGLCLQDGPLAIRVTDMASVFSAGVTAAASWDRKILYERGYAMGQEFKA KGAHVALGPVAGPLGRSAYSGRNWEGFAADPYLTGVAMEETIQGYQDAGVQACPKHFI GNEQETMRNPTFNDSAPLGTVIQEAVSSNIDDRTMHELYLWPFANAVHAKAASIMCSY QRINGSYGCENSKTLNGLLKGELGFQGYVMSDWGATHSGVAGIKSGQDMDMPGGLGAY GQTFINRSFFGGNVTAAVNNGTLEESRIDDMILRIMTPYFWLGQDQDYPTVDPSTADY NTFSPRNTWYQDFNLTGERSRDVRGNHAALIRKQAAEATVLLKNKNNALPLKAPKTLA VFGNDASDITNGPYNDATYEYGTLAAGGGSGTGRFTYLVSPLTAINARAQKDNTSLVQ FWLNNTQIATSDVQADLLRVPTPPTACLVFVKTWAEEGADREHLRLDYNGTEVVEAVA AACNNTIVVTHSSGINELPFANHPNVTAILAAHFPGQESGNSIVDVLYGDVNPSGRLP YTIARNGSDYNAPPTTAVTTSGREDWQSWFDEKLEIDYRYFDAHNIPVLYEFGFGLSY TTFNISDIYATRVVDSITSAPEDRAIQPGGNPELWETIYNVTVSVTNTGDVEGATVPQ LYVTFPDSTPEGTPPKQLRGFDKVSLQPGESTKVIFELMRRDLSYWDTVSQQWLIPEG DFTIRVGFSSRNLKEVTTITPVSE ANIA_11497 MPHHGVEILNCVDFVPQVYEFDHVSIEIREHLLLGHPIYALDSR NYNFATNTTITIAKTTPR ANIA_05975 MGKAIHFGGGNIGRGFVGEFLHEAGYEVVFVDVVDDLITSIQNT PSYEITEISEDGEKTKKITNYRALNSKSHEADVVQEIATADIVTCAVGPRVLQFIAPV IAKGLESRNVSTPLTVIACENAINATDTLRGHIEKKTKPEIISERAVFANCAIDRIVP NQPPNNGLNVRIEKYWEWVVEQTPFKEKGVAHPNVSAIHWVDKLDPYIERKLFTVNTG HATTAYYGHLAGKKTIADALHDPKIRENVHKVLDETASLIINKHGISEQEQKEYVDKI ISRISNPYLEDGVERVGRAPLRKLSRNERFIGPASQLAERGLKFDALLGAIEQALRFQ NVEGDEESKELAKILKEKTAEEATSELTELEKDHPLYSHVLERVRTVQQESK ANIA_10764 MSFKSPPPTPTHSTLTFPAPTILLVTLSRPKDLNCINSTGHNEL HAIWEWMDEEPSLRVGIITGEGRAFCAGADLKEWNASTQSSKPRSPMPSSGFGGLSRR NGKKPIIAAVNGLCLGGGCEMITNTDVVIASEKAFFGFPEVQRGVVAWAGALPRIVRT VGKQRAMEMVLTGRRVEASEAEKWGFVNEVVSAEKVVKRAVEVALQIAGNSPDAVIVS RQGVKMGWEGVSAEEGTRLLVENWEKKLTAGENIKEGLKAFVEKRKPEWKESKL ANIA_10763 MGVIRKKTATRGTEAGTKYHCDVCSVDVTSTVRISCAHPSCPEY DLCVPCFAAGEKTKNHDPSTHPFQVIEQNSVPIFQEDWGADEELLLLEGAEIYGLGSW ADIADHIGGYRTKEEVRDHYLSTYIDSPNFPLPERADPEDTRLQDSISKEEFQARKKR RIEERKEAAKAAPPTTPKQKPTASVPACHEVQGYMPGRLEFETEFMNDAEEAVQHMTF EPGAGETPNGETDAEMELKMTVVDIYNTRLTARTERKKILFEHNLLEYRKNTALEKKR TKEERELLNKAKPFARMMNHEDFEEFNKGLEYEHNLRLAIAQLQEWRQMGIADLKGGE KYEQEKQQRAQRLMPQGSFDRFASTRPKQNQQSEQPTAANQLTTPELPLRLQKAADAS SKAQEPNVPLNDFDRMFAANGDGPATQPPKTKFVVQPLNGVIPWKLENEGAPDLHLLT KEEVELCDALHIQPKPYLVIKETLLKESMKAGGSLKKKDARALCKIDGNKSSRIFDFM VHSGWINKG ANIA_05973 MSWKLTKKLKETHLAPLTQTFTRSSSTSTIKGDSGEEAQAPAQT PTISSSASSNGIAASEALVSPPVAPVKPGILIVTLHEGRSFALPQHYQQVFSSHIQNG YSMRPSSSSSHSTHSQSSSFVPSNRPQSTSSGINAAPTIHGRYSTKYLPYALLDFDKN QVFVDAVSGTPENPLWAGDNTAFKFDVSRKTDLNVQLYLRNPAARPGAGRNDDIFLGA VKVHPRFEEEIQPYIDDPKLSKKDNQKAAAAHAEQERALGQVGAEWHDLQFGSGSLKI SVSFVESKHRSLKLEDFDLLKVVGKGSFGKVMQVMKKDTGRIYALKTIRKAHIISRSE VTHTLAERSVLAQINNPFIVPLKFSFQSPEKLYFVLAFVNGGELFHHLQREQRFDVNR ARFYTAELLCALECLHGFKVIYRDLKPENILLDYTGHIALCDFGLCKLDMKDEDRTNT FCGTPEYLAPELLLGQGYTKTVDWWTLGVLLYEMLTGLPPFYDENTNDMYRKILQEPL TFPSSDIVPPAARDLLTRLLDRDPNRRLGANGAAEIKSHHFFANIDWRKLLQRKYEPS FRPNVADARDTKNFDAEFTSEAPKDSYVDGPMLSSTQQQQFEGWSYNRPVAGLGDAGG SVKDPSFASIPEDSRF ANIA_05972 MRLDIKRQLFARSERVKGIDFHPTEPWILTTLYSGHVYIWSYET QSIIKTFELTDVPVRAGRFIARKNWIVCGSDDFQLRIYNYNTSEKIASFEAHPDYIRS IAVHPTQPFVLTASDDMTIKLWDWEKGWKCVQVYEGHSHYVMGLAINPKDTNTFASAC LDRTVKIWSLGSPHANFTLEAHETKGVNHVDYYPQADKPYLLTTSDDKTVKVWDYTTK ALIATLEGHTSNVSFACYHPELPVIISGSEDGTIKIWHANTYRLEQSLSYGLERAWCV SYQRGKQGVALGFDDGAVVVKMGREEPAVSMDGSGKIVWARHNEVVSTVIKGGDTSVK DGAPISLPTKDLGSCEVYPQTLSHSPNGRFVSVCGDGEYIIYTALAWRNKAFGQALDF AWGSKDNSNDYAIRESATSVKIFKNFKEVSGGLDVGFQAEGLTGGVLLGVRGQGGIGM FDWETGNLVRRIEVEPRNVYWSESGELVTLACDDTFYVLRFSRENYINGLNAGEADED GVESAFEVVTDVNESVRTGQWVGDCFIYTNSTNRLNYLVGDQTYTISHFDQPMYVLGY LPRDGRVYVADKDVNAVSFALSLSMVEYQTVVLRGDMELAAELLQDIPQDQMNKVARF LEGQGYKELALEVATDQEHRFELALALNNLDIALEIARAANAEHKWKVVGDAALSAWN LSLAQECFISAKDVGSLLLLHTASGNREGLQALASQASDAGLHNVAFSTLWSLGDVDG CIDLLVQTNRLAESVLFAQTYKPSRAPELVVRWKESLEQSGKAKISRLIGVPPGAPGV PADDDLFPEWEEYLRLEKEGVVTEPASSGSLIDVDGEDAEPEPETNGTQEVETEA ANIA_05971 MRATLRLLASVKPARYLEPFTPTGLTGLNTHPSPRPTLIYLYTS TLEKLKAFPESSAYRQATEALTRHRLQIVESTKPAGYEAWLERVKKAVAAEPERFASL RLADGSYAAIQRDDNSDNPRGEEWDGEKLEPTTEGPARTAEQQARWEKEIEEATSSAA DAKSDFHTKQMKWENEPALDADQIAEIEKKIGAGLIEEVIQVAEGELKLVDELYKSKS WEELEEKPRPGQWTYFERKTD ANIA_05970 MRVSLLTWSLLSLFSLPTFASHSEGSTPAQRLVKRADSDDSTPT LFNGVEVPPMKELTPENFAETVKDGYWFIKQYSPACPHCVAIAPTWQTLYEFYYTSNP LSAASTKQSDSWSLNSFEGFYNFHFAAMNCLAYGDLCKKLEIDGYPTFSLYHDGKQVE RYDGAKTMEAFSEYIEEKLEAIKPGSRPAKGLKLPEPGDKAVDTKANPDTAASKDKDP EAGVKAGEKHNEKAAQHAAEMALENPANERDATSKSKQKAKAPVNPQGISVPLTAESF QKLVTPTDEPWFIKFYAPWCHHCQALAPNWAQMAKEMQHTLNVGEVNCEVERRLCKDA RVTAFPTMYFFRGTERVEYNGLRGLGDLVSYANRAVEIRNGIQDVDAESFKALEETED VIFLYFYDHATVSEDFEALERLALPLIGHAKLVKTDSAALAERFRISTWPRLLVSRSG RANYYNPIAPRDMRDIRQILNWMQTVWLPIVPELTASNARELMDGKFVVLGILSRSRA NEFVEAKRELKNAALEWMDKQVQLFQLERQELRDAKQLRIEEAEDRNDQRALRAAKNM HVSIREDDKKQVRFAWVDGDFWERWLRTTYGIDVSKGERVIINDQDNRRYWDTASSGA SIMASRTSILETIPLVIANPPKLTPKSTIGTFESIFFVSHAFITGHPILFVILLILSI AGVTYVARGRAHKRGIRGGILGIAGNAGGFLQLDGKEGLLNGGSTGKVD ANIA_05969 MFSQTLRRAAAQSVRSSPLAGRFTPSPHIGGYTINDATKLGGIA ATFGVSAGVFALFFFGEVPRVRKDILQKLPFFDTYLDRTIAPEDNPF ANIA_05968 MSLPGKALAVSDTHGYRDVSHDPVTSALPNGDVPVFDDSMDADE RVITALGYKQEFKREFSLWTTFCVSFAVLGLLPSFASTMYYGMGYAGTAGMVWGWIIA MIFIQCVAMSMAELCSAMPTSGGLYYAAAVLAPPGYGPFAAWITGWSNWIGQITAAPS VDYALAAMILAAGSIQNPDYIPTQWQTYLLTVFILIVHTGISSMPTKWVAVFNSWGST FNMLALVAVIIAIPAGTTNSPKFTPSREVWGNITNMTDYPDGVAVLMTFVAVIWTMSG YDSPFHLSEECSNANIASPRAIVITSGVGGLMGWFLQLVVAYTVVDIDAVLNSDLGQP WASYLFQVMSRKGALAILALTIVCGFSMGQGCMVAASRVTYAYARDDCFPFSKHWKRI NNTTQTPVNAVILNTVLGILMCLLLFAGDVAIGALFSIGAIAQFVAFIIPIAIRVFFV GDRFRKGPWHLGSFGPWIGALGVGFVLLMVPILCLPADTGSDLTPDLMNWTCLVWGGP MIAVSIWWIFDAHKWFKGPKVNLEHVIHGNIIDGIDGSQEQTTVVPGAGAPADVSHRS SPKL ANIA_05967 MGIRYTATLVDLLSRSMLAIFRLDFQYEHKRSARIRITVSDGG ANIA_11496 MSSTRTVRLRSAVESATMEPVTAAGIMYTKAGAGPFQG ANIA_05966 MDPRNHPSRPPSTSLPQGSAPLPSAPISSMPMPQYTMQPQYPVS QPHTLPPLQPHHSQSPAPHSYMGQPPYRPDLNRYPASSHDVYASSAAPIMPHTTVGSL PPTSFLSHPNPQAQAQAQQSPHYPPPHSVLPPASSAQSYPQPIAPAPPRDRRADFNNG LPSGAFSYSDGKPQGWDPVAANGAAPYPGKDSPRTQVVGSQGRRGILPSVPGRATPVT NGVNGTGKNTTIPAKDADGKFPCPNCNKTYLHAKHLKRHLLRHTGDRPYMCVLCKDTF SRSDILKRHFQKCSIRRGNPTGATHLSHPNAHVKRSQQQAAANPVKPVQDEVSSTVPP PSGIPGTTYGEGAVNGNGLAPARPGYADHQTMGFPMSSVNGMGRGQPEDAFPGGRPHQ GAPWPQAPKQSPYLVQPGADPSGHQLNIDRNIEQVKQPVVQDPKRPVMPGHPGHPGEL DWTSMFQPQAPEGYMFSQSMPGGQEPIHAHVETERKYYPTTTAGQESGMNGLYLASTM SGDGTVQPARQ ANIA_05965 MSTNRPFLANFLAAFRAQSTYKASTAGSQSATGSSSLSPSQISQ GARAIATKTTSSPSSSSSSSSSSASPSTVPTNAPSSSETVSSPSHYHHHHSHHHQPSR PHSHSRAAPLNSQSHLNNSATDSYSSPSSPPTSSSTPIPIRNSDRQRRGSDSSSGSGG FRDALGPEKWYIGGRTAAGEERFYRLGMVTKGGGRLGGSGRVGSFDQLSL ANIA_05964 MAQFLGMLLGSLWGGFVEWRFGWKTMVYSLGVLCFATSWMMLGL GEDVGGYGTKNGAVDAEAGTDTDGPDRVSWFRRMDLRTRTIWGNMKRGWNGIPVLGRQ VNSGAQTVDGDTEREGLLAGSGRD ANIA_09472 MQLTRPPWLLRFRSSTVFIIATVWVSSFTGYFLYAMVVPILPTA LAERAHVPYQDRGYWVSLLLMSEALTAFLCCPLFGYLVDIAPTRRLPYLLGLIFLGAS MGLLAIAKTTVMFVIARLLQGGATAMVAVAGLALLTDSVPLDNLGQTIGYQGSAVALG FLLGPLLGGIVYDKAGYDVVFRMAFALVGLDLVMRVAMIEQGVAAKWTITNPSAATSE ETILSSQGDAGYQTFAEAQETETGSGESTLTDGRSQDYEQKFENGDAQSPTFFQIAKQ PRVVISSFGLLVQGLLFSAFDATIPIFVETTFNWTPLGAGLAFLPSALTALFEPFFGP RLPAFTSFFILPFPLMSLAFVTTNSPAMISLLLTLLTLIGLLINFATPALFVETQDVL VRLQQSVRSPLLSTQDRTQTQMKRDESQSRKQSQVKGIARAFGIQTMAQFLGMLLGSL WGGFVEWRFGWKTMVYSLGVLCFATSWMMLGLGEDVGGYGTKNGAVDAEAGTDTDGPD RVSWFRRMDLRTRTIWGNMKRGWNGIPVLGRQVNSGAQTVDGDTEREGLLAGSGRE ANIA_09471 MRSPHLQYLQKCLSLAEQAPSLPTNFRVGALLVSRKDGDLMTEH DELLSTGYTMELPGNTHAEQCLLSNYASRHSVPEDRVSEVLPDTPGRKLVLYVTMEPC GKRLSGNLPCVQRIIQTRKNGRRGIEKVYFGVKEPTTFVGESEGCKMLTEAGIQWRVV QGLEREILTVATAGHENSEKEVKEALSKVETNLDDISEDEAKRQDLLRRNPMKRVMET TVPR ANIA_09470 MSTVAAARYGKDNVRVYKVHKDPKTGVQTVTEMTVCVLLEGEID TSYTKADNSVIVATDSIKNTIFILAKQNPVTPPELFGSILGTHFINKYKHIHVAHTNI ITHRWTRLNIDGKPHSHSFVRDSEETRNVQVDVTEGVGIDIKSSINKLTVLKSTGSQF WGFVRDEYTTLPEVWDRILSTDVEATWAWKRFSGLDEVRGNVPKFDETWEAARNITLK TFAEEESASVQATMYKMGEQILAYQPLLETVEYSLPNKHYFEIDLSWHKGLKNTGKDA EVFVPQTNPNGLIKCTVGRKSKAKL ANIA_09469 MSQTVGKTRLAYSRAWHLVDVGSDGRSLGRLASSIALILMGKNK PIYDPSTDCGDYVVAVGCHDLHTTGKKRFQKKYYTHTTRPGSLRSMTMDKMFEKWGGG EVLRRAVRGMLPKNRLRDKRLARLKTFEGVDHPYKDNIVRIGAPSNIGALPEVKAAFQ EAKTSQ ANIA_09468 MASVPSVQCFGKKKTATAVAHCKQGKGLIKVNGQPLQLVQPEIL RFKAYEPVLIVGADKFAGVDIRVRVTGGGHTSQVYAIRQAIAKSLVAYYQKYVDEHSK NQLKQAFVQYDRTLLVADNRRAEPKKFGGRGARARYQKSYR ANIA_05962 MSSSVVSGTVSPGCLSQSLKRRVISIILYGTPPFPSEAHENGEE SVRNKVPLSNGYSEPFSAEPDHSHHNIPTELCDGGDNDEDALTDSHSDERDDYGESSG SASSSPQAEQRTILICGLPDKATHRNIVDVVRGGAILHIYVWARDHTASVSFVEESAA QDFLDHTRRYGLYVAEKRVDVLWNDRQFYLPPFVRSKICSGASRNLVIYNVNPNITEG LIRRDMEHIHNLIVISVKFRHGNAYISTNSVHNALFARSCLMSRLTYKGMKIGFYPDE CAEPLTRIPAGPRRDAPASKRPASLPNRFHLLSIDVSEDEESEEHALGVSLNGGVCWP DNSVSA ANIA_05961 MEDEASQHTLPHGDDPDSRSTSRCMTPSLMNQTFEEATTISPHA IIRLIQCSRCSFPLQTPLRLPCGGVSYPAIEERKQGFTCYGGEGGCGADHCLGDCGTD VLLSRLVEVFDEVLEDDSSTTKDGNPGQTVAWVGALPDRGKLEQSAEIGPEPLTGVFN LVKGGRFGYNVSEVSYQPPLSACYTKYTRTLTKLRATVRNELDCQVCYSLILDPLTTP CGHTFCRRCVAMALSHSNLCPICRRKLNMPSSVRSERNNKSLSDIIETLLPDEVASRR ADVASNIELNSEGKLPLAVVSLAFPTMPIGLHIFEPRYRLMIQRVMESGSRKFGMVMP NRRGHLQQGLGRAPFMRYGTILAINRHELLPDGRSLLIATGTSRFKVLSWELVDGYHV GKIQRVDDVSISEEEAQESRETATIEPGSSTSDRSIDSMSTQELYQLALDFVLRERRL GAPWLHPRVLLAYGALPTDPALFPWWFATVLPRWEEEKYMLLETTSVRQRLKITARWV KRLESRQWVVTTFGPFPMSVSITFGPGGAVSQFGGPDLVATNSSDTYLSQTLVLGVFL AIFMAQMAANVVQVVRSRQPARVENTQTQREQPDLPAEVQEGAEQGQRQNPADMEPG ANIA_05960 MAPKTKAPAKDNVTLGPLAGDGKLVFGVARIFASFNDTFVHVTD LSGRETICRVTGGMKVKADRDESSPYAAMLAAQDVAARCKELGINALHIKIRATGGNG TKTPGPGAQSALRALARSGMRIGRIEDVTPTPSDSTRRKGGRRGRRL ANIA_05959 MAFTKETASIGIIGMGDMGKMYTQRLSAAGWRINACDKPDSFNN LKQEFEAYSGVTIYPNGHLVSRISDFILYSVEAGVIDKVVAEYGPSTKVGAIVGGQTS CKAPELAAFDKHLPQDVEIISCHSLHGPQVNPKGQPLVLIQHRAKDSSLRFVEEVLSC FNSKYVYLSGEMHDRITADTQAVTHAAFLSMGTAWQANKQFPWEISRWVGGIENVKIN ITLRIYSNKWHVYAGLAILNPAAKKQIRQYAESVTELYKLMIEGKRDELKRRVRAAGA AVFRDGTEKQDLLLSDEVLDRFSLSKGTRESSPPPPNNHLSLLAIVDCWSKLGIVPYD HMICSTPLFRLWLGVTEYLFRNPDLLDEALDTAIDDKTFRSDDLEFTFAARAWSDCVS FGDFESYRDRFERIQQYFAPRFPDAVKLGNEMMKRILEKTSNESS ANIA_10756 MASGYNSNFSGTSIQHRAAGDGRSLSSQDGGLADGSWPGPASSK PGRNRRSESGGSTSIGPRGGSLAPSTTGPGSFSAELKSMKSSRSTTPRAEVRPDYARR PSSIDYDDLPTSEDRQAAIRDRIAKEMKIKTGTENMLEVLLTKNPKQTREQRLRAESE LSSSNRKLAELHHELEEEQLRAQAPSTPPRSRLSGLFQGPSIRSPSRANMSDIERLDD TEAEMESPTYVLAETLQALEIELMSPDYYVERANSLVELFRRHPTLKYDLAWSVFGLR VQVMLLSDSKEVVAAGYRLTRYAIADRKSLQMIRSLHTDELVILSLVKESKANLEREQ ALKFVRAFLDVKDGVKEISRAVVRTIVSVAEHHDDRLRNISLMTLAEILVKDPQLIAY AGGFSPLHDALSEGTFGASESLITCFLHVLDTPHSRMHLRGGCELEAVLAPFTDSLSD NIRNGRLKSSAKAISAMLKTWPGLVILGKNGAKPLKSLLESLHYPDPQARDLIMELLF DALRIKPPSWSSSFLAGRRLTTYGRVANLRSESDTRQLRNFFDSSESQFDLTAHFSTL ILAALIDAGLSKALCDLIEEEEDLSLRRKATLLLTEVLKLAHHSLPSNISAKLQVLPH LIPSAIRFDVENHDVSTSTIYQIESINRTLARSLGGLANGAGRYSVDVDISASLLSGD QNKDKLSPAMDETQFRNAILETHVLNTVNYLKWKWDLIHRIVEGPLSNPKRLDEAIKG SKFMKRLMGFYRPFKYRFSVLPNTKPNQRYVRTGCALMRCLVQTPEGIKYLAENKFLR QVAECLAQVDHMSGLTSSAPLFSREQMANTLSGGYFAMLGTLSADPNGLAMMERWHML NMFYHIIELRDRDDLIQTLIGNMDYTQASHLRVMLSKALTTGSKDIRIFGTRLLRKYT VGNVSPTSVSNADWVIKLLVTQLYDPDVSVCQVAVKILEEACNQRDYLEFVVKCRPSL DHLGEIGAPLLLRFLSTSVGYHYLDGLDYITQEMDDWFLGRNDAYVSLVEAALSRAYV DHPRRNSLVPEDLVDLQDIGLVPPHFYRELARTAEGCKLLEQSGHFYEFAATISDFRL DEEDHETLLKVKGCLWAVGNVGSMELGAPFLSLELVQNIVKVAECAEVLTMRGTAFFV LGLISRSQHGLEVLRQAGWDSAIDQKGNSLGLCLPTDFGKLFLIDFPSYSRPAEAKRT SQEKHKEATSDPDPVNEKILRLIADMGNTVLSKRAAADLHSIKSKDPERFHQPHLFTK TLSIIESHHFRLPARRFALDLFDKSVMRRVVLGEQPQSDSDSEATSSQASE ANIA_10758 MTSLTPTPTLLIPHLPPKTLVGIDLITFTSTPNFHGIRDIPPGW HFVYTGTTAGLSLRLGGWFYVDRGNSTETEIGKDILVWRWNDNTEALEPLRSHQMGEG LRYRANIGSAWGSGGLWRYRSRVPSAAIAADAGALQRSGGDSGAGRGDNGGLEEEEEE EEEEEEGRREWTALTNRLSPQLLSRITGEPALDWDGRARWLVTSGSTAQQDDDDIPGI DINPDSNPIDDGVSGAEGQGRLEDYTERQFSFLPVDLKRTWREGAIGRERTEAARDRS WALGEIIHRVSSSSSPHSEIAADGGVETDINAFGEAQILGELQFTFLMGLTLMNYSCL QQWKRLLGLILTCRSAITDRAPFMAEALRLLLTQLKRADDVEGGLFDQLGNEGGAEGG EFLRRLLKGFRTAMYEVLEDRGESPVKREFGKLEEWVRRELDWELDRDAVLRKGMVQL EDGEEVELEMVDHEEEEYAPVVVELDGIY ANIA_05957 MGSIGNTLAELDASVVKITRSTELRHVPLPGSLEELSHSYCTDH MVTARWTAAGGWETPEVKPFQNLSIPPTASCLHYATECFEGMKVYRGFDGKLRLFRPD LNGERLSNSAVRASLPSFRFQELKTLIAKLMQIDGLRWLPKDQPGRFLYLRPTLIGSG TQLGVQAPAEALLFIIAVPWPDPATRLKATPGEALGLKLLTSAPDTIRAWPGGFGYAK LGANYGPSLAAHGKAQAQGFDQVLWLFGEDRQVTEAGASNFFIVWENAQTGKRELVTA PLENQLILPGVTRRSVLELARSRLNQAVGDLEAVEVVEKTFTIWDVEAAWKEGRVVEA FVCGTAFFITPVKLIRNGAVDIQLLKPGQTAGYAAQIKSWLEAVMYGKDGAENHEWSY IIENESEK ANIA_05956 MIMRSHFRPIKATHAEPRLRAMSVGFRAWLVPFKRAGRYGTDTW KQPNSSLLTASPCTNLRLEIIHPIATGAGMVLSYSGIPRPSTPPEAPLEVTEISERSQ SSRWLSRDDRIRILTLRDAGFTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKL SEEDMDNIITFISSSQRTRRLSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPP LSDDTKRVRLAWALEHVNWTIEQWNRILWSDETWVTPGFHTRIWVTRRAGEELDETCI RLSTPKKRGWMFWGSFYGDTKGPCLFWEKEWGSINVESYCERIMPIIDGYLRLNRQQG NYLCLMHDGAPGHASKDTIAELHERSIYPISWPAFSPDLNPIEMVWNWMKDWIQERYP DDRQLSYDALREIVRASWDAVPTDFLKGLIGSMQARCQAVIEAEGGHTKY ANIA_05955 MASNSKDKQLPSIAPGPGPLREIRPLTKNKKSSSACLPCKQAKR KCTGRPAPCKACEATNGNCVFNEHLDLRRKIAAQKAQGDLERYRQLLWDLIKYLRTAD DERIYRILEIIRAGGDDGNVEKNIALIIQTASAEGSPIEDTAMDTNEVRRDSRISVEK LCDSPLFQVPCKPWTKVTSDDHLISGLISLYFTWDHPLMQVVDQELFLRDMSAGDTSS EFCSPVLVNSILAVASTYSPYPEVYAVPGDVASRGQHFFEEAEMRWKAEEGRPSLASI QALAIMSHNLKLQGKDDASWLYIRQAVQLGQDIGLFNIPKSGHGNWDQLPDRVRHSSA RTAWSLFILNSQFCMDSRKSGNLAVPRLSFDRISLTEKDTVWIPYHSRSSDTELVRKP ALLREVMAGLVDLAETIIDMQDLFFDKALDLNLSIKELLKEAERLHSRLQAFLDGMTV IETPPVPQILFLHVKGNQIIITLFEFLLEQQDFERSIGPLKIEQVKSARFHAAMQIAH YLQIYREHYDLQQTPNLMFGPAKSSALALLPFLNDESAYNAFNQLYDFLESFSRRFSA AKQTKCEIDAFFRDSNLASPLEMSGQLTVGARNTRKGFQERVSVARK ANIA_10759 MSTRYRVICSTLRRKPRVRVESRAMTSLAGLLHATDLISATEYR PIGISKSPSGLPFNKLSTSRSGGHNFSLSYLFMYSSVSRLPPQKKSRAFVDNTHTVHG NDWYELLARKHCQSLEVRLLFRATPFVASSTYTFPS ANIA_05954 MSYDERANAHPNLNDESDVEEEALVNDYREQVNFDDGMSELDRT TSLGAASQTQDLQAQLAAAATPLEYQATLETKFASYDNYCSLFHYILNSDGPVELEVP SYYWAWDVIDEFIYQFESFCRYRNRVARSGSNEEEAQLLRENPNTWGCYSVLNVLYSL IQKSQINEQLAAMKRGEDPAAFAGEYGSRPLYKMLGYFSIIGLLRVHCLLGDFTLALK TLDDIEMNKKAMFARVMAAHFTTYYYVGFSYMMTRRYGDAIRMFSHILVYVSRTKNFQ KGGNSYDAIAKKNDQMYALIAICVALHPTRLDDTIHSALREKYGEQLHRLQQGGPEAL PLFEELFRSACPKFISPTPPDFDNPALNVDPVDHHTAIFMDEVKNTLYNPTIRSYLKL YTTMDLQKLAGFLDVEPEKLRSWLLVNKQRSRQVRWVEGGLLEGETVSANDLDYALEK DLIHVSETKAGRRLVDWYLRNLARVY ANIA_05953 MSRPAVSSAARQMLWARRAVPATPHVSCSSPTLNFASFCHASYR TLAISTSPAPARNIRPRISKQFLSRTQPRSAFSSTTATSAAQVTQNPRTDDDGNTLMV EISERAANRLRQITDPSSSPSATKDENPYHHLRITVTSGGCHGFQYLMSLEPASKIDP EEDTIFEAEPPEQGSDVAGNGTAKIVMDEPSLELLSGSTVDYTTELIGSQFKIVDNPR ATSSCGCGTSFDVVD ANIA_05952 MGSRLHVQQIKNGPPLPYKDDIRAFTRDYAASLDAQDPLSHFRE EFIIPSVKDLKRKTLDPSEGEYSSMYLDARCIYLCGNSLGLQPRNTKKYINYYLRTWA IKGVTGHFTHHDDELLPPFVDVDSAGAKLMAPVVGALESEVAVMGSLTTNLHLLMASF YRPTTERYKIIIEGKAFPSDHYAVESQIKHHNLQPKDAMVLIEPQDPEHPILETDRIL RVIDEHASTTALLLLSAIQYYTGQYFNIEKITAHAQSKGIVVGWDCAHAAGNVDLKLH DWNVDFAAWCNYKYLNSGPGGMAGIFVHEKHGEVKAGQGDGELELFRPRLSGWWGGDK ATRFLMDNHFVPQSGAAGYQLSNPSVLDMNAVVASLELFNRTSMAEIRQKSLNLTGYL EHLLLASLDGVSDKPFSIITPPNPSERGAQLSLRLAPGLLDSVLETLEEYAVVIDERK PDVIRVAPAPLYNTYEEVWQFCQIFSEACRKALEKKD ANIA_05951 MQAANSPRPSESSLAFNYELRLESSGVRENRSSRLRIRSGPAPP APRFLWRILCFLIFQAMINFDLRCRAAELAKAPFANPGPSTICNIGSIAMATQQTLPP LPPPKWVVDLKSPLPRPSISASSIPDPPGFSRKAGKGRSEKSTTSSAPSKPAETDTLK LKKAWEIALAPSKQIPMNAIMMYMSGNSLQIFSIMMVFMLFKGPIQGLINTNNVFAKF DSETLRGNSFCWGWGCGRLMLWVFCRGPYALFWLANV ANIA_05950 MSSSGGDAKLFARGKVAELRQELNSGGKKDKNYSAKKIALKKIV ANMTMSNNDMIALFPDVIDCMNLPSLEIKKMCFLFLVNYSRMKPDIALKALPILINDM EATNPLVRALALRTIAYIHVREYVEATVQPLKRLMGDMDPYVRKTAAFCVAKLYEHDR KMVEASDLIDRLNAMLKDENPTVVSSVLASLVDIWGRSETISLTIDYASASKLVSILP DCSEWGQSYILEALMSYVPQDSAEALLLAERIAPRLSHQNSAVVLTSIRVILYLMNYI AEERHLTSLSKKLSPPLVTLLSKPPEVQYLVLRNAILILQKRPEVLRNDIRVFFCNYN DPIYVKVTKLELIFMLTTKENISVVLAELREYATEIDVHFVRKAVRAIGKLAIKIESA AKQCIDCLLDLVNAKIPYIVQEATVVIRNIFRKYPNQYESIIGQVIQNIDDLDEPEAK AAVIWIIGQYADRIENSADLLQDYLATFHDETIEVQLSLLTATVKLFIQRPTKAQQLV PQVLKWCTEETDDPDLRDRGYMYWRLLSSDPTTARQVVMGQKPPISAESEKLDNRTLE ELCLNVGTLATVYLKPVQQVFRSARTRRLQYSPALQKRVEEYGSNSWQFPAPPLSLNP VTSPTAATATSANGSASTPTGNTSAAISAADNYFNSVAVGTQQMAALDLGGREDGGFG GGGAPQTQYVVNQSQQQAYQPHLAGGAATGELLLL ANIA_05949 MGGDLNLKKSWHPSLLRNQERVWAEEKRALEERKRIDQLKRERD EERQIQELQRLQESAGGTRQLQRVDWMYQEPSSASGHYAEEMEGYLLGKRRIDGILLK NDESKKLEKGAATGPGAAAGLPPVVHNTRDTMAKVMADPLLEIRKREQAAYEAMVKES VRRREREQGRERHQERERERGHRHRRDSGNDSHRSHRHRRRSRSRSRSPRVEKDDRRH RDREHDRRSHRDRDGERENRVERVYHSSRRDHDRDERYDRAARRSASPRPDRRRDDRR DRDQDQDYRRDRNSRSSRRDARSSRDRDTDAFGRDRNRDRGSHSRNGNADANADQHAQ ELEEQRKRRLAEMQANAQDMEATRRQRVAEVTALEEKQREEDDKRRSDRGQFMSGLHR QLQEDSLDERIRRSRGALTKDED ANIA_05948 MSFANILLLAALQVATIASAQTHRFFPGMHVESIGELIPRRLND NASECTIRDTCGECFGFGYVLCDNSGCFNPDDGEQCCKDGYMCVGRDDSCCPNGPGEP GEDGTITYPSDTSLEEDEDEDEEYTSWTCDTTMTGEECCSGGGPDIHWCTGEYPSVTC YNSTRQTCCEDGHFCEGEDCCDIVDSTPTTPWLTEVEATSTSTSSPKSSSNSADEVSE EGAASGSASSASITSDEPSPTPTDAGEKLAITGRVAVLGAVAAGLLLL ANIA_10761 MSDTSASVSLPLHTKSPSSSTSSSSTPAQAKEQPKMPVAAPASP NRQFGTVKWYNDAQGFGFITSDFGGELILRRQSITEHTQRLKEGMKVSYEAVHLPMLL MAEQVQPEE ANIA_05947 MSIGRFKAAVVSNISEATATLVNLILDFSLFKIEAPKEFSPVGN AFSSIRRESAESGVVHTTAYGSRASEIAIKSKNENRNTNYGVFESQVGPDATSIWASA TSAAIKVHLLACILARIWESGEATAIWDEIVSTQKQVILAKCNEGGSVDMVSWVVAKQ QFSRKELRDWHASARAWLCVADKIKSVQQSRFWLAIDKFDLRVINRSSTYDSVIEVWK DTLAGIELLVSGSSQELRSVNVLLGLSAWHLYPDMDVLTLKSWLSILAEAAHEHLYAD DLDRRVYSRLLNLGRRKTALIGKPDRTFFALSEPGIFVRLPPDVEGRIHYLRTIAQNT AFESADIVIRYRPDSSATSASFEYATALPRPKRSLHEPYTLGSRMFPQEEVQPLDCEI IRNFKLAGETAFQWDSAGCAGRSNNFTFWLGDENEAALFYAQDTISPPELAPFQLSQL VQLFKTAKPQPPSLLIALDKGLQQMGKPYLTSLRAVATMVDLYKILPGATVDIKVLKT NYRLPIGCNSRLNIARRTVCLLQASATLASSQTLDHPLMSMLKPTNASYRSFARSRHD PFAGADKVKISEQEGEFEAQLSMTDLAHTSGDMDDDLAEAPDEADIHVLDMRHIGLQV GSFLIPFTTTRKLTSVMAISSNGSLFIAAPMLCSPAEKPPTHEIHHVTGNIGRGGMAL LIPPAAPRIRESTVDSWNLCNHDSWDGEYVDYFDNTSLHLSYTGYNVPLDVGARGAQD WEIYMLESVVSVHERGEWVADLDILEALQSSLLFRIPDSHEQPSPGEKHFCKHSHDRK ERESSSGPNLLVALQNWAEYLARPELPSIVVASLNWQAQLAATAITIAQGGAAYVVKE AGFASAACYQMEGSQYLARHEGERGLVRGMHIAGQDDVACQSVPSILNGTVLPRIGTV LTSAQSSKNVFLAFFLLPFNVPCGGWPSTWRSRRNPLIHSLRAANILP ANIA_05946 MFMRFASPNERRTISREDLGFYNALVIAGVYEVTDKEIDVKAAA SYITPLKHCIQEYPFLGVVVRDKHTEKPFYEKVPSIDLNNHISVIHNEDINTQTEIKS IEEFLLPILDRPWPADIPPWRIVVLPLPPRDEQTTRVFIAFSFSHTLGDGLVGHAFHQ TFLHALQERIVEEDSASLITPSTQQLPPPFDIPSRLPISWKFLLSPLLAVYLPRCLST LLGLRAAVTTIDAGTWTGSPMFFDPSTPNSSRIRLLEIDSSLVQAALRASRAHGSKLT GLIHQMIIMALSRVLPDEKVTNYVSGTAVDLRRSVGIPRCAWGLYVSGHNQTHPRPSD LPEKGSPSTFSDGFWLSARSITEDLALCATSLQDQAIGLLRYAPSIRNWTAGKVGQQR DCSYEVSNLLAFDSAAHEGGKCKITKMIFATPANVVSGPLVFNIISVKGGNSVIAVNW QVGALGVPHEEEDDFVNAVCDSIRSDFEAYFVFQTVLLAGLLVFIMLTPDAARIVLYR RQCLVNPVTAPKSRNQGGMLFTQGPPNCGQLELLLSHIASVDLPT ANIA_05945 MAIRLPLKIYTCIFKHLLADERDGRKHAFKLRLVSKTIRAIIDP LLDRQLGSPSDRAVEILCHRMIAQPGLGARVEQICLEEDEGAENSEDEFEDEFEDEFE DEDEDEDEEEDESEDEDEDDPGSDSNLKAEARRKAKRDRDVIIAAAEAIKRAFESDPA SRYSQALEKNDLNWLTRSTLKTRKWILLFQLSNLKSLALTARTDKFTNMALFLRLPYL EELDFAVLAPGVDSDAHRAIDYVPPIEILETIISSTSRLRSLRFEDMSGTGFRPVLHD APKLKRILEQHAAATLTYLSICLTNNDEKDWRKEQEFSNIKGYFGSMKSFTRLQGLVM QLEVLLGTPSDGLQLKDVLPTQLQYFTGLNLPDLHGAEDSDRLWEDNDYLLQFQDLAE AARDGQHLPWLKSVKMHLKRKDYFSVYDNWPKKGQFTDFFLCEHDPRIDFRLLAESRI YFGWVSGPYADDGPGPD ANIA_05944 MGFIRGTFSVTAALLGAAQACACTPTIQLNQGKVKGFTQNDTNV YLGIPFAESTAGQNRWKAPVPLTSFPGGSFDATAYGPSCAQAMSGTAITAQSEDCLSL NIWTPHAASGNQELPVFVYIYGGAMVTGGSSNAQWQGYNFARKDVIYVNFNYRESLYA SPNAPELEGQSQNFGIMDVELALQWVYDNIEAFGGNKSHIVLGGHSSGGVHVDHYLWN HPSTFLAGAIEMSANAQSGPAITPSGVGLKKVVQDMLDAGVALSCTADDYTLDCLRAV DTYAFQTSYFNSTSNTWFSPIVDNITRFSSYADRFAAGQYPTSLPLIVGNSDREGAIF GYVYGSENTNFSSWIGTFDADLAFVPADELLSAYDPADYASVAAMSGASYGDARFFCA TDYLLDLRAAAQPTWIYRWFGQYDNVLPIPGLGPSHGSEVPFFHGGNECFESISGVTD AEQALADYMNDWFVAWIKNPASGPGWERATPVDGPLARLGVPGSEEAVVKSTTGEYNS RCQKTYKPNYPNYPHVLNPVELAASSAS ANIA_05943 MARPDTGQVVAWYVCTVVACVFLVARLAVRWRLLKRLYIDDVFV ALAALCLIGDLAIQHYMFNQGMSDMAHASTENAIRMMKMIIPGSTLYVTSLWLIKASM VIFYKRLADRTKYQTIYNITLAVLGATWLVLFLDIVLKCYPPNRQWKGLTDPTLACPE KPTTVNYWLTILFNIFSDVFIICLPISQVARLKMPSRQKWGVISVFLLGGLVVITSII RAIYSHRNEQMITCTVSMIETAIAIIASCLPVLRTLVFGSHSRTGTYSSNKRRGYELS SSGGLHSNSANVNSKHRTTVSVSLSRSQTVISTPGLSGTFGARSGTRLGSQDERDHET EFSRHESEDELVEKPVNMGPGIAVRHEYFVHEDGQSLHAR ANIA_05942 MKTTIATLLALAGAAFAAPATESASSPSPFPFSIDDVKLYHLKE SNTWDLTIKVTSRDPYGAALGSTTCHSAWIDGATYVAPEVCEDTNYSFWLPNGAPDPQ HWTVVVDGPAGQGEGSIEFGPKYRCEPYEGEIGNIDVECSTRNGGWFFLREREA ANIA_05941 MQLPPELILLVIEALIPFKSRILPPGHIVTRTLLSLSLTCKLTY PLARELLFTHCLYLNSGKRIENVLNQSQLYRFGRYGPAGVDKGTRARSLFLAFPSPVT ISLNSTDRQIDSLLSLFSTNVRCLIIDLPLRHLIFNDTENRRAQNVVYGAILRLEAVE EFCYLRDGLGTAPYRHTWLNRLDISAWSLGVTLMWPRLRRLALCNPAFDHLLVETLRQ SSNLTHLAFTCPSKMESLYTDRAVQSLDFAKTFSHLQRVLILQGDGPLEITHPWDFWE SRMVTQHVDRGNWEQSFLGKLMVTMQTAARSTSVLQFQPELVYINEPKTGPFDAEDYA TFQEWTGARALDGTLWDYPGLIYDANVHGRIAAALFADAQDENSRSAFAGLTVPGIYA LFKGHIAMCGANPIGNLTQLTKTRTYTQSCRCISLSRNRLEGDSKILPNQREFWLWRT HQVRFRDGGISRKLLEFGYNIGP ANIA_11495 MPGLSKLKKRLAAIKEEWGPLMAAKEEGDEEYNFPAGGGPRPGQ NSSNQTPSQKEKQPESTDSDGRTSERARRSPDLDAIAE ANIA_05940 MPVGSCFCGKIQLEFTNSPITSGLCYCSDCRKNSGSLFTYSFVF RNADVKITGSPKVVTKRADSGNTVKNHFCDECGTPLYGLRVNPDGSQGESMIVRAGIF DNLSLFNQVKPKAELYVDGRVSWLCPIEGAEQFVGMVPLP ANIA_05939 MKSSLSLAALAAAGTVLADDYLYSKRLAKRFVDDEGHYNVSFFH VNDVHAHLDQFASSGTSCDDPEKGCYGGYARIKTKVTELREQYPDNLWLNAGDEFQGT LFYTYYGGEKIAETLNELKFDAMTLGNHEWDGGDEALGQFLLNLTFPIVSCNVKSEVE SLNETIKNYHIFEEHEIAVIGATTTTTPGISSVGNTTTFLDPVAEVQKAVWQIRNETE VNRIILLSHLGYEEDQDLAAKTEGISLIIGGHSHTLLGDMEDAKGDYPTIVEDLNGHE VFIVTAYRWGEYLGAIDLTFDDDGHALAYHGAPIHMDNSTSLDEELDTKIQGWAEAFA DFAAEVLGETDAVLVQETCQEGDCLLGQVMADAMYEYRYNQTEGTDDEPALALINSGG VRAEINEGPITRGEVLTAFPFGNAIVELEFSGADLRKILEGAVSYVNQFNGDEITSWF QVSRGVRIEFNPDNEPGDRLVNVTIQGEAIDDERDYRVVTLDFLAGGGDSIFVATDDF ITLDTQDEVLTQYIVARTPLSPELEERVVENDGQGENADESQNDEVDGPSDAAGMLAV PAWTALAGIAVAIMAM ANIA_05938 MTPEHFCFSFLDSITVKGFTTELTTTTRSPWLSADWTSTDDRVR GGSSHSYLIPSHDGKTATFNGHLDTRTLGGAGFASQRTTGDRSWDLSSYSGVELDIDT ANSDTKLYTLIVKDEKGLLPPRDDGRERSGLSWEADFRPGYNKGKVAFKWEDFRPTYR GKEVHDVEPLDCKAIKRFSIMMRRFVFFGDFFGEQEGDFTLSIVSIAAWKDSREDNLG LEKEEGAIIVESKEAPDYGSWRVYEFKISLLSDAWVLDVPTYGIQMGCGIQKER ANIA_05937 MHFTQFISTSLSISLLAGSALAIPTGSYSHSNSTDGSGSNIDSL LPPIVPVNPRSGNKELITSLLLAPTQADRAALLTEPGDYIFDFNEGGAPEGAEAKGLG GFSIAAHSKTFPALIGNGASMTLGFLGPCGMNTPHVHNRATELNVVVKGRLVTNFILE NGVSPVENTLDLYQMAVFPQGSIHQEFNPDCGETVFVAGFNDADPGVSQVAQGLFGLR GDVVQATLGGIQTFNGQDIESFREMIPKNVALGIEACLAKCGLQKNEKRELKELMN ANIA_05936 MKYFLVLAALAAGVMAYEAVSSPPCNEYEVHKDCGSACHPTCET FEDDVNICTLQCVIGCYCKDGLYRTEVANSGACVPGDKCKQGQGDGRSESDYGDEGGD EDAGEDADEDTWSYY ANIA_05935 MNDIGLLHAPHGPGQDPLQNMDAHEKDAFDALIRPDDSYTADGT YWADLSLFRKIKFVSSYDAGEAKRELSDIWKMFKADPLSPMSYYFQNMVLPGAGLGLE GYVLFSIGNLKPLFQAAFASCWDHDYEGEHICNAQWLNAIEYLEICGIIVGQILVGIV GDWLGRRWGLIQDAAIMLLGLVMLTAAWGVTQNGWVICYAWSLFIYGIGVGGEYPMTA TSGMENAVGSGKVSTKEDRLHRGRKVTSAFLMQGWGQFFNQVLLIILLLCFHHGSGNP PYSTVSAQWTYRISFAIPAVGTLWLVYYRTYHMKAASKQLQAAKKKASVTGYDVNSLK LTFQHFGFRLVATTGAWFANDVFFYGNKLFQSEFIKVISPASTSIMPTWLWNLVNVGV SLCGYYLASFLIDNKLYGRKWMQIIGFLMDFILFIVPAFHFDYYTSPEHIKEFQAMYF LSSFFNQFGPNSVTFLVAAEVFPTPIRATAHGMSAAAGKLGALLASVLYNYIDTQTKF YVVPWFGLAGLVLTFFFLPDTTGLDLKEQERRWKYIREGREQDYHGPAVHPKHLSLWE RVRGTGKYYDAELDYKQKVEEYRAEWEAAMSARISEKDKDGEEDADEELLSGHVNNYF YRTSPMFRGMEAKPGKGDDFALPPAARSDDSTQNSVQEK ANIA_05934 MSPVLFAFGSNGSGQLGIGHVEDVSTPERCIFKDDFSCQSLDSG PPKKKISDNVSRIVAGGNHTLVLLDDGRVYIAGGRESVFKRVFVSDPETGTEYGLFKS VAATWEASVLVVSTAKESQDSRGDAVFVLGSGSKGELGLGAEVTEAQTTGARVPKFPP RNVEVLSVAGGMGHTVAVCSDGSVYGWGAARKGQLGAQLISEKIVWEPRQIDLEGISV NFAVSDAVCGREFTVLCGDKDKGEFAVLGPGSGSPGEKDKWSIQTGIPALESVKGYLR IHASWHGVYVHQKDQSICAWGRNDRGSARYLAKADPGSQDCPAAVRRTLLMKAKDGSY WISSCIPAEHILRLGLLVLSDIPLPIIIRRYKKTIKFGGRRLLRRNCSLCILLRASRG CPFVRELQRSAWTGVSRGYQDSGRLGADGDVDAVVGKISNCSAEGLKCTAISFRKAGS TVHSLVDCDRSKMACWTVVDSHVWIFTNLKLAAFNCSIFISSICPPGEDG ANIA_05933 MAATLPSEILCMVLDYLGDEKDYNSLYQCALSSKLFTEHALSAL YRIYDASPLRGGGTEDEQLRAQTRKPVYSGAKEEHNSSLRKWITLWRSIVLSTLDLTY LPYYSYIRYLDLDDLGNLLGSGPSVKEQFFTRELLEFVSHERLKDGNKRRRVQTTAID NEWIKRKLGSVIVNKNAMIRGMSCDIAPVVLNEWIEVSPQLQSLTVWSGSTLSQQAGE KIREHCPDFRQLRIYIWQDKPPGNAEIEAEELFNALRPNSLEYFEVLSFSHLGPRSMS ALRTQKDSLTELKLTSLNIETIRELASLGPLPKLEVLSLTDSAPAAWDEPFYETLDES ADWIGTCTKLKRLEVRRFMHDSRLLSKVLMNDNIHLTSLSFAGFRLQEGFRFLYDLKN HRSLQYLYLRGEGSPDSAQNNLLVESVGDLHELRELELKDVSDFFTMEQAGELTMSLP SLERLWISGEAFNDSIWPAFSCLSQLKSLAIYALSNFTAGGVIDFISQLGPGNYGLSL SILNATSAVEFPGEMQVKIREILAGSLEGSFDFGLAQEEFSDPDSEIELSD ANIA_10755 MASARAKLAELRALRAAGKKRLSTYEVEEQGDIYEEVDDDGYKK IIRNRLDEDDFVVDDNGEGYADDGREVWNEQTGQYSDESDDDDLPARGKAAKRKREEE KQRKEKINNGISKYFSSGAAAPTPKPKPVATAEDDAFLADLLGEVDNNVVSNTVPKHN VVKSETRRKVRILSPPLSEKTRTSKPAIKDENSGPVPPVAEEPVLDMDNGDGPLDTND DIPMSDTMPSSPVTKAVERKSAVLIKEEPEDDDDNMMEVVQATGHDEAKAPSVNISGS RPPPKIKKQPYATPASSSPVKSAADVNASWNDVRNKLNVLNSPASTETRAFGKLRPQD VAEQDGSLRFFWLDYTEVNGSLCLFGKVKNKQNGSYASAFVKVDSILRKLYFLPREYR HKGGRETDEEVDMEDVYHEVDQMMSRLRVGMHKIKACTRKYAFEMPGIPKEAEYLKLL YPYDKPALPMDTKGETFSHVFGTNTSLFEQFVLWKNIMGPCWLKIEEADFSAVNNASW CKFECQTSKPGLISPVPDSENLDPPTLTLISLAFRTQLNVKENKQEILVASARVYENI SLTETTPPEKLPCKTFTVMRPAGSSYPVNFEAEIRKQRGTYMLEKSEQMLLSKFLALF ERMDPDVLMGHQLQEVDLSILLSRLKEKKTPGWHRLGRLKRGEWPKNFNKGGGFFTER HLVAGRLVCDVANDMGKSLMMKCQSWSLTEMCDLYLGSGNGRQDLDIDAALKTWATSK DGLVNFVTHCDTDTYFIAALVLKLQMLSLTKVLTNIAGNSWARTLSGTRAERNEYILL HEFYKNKYICPDKYSSKLQKAEEIAQEGDEDDATDKKKKDKYKGGLVFEPERGLYDRY VLVMDFNSLYPSIIQEYNICFTTVDRTSSAENENDEKVPEVPASDAEQGILPRLIATL VGRRREVKKLMKDKRATPEQLALWDTKQLAFKLTANSMYGCLGYTQSRFYARPLAMLT TFKGREILRSTKELVESKQLRVIYGDTDSVMINTNMDTISDALKVGEELKTAVNERYK LLEIDIDNVFRRLLLHAKKKYAAINMTEVDGKYVDKLEVKGLDMKRREYCALSKEASQ RLLNEILSGDDQELVLNRVHDYLRELAGNMREYTIPVQKYVIYTKLSKRPEEYPNKET MPPAQVALRELARGKTVRPNDVISYIVTSGDSETSSLPPAKRSYTLQDVIKPDSRLKP DIEFYLLKQIFPPIERLCAPIPGTDAVRLAECLGLDVRKYQINTTTTNSTQNTEIFPL ESQIPDSVRFESAARLTLTCRRCKERSVFEGLAASIHMCKPTGLFCPNSSCGNPISTL TIIAQLESQIRAQTSKYYEGWLVCDESTCGNRTRQISVYGHRCLGPRGQAEGCLGRMA YEYSEKQMYNQLLYFASLWDVDKARAAAEKESNEEKKDSIAALVEFNRVRFGTIKGVV DAYLKKCGRQWVEMDALFKFMLA ANIA_10762 MSVGRVLVIAGSDSSGGAGLEADQRVLTAHGCYALTATTGLTAQ NTLGVQDIFVVPADFVEKQIRAGLDDVGADVVKLGMLSSATTIDVVADALTSYQIPSV VLDPVMISTSGSQLLPEAAVQGLRTKLLPLTTILTPNIPEAQLLLKDAGQEPTNPEDL QGLIDLAKRVAALGPRAVLLKGGHLPLTKDYTAAKGSDDASRVIDILYAGEEVTQFET EYLVSKNTHGTGCSLASAIAANLALGKDLKRAVRNGVRFVEAGIKTSYDIGKGSGPIN HFHSVYSLPFAPGRFLEYVLDRPDVQSVWKRFTEHEFVLGLGSGTLPVERFKEYLVQD YLYLVQFARSNALASYKAKDMESIAASAKIVLHIQQETALHIDYCASFGLSKEEMEKV PETTACTAYSRYILDVGQSEDWLALQVALAPCLIGYGAIAQRLHAEEKTLREGNRYWK WIENYVAEDYTEAVRLGSELLETHMRKVSLSRMEELVKIFIKATELEIMFWDMGLGAG HS ANIA_05931 MSSYGGSGGYQRDSYRSGGGGGYSNGYSNGHGGGYGGRGGGYGG GGGSGYGGGYGGGGYGGGGYGRGGGGAGAGAGGDRMGNLGSGLKKQDWDLDTLPKFEK SFYKEHPDVTARSQREVDEFRKKCEMTVQGRDVPRPVETFDEAGFPQYVLSEVKAQGF EKPTAIQSQGWPMALSGRDVVGIAETGSGKTLSYCLPAIVHINAQPLLAPGDGPIVLI LAPTRELAVQIQAEISKFGKSSRIRNTCVYGGVPKGPQIRDLSRGVEVCIATPGRLID MLEAGRTNLRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTCMWSATWPKEVRQ LASDFLNNYIQVNIGSMDLSANHRITQIVEVISEFEKRDRMIKHLEKIMENRGNKCLV FTGTKRIADEITRFLRQDGWPALSIHGDKQQQERDWVLNEFKTGKSPIMVATDVASRG IDVRDITHVINYDYPNNSEDYVHRIGRTGRAGAKGTAITFFTTDNAKQARDLVTILSE AKQQIDPRLAEMVRYSGGGGHGGGYGRWGGRGGGRGRGGNFTASNAAPLGNNRRW ANIA_05930 MLAATFILALMNGNSLTSALPFKPITRSRHGFDWDSTRNLIAFG DSYTFIQGTHGYPNYSFIGDQLNYAYDARTLLTDKIVQNQTGTSAGGPNWVEFLTGCG LKEGLTSPISCTKQLWDFAFAGAGVSAEHIPLHHPYTISLVNQIRQFTIYGHLVLTSS SQHPSHKATLSAAPILDPASTLTAIWIGINDINDSAKNSSISSFPDFYNTLLQTAFSG LQTLVSLGYKDFVDFVVLNLPPLDRTPANQARTLRNETAAPDATQVGWFNSAITSQAR RFGRRNPDTNVLVFDAHRVLSHVLDFPDQYGIVNTTDFCPGYDQPDIETEYQAYGCPT SLGTYFWFNSGHITSRLHEILAAQLSKTLERWRG ANIA_05929 MDNRPASEYAQSGSLSPFPTSAATHSEFTAADQASAAAPAQYTP QPESRPNPQYTPQPEVRPGTSSNTPQSDYSLNQPPPAARSPAYPEYLARPPQYHHAPN SQPGGAPGMAQATNPSIAASSPTYPPPYSPYTAQGHDMAAQYQGHPPPPPPPQMYARP EWSHGYPQHQHGLPGPYSSPATTVGPASPATTAGPRPGQVYSFVPIPGAQQHKRPRRR YEEIERMYKCGWNGCEKAYGTLNHLNAHVTMQSHGQKRTPEEFKEIRKEWKQRKKEEE AQRKVAEERERAAAAAAVQSQIDASGAPDLSQGGQPPQYPGGVRPQLPPIGYQPADGQ APGQYGAGAGGMPYQGNGQVGYPPNYPHSPYGQSGQVYQQRPSHEHEKREDNRQQTAP LRGRSLRRLLWENQSTSYPQ ANIA_05928 MDNPARQLVRLSLPKSLTVGYKHRVNPTRNPPPQRPSPPASPTR TTNTFQPHDLIRIHAGPLAGSEFSVASYAHLVLVLDRRCQCQRQCQATATTVPPRPAG GRGLALKTGNDLVYQDLKATVNFGGLVTPGKARTRPEHTGTSRTYISTYIYVGMYYVS LSAVPLEP ANIA_05927 MLRCSNATALRTQFSRNIALRVSTSLDSPWTRLPRRAVSLACAT TTRARAGPNGSRSFPAGTSRPYSENSAPEKSTPGNPVLETQMPPTNEAIALRDLGVPE PGVPSGRKSPVRPRGSGPDALMLIAMNKGESVAKKAVEMELAWLKDRTVLAERVQRLL KQDNIAFAAELVRTAQRRHYDTQGAWNAILAYCFGKGHAEAAFRFWNDMKKRGGKPNS FAYTTMLRGMGHVDRTPHVDPMSMARSIYQNMLDPDSPVEPTLIHHNAMMTACGLHGD MNLLWEIAGSLPEEGPGSPDVITYTIILNSLRRQIQRQAAKLGAHEYGAEKTFNARLS AIAEGKRIWSDVVYRWQKGELEMEKSNELVSSMAGLLWEGTGDWHLFEVLKLMHQTTG IPILAKEPSRQVHIGSRRAHSRQGTPLVPEEREDVPLVDRMGRKLEDMTPKRNPEPAD ELEKEEEGDYEHVFDSFLPSSAKPYAATQPASEQPELMRSTLRKSVYSRPAPQQPPPR YTLPKEPEEKGPRYMPIGNRELSIIMETCLQMTNAVQSGKAYWNHLTKEDNGYRITPD RRSFIGYLRILRVARQSRLSLEVIREQMIPQGIESGLPFHIAMSTCRRDRNNLNVFKH ANDLLKLMDESLMIPDHRAMSSYLDLLKVLEDNPQLLMGLNGLDPSKQSNPNFQHMRK ELVVNLQTVAADNLRPLVSTLDDALEASLKGRPDLSGRHGVDPELLKLQKVSGDKAVA VLARIRSLLVAILMPNRENILPKEDRERFEKDELLLRKYTKADVIENFRKRMIYPTAE RQDLYYKRFKPQNENIFEETDALTVY ANIA_05926 MASSSTPYYVHDDPRDDESILDDGVIEADEAIEADDPLHETDRT PLRGNIEPDSSTSRGGNGTSGISGGYLTSRIPGEDRRAPQNTIDESVWQTLSRDLLAV WEKMRQVLYPKYLVGGMLQRGGGGIGAAERGEASGFGGGVRNLLGRWPDADVVLQGGM SEGLRDWDLWGPLIFCLLLSMFLSMAKGDQSDLVFSGVFSLVWVGEAVVTLQIKLLGG NISFFQSICIIGYTLFPLVIAALLSAFGLPTIVRIPVYLVLIAWSLAAGVSILGGSGV VRNRVGIAVYPLFVFYIAIGCLCFIS ANIA_10754 MAPEMRKEVLDVENGVTNQTPADDSQTSDNDGGERPVRNKLKKT TITSAPNQRDDSSRASSRGRKRSYNSDEENPEDDSGHRRKRSRDSNTEEMADAAPAKE TTAVTLKLDAQEEQPEQPQAQAQGSASGLKKKRSRDQLDKDEAKVEDKAAKAEVKDSS ENRESAEKTAATATAEGEPEKKRHRDVLGEREPAPLPSPFANTSSVSPFGSIAASSSK QAEDAKPATSPAAFASSSLAAFAGSEQSPFGSLGASTPSVFKSSTESVPAGSDKPAAT GFASAAKSSGFAGLGGGFSGFSGGFGAAAASTGGGLTSFAAPGGSALLGTSSAKPFGA EADSDEGDDKDDEGETGPAEFEQDKTDERFYERQIETGEEQEKTYFTCKAKLFHFSNK EWRERGLGTFKVNVKVTDDVEDKKGARMIMRADGVGRVMLNTPLFKGMKVGDAAGNEP KSTKQIHLASLEDNRSVPLLLRTGSEDQAKELYHTLQDLLSHQ ANIA_10757 MARQKTKKTASFTGAGPAENRNHAERTSSQHNLTTGVSGCALQV QQSAEDTNAARQKRKLDETVSETLNSQENIDDNVAPVEEESAQSKIAGSMSATKQPGK RRKSAASSERQQQPRKPSPPWPDHFKHLSRTHRALNLIYTFCCTRKHFATTFDNIKKA VQAQTGTELTIEDIARVRVLIPRAVRFEYVNEARLDVLSAGEREVKGWGGAIDNGNGD EMNGEADAKLDGVMYALLFEFLDGDLKKEKKSLTSGTRDKDEDLRMPVYSQKQMLGLI EKRNGKFADAVDAFLVRCEDEGVDAVERLEREKDGFIPVLPDIGSKEGLADGLSSKVR GPIPKDRKTMAEIIEEIRCLDWYTGQIVPDGHRAFDAQPAIYGDLRFALSQDLVNALY NTKGITRFYSHQAEAINHLHDGKNVIVSTSTSSGKSLIYQVPMLHELEQDSDSRGMYI FPTKALAQDQKRSMQELLQYLNSLQGTMVETFDGDTPMANRNLIRDEARIIFTNPDML HITILPQESSWRTFLQNLKFVVVDELHVYNGLFGSHVALIMRRLRRICAAVGNRHVRF ISCSATVANPEEHMRAIFGVDDVQLIDFDGSPCGRKEFLCWNTPFKDPGDPTSGRGDS VAEAARLFCQLILRGARVIAFCRIRKLCEVLLQAVRSECNRLERPEVGNMIMGYRGGY SPQDRRRIEAEMFQGQLLGIVATNALELGVDIGSLDAVITLGFPYSISNLRQQSGRAG RRNKDSLSILIGERYPTDQFYMRNPEELFSKPNCELQVDLTNELVLEGHVQCAAFELP IKPDDDQIYFGPQLSEFASTRLVRDAMGFYHCHERFRPQPSRCVPIRDTEDQHFAVID TTNARNVVLEEVEASRAFFTLYEGGIFLHQGQTYLVKELNPDRFFARVVCVTVDWNTM QRDFTDIDPVETEHMRLITSSSPTKATKITDSSSTRSLEREKERAIRAFFGPIRIHAI VYGFFKIDKRGRVLDAVAVDNPPITIMTKGMWLDVPKVALDILESRRLNIAAAIHAAE HAILSLLPSFVISSPGDVRTECKVAKKELGKDLQKVVRRGGGVGDQDNIPVLKPPHRQ RPARLTFYDAKGGSCGSGIARKAFEFIDSLLKRAVARIEACACVTPKGCLECVCDERC KEMNSVMSKAGAGVVLRCLLGWEVDVEALPWGEIDQDDGGEMGELAGGLETVVLAREV PYRGSE ANIA_05924 MAHSFNLPQTSMTGYIQEPPPPLSAYSILGQNQYPESVALWHSP SAQQPQQSQSQSQIPAVPLTPATSRTPSLLQPLPDQKKHKRTRSGCFTCRSRRIKCDE TRPVCERCRKGNRECVYPSSTTGPASKPAPRSVAKAKASRPQSRGSDSSGPVSVDAEE ARNFDLTPIADEEDEGSPGSSTQQSPKTTETTAPVASKPPLAKKKSAQSLSRRKVVKQ QTVTATESLPGRREDSSSPSTEASSRFGSLSTRSDSIGIHFVDNAGDPSTGHLPEDLR FYISYHRDSINYRHYFMHPRSTKFVNQTIIEYALQYEPLLYAVVGFSAYHHCVQTGGG KLYSFLKYYNRALTLLRRSLGSGEPYTEATLATVLVLTTFEEFIGDWVNLVDHHQAAH VLIRELLSPESACSDDVHRHIFEWYARFDIVAGIVSGNEMVLGRDWYIAREEYDTKEA ARNPDDIEKQMILLSSISRRFGLELASLYAKLSRGMIEFSDFLTENDKLGQSLEQIKD ILSRFATQYVVETFPDQKPLTKDDLVNPYEPGRLHYGPLWEANFYWIDYYSMKAMFKF QFLMATQQGSMNELLALSYEQVRLIETIERWPDKEKGYMFAFKNSATMASMFLPRDDR HLNWSRGIFALMERNGYVIAPKYRAVLAALWQLPEIHHWWLPDGRDYPSIIREVREMT EERTTNPRDNFRESVRDMKAVFGKLNLDETESEASPASVSTDVPQSTGSNQ ANIA_11494 MCASPLCQHKRIRPRRFELQGVEKVTVYNDTDP ANIA_05923 MNKSTTQLTGAVQQEVPQAHPPVQLPKSMIISRRTRTSSASQAL TILLELPTDLRRRPDSDVAVIVWPTEMTDTIIPAGNRLPAMCPSKSVGGMRLEYPPGY RSCGRVMDPQDDAADYEYLTLESLRF ANIA_10753 MDSIRLTVLISGSGTNLQAVIDDTTLPAKIVRVISNRKDAFGLE RARRANIPTQYHNLVKYKKQHPATPEGVQRAREEYDAELARLVLEDKPDLVACLGFMH VLSEGFLGPLEAKGVRIVNLHPALPGEFNGANAIERAHQAWLDGKIERTGVMIHNVIS EVDMGKPILVKEIPFVKGADEDLHAFEQKVHEIEWKVVIEGLQKTIEEIRTTKS ANIA_10760 MGQYSSTQREHRHQFPTESPLPRQRSHSRNRDEDMNNGQNPERA GAFSPQAGQEIDNNDVQMTHSTETNFLVDPLQSLISHSSMLGSEEQMGNTQDETGSQD DASQQDYQSALFARVARRHSTMSRLGSRILPNSVIRGLLNSEEETPAEGHAHRHGVVS RTIPRSEVNQSSARFSPFASLSSRGGSRRRSLRGPYFIPRSDAAINNNGFLGTPSGPS TDGSAEPGWGWRRSLRIRRVGRVGHSLPTPIAQMFGPPSSDSTPAQDTENPPYSFHNS DPFSFIPHPGPLDTQMDFDTPHELNSVEPALADSQPASPMLTSQSQSSTRHFPSLLRA RPPRALRREEQTPLSRILQLAATAIATQLSGGAGPALPNIPSLGNDGFDGSLESFIQS LRNATSGQPSSGDSNNNSEDERPPGPVNFMRVFRFANSDNSRSSDAPNRASTDQNNAV SNGDNMETDHHAEGQEGRTVTLVVIGVRSVPSGNGPAGDQQTAGLPGLDFLRLPFFPP GTLSPRPGPRPETTTSDHSASSSAPPANVDGSIQPGSPNVPRRLSDVGSRGTLSSLPS VVSESPPGPHPPPSTPAEPGLSAVSSGASTPSRRLSTTSAVSPNIMHQLNESRPSHPT VDNRDESLPHNTTHQRRRSDSEFARHREQLGSGAARRNGVVEPDNHNAAPGRSWLIYV VGTNLSENHPAFAAPSLFTDNPTYEDMVLLSSLLGPVKPPVATQEDLISAGGLYRVVK CGDSMSAAAVDGTRTIQISEGERCLICLSEYEVAEELRQLTKCEHLYHRDCIDQWLTT GRNSCPLCRGQGVADKSGAEPPRPSDAPRAAAA ANIA_05921 MAFVPSATSFSLPLPLWQQNPSLRAARYERKRKNEYDTEEDNTG PNGGEDGETTDGLSEFGLTSSSVLLSPEEAHQYRIAGLPFNEELPDTKYFPHAPATER SPLSPPIYVPQSAAHQGNLRLRHLAVLTSVLHRCLLEGDYIRAGRAWGLIIREQFGGN SIDVRTDDRWGIGAEILLRKDHQLSEELPEGEKADSGRNGDVPRPLFTRKGFEDAKQY YETLIIQHPYQKTAPNAISALHFYPAMFGLWIYVTQEESTCARRALEERDPASLREPW DDEEAGSENDGQDDWWNRYQNLAAGIRAKELAEAERIAARMDGILTSPPYSDSPELLE LRGMVSRWIADLLVSSLPSAKSDDDEYDSDHNVSVADGDDDVFMGTTQADGVEARRER RIAMEKRQAELTRSQEFMEKAQKRKRGVSSRLNDLHIDRDAFAALRCGRDYVHSKENR QHQPRAIEPGNQTRRALAEQAGLEAPSTTLVAPVPIPGNRQAPIERYDTPFGDQAFIE KPVHRDAFDTDVEGIDESTIAATSVIGNDDVTYRLSPAARLDANANADMNMFGTVRHM DPRQHPQQEQQPHPQPSPSPTRQLRTGRRANESKWYEGLGDRALRSAGLDLDDLEAAS QTTSTAGDDEKSNDTDDQRFVPRYRATEEPLSRRLQNFWTASRRTTYQNDTIGQEEPT KTQSFIPVASTARTLPADSRKVTLTRSMTTTPRTRFSPPKPSLLDQLDLTPTRRTPGI TRTHSRKDRERNTEKEGSRTADDTIRAADTGLSLFADTNNHRAGTDESPRSLNAFELT NFDDLENDSSMNDPFSRRASIRWVGPAEADSPPTHTHTDTNMNMVTKTPLGKRNLEPD YPPEVLCTKTFSELQAEPFDRTPNPKASRPAAETQTQPPEMGKDEDKIAFLLRLTEQE RGEYFSKLSMDDWEGCGDLLIEEFSKLMTKMKELRHARRKTAAIFEAEVKRRHTMVEE QSAEISAKFEEMRAGGAEVLRGRSP ANIA_05920 MSGDTGAKPRLHSTRSFPRMDNNSDTRAPTIRSRAKTVQSVAIP ESEDSLHLDLSESEHNQVTGPDLFEKSASSYVENGADGETSVLSQNVPNQQEELPIEL ISLTDRFVSSLSARVHSSPPTIERISTLFQDFYLRAESHIATHISALASRINRDPSPH LPDRKDTNASSRQMLTASEVTEKRIARKLLASKQVSLEEAVERRVCESIYDKIWRHKS TLDEVRDEKLRSKTAALLLVGINLNELGVDIDITAIDEKSQKDADDCFSTARDSLMKM NEERYPLGKLRHLAASHKAIVDALTKLLPSSSSADEILPALIYTLVTCPPEGINVISN LLFIQRFRTSSKVNGETAYCLTNLEAAISFLENVDLSELRAEEGQEGGVKAPSNEPAS LAESIRPPQPASQEPTSSLSTVTASAEPSKPVGGEPSETLTGLTPAQQGRLNTFLQPP AKVLGAANDAVRSTADQGLKNISATLDSSFNFLFGRLKELQSNQLPGRDGITGPVLPK TLAEARRLVTAPASGGSAPDKEDTPRETPATEPSRLRRIGSKAEDTFTGLVAGQRTPR DRSTDSVRTHGSSRASLATSTSKDEGRTPSTNSALATPTLESVRSFGSSINPLNHIPG MIRGFSRGTPESPAVSSLPTRTKAPAGDASIDPPIQRFLDIQTANELTIGDVSVLLDD YKRISAALVKQGSSLK ANIA_05919 MDQPHRRTRKWHLMDLSVSTLLMSLALVLPSCVSAYQPVYFRSQ EATPFIPPQVPSADPQSLSGTHEFTLRHIVQRGAYEYPELHRRLDIKPNTQLRTVSED GIEDNEPAVFNVPFVASSEPVTIERLADRRLSVIEEHLAAARSAGSAVTLSSSQWTKD TLAGPNVTDKKTILTFAKMTANDYIEVPGTEDWQDINGKLNYSTSFGWQNDGLRGHIY ADDTNSTIVISLKGTSPALFDGAGTTTNDKVNDNLYFSCCCGQGGSYLWREVCDCQKS AFNANLTCIIEAMNDENRYYRASLDLYSNVTELYPNANVWLTGHSLGGAMASLLGLTF GLPVVTFEAVPEALPAARLGLPTPPGYNPALPQARKFTGAYHFGHTADPVYMGTCNGV SSVCTWGGYAMESACHTGQMCVYDTVADKGWRVAIGTHRIKAVISDVIEVYDDLPQCA PEEECYDCELWKFFRSNGSEITTTSSTTTTSTITTSTRTTTCKTPGWWGCLDDSTTTD PPTTTTTTSSTCKTPGWFGCKDPTSTTATTTTEAPTTTTTCKDPGWFGCRDPTSPTTS TAPQTSTCETPGFFWGCYDTQTAVDHLITPAPILIDL ANIA_05918 MGQNDDQKTYRYNESPVYTTSNGCPVMDPQASQRVGPNGPLLLQ DFNLIDLLAHFDRERIPERVVHAKGAGAYGEFEVTDDISDITVIDMLKGVGKKTKTFV RFSTVGGEKGSPDSARDPRGFACKFYTEEGNWDWVFNNTPVFFLRDPSKFPMFIHTQK RNPQTNLKDATMFWDYLSTHQEAVHQVMHLFSDRGTPYSYRHMNGYSGHTYKWIKPDG TFNYVQLHLKTDQGNKTFTDAEATRLAAENPDWHTQDLFNAIARGEYPSWTCYVQTLS PEQAEKFRWNIFDLTKVWPQSEVPLRRFGRFTLNKNPENYFAEVEQAAFSPSHLVPGV EPSADPVLQARLFSYPDTHRHRLGTSNYQSIPVNCPLRAFTPFHRDGAMSVNGNHGAN PNYPSTFRPLQYKPVKASQEHEKWAGSVVTEQLPVTDEDFVQANGLWKVLGRQPGQQE NFVGNVAGHLCNAHPRVRQATYGMFRRVNADLGKRIEKATEKKATEARARL ANIA_05917 MESSKAQDVITPVKNEQSPDSHGSPTPMAKVVANARAAAHKEQK MTLLQGIKLYPKAVMWSVIISTTIAMEGYDISLVNNFYAFEQFNRKYGELGANGEYQV PARWQSGLSNGAYVGEIIGLLLNGWASERFGYRYTVMACLILITAWTAIFFTAPNVQA LLAAEILAGVPWGVFQTLCVTYASEVCPVALRSYLTTYVNFCWGLGQLIGVGVIRSMI GREDEWAYRIPYGLQWMWPVPLFIGIWLAPESPWWLVRRGKTQDAKKSLQRLTSPHRD TDFNADETIAMMVHTTALEQKLTSGASYLDCFKGVDLRRTEIVCMVWAIQNLSGNSFS NYSTYFLQQAGLDDEKAYSFAMGQYGINMVGVFGAWFLMSWGIGRRKLYLYGLCGLCV MLLVMGFLGLVPESHRTQSSLATGSMMLIWALFYQLTVGTVAYSLVAEISTRRLQIKT VVLGRILYNIVAIICGVLTPYMVNPTAWDWGNFAGLFWGGICFLCIIYTYFRVPEPRG RTFAELDVLFERRISARKFESTQVDVFDETFEGKVVEDYQNQKGLPDDPEKLPTGVF ANIA_05916 MFARQSTRFLFPRTTTVITRVRLYSSAAPSYEHILTSTPKPGVG LITLNRPKALNALSSPLFKEVNDALSKYDESKDIGAIIITGSEKAFAAGADIKEMAPL TFASAYSNNFIAPWSHLANSIRKPVIAAVSGFALGGGCELALMCDIIYCTASATFGQP EIKLGVIPGAGGSQRLTAAVGKSKAMELILTGKNFSGKEAGEWGVAAKVVDGGKEELL EEAVKTAETIAGYSRVATVAAKEVVNKSQDLGVREGVEYERRLFHGLFGSQDQKIGMT AFAEKKKPQWSHE ANIA_05915 MDVPEPEQTPFTAVSAQTSKLARQYQTYLDASTPFTAYRWIGTA VLLFIFFLRIILAQGWYIVAYTVGIYLLNLFLLFLQPKFDPSLTQDEGLEDGDAGAPS LPTKQDDEFRPFIRRLPEFKFWHSATRALAIGFLCTWFSVFDIPVFWPVLVVYWILLF VLTMRRQIQHMIKYRYVPFSFGKARYGRS ANIA_05914 MSSLASGPAGFLLSGFDRHYASIAGAAFFVCALVAVVLVVASQK RNKVDNNSGISVYLRFIYASFLKPHDKGCQLTAPQATVYDATRKRLLRGREDMLGLVA AQLKYKVENKELRKGKAIWVDIGGGTGSRYNIEAMAEFVPVPEFFSHVYLVDLSPSLL EVARQRFERLGWKNVTVVCQDARAFQLPEDHIDPLKSVGAGADLVTMSYSLSMIPVQS IVDVSSRNYIGGVFNRHVNWLGRAFWRAWFEADRVNLDAARRDYLEYRFGTVISASER NYLLGGIPYYIFIGRQKDIYPNQASREAIEKLDASFTESPYLSPANHREEMNNAIIQS TQEIHSKAYESAVVNLSANLPLPAAFYQNHHYRIFYNDLLPKHTQFKNEYIYAFNWED PRVDHRLLNIKRDDVILAITSAGDNILDYLQKSPRRVHAVDLNPNQNHLIELKVASFI ALGHRDVWKIFGEGKHPEFRNLLISRLSPHLSSQAFQYWLEHSHVFTSSSGRGLYETG GSRHAIKMIRYIFKVFGLEGQVKKLCEAQTLAEQRQIWPRIRAVLLSKPLHWAVVSTE WFAWKAAGVPRNQRNMIVEDYFKRNGLTKDMKNAKDISGKSIWEYVVDTLDPVVHETM ISNDNYFYYLCLKGQFSKRCAPAYLSPQAHVKLSSPGAFDGLRIHTDEINEVIGRITP RSLTIAVIMDSMDWFDPEGTEATAQAQKFNQALKMDGRILLRSASIEPWYIKNFEENG FSARRVGARFSGTCIDRVNMYASTWICTKTRELQRPTQDRRSNSVEYLEI ANIA_05913 MATPQLQTAAVLPSTGATLTSRLEIRHDRPIPSPAAGELLVKLE FSGVCHSDVHSVRGETPMLTDVAGHEGVGKVVKVGDGVDEGAWMGRRVGIRWLYSSCL ECEICERNNTACPNQKNAGANVPGTFQQYIVSPAMHVTKIPPQLSPDEAAPLLCAGIA MYSSIMKTKTRPGDWIVFPGAGGGLGHMGVQIAVKKGLRVIAIDSGEKKQKLCLSLGA TAFLDYKTDDVESAVKQLTSGLGAHAVICTANGEAAYEQSMRLLRRLGVLVCVGIPNL PFRLPATPFDMIVKGLTIVGNSAGTAEEMEELMEMAVAGDLKAHIECFEFDQIDDVIQ RLGRSEIEGRAVMRIPE ANIA_05912 MGGSLSRLWSLFWSKKEIRILILGLDNAGKTTLLYRLKIGEVVT TIPTIGFNVESVTYRNLNFNVWDLGGQTSIRPYWRCYYANTAAVVFVIDSTDVERLGT AADELAAMLNEEELREAALLVFANKQDQPGAKGAGEISEALKLGELRDRNWSIVACSA IDGKGLNEGMDWLVQTLQSENA ANIA_05911 MVGKKSGKALLRDEGLERTDNNMDLSSWPVIPAINQKNYYTDYL KRDDQYLAFRLQNEENRNRMAKKAKDRDRAMAMEKANDSGIAEPEAEMDGDTNMEEAE EAATEAIGSKVVVIHVGSQNLRIGLSSDALPKTVPMVIARKSTTNEAEDQEEPRPKRL KLDDGSEMEPEKKFGPEFSSQYTTMMADLKTHMRQNKRRTLPNSKEMVINYNRRTVPE TISEHNDPMRVEWTEIPDPAPEYIVGQPALRIPDESKPRYKLYWPIKHGWCNEEDYDN KRLLFLDISIILEDAIKTQLGLTSKKDWPQYSCVFVIPDLFDKSYVTQILEMLMREFS FARVCFIQESLAATFGAGFTSACVVDIGAQKTSICCVEEGMCVENSRVNLKYGGADVT ELFIKMMLYDHFPYEEINLWRRYDFLLAEELKKNVCTMNEASVSVQVFDFHLRVAGQD TPTPSRSQQLNALSRVQEAEATPRSSVAGSPGPESTPQAGGAATPAPAGQGQNTSQPR APTIEERDDILPVYPLDKAILTSIMHAARSDERKMRDFLGGIMVVGGGSLPSFAKEIM IGTPPRDLDPQVVVWKGASVFGKLSGTNDSWISQLEYDRLGHRLLAYKCMWAY ANIA_05910 MSKSKDSSSSGGFHQEYIASLRYRNDLPPPDMPPKFLDIPHEGL ERFLTPGFASNLGRREELNIDVDAEGGMPIDLVGIPGLHLGDESAIMLPENPDPVDPA DLPLLLTLDQLKNPAPKNANVSFLRRTQYISAGIRAPDGPKVNTPIRPKRLDKKSQDD PTYIKKYIMKGFDIAYPDSKHVGEDTSSRIKGHVPTKLEMDAWAQPVHPDNPKLKPIG FFPLVPDLQGFPDPGGFVQFKFDKAPVQNSGGKRDERMDVAVLLPSEPEERVAQEYAT KKALHKSNPSLYPDPGPVPYDYDLFLPEKKDLVNNVRASLQLSNPDRDNEDLYTNEGP DNAKFHRYDRQRTYATNSQSLGNAQKQTDIALTLYDPAEAKGDGDSHTQKAAYYYPII SKTRLKPERSRTIAQAGLAPTQPKSKEDQVHQMQVMVRDPEEAEVYKRSTYRAAIDPK FAKTMPPPPEPAEEPEPQDADVTHADSQPQEEEEDDDADKMSDE ANIA_05909 MATNSFRKLTFSGASRLGGCRRLPLTCRQLRFASDSGAAAATTK ATAESAAESASINVKEAPKKAGRGLRRTVLGTSLALTLLVGYVYGTDTRASVHRYGVV PLIRALYPDAEDAHHIGVDTLKMLYKYGLHPRERGDPDGDGALATEVFGYTLSNPIGI SGGLDKHAEIPDPLFAIGPAIVEVGGTTPLPQDGNPRPRVFRLPSQRAMINRYGLNSK GADHMAAILEQRVRDFAYANGFGAYDAAKQRVLDGEAGVPPGSLQPGKLLAVQVAKNK ATPDGDIEAIKRDYVYCVDRVAKYADILVVNVSSPNTPGLRDLQATAPLTAILSAVVG AAKSVNRKTKPYVMVKVSPDEDSDEQVSGICDAVRASGVDGVIVGNTTNRRPDPIPQG YTLPAKEQATLKETGGYSGPQLFDRTVALVARYRSMLDAESETAGSAKDSAATIAQTE PGSENVPPVEAPSGLPRKVIFASGGITNGKQAHAVLDTGASVAMMYTGVVYGGVGTVT RVKQELRTAKKE ANIA_05908 MATSTKQTYPVLPKNLLLISLKMYFEPSRTLEYCRALLDPKNDI VRPENRSKLLLALIPDFLTIYPCAEIIKEYVSTLPKDDSPSLPAPFLLGAQDCFWEPL GAYTGEVSPLSLSSLGVSIVELAHAERRSIFGETDEQAARKAAAACAQGMVPLVCIGE ISAPGPVASAAVGLAVRECEPLVRAILNAIPADAPIIFAYEPVWAIGKPKPASVDHIS AVVDGLRALIGRRSGDVRILYGGSAGPGLWGPGGLGKAVDGMFLGRFAHDIEGVRKVV REVEESLT ANIA_05907 MSQSLRIVFAADEAGQPYKETLKEVLSKNPNVSEVLDVGVNSTS DKTAYPHPAVEGAKLIRDGKADRGLFICGTGLGVAISANKVPGIRAVTAHDSFSVERA ILSNDAQVLCFGQRVIGLELAKRLANEWVTYKFDPNSSSAPKVQAIKDYEAEFAK ANIA_05906 MSDELKRQGDKAYKEGNYTDAENYYSQAITKNPREAAYFANRAI TRSKLENWAGAEHDARAAIELYGQKSPFSLKSCNYLAQALLNLQRPQEAYDVAIDAYR ASLAVRNTDLPGKKPPSQTENLSKTVLRAKQQIWAAKESARLREMNQTLAMMEQLVEA DLNRALGDLQRQLDQGEIGQTGFLESQKELREDAEKNIQNLREAFRIASKGEIQERIV PDYLVDPITFEIMHDPVIVPSGTSFDRIGILKYVEQSGVDPITRTPMTVNDLRPNYAL KAACEDFLTKNGWAVDW ANIA_05905 MKFLPLESTLVVIESLVLVLALDFEPDTQGFNVHDYERLPLTLD LATSQSVVAAAGNSWWASSYIYASDNRPYFIVSHVGNPGPGYYRYSILDVSNPSYYRQ YAYQGSEADPVSVHINGANITLPTYGFEAVDPADTLAAMRTWSTSDFEFDLTFELSSP VILNGGSGTFTWGPYLTYEWSLVGGITMGNFVVNDTRLTIDPVRSLTWYDRQVVFASG IDPSGSAASQNWTWFQLHYDRPEHRNNEKNSQFRPSKISVWIWDYDSNPRVQFATVLG FEQLAGQQQVLSVTEFTPSGRTWTSPGCGGTYPLDWTIALPDGTRLYIEAIRDDQEFC NASQPFQPTYEGFVSFKGVDGVGNNVVSINGNGFALGRPMALDRDSPRAFNAVTCSRF PPLLALHFIPSRGYQMRRSNQCRSPFRFQGVDHCLDVEEAQGPYENATAERNRETMIA RFQRQRNKREKDHSLFG ANIA_05904 MSVPTFTAALTASQNKEKYSAKVQELAAAINADALSSAIEAILS GGDDATVSDAEQSKALTAGFEYATELVKELKSSPGNDDKLKLYAFFKRSKNEEPAAPG AFSFEAKYKYNAWKEIKDISQQRAQALYIQKVNALLESIGTN ANIA_05903 MDNHPVDRPAKRPKISSHSEPENLKNSSLSDIASLRRSITPPPA RSERPSVSTTSVISPHQHSDQDINKANASQIIPSPIQLNHISDFSDSLRNNDDTVKLR DILGDPLIRECWQFNYCFDVDFLMDQFDEDVRNLVRVKVVHGSWKKDSENRVRIEKAC QRYPNVEPIVAYMPEPFGTHHSKMMILLRHDDFAQVVIHTANMLAGDWGDMCQAIWRS PLLPLTDGHEDKNSTAWGTGARFKRDLLAYLKAYGVKKTGPLVEQLGKYDFSAVRAAL IASVPSKQKVDASSIDGNSKTKWGWPALKEALRNVPLRENVGADGTATVPHIVTQISS IATLGQTDKWLKDVFFNALAASSSSTKTRPRYSVIFPTAEEIRRSLKGYGYGGSIHMK LQSAAQKKQLQYLRPYLCHWAGDVSGQAPKRLQDAGRRRAAPHIKTYIRFADQHMRSI DWALVTSANLSTQAWGAAANAAGEVRVCSWEIGVLVWPELLTTEPQGQRKHQQQSRSV AMVPCFKKDKPDPSSKVGNAAPAALIGFRMPYDLPLTPYSTQDEPWCATMSHIEPDWL GQTWINSIASFHHHGQLPYW ANIA_05902 MNAQPRSALALAARYAVPFGLLLIPIWMTQVNSVVPEPYLDEAF HIPQAQAYWSHQWTQWDPKITTPPGLYLFSYAVCALILLLRGSPEHLDPPALRATNAA AAAVLLPLRLQTALDTVRKQRNTRPSGAWLSHTVLNICLFPPLFFFSGLYYTDVLALL VVIEAYNWDLSRGRPNAVKLETAVFLVLGVLALLFRQTNIFWVSVFFGGLQVVRRLRR VTKNCESTNVADILAAGSRNELYDPLVLDASLVDYVKTAASLCSVALNNLGSVITSLV PYLIILATFGGFVLWNGSVVMGHKEFHTASLHIAQMLYIWPYFVFFSWPLLLVPMANI VLPKFMLPKFLNQGFPASRRRLPSLLTVLIILPIMLAVVHFNTIVHPFTLADNRHYVF YVFRILLNSHPYTRYVATLVYFLGAWMIISAMGYSPVTAAPGLASVVRTQAPPASATS AEERTEKTQKLERKQKGFKKSAQVASSAPAPIDPKVLADLQEHIRRRQRLEHETSRVS FVLVWLAATALSLISAPLVEPRYLIIPWVMWRLHLPPSPTPVIYRRASDEKDLEARIA VNFPLFLETVWFLLVNVITGTLFLRGGFEWPQEPGKVQRFLW ANIA_05901 MELVTIVPRQRLEGLELLGGPVAPLIPPRRTNVPLWLALLLKRQ RRANILPPPWLHPESLSLILDIETRDQAYQHAFSPPPPLPGQPSLRERSYKKSTARPR FTPEGTKYYATPPFLPQNVAQDQAFDAFESEPPTLPFHWLEVGTMLLDAAADDLVDPD QTRRLLKELREVRSAKIRSGVEVLDDAAGPGGGVALTGVGAMEIGEGRGFISGVVDGL RRIGASKEQARREQLADATNREYDDMQDYNDEMEF ANIA_05900 MPDEEPTLNIPSLLTLAVVSFFVIRWFFKRDDDNSALGGSRGRA RGNVVDPAQVEQISQMFPQLSTREIMWDLQRNGGNAAATTERVLSGRGLDAPPPSFQP LIAIPPTGVPAQPAPSSAPSKSDGQDLITRYNLSAKIAEAGGAEPESGSDSKPSAGGW SQNKEERQRLLQKRRDDMILAARRRMEAKQQQQQSAQ ANIA_05899 MRITEIIIDGFKSYAVRTVISGWDESFNSITGLNGSGKSNILDA ICFVLGITNMSTVRAQNLQDLIYKRGQAGVTKASVTIVFDNRDTAKSPIGFEEYATIS VTRQIVLGGTSKYLINGHRAQQQTVQNLFQSVQLNINNPNFLIMQGRITKVLNMKAVE ILSMIEEAAGTRMFEDRREKAAKTMAKKDLKLQEIEGLLKEEIEPKLEKLRAEKRAFL DFQQTQNDLERLTRLVVAHDYLRSGDRLRASGEECEKKKRKIQALEDNTAKLKSEIAH MEEDVKRVKAVRDKELRKGGKFQALEDEVKAHSHELVRLTTVFDLKKASIAEEKEKSK ELQKSVKDLETSLKEKKKIYDKLQAEYDAAKAELDAQTAEVEQKEELLQTLQTGVASK EGQESGYQGQLQDARNRASNAATEQEQAKLKIAHLEKRIKEEEPRAKKAKEQNSGLLK ELEGLKSQAKKLEYELSKLGFEPGREEQLYQEQSGLQKEIRELRQRADGLQRKVANIE FNYSDPYPNFDRSKVKGLVAQLFTVDKEKLQAATALEICAGGRLYNVVVDSSDTGTQL LQKGKLRKRVTIIPLNKISAFRASVEKIGAAQNLAPGKVDLALSLIGYDEEITAAMNY VFGNTLIANDAETAKRVTFDPSVRIKSVTLDGDVYDPSGTLSGGSAPNSSGVLVTLQK LNEITREIRSKERVLAALEETMKKEKKKLDAVRSIKQELDLKNHEIKLTEEQIGGNSS SSIIQAVEEMKANIEQLKQNISDAKLRQSEASKDIKRIEKDMREFNNNKDSKLEELQT TLNALKKSLSKNLTSVKNLHKELQASRLESEQVGSDLSAAEEQYAESESTLKAQLEEV DSLKREQARIKDAHDIAQAHLDDERAKLTGFDDELRDLEQTMQSKNSQITEEGLEMQK LGHQLEKLQKEQNAAEQAVAHLEAEHEWIADEKDNFGRPNTVYDFKNQNIAECKATLR NVTERFQGMKKKINPKVMNMIDSVEKKEAALKNMMRTVIRDKSKIEETIINLNEYKKE ALHKTWTKVNADFGQIFSELLPGSFAKLDPPEGKDITDGLEVKVSLGKVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEVDAALDLSHTQNIGRLIKTRFKGSQFIVVS LKDGMFQNANRIFRTRFSEGTSVVQALTPADLR ANIA_05898 MNRPGPGPQPLRAMSGFPAQQQTQARNATLASSRLPNGKISSGA NWNFNLPVSGTPGIQGNQQRNIGTMGTFAQSLSGSQPATPLDLSDFPSLSGAPSQSQT QSPAHLVWANASQRGVQQTPVQRQQHPTSQAPSRGPQTQTQLAQQQNQSSHDDVFPSG SQFANRLDDYRNGGQGISGQLSGGAQPQTGNIEEFPPLGRNMPADIGQDRRSSLMQGA GFGAFNTNLPFTGVNQNQAQNRNVMGNSISGQERIASPGVTNSSGIASSRSPVNHQTA NGIPGLEKEDANNAGVSSQQQSIPAAPGLSRPSQDTGTEQQPLTELSELDKFGLAGLL RMIHSDSADVASLAIGQDLMTLGLDLNQPDMPRDVMQEVAAEELMGRKWRYHKIERCW LTRDDSYPGPVDVERGVSERGVYLIWDPATWKKVRREFILRYEDLDNRMDPNRTLSRV AFPQHGS ANIA_05897 MHRQSVVRLSRQLGAFPLVELPPPYLAPSLHFPLNRSSVQTSNF SSTAPAAGHGKDLNKSRAVSAIHRTGPRFKLGASKYPLPKPVSPEKLEKRESTPDHGL WGFFPKDRSALSTPEYDNAHGRSWSIQELREKSWEDLHSLWWVCLKEKNRIATSNLER KRLKAGYGEWEANQRLRTV ANIA_05896 MCAQCRKHYMLLALEAPDITSGRHPLALYERTRDTYPAVFTAQF RVGDPEETIRRLFSEVQQRASQLFKKTVHVYADVRIYSFRSPAQPPAVWASFLIDFPV LVQVDQDLKDAIFGDVAPHAQAVGWSEGIPLGMEEEDSDLDPDEQDDLCLFRLQWFMK RLTHFPGDVRL ANIA_05895 MDEIAPEYDVIVLGTGLTECVLSGVLSVKGNKVLHIDRNDHYGG EAASVNIETLFKKYGNVRPGEEPWKKYGRVNDWNVDLVPKLLMANGELTNILVSTDVT RYLEFKQIAGSYVQQGKGPKATVAKVPSDAGEALRSSLMGMFEKRRAKKFLEWVGEFK EDDPSTHQGLNIATCTMKDVYDKFSLEDNTRDFVGHSMALYQDDDYINRPGTASDAIN RIRLYVNSMARYGKSPYIYPLYGLGELPQGFARLSAIYGGTYMLNTDIDEVLYDENGK VSGIKATMKDREDGGETMKFETKTKKIIADPSYFPGKVSVSGYLLKAICILKHPIDKT DGSDSLQLIIPQSQVGRKRDIYVAMVSSAHNVCPKGYYIAIVSTIAETDSNHHLELEP GFERLGDIEEKFFPPPIPLYQPLEDGKKDNIFISKSYDATSHFETTTDDVRDIYRRAT GEELVVEGLREGQTLAQE ANIA_05894 MELLVELSVTTHLRFPPPRTAAARDHLRAIRSANKPRQIMATDP SLQDPLLALRRAIAAGSLPTATTSSELSTENATDDLAKATHLYFQHPLPQSLALNSPT RFNSSSSDSPVDLRSIFFAYQKKDVAIPEYIASVQELNEALKKKERADGAPEEQAQNL TFVERLDLITWLEGASDDSEYIKPLEGAGGVPSSATQAQTSASAGTGAAGASTGPSAA PAAGAAGGRAGKVIDPRLQEIYNGERKMGDRNSVLRGIKQTDFSHVRKVAETFLGRNR SRAGQYPPGTKPGTKPHSMIPAPSAGLSQPRKGTSKTQDPIILLSPSASSLIRMSNVR SFLGDGVFVPPDHPTLAMPNSAASNILYIHRPLGISDPSSSSRALGSQGHHARKPTRF ILVDSTANFKPDYWQRLVAVFTTGQTWQFKSYKWSSPPELFKHAPGIYVGWRGEDIPR EVRGWGRGVRSFFVERWDEKGGVNGSGRWRDREVVEGIWTAIEEGMKLRGWGSK ANIA_05893 MPTSISTAPLSQGSPPSSLIDYQPQSVPSSSSPPPSTAAAAAAA VVVAVPSSSSPVDLGLPSFTSTSSLITSDVPATTTTPSFTGSVIGSISRRNRRSFAAL AREKTSSALANLSSIGSTTNSSLRQSASSGSLQKHSRKASQLSVGEISGFVPLSPPLS DGSGSSEQSSSAPFEPLSAVTEQPNPAAERRRQTIQLVPPISENIPVSPAKMHQTSSR LLRMTEDDRPFTKDFMDLFSTLMVSLKLDSHRVRFTKYDHTFTSEEAINNLGSLKFSQ SNRMPDPKDPSRIVTTTTTTTFSMAKEMARSVCQRFVDARFIESVDGKYSHTFPLKGA LYQLTPKGINILQRFCQRNGITARHVIDVLESPRNTMQLVNLERDTETDKLSHDRATI EVIFRRFAGQDGPNVKSSVSSSDSDSLSDYSNGLVGVKMARERKVGDKICANTFTGKA AVDWLMDCSTTIEPRETVLIAELFVKYGLITVLQEDRSMPQVENSLVAFQPSKNAIYA ITERGQRVCGWLARDKPRDTTTYDSRGIPRDSNNARLNHILQDPALRLLFREFLRFSL CEENLSFYIDVSEFTTQYHKFDKVGHFKKPDAVRETLAAAYGLYNAFLAPGSPCELNI DHALRNSLASRMTKAVGDDDSMLKSLQEVVQLFEMAQTSVFKLMSSDSVPKFLRDPKY SAILQEHDVDDLIGGGRSYSPTPGNVPERSMSRSQRS ANIA_11493 MALCGSWKRTSNIVSRVNPRGIKVAGQTLCSMVCPRLKIWHFGS LDYFTTAVEV ANIA_05892 MKETRTAKRRRVSDSTGMDRDGDTTMQDVATPLQTQETPNAAGT DTANSSNGDANTDTRTDATPSRAGLRSSGRQRKAPQRYEDEVIHTRSVRKSRSTPGKE KDAVTSTSSSTAVTTEKLPRSGSKNARQQPRRSLQRSTSEAETDNKDEEEDEEDEEDE EDEEEDEDEDEDVDDESVSPEPKTRRPTRTCSKRTSVRFTETRANEPKDGDKNGDADG ESPDDFPDALDDLVNMQLQNGIAQGQLSGQDEAMGEEPLPAYAEHFQQLVRNGYTKEM QLLTKTVVEKLNGKRLVPLKGLDTEYLKVHQLIEQTVTFGEGNSMLLLGSRGAGKTAI IESIVSSLKQEHKNDFHVVRLNGFLHTDDRLALREIWRQLGRETNTEEEAGKVTSYAD TMATLLALLSHPEELQASADNQNGTTTAKSIVIVLDEFDLFVTHPRQTLLYNLFDIAQ ARKAPLAVLGVTTKVDVTEMLEKRVKSRFSHRSVYVPLPRTFEVFSDACLGGLDLEES EFASADDLWDAKSDSWMMALDGWRAYLQGLWADPAFQTHLRRIYHTTKSVGEFFMSAL IPLTELHHSLATSPIIPTPSTFSTHALSCPDPAPLPFLPSLSTSPSSAPSSLPLSLLL AATRLTALYDPGSAETAAQDLAPLALSFPAAYAEYVRLLTSAKTSASVSGASVTPGRV WGRDVAREAWERLVAWGLVSPVGGGSGTADGRMFRVEISFEEVVGLVGKGGSLGRWWR DA ANIA_05891 MTETSPVVITHPTTEPFPVTAPLDSPIVNGAVSDSVPAEEEEPY TIKCICAFEDDDGNTVFCEGCETWQHIECYYHGRDVPEVHNCVDCEPRHLDSRRATER QRRLREQSDGGDRKAKRSGTKSHKKKAKDHADHVNGFHQRSESSSREQLPLKKAKTGH RASGSVNSLPGNSALTSDSRKRTAASLSPIKSFGPSIPLYSNEFLHLYDQDHGHADMD GNLFVNLTLAADLASWVKDHVSLAQVANGRSADEIFTRSGATLDRSHWPSLSLNSVTD PSTEFGGKHPTWKVLKTQDAVGKDEIVGEITGKIGLLRDYCLDPGNRWQELRHPEPFV FFHPQLPIYIDSRQEGSILRYARRSCRPNVTMKTYITNDVEYHFCFVAKEDIAPDSEI TATWYLDPQLFGSTNGIVKQEPGDSVQEVAAVCISNVLANFGGCACVEPHSCLLSSLD CRRHPKLADGSVKQAHAKRRKTKSKPNVSPHGNNSRAGSEAVFTLDDDDHADSRSASS SNRGQTRSRDLTPTLQTPTDAFGFGDSELSARERRKIAAAEKKFQQLEQDQQTTHKRR KRVSGQSAHATGPGTSDRRSFSPPLSGGGHRSPRKPSALSTPARRYPYVDSAVQADIE VANGVMPSPPSSKRPNFVPLTQRLLKRCYIDRIRMEHTSRQQPDSPQSNKTTFEVSSH LVPTPTATTPSVSGEKEDVEMQDLESPTEASSARPQTRNPSMDMSPSHGRGSDGALPL PPPWPSSAAHIARIPARKVTSTRVDLHMPPTTATGLPSTASPNSISSAASSPSTLDPS SQHPGTALPGAGLAAPSPVKKKLSLGDYLSRRGSLKTPTSEKTQAQAAMPPPTPPAQL SDRRDVSAMTGNIHPDSSPSLSSPTTADVTMKHVSSSSQPAHSPSIS ANIA_05890 MTVGPTLGTGLFVGTGQALAAGGPASLIITYVFISAMTYCVTTA VAEISTHSITRNGAMLAHNYHYTSNHVGFAIAYLRWIGLSLLVPFEVTAGMVHLGLWE PSASLALRMGGMMSVIFFFNMLPEKFFRRSQTFFTGIKFLATIGLATISFYLAIRASQ PGAVVGGFEYWANPGPFAEFLLLGDLGRFLGFLFCILCSTISFVFLPELTVQVAEDHD SEPGNSIFRRTRNSNLIMFILYMLSTLTTTLMAPYDDLRLNNSFIGAGLSPYMVGLVD SKIRLVPAVGGGLIFLSSVASGRSFLNLASRMLSTMAETGHAPALFMIRNRWNVPYMS VAISAGFTWLCFLCMVISSSEVYNYLMFFITTIGYVSWVCSLVAYLRFRRVVKKSEIM PLHRSFIQPFGTYFALGGTVLLIMLNLSQIMVAPRHGLNPLNGIPAHIALNMFGLLYG GHRLVVAVRKKATRLEPHVVEYRGDEDEDQPQDQVIEMEETQGRRPEDELSTTPTHAV NSRG ANIA_05889 MSAGFSDFDAGHRDLVTVTKFNYYGNRIVTASSDHRMKVWDQKD GEWQLTDTWRAHDAEIRDATWNGPFTGQHIGSVGEDMKLKIWQEDVTQPPNSGRRFRS IFRLMAPQRHPYVSLDFRNIDLESWLAVITRDGFLRVMEPVSPDSLADWQTVDEFRVC AAPQRGEETSFKVQFHHDPIDITHSILPSWDRKSLSLVVAAMDSVKIFRTDANRRFYH AVELKGHGGLVRDISWANGSVRGYDLIASGCKDGFVRIFEVYTSLSSNNARDTDRNHP QSSAQSQSSRTTAQSGIGSALANRAPLSMASRPATGDSPFKHSYKEVACIDSKHLDVW QVGFSYAG ANIA_05888 MAVAASNSLSRQETWSLLFLAGACLGVLVNTFEGEGAPLVSSIA FSGISFAVTFTMIRWLGPVFIRAGLSGKDMAKPNRPVIPETMGAVCAVVYLLVLIFFI PFAFYKDIVAATSGGGNRDVVLEVHHVETGRSLHRFPHEKLASYLSGLLSLQCIVILG IGDDLLDIRWRHKVLIPAFGAIPMLMVYFVDFGVTHVVVPVPLRPYLGAFLDLGLLYY VYMAAVAIFCPNSINMLAGINGVEVAQSLVIAVLLMINDALYLITPSRHPATDSHLFS LYFLLPFVGVSLALLCHNWYPSKIFNFVYSVPQLFHIIPCPRHRLPKFNAKTGLVDAS VTEWNVPPSPLLAMALELLHSLRLARVRKNEQGQIVESSNLTILNLWLVWMGPMREDR LALSMVAVQAENPSYL ANIA_05887 MNDFITPAPEPRTELGRYRVLSSTAGIRVSPLQLGAMSIGEAWS DLMGSMNKESSFKLLDAFVEAGGNFIDTSNNYQSEQSEFWLGEWMTSRNNRDRMVIAT KFSTDYKSYEQGKGNAPKCCGNHKRSLHMSVRDSLKKLQTDWIDILYVHWWDYTTSIE ELMDSLQIMVEQGKVLYLGISDAPAWVVSAANTYARAHGKTPFSVYQGRWNVMLRGFE RDIIPMALHFGMALAPWDVLGGGRFQSTKALEERRKAGEGVRSLLGPSEQTPDEAKMS EALGKVAAEHGIESVTAVALAYVLQKVPNVFPIVGGRKVEHLSDNIQALKIKLTPEQV AYLESVRPLDLGFPHDMIGTDPKVSGVPSPWINFGSKLALQPALKGIPPS ANIA_05886 MPGADRKPKTLYDKVFDHHIVNEQEDGTVLIYIDRHLVHEVTSP QAFEGLKNANRKVRRPDCTLVTVDHNIPTSSRKNFKNVEQFIEENDSRLQCSTLEENV KDFGLTYFGMDDKRQGIVHVIGPEQGFTLPGTTVVCGDSHTSTHGAFGALAFGIGTSE VEHVLATQTLITRRSKNMRVQVDGELPAGVTSKDVVLHIIGLIGTAGGTGCVIEFCGS VIRGLSMEARMSMCNMSIEGGARAGMVAPDETTFEYLKGRPLAPKYDSAEWKKAVSYW SSLASDEDAVYDKTILIDAKDIVPTISWGTSPQDVVPITGVVPGPDDFEDEARKAACK RALEYMGLTAGTPMKDVTVDKVFIGSCTNSRIEDLRAAANVVRGKKVASNIKRAMVVP GSGLVKQQAEAEGLDKIFIDAGFEWREAGCSMCLGMNPDILSPQERCASTSNRNFEGR QGAGGRTHLMSPAMAAAAAIVGKLADVREHIAESPRLGKVQPKVDVKPEAEDVDTEEE LDHILDQPADNEPHTNTHTPATTSAGLPKFTTLKGIAAPMDRSNVDTDAIIPKQFLKT IKRTGLGSALFYELRYKDGQEDPSFILNQGIYRNSKILVVTGPNFGCGSSREHAPWAL LDFGIKCVIAPSFADIFFNNTFKNGMLPVVIPDQAVLEKIADEARAGREVEVDLVNQE IKDEAGNKLASFDVDAFRKHCLINGLDDIGLTLQMEDKIAKFEAKRTLDTPWLDGKAY LKRGRTGGSNMVKAAPVPKTNRGDVKGEPLEW ANIA_05885 MRWRPLNPLLPLLAATAAGWPYEESLVDYNLNVNKNAATPADYY APEWRNHTYMPSPENWRFPFYTLFLDRFVNGDPTNDNINGTVYEHDLNSNQMRHGGDA QGLVDTLDYLQGMGIKGIYLAGTILMNQPWGADGYSILDTTLLDQHFGTIQTWRNAIT EIHKRGMYVLFDNTIATMGDLIGFKGYLNVSAPFSVKEHEAVWKSDRRYVDFDFGNTY NQTCEYPRFWNETGWPVDKDVRDELQGCYSSDFDQYGDREAFGVYPDWQRQLAKFASV QDRLREWNPSVRERLIRHSCMIIKALDIDGFRYDKATQATVDALGDMSSAYREITGGN NFGSIYLGRGRQPNQYPDSAMDSMAMNNESDHQYFLREDGLQALDSAAFHYSIYRSLT RFLGLDGNLAAGYDTPIDWTDAWNVMVMTNDMINANTGKFDPRHMFGATNQDVFRWPA IKQGIERQLLAMFITTLHLPGIPILLWGEEQGFYILDATADNYVYGRQAMSPATAWKT HGCFQLTADQYHNWPISKGREGCHDETVTYDHRDPSHPLRNIIKHMYQLRQDYQVLND GYSVQKLSNQTRQIFYPGSNGTATETGMWSVLRDSVYKIQELHNEQPVWLVYQNDNKT VEYNFDCSDNDTALISPFATKTTVVNLFYPHDEYDLKDGPKKLHLNGSAEFNGCLDSM TLKPFEFKAFVPKERFVKPRPMITKITPGHDQPIISKVVASEAEDLDLSIYFSAEMDC DSVTKAIKVQSTTEVNKTALIDKDSVKCRRIDPNETRWTAQLPSVWAWSSKLTGVYNG IHRLTVTNATSEVGGSTQAVDHFLIRIGQIDNPMVFTTANYSTDLLHQHENGTLYIRH KAAGADKYRYSTNWGSSFSNWREYKGGDEFIEEQPWSGTKKQKWNGKHVRVEYWSKLT GSSSYVQEGDYDTKHQRRFPHLFFNGPYNQYGYDAGLDNEVKQDSDGYWKYRLRAEFP AQGQFNVWGMNPDGKPDQSFVFGDLDSDGVLDRMPPSSLNTLSINVTDRPPSSYLSWN IWVDDGTMSIQFQPTGSRTIQMVVYFLLWFVPLVTAIGCVYAFMKSFYQVKFNQIGIS QKRSLFGFSVGRKPSLNPLTRLANKSGFLQSTPVFGTGSSRRRSVLIATMEYDIEDWG IRIKIGGLGVMAQLMGKNLGHQDLIWVVPCAGDVDYPEDQPAEPMFVTVLGNIYEVKV QYHVLNNITYVLLDAPVFRQQSKAEPYPARMDDLDSAIYYSAWNQCIAETIKRFPIDL YHINDYHGSIAPLYLLPQTIPVCLSLHNAEFQGLWPMRTQKERDEVCSVFNIDVDVAR RYVQFGEVFNMLHAGASYLRVHQQGFGAVGVSRKYGKRSYARYPIFWGLKKVGNLPNP DPSDTAEWNKELPKESEIQVDQNYEASRAELKRQAQEWAGLEQNPNADLMVFVGRWSM QKGIDLIADVMPAVLEAHPNVQLICVGPVIDLYGKFAALKLDRMMQLYPGRVFSKPEF TALPPYIFSGAEFALIPSRDEPFGLVAVEFGRKGALGIGARVGGLGQMPGWWYNVEST TTAHLLHQFKLAIGCALNSKPQVRARMRARSAKQRFPVAQWVEDLEILQSTAMRIHSK GLAKASVQPYNSGSNTPLGMMTPPIASTGTVTPTGIQTPPLAHSRSGSYSNINRLSAY GPQQRNTIIYSRDPSPGGEDQPRSGIRQLSLGVRAGPGHLMRRGRRRLRRNSHAGTDE NASVSMTEESSDDDIIPSFYGEEEYTLTPEQAEEVRRADMTPQQEQNHGSVRDFFTRR HSSQSSILSRSVLSPASSTTFDGDETFVPPAPPFAEPGNRLSSASVLSVDSVVGEKKD YKLQKVDPTFTDSTGEFYKVFERKLEKLNGSNSISQLCIEEYLEKSEKKWFDRFRDAR LGRKQSPSSSIFRTKFEGSSPMALVSNDEVGSRASGSEPRMRPDEFCLGNDYVPPSGL KKWMQVRIFDWPIYSFILGLGQIIAANSYQITLLTGEVGQRPEKLYGIATVYLVSSIV WWFLFRFCKSVVVLSLPWLFYGFAFVLIGVAHYEGDSFARAWIQNVGAGVYAAASASG SLFFALNFGDENGAPVKNWVWRACIIQGTQQAYIIGLWYWGTSISQAVTRGVPDVQAH ITETWRMTTICMPIAVFLWVLGILVFFGLPNYYRQTPGKVPSFYQSVCRRKIILWNFV VVILQNFFLSAPYGRNWSFLWSSVHAEPWHIGLLVVAFFGVAWVLILCIFARLSKSHS WILPVFACGLGAPRWAQIWWGVSGMGLFLPWAGSYTTGALVSRSLWLWLGILDSLQGL GFGMILLQTLTRMHICFTLLASQVLGSIATICARAFAPNNIGPGPISPDITDGAGAVA NAWFWIALFFQLLICSFPIDVMS ANIA_11492 MRYQMAGGNRSPKARQPAGIAPGGKSCQQLCSRRMTVSVHTEET CWLLHVR ANIA_05884 MSAAPEQKAALLPLLISNKVLSFGTYTLKSGRESPYFFTSSLLH TAPLLRATSAAYASVLSAAPFVTTAADGTTTPNFDIIFGPAYKGIPICAAVVNELAVR DSLAGQAKGTWDNVSYSFNRKEAKDHGEGGNIVGAPLKGKRVVIVDDVITAGTALREA VGIIEKEGGIVSGVVVLLDREERVSESEAKSAVGCAQRDLGEKVPVRAVIGLHDLIEK LGSEIGEGEIQRLKDYRARYGAK ANIA_05883 MHIKEKLAQNEAAGKIGISFEFFPPKTAQGVQNLYDRMDRMHGL GPSFIDITWGAGGRLSDLTCEMVNVAQSVYGLETCMHLTCTDMPQERVDAALQAAYKA GCTNILALRGDPPREKEVWEAADGGFRYAKDLVKYIREKYGNHFCIGVGGYPEGADDN SDVDQLIDHLKEKVDAGSSFIVTQMFYDTDNFIKWVEKCRAKGINVPIIPGIMPIQTY AAFIRRANWTKTHIPPDWLEALEPVKNDDAAVKQVGKRLIADMCRRLIASGIKHLHFY TMNLAQSTQLVLEELELTPTAEAPIPRPLPWRPSLGLNRREEDVRPIFWRNRNSSYIA RTAIWDEFPNGRWTDSRSPAFGELELYGVGLKGTNEQNIKLWGEPNSLRDLAEVFVRF LEGNLDRLPWSDSPITPETSAIKDALVELNRRGFFTINSQPAVNGVKSSHPVFGWGPK NGFVYQKAYLELLVPPYVIDELIARIEANEDLTYHAVTKKGELRTNTRDSPNALTWGI FAGREVVQPTIVETVSFLAWKDEAYQLGEDWAKCHEASSPTRKLLQGIMDSWYLVNIV NNDFHNTYDLFELFKDLNVKDLELEISGGITEDKAHHNGTANGATNGTTSNGTAAEQP VKN ANIA_05882 MATAFDLMNGHLLSLQTRPRRSKDAKLDADTKNSQNSPAELAIR SESTNCGADTSVPSPRSKTTPTKLPILKLNTDKDRREQKDTAAQGNQKGSEGSPGYES PKSLNQDRERYWRKVRDRVDKDTLSPHDNGKQKTSHKGAYRKIISLANSSRQELAKHR WKYSGGSSGEKLCTPETSSEVKVDNSQAEQKDGSRDSPLTEGGQCAEWKGRMNSSHSA SSNGSGASSEKYKTGSTTTDSSYNSNSSVSPISGPSSSIRDWEDRFVVHMPSAREPNP PTLNVRQIAQYQRSIEKVQKEGGSMVDPDTLPSPREGSPEEQQKLPGHSRKRPAMLDG QDSRTSSSDQDADSELVFNPNHPRYYCPDEIGKRFSTIWEESSSSPKQKPPHTNPDGS FLGCKEINGPHDRNPDEILYFSTPERPKVVNIPPSRMPRVSKESRPAVMRRGKISKGE SKLVLEEWEPISRNLKHAQCSKPSPSLLCREAQCQRLMTKKSTALEGKDEPDSTEKLA GSENHKPNSRADDVFIITPTITRTMVSMTDLRCHLPKPSGIREPVARSAGELITARAR LPTNTKPLVSPSGLRRASQNSWEKSNAQSTVHSDPAHATNIPGGRQRIDIRVTAAEKP VPVDKPRGMRGFIRTPGIPRSSTETRIEQPRNKTSEIAAKSPPTKRVVYTPPRKSTPY HPEAENSQSENEAVSPASKKSGSQTHEAMMQAKIFDVAELDGHQLNDHGDNIAKPSLS NRSLKDKETRPESKERMSSESLHMFIDMIFLFVSQVQRFCSQLKANRGSKFVLFKLFV NSILGMLEHCLHVLRDGLAVISAYNATGAWPKTNDKDLTLLFTDLGQAVIYLVVLGFV AVVVARAVGFVILIGTWIMWFARPFALTFRTVLRVLSL ANIA_05881 MPRLVRRQPLAERIKSYLNPLDFLLWLWEEIDANDWDQFEKNWA TTLGVILNLVFMVARANTRTSGSQAFDDVFGEEVGVSYLSWLAAFIVHFLICASALNT FYTFQRKRHYRMFEASIDKAPATPSAHRVRVDSTPVTASPLRYLANALSGSAESRAHP DAERDVWEIAVWDPLPICLRLFCLFSPGHVLIYWLFLPTQLSDPRPSVTIVLALVVTT LLSIQMSFLSSFFQRQAKDSQIVHKEVLREYDTKFVHPRTQPLMREVGTQFSGPNSAF EKYNKVETYTPAVVINRGFKTSPNPNYLRHIDPDGNTSRNSSFASTPSRPPHLVQTPN HLRDASPAIKAPLASIRQPQFRPTPSRTGDGGSLGVYSHANSPLRKSTPGNFDRRLHN SGDFFYRERSVRPSSPLKKSNISAAPSPVTPGTSRSSLRRETGHF ANIA_05880 MAAGAVAYKDRQFLAVIGDEDSVTGLLLAGIGHVTGPPDSQRNF LVVDAKTENSTIENAFQNFTQERNDIAVLLINQHIAERIRHVIDSYSEAFPAVLEIPS KDHPYDPEKDSVLKRVRRLFGE ANIA_05879 MKLLSPATALLFLAPLAVTATPASFFGYTQDVIAEGAPVRGDNP LEYCSEPSGDILEINSVDLAPNPPKAGTTLKIRAAGNLHERIEAGAYVVLEVKYGLIT LLRDTADLCAQLTNVDLQCPLEEGPMVLTKEVDLPSQIPRGRYTVHADVYTKDNKRIT CLDAKNIQF ANIA_05878 MSSPQQRLSSISNQLSGSSAAASREKLLAKNPDDVVITLAVRTP LTKARKGGLKDTRLDDLLISLLTSVRERSKLDPNLVEDVCVGNTLCPGQAYVARSAVL AAGFPITTAASVANRFCSSGLLAIQNIANQIIAGSIDIGIAVGAESMSTNADGGAPDL SEAILSHPIASQNTQPMGQTSENVAGQFGIAREQHDQFAAKSYQKAERAQKAGWFEDE IVPVKTQIKDPKTGEIKSIIVDRDDGIRYGTTAESLGKIRSAFPQWKPSNTTGGNASQ ITDGAAALVLMKRSRAQELGQPILGKFAGATVVGLEPRIMGIGPTYAIPKILSKFGLT NNEVDIFEINEAFASMGVYCVQKLGLDEAKVNPRGGAIAFGHPLGATGARQVVTALSE LRRTNKRVAVTSMCVGTGMGMAGIFVSEH ANIA_05877 MDLLSEISVPVVAAASALSVAAGAYLDAKFSISTDLSAMRSDRE FGKRLAERMAHLGETPTVYGMLQRVVEVEKHGSTEAIWFEGKSWTYSELKDLADRLAA LLSERGVSTGDFVAVFTTNSPEMVAIIYALSKLGAVAALININLRDLNADDTFAHCLN VSGSKLIISTPDLAQFVCSDMPHLSFNISSFDGISTASDLITPADLQQYSSSNLTAAK RTPADLSALIYTSGTTGKPKACAIRNMMTLITSTPHSQDVKHPSRYFPLRIYCSLPLF HGTAYFTGLCASVGYAGTLCIRRKFSASKFWKDVHDSRATRILYIGELCRYLMATPAS PYDQNHACIVASGNGLRGDIWERFRERFNVPEIREFYRSTEGVAKYDNFGFGSWGAGK VGFSGPIKRFFEDDTVIVKYDPDTEMPYRDPKTGFCVRVRVGEEGEAIGRVRNRGLLT EYLKNEEATEKKLLRDVFEKGDLFQRTGDLFVQDSDGWIKFQDRVGDTFRWKGENVSA GEIRDHISAIPGVYDAVVYGVRLGAYDGQAGAAGITLEEHTEAAANEFMGKLRAELKK KGVPSYAIPRLVRLTEKVATGVTFKQAKGELTKKGWSPLVDWNGDKLYWLNGTRYEKL TQSSWSSIESGQAKL ANIA_05876 MAVVASLDMEKVQYPAVQELDAKAHAAAKRPTAPDQFDESYRTA RLEIWAYYAYYIGNNGLSLFNFAPTAFQNLLSQATDENGQLFFAGRLRSIESIVLLCN GISFAIQVLVFLVIGSFADFGTWRPNILIGLSIVAYAIGFGWLGVHDAEKWHVAVGLY IVGLIAYQTTLTFWTAAFPGLARNTVEMKSKADEYQSGSISRDEYDFADTMKRSQLAN MAFYVQSVGEIFILAVIVGIMFGLRVDDSQANNNWGLSVLIAFASGVWLLVSLPWFVL EKRRPGQGTGGRSIVVAGLKQIYVATRQIWRLKQSLLYLVGYFLLGDSLNTTVTVIST LQNNIVAYNTLQLAYLLIVGITAQALGIYAFWRIQTRFNLSPLTLFHLIVAAIIVLDI WGMIGIFTNRFGFHNTWEVWAYQAYYGLLVCPWYSYSQIMISEVTPRGHEFLFFSLFS IVGKTSSFIGPLVSSAIIDASESGNAGLPFYFLVGISVLAAGVLGVWLDIGKSRKEQE AFLEEKKLRE ANIA_10749 MSKHQSMLIHSSSDSAFDLLFFFFFSFFSDPSTAGSTSESLFRF FFFSFFSFLAFSVSSSSSGATNAFSRSFIFSSRFIRCLSITSSFCARSLASLDKKYSP DSICRSTLLFSGAGGKGV ANIA_05875 MPSTNNKDKPWDTDDIDKWKIEEFKPEDNAGGSFAEESSFATLF PKYREVYLKEAWPVVTRALEKHGIACTLDLVEGSMTVKTTRKTYDPAAILKARDLIKL LSRSVPVQQALKILEDGVACDIIKIRNQVRNKERFVKRRQRILGPQGSTLKALELLTG TYILVQGNTVSAMGPYKGLKEVRRIVDDCMANIHPIYHIKELMIKRELAKDPTLAHES WDRFLPNFKKRTLSKRRTPFKVTDKSKKVYTPFPPAPEKSKVDLQIESGEYFLSKEAK ERAQKEEVMERQRIKREEKMKEREKAFVAPEELEETEKAKKEKKEKKKKRKRDSEVEP AVDGSEKKEKKKKKSKSKAESDDE ANIA_10748 MFVLDGNLGVDGQWNDAKLGVAIPHSFRVRQPGQDVNTSQSGCF SSKGVSKMNGIVCMVHRCRPKEKDR ANIA_05874 MYVSYQIEKYLLDVLTFCLLRSPSSQTEELDDEYIRLITVHGRE YQQYSIDNKISFEPVDEDEAERLDLQHQIFSRVFDNRLIFPPIPRLRRVLDCGYGTGS WAIDVAEQNPDCEVIGIDIYPFMNPDDTPENLWLQVDDLNRPFTFPSNHFDLVHSRLL AAGINRSRWPSYIRDIKRVLKPGGWVQLVEIYFNVQSDNGSISENHALRQWSSQLMRS MEDNKDLRVGTRLRNLLMAEGFTEVDAKMIPLPLSAWPDSMSPVGPFLFCRLSLTDNT PQTRQAEI ANIA_10742 MMKGRRIQDPASTPYIELQNGSPQSHMDYGPAANYFCLSTSPRI KVFSRHLQIRPRSNCATQSNVTRRTNDRILMKPVSSIQYISGSFSTASCGAKPQKRHK SPSQP ANIA_05873 MNPPRPRVASSRVADAEEAGLRGGTAPLQAENMDHRGSTSTQKS KVPREHVTSEKRTERVVIQTKEKMQGRTRNPVRESPSAGNRGEGDKSRSRRANTAGPL SPNLRKKEKETPDAPWNPHASLMPYTTAPLASRVSVPPLSSTLPQSLQPKPLRDLSTD AQEKAILEDLLFVFMGFEGQYIQYQAQYDPTAEKDRLTGPAFQLPSGLDPTLRDLTRS MLKMATHYSALEAFVEVQSRAEYGAVSHALCATIRKLLKDYLILVAQLETQLLNNPNF TLHVLHLHTMPTSQCLAQLYSLGQELLRRNGLLDQDIDESIDDFDDVDNILEQLREGG DLIPGAMSSKKICKGGNVLRLLTERLATFSGDPTTKALLETLLRESSRPYMTMLNEWL HHGVIKDPHAEFLVKEQKWIKREKLEEDYTDEYWEKRYTIRDNEVPPQLDSVRDKVLL AGKYLNVVRECGGVDVSKAVKDVPKTFDDPRFLENVNAAYTYANASLLNLLLTKNSLT TRFRSLKHYFFLDRSDFFSYFLELGASELRKPAKNVNESKLQSLLDLVLRQPGSIAAQ DPFKEDVKVRMNKIGLTKWLMQVVSVSGIDQDNPEAALEKYQAPQAGSEDDKDIVGFD ALELDYTVPFPLSLVISRKTVLRYQLIFRHLLSLRHLESMLSSAWLDQSKILGWRHKS TDRRLELWKRRAWNLRAKMLVFIQQLLYFCTAEVVEPNWQSLMDRVNGTDADGSEVTV NGTKQVNRTVDELMQDHVDFLDTCLKECMLTQAKLLKIHSKLLTCCTMFASWTAAPLG RALISADPGLSANPATSDGRGYDPARIGKLEDTLKRYEDHFGRHLRILMDSLNYFAAT ESVVLLKLAHALSSISKED ANIA_05872 MFIARSEYDRGINTFSPEGRLFQVEYSLEAIKLGSTAIGVATSE GVILGVEKRVTSTLLEASSVEKIVEIDQHIGCAMSGLQADARSLVEHARVETQNHAFH YAEPLRVESCTQAICDLALRFGETGDDEESVMSRPFGVALLIAGIDEDGPQLYHAEPS GTFYRYDAKAIGSGSEGAQAELQNEYHRSLTLAEAETLVLKTLKQVMEEKLDAKNVQL ASVTKEKGFRIYNDEEMGRAVAQLGGNQ ANIA_05871 MFAVPGWSVSASALKKQSEPQPQTKASQSSKPEADSNANAKSNK RKRDDNVTKKNVDLMYRRHIEGSTAQAKATKPNSAKPKPEKKPWERKREEKNDAAKRD QDKDQAAKATETVVAGADGSAEEKKTVLTPLQQAMRQKLISSRFRHLNETLYTTPSTQ ALELFTSNPELFEEYHAGFSRQVKESWPSNPVDGYINAIRTRGAISPAPKKGSKPDQK SRGLALPRRPNGTCTIVDLGCGDAQLHRALLPSAKKLNLKLHSFDLHAPKDSPITKAD ISDLPLEDGSADIAIFCLSLMGTNWVSFVEEAWRVLRSDGKGECWVSEVKSRFGKTDI SAFVEVFRTRGFVLKSETLDKSNKMFVKMEFVKAGGAPTKGKHVSASGAPGSGKKKFI EKTVAADNGMTPEEEAAVLKPCVYKIR ANIA_05870 MENVNLISSNPPGTKPDQPNKIVATQQLAVAKTPRRRTKTGCLT CRQRRIKCGEEKPICKNCTKSKRECKGYAQRLIFKNPLGLPGVPSTIQTQPVPPNQFE SRLDFQLTSAGTHGPILAPKIPAASAVEYRHPQPSVRSPAGIHSTLTAFAEQTRVSQT SLPESQPYSRLANPSVEFDQARRESSKNTRDEYSHYIVHEWDSSQFQSEASTARGPPH TINTQGAPQHYEVPEPSPAGTLPIQQSIEPQTNTRDRYLSPTSQLSPFHYEEDEDGDY YDVESDEEPAQAAMQDFNQLNMVLASANRDATQHRSFTTYLNEANMLATYQPDFGSSP FNNPKTARIFLHFIHATGPVLSIFERHGTDQSTMLGAPVPMAQQGLWTYTLPLKSFQH QALQQAILALGSLHIAYLQQAPSTSSLKHYQFALKRIGKAVGLPMQRKQLGTLAATLL LAYYEVMIADHFKWNNHLAGSAQLIREIDWAGLTRDLRAQRRRRWIERSNTHSFFQDI YMFNNPTVEDDPFAELEANIDENLIGCFLGRAVNYDQFGQIEGEHTQTRNKHLTRKDI ETFRTQCDLYWWYCKQDWLQSLISGGPLCLPYSQWGQCPPRARIGLRNDLYGTADHLT LLMGRLADFAVRDRKRKIKTAKSAGSEWRPDAKFGQFMGRFVPRPGGPGQGPANSGGL PPGQPGPPGFPGASTTPGHTGPGPQPSNTESGQGSNQSQGGRRVSRQSSSASSPQMPP FYGMIPASGPTRLPAAFATSSKISETHSQHDEDVDMSYEEAEREWESIFAAFEVFAQS LGPDFLPLSPDACRPIYSPFGPAIQYYTLNMACLMAFYYTGRILLLRLHPSMPPWMHV AAGYSAATTADYAQTIGRIAAGIYGAQTGHPGIEGFSPVVGSCLIEITVPIFFAGVQY ASPDQRSWTIGVLSEISRYTGWKSADAIANGCERSWRNAAAQGRGPPYQQDMGVEKPP IWKYTEYETNRERWFVMGSAIEPYVWAMGILSLNDKDFEG ANIA_10752 MPRFSDSRSGFDSETEYFSRESRGRHHSRGAAVLERPPRRVEDE FRWDSRLQETDRYGPPARRPSRHYDDDHLRHGGALVRVDRRHAESPPPRPRMLRRQSS LDTYDRLPSRKIDEYYHGYLPRAAPSPPPSRRRTRRDEFETIQVPARESWYRETETVK DTRPYPRKGKTRMPQNLVNTRAIREFGYPYEREGDLIIIQLALSKEQIDAVIERSREL KRQPEIIPIRARSPVRATSRRRKVETITMEPRASETLIVEPSPSPEPYFSAEPRGYDY STTTARRTVSRSRSISVRPRRRRYSSPPRMIMERRSDHTEAPGQIMIVRPRDSDSDLD DYAIDNYRPPFDPSTALYGDADEEEVLEVKQNRRGPPARTLRRMLATMT ANIA_10743 MDKFQAFGKNFSANFTPFAARTQQLIREQLGQVEDKTQLPDEYI ELEKRVDALKLVHQKLLQVTSQYSNEAYDYPPNIRESFNDLGRTINEKVHLLSQASTP AEAQAALTAPPSAKPQPKTFSHAIARASLAGSQTLGNTTAGEDPLATALEKYALASEK VGEARLAQDAQIQSRFLAGWNTTLNTNLMFAAKARKNVENARLMLDSIKAKHGGDLDN LSEEARSEIEQAEDEFVGQTEEAVSVMKNVLDTPEPLRNLADLIAAQLEYHKRSYEIL SELAPVVDSLQVEQEASYRKSREGA ANIA_05868 MPICIECSYPVSHLYSAYSRADDRSLGKGVRLTQCPRCKRFADK YVEYDFVVIFIDLVLIKPQVYRHLLFNRLESDEHKFDRSIIRLGVLLLLFDVYLTWAR IEKSPLIETTFLSRAPIIIQYLFFLTLNALATLAHHLTVRLLASFITFSSQSTPTKQP TATANAAATESQAPVPSNPTTPILPSFPQQLVQAQQASALSPPKSTPHSSVQELPGLE NQRPRQPSPSSPRDSFCDLRPPLRRASTGPMQSFQQLSPPSQASPTAISTALFVSSCA KLFPILLVIWGPDGRISSSNSTPSSGYGASAVSTLQPNPAQRAEGGSDLVVAGSAGSG LAESSPSVSSSLLEGLSAADMHLVLLSNIEALYILLGCGYLRATALAVAGLLARWAVQ KVILGVVGVDNLRLCGQSM ANIA_05867 MSSRVLASRAAQPLKRHPTVVGAGDEAYPTPRRCFSSLHDRTVN QSADFSSTSKNYDRLGRRAKEKLLDREFFMSLLNSASTKREAKSYLARLKAQQPAKPQ KKLQSTTVQQTIAESLPSGVNLGSFYGASRSVYQSPVFRQDSTPAPAREDIPERLHLA LVKIKTPQLLDDSTLDGVARTLSQINRLGLACCVVVDPGSDGDTHTLRKIATEQVGRI ATAVDRQPDSKSSHLYSVLSFPAKRPDIPTVSSRKQLLSPLRDGHILIVAPIAYTEDT PKALMVPANDAVLALTKELAGLATMPDPDEDPMITAQKINDLQKEVSLDRVILLDPLG GVPAFRGPQTSHAFINMDQEFEHIERELLQVRNPANNSQGESVVTNPISDSNAVSESA STEPTSTPAKQALLPMSVGEDTIDGHLDNLRLSQQTLAMLPSASSGIITSPVEVANSA RSLESSPSELSVGTRRQRNPLIHNLLTDKPLLSSSLPLSRRARGSLHLPAPPTTFVKR GMPVSLIPDPRVQVWTAQTRPNMNLDDPHVDLPRLVHLIEDSFNRKLDVKDYLNRVNG RLAGLIIAGEYEGGAILTWELPPGVEDDGSESSTARMVPYLDKFAVLKRSQGAGGVAD IVFNAMVRTCFPNGVCWRSRKDNPVNKWYFERSLGSWKLADTNWTMFWTTPDLPEKPQ KFRDYEAVCRSIQPSWAEDTGVID ANIA_05866 MSDQSYQQPADHPINGLKPLSNADGFSLPITGNDLSHGGYDHSD AIMTNGHPLEETDEDEDEEEVDEDYVAVDHDDLNEYPYWFRRPPVRQPSKLDDLHPFV QVLTQSNVDDCLAVEESFPENERCTREKFIYRLGRCPELCLGLFTLPILGPDQPKPRP TLVGHVIATRTSTPFVTDKSMELPADWETMRSTVVDGETVGHDEYGSTIAIHSLAVLR EHQGKQVGSTLMKSYIQRIREAAIADRIVIIAHDNLIPFYEGLGFENRGPSKCQFGGG GWTDMVLEFNTE ANIA_05865 MKTTWKDIPPVPTSQEFLDIVLSRTQRQLPTQIRAGFKISRIRG FYTRKVKYTQETFGEKFQAILDGFPRLQDIHPFHKDLMNTLYDADHFRIALGQVSTAK HLIETVSRDYVRLIKYAQSLFQCKQLKRAALGRMATICRRLKDPLVYLEQVRQHLGRL PSIDPNTRTLLICGYPNVGKSSFLRSITRADVDVQPYAFTTKSLFVGHFDYKYLRFQA IDTPGILDHPLEEMNTIEMQSITAIAHLRSAVMYFMDLSEQCGYSVADQIKLFHSIRP LFANKIVFVVVNKIDVRRPEDLEPELQEQMQSMLKTGDVELLQLSCTTTEGVTNVKNA ACDKLLAERVAQKLKSGTNSTGTPGGRLGDVLARIHVAQPMGGAQLETFIPDAVKNLK KYDKNDPNRKRLERDIEEENGGAGVYNVDLKKSYTLADDEWKHDKIPEVWNGKNIYDY VDPDIEAKLAALEEEEEKLEADGYYDSDESVEDAEDADVRMKADLIREKRTLMRNEAK LRKSLKNRAAIPRSAKAKKLSQMEQGLDAAGYDPEAAVSRVRSQSQVRGRTTTRSEVE DGDAMDIDPSDPRQAIARAKGRARSQAATNRLQDGVTDETARSKAERLAKLGQKKMNR MARQGEADRHQTVSLTKHLVAGKRGLGKTQRR ANIA_05864 MVGWYALGIALFAAIGTFLFGFDTGIATTTIAHESWIEYMQHPS EGLTGAVVAVYIAGEAVGALLQTAVADKLGRLRFMELMCIIVTIGTTIQTASINIGMF LAGRALAGVAVGGMVGTVPIYLSEISDPRYRGLIGGISGCGIAFGTMASNWVGYACSW APYGAVQWRLPLAIQIPWGVIMFCGLVTFMPNSPRHLVRAGKVEAARNEFSRIRRDLN SLELRQEFAQMLAQIEYEKEREITSYKEIFKLFRHRAMVSIAVQTMTSLTGVNVIQSL GIDRHTILALAAVYGTVAFLTNVLTTRFLTDQWGRRKMILAGLSGIIVVEIYAAVMQR EFQNTDNRIGKGFAILGIYLFVVIYYGMLNSTTWLYGAEVLPIALRSKIMGLAAASHF IVNVAITEAGPSAFANIHENYYYVFVGCTLFFLVVAYFYFPETKMKTLEEIAASFGDR VIGVEDVDPDAKPGSGVVHEEESGTR ANIA_05863 MANILLAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQR AKQENPKVIQAWFNTVRATIEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRR PVLQPGNREWVTAIESISASGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTT NEISLRWLQKQFIPSTEHLFEQQD ANIA_05862 MEQLLSYLPPFDGLLPKWLALVSVVSAANSVQAYFSSSYTSELY NGRLADGSPHTNAHSSRLFGTWTFLSAVIRFSAAYNITTPVVYDLAAWTFGIALVHFV VEWLGYGSAQLKGRFVFPLLVASGSLTWMLTQRDAYLGL ANIA_05861 MEYLKDISSSLSGWEFNFAPGWQSVTASVLLVAGGWFVVSRVWT FLRVLTSLFVLPGKSLRSFGPKGSWAIVTGASDGLGKEFALQIARAGYNIVLVSRTAS KLTALTDEITSKYPSVQTKMLAMDFARNLDEDYEKLKALIQDLDVAILINNVGKSHSI PVPFALTPEDELADIITINCMGTLRVTQLVVPGMTQRKRGLILTMGSFGGLVPSPLLA TYSGSKAFLQQWSTALGSELQPYGITVELVQAYLITSAMSKIRKTSALIPNPRAFVKA TLSKIGNNGGSPGYAYSSSPYWSHGLVAYLATCVINPMSKWLANQNKAMHESIRKRAL RKAERENAKKSS ANIA_05860 MGVDIKGLFKPKAEQQEHSQATTPSRTDSIAEKDNGIIDDSPVK YLTWRSFILGIVVSMGGFIFGYSTGQISGFTTMADFKKRFAERQANGEYVFSNVRNGL IVGLLCIGTMIGALVAAPIADRIGRKLSMSFWSIIHIVGIIIQIATDSNWVQIAMGRW VAGLGVGALSSVVPMYQSEAAPRQVRGAMISAFQLFVAFGIFISYIINYGTESIQSTA SWRITMGIGFAWPLILGLGALFLPESPRYAYRLGRIDEARKVMAKLYGVEVNHRVVVQ EMKDMKDKLEEERAAGVAPWHEVVTGPRMLYRTLLGIALQSLQQLSGANFIFYYGNSI FTSTGLNNSYVTQIILGAVNFGMTLPGLYIVEHFGRRASLMVGGAWMAICFYIWASVG NSVLDLDNPQNTPKAGAAMIVFTCFFIAGFATTWGPIVWSICSEMYPNRSRATSIGIA TCANWTWNFLISFFTPFISGSIHFAYGYVFASCCVVGVLIVFFFVNETQGRTLEEVDT MYVLHVVPWKSASWVPDESIVRDLHPGSDANKTEGLGQAEHGEESRPEPVEIRE ANIA_05859 MAPSSRPAGKRLPISCQACRTRKIRCSRDGRPCQTCVRRGLGAE DCVYLGQPRLSSENSSTADTTVHAELLARIRNLEDMLQRQVSSYSGGPNSPLASPGSL TGSFSDLDSPTGPGFTSYPRSSMVNSVGTLQTFASGDSLPDINSEVPDDDDDVCLPLA GNAATREELLALLPPSRYCDALKDVYFRVFSPISLLFIILAIAVTALHDDDPLLSDLG RERTVSRNVKALSSRYRSAALRCLSADGILSRHSINSLQSLILINYARLHRGLPTWTL LGLTHHVATSMGCHIDPERFALGPIEREERRRAWAGLKMLYTTHNNLYGSSSPGLTTM STKLPLDVNDVDLLTGTAPETATPRPTQMTYLLLQYRLHDVSSMICESLFSFPPRYTA AQLEAEILSVHKTCEKRYQLEQGSEPLPVHHLANLNILYSYIHQLLLLLFRPALCRYL QGEITNETCAARAKCLASAKTSLSIHQTLHESPQFASYKWYNSNQGSFHAFHAAVILC VMLMYPENQYEAADIKENLWKSLDVFASLSNRSNFCSKAVPVLRLIM ANIA_10751 MSSYVYRERDREDDWDERRSGVSVKRYVIPPEDRERDRDRDLFF REDSGPGERELVIRRTTEREEPVMVQRYERDTDYDRDYYEPRGPVYINPRESDYDIVH RSEVDREPAYYYHRRVREYDNDNRRFRRELSPSDSVSQATRRRDDDYSSDDSMVYIRK ETKEYDDHHNRHLASGALVGVGAAELLRSRRKKDGEEVSGGLGRIGRDVGAGALGAVA VEAASRAKDYYRSKSRHRSHSFDDDRSSRYSHHRHYSHSHSRHGRSRSRSHSRSRART FAEIGLGVAAIAGAVALARKKSDSGRRSAAPFPSFSIPFRQGCRR ANIA_10736 MAEAGLAGAAVAGLVERARSHSRSRNRSRSRSKSRIRKALPVVA AGLGSAVAANIWDKKKDKEAEEEPRRKDRHRSRSRGRAPSDIYPDSTRDSAGLIEYGD HPVHGSIPAANYYGRPPSSPGYHTDASDRVARDAGLGSGHRGRTRSRSRSRARFSSSS PSSEEDRRRRRSRHRHRSRSRDLAGAALAATGVGYAAHKYSQHRKEDKERDRQRYDSD GPSLFEQPFSPGPYPPSPGTGPVDSSQYRPNNYYPPPPGPAPAPAPGPAHYNPADYPP PPNAVPPQQYSYPPPAADAYAPRPRRADENVSAARDFSSPSTQSQPYDGLDSTRLATP RPRSRRRRTRAGSEPPQSKSVAFDDDPQTNEKTTGYETDDSDSTVDGMSSGRRRRRRH HRRHRSDRRRYSSSDPYASSAKDRSAAQIQKQTSAPESDSDATIDLPDRFDRDGRLLP QPGDDPLAEKVESLLRKFNRVFI ANIA_05857 MAVESERSPCPDGTAGGPKGAQDDVPTSLTRRSVSENDMRELPR SNIKSQVPFISQLPKPSHTAQGVSGPSSTTNSTISSREPSPSSSSQRLRNSTSTSNSR VSSRSRKRALDRSPDRSATTSPNPGPGKLPSQTIKPLVLSPPTNVEPSSDPPSPDKSS MPLWAGSRRSEQEPHLPNTSSKRTQVSGDEFAAKSERSAPRSVNRGSGTALETVQEAS DQSTPSTDTILLQPAQEDAKLEKIDEDATPKASRIHTESGSDSGGNKSSEQMEENRRR PPFASKGPNTILPKRSTTSLSGGSRSKPADGSVRNMIVETETVSSIPQVGLGVNTGER GGPSRVDAGTLRMKPSTETIRPKKEKRRSRKPAALTSGAASSKADIFEAKVASAVDEA DVSDSDETFVYESNPQDQYPVRQSRYHSRTPSATSMASQVDQLAGRSRAAIREGNHSV TGKRSMKFTNNTYTSSVDGDVDEVPRSHSRIDGNGTHTPRHHIGRHGRQNMYPSLFDN ESPFPQSQGHKSTRHFVGSSYRQARSGGSRNNPNYRTINSYKKAGEVYGYDFDAEGAD DERTPLVGSPRQTRSRGGRRPNSASLRQMEYMQQRHRSCVSRYGACFLISLLFVLLVG GGTSFIVAITKPLLDVQVVDIQNVLASEQELMLDLNVQAINPNLFPVVIDDINVDIFA KSRYVGTDQFWREDAPSLARLARVERKKRADVTHTTHCTDGLDCESNGLVGQVGRWKP KGGVDKGTDPIPSDPAGDHQTMLIGRVFRLDSTLTFEASPWHYEPSTSKGQIRLSRPG NSTEKGGTERWERVLQHPFELIVAGAVQYQLPLSSRFHSSSISSSIRVTPDGDDDSDG EKQDSNEGETVSIAVSGESLPNTALPGGETLKAIRALTTKTHRAFVA ANIA_05856 MSRPLPTSLQNSPFPNKSTSGEVRSSGAPAEDDLPPMQTGQDLG TGSKKHKRKKNRNRKRRNRRPSFLAPEDSQPNAPPTIPESEALSAMAENQAKARGSTP FYSGGNMSNTSLESEALLDHRNQPMMRPRRESRLAQSFRPGSMSTSFRDSDSRPFHSR GKSTLENDSDQEDVNDRTPLMRPPSGRIPKSPGYGTDSVASPFTFHQRRRSTRSDASR CSPRETLSHDINNPPSMPSTPKLGPDMGYNDAVMTGAEFDFGLGRSSDDRYDSSRPHD MVIDVEGTGRRSRSTPGSAAVSPHRTPQETLIRRRTVPAEEDVCFPQEDASEVAEECA PALSRGNGRRRRRTKEWPDLSILEDWSREEKEERIGVFRAKKISEPMLVEGRLRPQYR AWRREEDEVPYRFTYFNEEFQSTIHAQTIPELVQPGGSFRELFIPDPPELEDSSDSEA SESEEHRNSGHPTPSTRTANQSPAARAATPSNNHNHDTHQQPEGRSQLRASIISEAVS EGRVSGDLPDQTQTAPKPKRYGPRPTFWLDVLCPTDAEMRVISKAFGIHALTAEDIMM QEAREKVELFRNYYFLNYRTFEQDPNSENYLEPVNMYVVVFREGILSFHFSQTPHPAN VRRRIRQLMDYLILSSDWISYALIDDITDVFGPLIQSIEDEVDDIDEMIMHMHSDQSP ASSSPKEEQRDVVPGPGEMLRRVGVCRKKVMGLYRLLSNKADVVKGFAKRCNEHWEVA PKSEIGLYLGDIQDHIMTMTSSLTYYETLLSRAHSNYLAQINIHMNERQEQTADVLGK LTVLGTIVLPLNIICGMWGMNVKVPGQDVDSLTWFYSITGGLIIFAFASFLIAKRVYN IV ANIA_05855 MVKGISYNAVLSTFDDLVARKKISYGPRTTVQYDYDGFALEFHI SSSLSRKPQNGDPISGHEEPDAEKPECFGPGSDIANDDPATLLATIHGTHLLVVNKFC MFRPQLLLLTSDSYRRQREPLDLVDLSAACTVLTLFDTSSQFVIYNCGPTGGASRQHK HLQVLPRPPRLFPDDENDNKAVPYKYFLRYLRDVELGSPEGQKKLFEVYRELLAEAKQ SLRGYLEDNENYIPHNVALVREWIIVIPRRNAAFEGITANTPGMLGSVWLTSEEELGQ WKQVGPKRVLAGLGVPV ANIA_05854 MAPQKQISTNVTELLGVRHPVLLAGMFNVASPKLAAAVTNGGGL GVIGGVAYTPELLREALNELKSYLVDKSAPFGVDLLIPKVGGGARKTNYDYSQGKLRE LIDIIIESGAKLFVCAVGIPPKWAVDRLHEGGVLYMNMIGHPKHALKAVEVGADLICA QGGEGGGHTGDIPTVVLIPAVAELLRGKISPFTRSQVALVAAGGMYNGQSLAAALMLG AGAVWVGTRFILSEESGASRVHQKALQEAGFDDIIRTTIFSGRPLNTQATPYIKRWEN ERKQEMQDLQGRGIIPLAHDMDTKKDDDEVLDNAHPMLMGKVAGLVRERLPAAKIVES MVEEAAALLETGGRSVSKL ANIA_05853 MPIAAEIPILVGVGEINDRAAKGRDDAAEPLTLMLRAIGAAIQD TTLTTDAAQKLQSAIESVSVVANWTWPYPDAPGLLVKRLGLPGAVHTVESHHGGDSPV QSGIENGQRKLTELDLGSRHFLGAPIQVYPLYEAAFRAHNKQTLSENHKESAELYAEF AQTAAKLPFSWSYGQKPETAESIGNVTKRNRMICSPYPLLMNAFNTVNLAAACIITTT TFARELGIPESKWIYPLGGAGTSDSSRFWERPFFHASRSLSQSLDAALKITELRTDDI DLFDIYSCFPIVPKLAAHHLGLPLHGTKPITVLGGLTSFGGAGNNYSMHAITEMARQL RTRKQASSKAQNGLVLANGGVLSYHHTVILSTRPRQDADYPMWNPLPSNVDEEHPPIK EQAEGGGIIETYTVQFGRDGSPVMGFVVGRLLDRFGNGNGDRFIANVEDANTLQQLCS GTEQVGKQGWVSTKDGRNLFVYKQAKI ANIA_05852 MPDFQTPPPSSSIFTLSFPTPHILLVTISRESRMNAIPTQGHKD GYAIWNWFDEEPSLRVGIITGAGSKAFSAGADLLEQLEFKTKNDDASSASGKGTEGVR REPMPNGFGGISQRRGKKPVIAAVNGLALGGGFEICLNCDMVVASPTAQFALPEVQRG LYAGAGGLTRIIRTVGMQVGTELALTGRRISAQEAKSLRLVNRISETPEKVLDDAISL ANMVADVSPDAVIVSRHGLREAWESGSVEQGSRATAELYGARLMKGDNLRRGLEAFKE KRKPNWVGSKL ANIA_10735 MSLDSERDQPFLVERPEMPVSPLDTGEHKHGHEYEYGSTSGPKQ SSARPAEEVGGELGLGIISRRPRSHSTTPPSSEKIVPDSGFRSISPGPRRPSLSRFAD SPTAVPLHFRPPPSSPRLQRSPSVTSPPGASPVSPSQQGRRSRPNSLEFKNSREIRPL FLVERLGSSKIDQGQFGDEALPSLPSSKSASTEDLTALRDENTWEVQPVSIEQHHDIL GSQQNTPTGATFGSGIPRHLSRKEELGYEFHSPSELLRDAELSSYPDLPESLKEDVAL PSAQGSVVGVETDLENLPPLPDSRPSSPDDKDDFVSVSDAQMVTPTQGAETAKGLASA ERDIPGLDLYNGTESMGSVDAAMAASSASTPYPDTKLLADSKRESLEAEVEGQDDDAR TVTGNDVPTLADLTPSHSPRTVTERDYIHPTPWGFASIVGATMAATANVDNAVQGEFS MTTPSETNKPAESVADTTEDEFFDAMSRDEADHNVEEKGWETDLPSGIETLRRVESGL YEPFAARDVSVTESENVEKDSVLDAETELEHEPQLKREIEPEVETVEAHESEPTTESV QKEPDAPSSEENKETKESGKSLDSSNHNEENLLDTAANTAAPEFTPSRADADANVLSA QAPITAIDQPAEEVHTSILAPETSVIEETEPSLEHITNPEAYAVGGDDSFQVEETPGP ITEQISPQEKEPSSMEATPEIVPKRIELTEAQEPASTQAEVNQEVLTETGLSKKAKKK KKKAAKSIERSQDAAVESATALDQTLKDTQESIVDKEPGALETGAVVVSEDKPVEEPA VLTEDVSSGRGVADLSEPVETGSVAEQPAEVLQRRTPDLEEQNRYPTADGAVRPIEAE AETAHESNEPKQEEKDELPQPQTEDIPLSRKASKKKKKNKRKSTAEAEPLPEAASASL PETSEQAGLGPEASVLGDEKSNSEAQEVNFRDDIDILTDAVEGERGPNPKPETKPKDA TTPLETSGQVPPPDDNKQVPEAGTEQQATDAQAVDTQVAIKDETVPSHLVEISETNDG QPHVPEKATIELDAGGPASTGKKSKKKNKKKQGVSSVFEEALSSEVAGAPGTDFQDPT PVIESSPDVVVETDELVGSEGIPVVATQDPVEETSRDVELPAEADGALPEDLADFEAA PVTDVQRKAEKKRQSLAPDVPEPETQTCEFDTEKKLLDVPAQDDQQTPETPEPEVEQT DAITPALESPVDEIKELPVQADEQVAEKDGEQIDDEAPAIHVPTVVGEPITTEAVEPE LELSQDRATDLAIEGLDTTKAQSTLELQEDKTAEKETPDVAEQPTEPARQDASPLEGN TTVTEPTEDNQPTTSKNAKKKKKKKRQSVSCDENGAEASPRATSAKEEDISENSAERA HLSSQDAPIEPALKTAAEQGEPKEIQTEAAATRDEVKEAEKLEGFSAPAEVTPETPVQ QDTIRDSAAPEMLKETTTDDTSVAQHEDTVRTTDEQEITTSSLPGEPTPDPAQSQEQQ QSSEPAEEKQTSSSKKKNKKKKKKSLSSTSVDEGPSTAPQDSVSEQEVVDPQAITITA EGAAPDTAAAVGESLPSVPESAEPKPDSPEPASQHPPESTTDTDINFEPKEVATAPPF IPESTELEANEPESQDIPPTDEQQAKPSKKKVKKDKKKRKSVSFAAEESSEQQGETSG SPERTEPAVNDSTEAIELVTNDAPVECEAPSEQVTEQVTASPEPVPGNEPVLEQNEQQ ILEEPTSNDSPLDDDREVSAVQASGDLLKDGPDIPKTEEPNSASQLEQVESKAMELTA ETTTPETGLSKKDKKRAKKEKKRQSKLLAPEKDTVPSTPTEHALDSKIPTNEVAPGTS EMKIDVPAETAFSPAEEDGKDNQSHDTESRGGTDKELTWTDNDVSSQVEKEKQHIAFP VLIPESESESTEVDKGAIEVEPAPTTADPEVVEESQQDAGFETWDDAMLEGQDVSQIE VVTGLGEEVQNESEQKENSDDATDKLEEADQKELKHSTFEALGAVEERLEEAIPEQIT EAEAAKEAEPTQSTENVVSVESATEPAVPSRKLSKKQRKKQRQADKAAASQVQEETAS TEEASGPPDLATKNETKVDSSVFDGAESDIKITEEPTLQEAAAEATTRDTLEPLDFDT TDHELVEPAFPEAVQDTALVLEETARPESSWVSVDESKLDAELPEFDAQPVVSAPPGP VHDISTSPAPEEIYSSKGNPVSATEPAIQTERTGESSKNQAPESTPLEPAEQKGQRKT DTAIKMGLPQLEGPVPAPMEKGEFEEQLEVVPQVEEKAPQVEAPESILLEATRENEQP KTSLGDLSRDKSREEPPAALDESALTRKESKKKNKKAKKQAKKQEQLEREVTASAIAE TKVGKDVKAADAVEAEFSAVEPVESVELHHGSTNEPTNDPAKGDEETDRAPEKPVQCE VIREEREGVPEDTEKELDVPREDIREKMTVESGKEALREKRVPELELQTDSVEAVAKT ERMAATAEESCAIEPESAPAPLTRKMSKKEKKKLKKQAEKQEREDPVQTMDAVKDEFS VPQAVVQVPEGKEDEQMLAEPVEVLKGKVSIPGSTLGPEMIEEPKTDYSRELVGERIS PTIKSMEPIAEPIEEEGIPLSKKSKENVEELQLEDQQAEQASQPRNQTSHTPPEPVEA QETAREDEDAWPAIDWDKGKIDATDQSAQSSPEAHAAPFVPEIPEFKESAIPEALIER VTGIPEEAAKEGKAQAMTGTIERDVTTVEFNTTGTDSALRALHHVESAQGKKAEEPKT TVPVSDKIASIFPNLERGFFRRPSPNPSPTQSVKDGAEEETGKEASRDNAIQVLEAPI AKNGKVQPEVRDSGYILSPADDVVGAASIELPAKIETSGPPENLEHESRQDTTSKPAQ EDDVFGIAATRELPANKPDIDMERPIKDPREGSREESRSIADPVSESGSTCELRRSPS IHGRHVQQALPWSLEESAQARRERDISPSPLPPIAEQEHERAMGRDGTPRLEMKPEHV LPRPETPVRKFTENALGRRAWPTPENESDDDWEKVQKPSPKNLSPERGLRGILKTPEQ DKPVLRPSRPPSAKSSTHSLRRVVHSASGDLRAAALAAIVAADEPAPDSTNQSQAAIP QPPARAPTDLDVGEIASSSSYDPIRDKGKKPLRSMTDVYEGWGETPSSPRSPSRPASV RHRRSMQHLQELEFRLEHLLQENRDLAAARDAAEDKLRNASLARRKSDQALNNRDADL RDREAELEQLQQSVEWFQKEVARLNEENAGLTSTNAALIATHTQELQTLRQSSAREIE QLRSQNERLSVDLHERIKAEIETALSQKNAELRRLREELESARDKVKELQQQISAQMN DNVIAFRGEDYFEAACQKLCGHVQQWVLRFSKHSDHRRCRKLIEIKDEKIADRFDNAI LDGSDTDAYLADRVRRRDVFMSVVMTMVWEFVFTRYLFGMDREQRQKLKSLEKQLIEV GPRSSIHRWRATTLTLLSRRQAFAKQRDSDTEAVALEIFDTLSRLLPPPTPVESQLLD SLRKVLRVAVNLSIEMRTQLAEYIMLPPLQPEYDTNGDLARQVFFNASLMNERSGETT SNEELQAQNAVVRVVLFPLVVKKGNDTGEGEDEVVVCPAQVLVARPGKDKRLNRMTSS DRMSIDASRSVHSIAPSSMNMSMSNVI ANIA_10750 MFKALMGGGRSSSDVRSSTSSSSKSGSRRKSSHGHRSSASSTVS RKSSSRGDDRDRGLGDLSAYPAAGSRSRSARYAESAAGESVASSYATARPNNDSVDRV YTEREPKREESEYERDSDRYRDDRDRGRDRDPDIDYERERRKARPDREHSRERDRDSR RRERERERERVYSGDNYYPPIASSAVPAPFDPIQATQGPQFPMNSVSVPPAAPAAPTP TIYDPHVQQQFPGQFPASYAEPYRPPNPAGEAADYYNDQGQSVQEQPGVRPKPPLVIP NSQAHLMTASPTANPPPEPSSLGEVGAAASYFANDPALDIENSGHSSSGPEPRPPKPS NSSQPPYHAAQAAGAMGAAAATATYGVGNYSPEHVLTESPAAYVPPTSTNGNKPPHTQ PHSHGVGAVLGGAAAGYMLNHHHHSSSSSDHISQYTLQNPDDVSQIGPGYSSAPFNPT LYTAGAAGAVGAAGAPYAASPLHPHHAAIYHASPFQGGAMAFQQRQRGPLDKFIDFWR DPEGVGKFEDYTEAIGVCKYCFEPGTTSRDAPRTHHYRRRRSSDRLSGGSRVDKFSRY TSSEDEGRRRKSKSSWLPAILGGYVAKSLFDSKDFDDSYSVKSGRVAGAFSESDSISD KRSKTSRGVYKQLHRSHSRDSFGYRDQRPSRYEGSRINGRVQSRSRSSSRANRHTLRD AAIGAAIGTAAASVVKSPGERRRSRGRSPSPKKSRGRKSSSSSGSSYENISRPPKKIA GGFGSFFTASSENRSKKRQSKRSKSIFSFYNSSSSSVDNDLAFGSGYAKRLIGKSKRR SSKEKKDVDAKLLALGATATALAASSPGRSRRVGEVFVGQGSRSGRSDYTSSASNDDE WEDMDSEGQASSVSSALAFGTSGHFAREDSHSSDSSSSGWGWGWGSKSKKTKNKSKRR PSSPKDRFPAGTATAVMTGALGAASSGYHRGDKESSTSSASLQHVAPVPTSDPARYDA IPISSFPPSEPQLVRPGAIPLQQPQPVTPVSQAVYTSQGAPIPPFTAPFTAPIIPTSE NPFPSYESQSRDADRRISGYGDGTSDVPVVKSHKRSGSTPVIRTEPIQSASEPGLGIK HRFTAKDQTSIVQFDLTREQEDKERRAEDLERLKRDLRSGDRIELIDREGDSQYAPAA YDPPRDSQPDWRREREREREREREREYLYERERQREREREQERDRSERRRERREQRRA ERRQASGSSALSSLAEEPPKRPDELPEHAEPREITEERASSTSPSNDHVKTSAFRNVS RRKSVYDDYATFFYPEELRHSPDSHSRQETPTMPTIVEIVPASERAEPPPPNPNADYE PPTDFKDFDRLPWPVPVLRVIEPTPPHSSSGSVRGAPSPVITPSESAEAEKELERPNA SRVSWGEHHTHEYEVPSTSSERSSVDLHERQHGFLPKASVHDDDIGYSYIAPSEAHVE DVNEDIEFAATVAAAAQAAGFDPALVTEDPIFRTRSSPPGSQTRERSISPTTVVSATA SQEPPATQQFRGFVEGEVDSPSAPKSQFFSDPSTVIFDRPSPFDNQPERTWEADRPTT IDQPVVEHVDKAETGAGDIAKDVSRSVAEAHETHEGSFAERRQESESPNEEEWFMPGG FEPEESKIEQRSTSPTANVSQSKVSYSIPEEREPEAGPEPLKRTETEGTDDFNDTPEA AIYDENEGEKKKKKKRRKRRSKGSDAPDDSASMTSSVLTESSENRKSTDDKSKKSGGF LASLFGSRVSEPVDSKRSGSTENLVSGEAQMEIAPTTSESSPHRTHRHRSSRDLRDFS RTESLDGRRRHDDSDRHHEFELHRAESFPVEGSRVSEPTTRKRSSSIDRLASRDIQSE IGTHRSVNADSPRRRRHHHRASSGGDSLDGRRKFDDLGLDKDGDGDGDGDDADKENVN LESYKSSRQRREERRRQRFGNGDGNGDGYEKV ANIA_05850 MAPQGFWLSREGFTADVTGKFLQRTILSPWKTLPLLLLAHYTSK GQATALNHPKAFRALKILATFAIIRRINAWLNQRVLNNGLTDQYHWHREVVVLTGGSS GIGRQIAQLLGNRGIKVAILDIQPPQDGQANLPSTVRYHKCDITSPSAIAEAAAAIRT TMGEPTILINNAGILSSRTILGSTESQTRLQFEVNTLSHYWLAKEFLPGMIKNNHGMV VTVASQAGYTVTPNMVDYSATKAAAIAFHEGLGAELVTRYNAPRVRTVLITQSFVNTG LIDKLTPEDTWLHPLLNPETVAEGIVEQVLSGKSGHVLLPGSTGWLAKCFRGFPLWFQ HANRIKLEKLMRAD ANIA_05849 MIVACDRPPVEHLRPPNPPTRDVGAASMEIVTTEEKSRIFPQYS GPPPPMHRIPPAEAPHGLPGAPGLYDQPWRPYPPYENHHAEPRRVANNAPQPPLSTHP NYPPMHSRELPQLPSDGPFSRPASLPVPAAHAPPEPPQPQHANYHPMNGAMNGAPPEA SPVSAPDYARTRMSFPPQEQIAHSNGDPPPPPQSLPPNQYPTTVPPPMTHTPAPNYDP NYNFQNRNRKPTRAQQACDQCRTRKAKCDEGRPACSHCKENNYVCVYKEVPPHKQDKS TQLLLDRIQQLDDQLNVRLEAAERLHLGHDKTLDQMFKVVEWIRDQVPRVWSQYPVIK ADGFDAPQKPETKELVTTEVAKPNEFDPFTKSLIQTGEDGELSIPVEHTTAAHKLLTW PTIKELLHGYDENYVMRLEQSRGLVRFEGRGETQGMDDGLGYEVPSQQTSLSTSFDDN FSQYTTPTGAPWSTAPKYNEAPPEVRGIDEFGVMSIDADTVRRYVKSYLEHIHRLHPF LNPVDLERRIDEFIAMHCPTSSMSAHGLSARNPIDTSNRGAKRKRSGETLQAMGYDGR SPTSGNSDGIPPRRVEKTMRNAIILLVLALGRICEVRDEPIRGPCIDHVIDYRTEQIP GAPRRGPRPGPRTPAGTDALPLHQGSFHSPYDDRLAPTPTATDERFITLPDPPHLKNV DTVPGFVYYAYAAGILAASQGATSLPYAQAALLAGLYIGQLAHPFQSHSWIAQAARAC QNLTLAMNYGSLEGDEKDLVCFAYWTCLQLESDILAELDLPASGISRSESRIDLPLGS MLKLSNTISEPDTMMMFFYSTQIHLRKVLNRVHTDLYKVIKKGENKWNGTTQEILSMN LELWRSSLPPEMRWNDNDPPSPDINHARMRAKYWGARYIIHRPVLFHALHFLGPNASG SAVDSPAGLAGSHTSPSLAHGQRATDMTRISSDLGTLQSSNGAHSYRDLPPKIRRACG LCVQSAIQSTIAFDGVKGRPVVTNIFGTAHAQFGNMLVLSTTYLSHLQELVDRNDLER LLRRTIAFLLRNRNISPTLRVDAKILTDIYEKIFEAPPILTDVNLPH ANIA_05848 MFGWSSAIGLPAILSDPDRERSPPPPLNSLDFPIYRLPAVPDEP SEDSLRNLQAVLASIRRPQDITTDKFRDLNLKLETDVPLSSIVRHDGAKTAPPLPWEL DSPKPSLGSPLPADGTPIFLENGNPYPTRDKYELLENELLLDNDDAFREVARLEPRAG RERVRVTQTRKFWTALERMSQYWDDSMDQYYDRAKSPEPSEKKADDAEAAGDTETTAE TTPMEIDPPTNTSTQDKAEPELVKKYKGRRIAAGQAMPEDIRDETIRALTEMAAWPFG CQASLPMNPPKLLLGTLLFPVRQTFQATRSPKDRQLARNGILEGPVFVAQCRPETVFR APGETHGYGLGDTCDLVREVGAMLLAAQERAREGAIEVRPGEGKWWTTKPRWGGAPND AIGDSVRVTNEQEREAAALTGRARSGSRPQPPGLRRPGLRRAMSSSDKWKIIQPGPSL WDKRMRYIQIGRDRECPFDDIYMLSSINHHLSILHLRIHRRYLDIITTGRSTVPPTSN DESHPWHILKLRRTRWYDLFDAQDRVDVFRGIWTIFHVMLRAPRPPEAMPPASLPPIT PVDPAVVYRSLPLESV ANIA_05847 MDPWLGITARSSRLFLEGPCAPSIVGISYYALVGQAQAQSSDFR LIFALCLLGGLLVNRRAWPDWLYSLFLASSGRLLPAFRTISLDASLLFLNLNVRREPR ATDSVTDTPSSTLTNATSPIETPTEDSRVDWTSSGGYTTSITSQTLDHQSGLDGTHST TLTHSALTTSTSATPTSEPTSLISSVPDDESSGPPYALIFGLLFGILGLIALIALIII IIRRRRRSNQVSDTDSLQGDRASTNSRTGLRRDFRSQMSYLADASPTSSGLFNFQPGA AHDSDKQHQAPPYSDPFSNTEAVPDGGIRTAPTNRDYEDRPHIHSTEPQAANRDSFHS GTSLGSTLVLPGRSSMGSEYQGTNLPSPTAPSGTSMGSTLILPGRSSMGSDSQGPNLL FPKPPNSSSYTYQRTVVRIDGVGSLDPDKSQTVVSRRSSGTIPAGLS ANIA_05846 MPFMDYSLVQELRQQLAGTQAEITSLGSADYTESIRSWSDACKS DAGAVVHATCTSEVCLVVTFARDHHVEFVVKGGGYSTSGESATQGGIVISLDRMRGVS VDPKTQMVRVQGGARWDDVNRATAPYGLAVVGATASQTGVGGSTLGGGYGWLTGRYGL IVDSLLRATVVLANGSVLEASDEAHRDLFWAIRGAGQAFGAVTELEFRAHRLPDQVFG GLLYFKASKLFKVIDFANWFHEQQDEDSGLFFGFRAAPKSADESVIMAVLFYNGCQER ATTFFAPLLALDPVINHTGMMPYWQLARQANVRFDRRRSRKSGGGTKLRFPPDKDLIP VLWRDFDQVMQEFPQMGDSVLAIELLPFSKLMSVPVEATACANRDHLYNVGLLLCWQD PRLDVFIDRYRQATLAKIQNSQYWAQVAGGGVAAYPNYAGHDFAARYLFGPNLPRLQQ LKKIYDPYNAFRKWQDLLSSPA ANIA_05845 MEACVHENEPLRLRLSCLDRDAPKTALRTSSLAVPTEESPLIAS SQPVSPSCCICLDTVRPDDLVHSIPCRHVFHAGCLEFWYLYENDNCPLCQRPLLPQAA GGGNGGDPGGRV ANIA_05844 MDSDKLTFRRALHNPHVSETAKEHARQSLQDLNEDEARREMQEE AGQHVPYHRQHRRYETRHASADQPMPPQERINAARGYKAALHNPLVSEEGKEHARRML LEMDDQEAREELYGEKPKSPTRVAAGLKAVTHNRRLSDSTRYTAAQKLREMGYAQEEE QR ANIA_05843 MRAKCLAAWLTSTTTPPSISLHHPWIASIFALPGARGVLNHAGG GWQLQESSSSPSSCSPPFFVSESWTMVIKKAEMKQSAVLTRDFKDIRRSDGAAVGGKN ASLGELVNALVPQGVAIPSGFALTAQAYWEFVRTNGIRENIARLLAGWQAGRATLPET GDAIRKRFLWGGWPPDVEEAIRAAYRRLAASERENTRDGRDGHDGHDGNGDGDGAFDV DVAVRSSATAEDLPDASFAGQLDSFLNVRGEEAVLQACQRCYASLFTDRAISYRQTMG FDQVSIALSVGVQRMVRSDIGGAGVLFSLDPDSGFNQVVLINAAWGLGENVVQGTVTP DEYHVFKPLLLADPGLTPIIDQRCGEKAMKMIYTQGRHGEEGKGCTRNVPTSKAEREA MVLSPREILQLARWGCLIEQHYGRPMDMEWARDGLTGQLFIVQARPETVHSRRRDLDV FQRHKLVGPRGRELVRGLSVGDAAVSGRVCLIETADEISQFVPGSVLVTGATDPDWVP IMKQAAAIVTDHGGRTSHAAIISRELGLPAVVGAGRATYMLHSDQEVTVSCAEGDAGF VYEGLSEIRTETIDLSSLAFPSPSPSSHSTQVMLNMANPAAAYRWWRLPASGIGLARM EFVVSNSIQVHPMALVHFDELRDPAAKDQIARLTAGYRDKPAYFVDRLAHGFAALCAA VYPRPAILRLSDFKTNEYAGLIGGSQFEPREENPMLGLRGASRYYSPRYREAFALECR AIKQLRQTIGFTNAIVMIPFCRTVDEARRVLALMAENGLVRGQDGLQVYMMCEIPSNV ILAADFAEYFDGFSIGSNDLTQLTLGVDRDAEELAHLFSEQDRAVRWMIAEVIMVCRK KGCKIGLCGQAPSDRPEFARFLVQAGIDSISVSPDSFVAVARNVQAALSSGESPA ANIA_05842 MDNLKRASRIAIVGVGQVGAAAAYALVLGSIADELLLVDTRAAW RDGQVRDLSDAAYASRSKTRVYSATYREASQCDIVVITAGSKYLYGQTSMDYLYRNTS IVRSIINEMKPFRSDTVLLIVANPVDLMTSLAKELSNLPSAQVLGSGTFLDSIRLRGL LADETGLAPNSLDLYVLGTHGDSAVAAWSCAAVGGVPLKDALGLEKRVEESLVEECKH RSQSIVRAKGATTFGIGSIVCSICASVLLDRHNVRPVSHYQPQHGCCFSLPAVLGRKG IVQTFPVPLSAAEQEGIAQSVGALKSTLNRVLEEEKGNRSTV ANIA_05841 MAKSNAVVWPTCIPVSVNSAIILTGTTGFVGTEVLHQALQHPSI TSIVVLSRKQLPDSVTTDPKITVKIIDDFLSYPDSLLHDLIGAEACIWTLGLPYHSDI AFYRRVNVEYTLAAVRAFTESLTPSLEKPLRFIYCSGAAAVRDQEKPLWLMPQTRKIR GQVENELLEHAEKNAGKVEVYVLRPAMIFSTGWSLGWLLSGMTPSIAVDTLSRVMLDL AVNGGRVGRVVENKEMNAWDKT ANIA_10740 MVNLRTQKRLAASVVGCGKRKIWLDPNEMSEISNANSRQTIRKL VKDGLIIRKPVTMHSRSRARELNAARRIGRHRGLGKRKGTKEARMPSSLLWMRRMRVL RRLLVRYRAAGKIDKHLYHELYHLSKGNTFKHKRALIEHIQKAKAERHRERILKEEMD AKRAKNKALRERRQERLEAKRNALVGEEQE ANIA_10734 MDDFPWDQVKSGDLEILRVVLLSSSTSRRTRVLSDLREKIGSDL PEISRQSLLELLLQTIPRYVDRPSRQAVQQCLRSLLKAPIPTEDLKYLVSELQKEASK SSLDAGSTLVLLEWCSILLQTLKSDTETPLSVVLDIIALDARALETCLVAHPKPAVEK SAYRVTRRALRAVFSDAWGDDAIKQSVQRLASNATTGQKNAPFLGVISGVSARLPNKK EVLAGEKKTLVAYYIKELVGTKAAPPAHIANGMSDFFESFITEADLTSDILPPLEKAI LRSPEVVFCGLLPSLFSYLPTEIDLSEILYSRLLKHLLSSMKSNNPLIRQGALQSFES LLVKSKTESLLLKIAGEVIGPLKGNKITSPEQRAIYAQALSAVSPTTDISKDIIQGFV PVFTRESNEAALEQEIKAFAKHLTRLIQSKAKIPDDVVNTIVKGSAEKKIPFRRLWQL YIGQAIWQAEPETLASNEIEPFVTKFVAKLEGIFKEVSSNPLPSAQNGALFTAYVYLA LCGRLSALEKFDKAAWEENVGHSMALQPKPSFLLNPKAYSKLASQAEVQWAVRTLAAV PSAAKFDDADAQTKTAWAEAFIYAITAPGLHNSFRDESARNLSIVYKTNIGAIGRVIT DALWSWILAFRTGEKESAPVSAGPDHLKFLHLVVKAICPAPSSSESSEQTPAGLKSQL ISLLLLARPEMIPNAHWIDLCLRTGTDPGNLVREFSSDCMNQLVRVQEDPIQSKVPQV DAAIWAAAGDLAFVAPDVMVSQLVEQIKEDLDVSRVAHFTPTDAAIARTPEGTMFVDV LNTKSRQTFDKNTKDYDILKWEEEMRAHLAQKQGEKPKKLTADEQAKVKAQLAKEAKI REDVLREVKRIERGCGLIQGLAAGPAIDADDWINPALASLLELSKAGAGLFAGDVISN AYIKCSEKVSSRLGPLRQFVGVATLRAMGKGTVPAEMELEPLGKLVTRILYRLRFASE QRPLDNSSLAYALPLLFTVLAQNGIEEEKGDEEGEQVLLALEILSYHSGSFTDNRLPR VEILGQLISSMQRYTQHYKLIKDTLFDASRCIAPNINENEVNVLLKGTIVSDPSVRSA VLQAIDAEIDLTDMDFSEHIWLECHDHVEENAEIAESIWEENGLEIDETAYGKLIPYL DSRDSNLRGAAARAIAHAIESNHSVFGDIISQLQSKYEFEIRPKEPEKDKYGMPKKVD TTDHWESRSGIALAFGAMQNLFEGDQIVSFLRFLIERGPLIDRSSVVRDQMADSGKGV IAARGQEKVEELMKLLETTLETSDKGSETSDLLNEAVVVLYGSLAQHLKSDDPRLQTV IKRLLATLPTPSESVQSAVSDCLPPLIRLAGRQSGKYAQEMLDQILQSKDYATQRGAA YGLAGIVKGRGIVTLREFRVMPHLQDAAENKKEPHKRLGALMAYELFATILGRTYEPY VIQVVPQLLTSFGDPNADVREACLYTAKACFSNLSSYGVKKILPTLLEGLDDTQWRSQ KGACDLLGAMAYLDPQQLAVSLPEIIPPLTVVLNDTHKEVRNAANRSLQRFGEVISNP EIKSLVNVLLKALSDPTKFTDEALDALIKVSFVHYLDAPSLALVVRILERGLGDRSAT KRKSAQIIGSLAHLTERKDLITHLPIIVSGLNLAIVDPVPTTRATASKALGSLIEKLG EDALPDLIPNLMSTLKSDTGAGDRLGSAQALSEVLAGLGTTRLEETLPTILQNVSSSK ATIREGFMTLFIYLPACFGNSFAPYLGRIIPPILAGLADDVDTIRETSLRAGRLLVKN FSSKAIDLLLPELERGLADDSYRIRLSSVELVGDLLFSITGITAKTEAEEEDEEAAQA GQSLLEVLGEERRDKVLSALFICRCDTSGLVKTAAMGVWKSLVASPRTLKEMVPTLSQ LIIRRLGSSNMEQKVIASNALGDLIKKAGESVLATLLPTLEDGLQTSPDVDVKQGICI ALKEIIASSSPDALEDYEKILISIVRVALVDNDDDVREAAAEAFDALQQILGKKAVDQ VLPHLLLLLRNDEDAEQALSALLTLLTEQTRANIILPNLIPTLLTSPISTFNAKALAS LAEVAGSAMARRLPTILNTLMDNIITCKDDEQRKELDDAFSTILVSVDEFDGLNVMMS VMLALIKHDDERRRTRAADHLRRFFSDAEIDFSRYHQDLIRVLLISFDDPDKDVVKAA WGALSGLTSRLRKEEMEVLSVPTRQILKGVGVPGADLPGFSLPKGITAILPIFLQGLL NGSVEQRTQAALAIGDLIDRTAADSLKLFVTQITGPLIRVVSERSVDLKCAIFFTLNK LLQKIPLAVKPFLPQLQRTFARGLADQTSETLRNRAAKGLGILITLTPRVDPLIAELI TGTKTADVGVRNAMMKALQEVVGKAGANMSEASKNSLLALIDDDASDQTDAVAITNAK LLGALVKVLPPATAGPLIKSRVLTSNFSHASILGLNALLVDSPSSLTEHFAAETVNLI CQGVTHKDPFISDNSVLAAGKYLLLEEQHSFESNKAIFEALSPCLQPGTPSDTRRLAL VVIRTVGRLHSELTRPHLGLLAPPIFAGVRDMVIPVKLAAEAAFLSIFSVVDSDSAVF DKYMAGPGAELPPGPKRSMSDYFKRVAMRLATQARERREAEGGQGGLGLSNDEVEDEK EVWSIGKVELEEGSFGDE ANIA_05839 MPPNSKKKKKPAANPARGFATVSVPSKVKPTETSEPASTVDSKS VSESDRPTPSEPTHTAPSSDKTPSLQNYTPEELERHLEDAELQILVEKYAAKCKSDAV RQASKLETERRVLRQQAVSVNHVEWLPSDVVSSILALAEAEEQGLSPQPVRDKRVVTE DELCMKLWVLKDTLLRLGFPENRVEEALKHVLIYFAGNFTVTNRDVVCNLEEALEWLA MHCSLDELPSYTQTNGQRKDADKNVSWISDRETSGKSAPKFAAGSKMQKAKPVVRENI TQPDPYDSDSSLDPDTLVPKYLDLQTRLYNLCPEIFDRPKKGKKGQPAGATDDPQALK LQRKISSIENDVLFDRKEAEYLWREKLDELRKEAAIFRRVDANAETEQVEKKESEPET PPALDDEADDILGGMFQDEEPTLELGVITEELNKASIATRDFGKWTGLSPRRVLEEAC KARDSGCKVTYKDISTASHLNRMALEVRWSKPQEMPSPFSHEAVTQKSTSYATFVSMD KIATPTSQQAEAYASTFALFILFPQNSKEGKAYMRLPAAWRDLWEEFANAKKVQDDEA DKQVVKSLRKLIQENHGTFEDDVVLSDNFRKRNGTPRNRSPVRAGAKEAPGINEQLSQ IWMEKASTPAFQYMVQSRMQLPIWTFKNEILNTLNSHRALIICSETGSGKSTQIPSFI LEHEMLSGRPCKIYVTEPRRISAISLARRVSEELGESKNDVGTSRSLIGFAVRLESKV SPATRLVFATTGVVVRMLERPDDFQDITHVVLDEVHERSIDSDFLLIVLRRLMQKRQD LKLILMSATVDANRFSTYLGGVPVLNIPGRTFPVETKFLEDAIELTQYRTTENESNVA DDEYEDDAETSQGETTGVAATLENYSKQTRETIMNFDEYRLDYQLIKKLLMKLATAPE MEYYSKAILVFLPGMAEIRRLNDELLSEPTFQHGWIIHALHSSIASDEQEKAFVVPPD GMRKIVIATNIAETGITIPDITAVIDAGKEKTMRFDERRQLSRLVEAFISRANAKQRR GRAGRVQRGICFHMFTKHRHDNLLAEQQTPEMLRLSLQDLVLRVKICKLGEVEPTLLE ALDPPSSKNIRRAIDALKEVKALTSTENLTPLGQQLAKLPLDVFLGKLIIHGAFFKCL DAAISIAAILSCKSPFVNTMGSNAQKEAVRLGFRRGDSDLLTVYNAYCAWKRTRSTPG ANEYAFCRKNFLSPQTLLNIEDVKLQLMVSIADAGLVTLDTNQKASLNRARSTRQRHF FTTPPSHDTNNANDTLIQSVIAWSFYPKLLTREGKGWRNIANNQSVTLHPTSVNRVPS ASSERSPGQSQYLSYYHIMQGRNRKYNAFETSAVEDWAVAVLCGEGDFKMYPGVLSID TNRLRFSLRDWKSMLAMKVLATRIREILANVFRDPQQPLSYKQRQWMDIWQGIWQARM ANIA_05838 MASLLTLQTQPNTIDYIALTFLSLVAGTFLTRGILWDQPDPYRH LLYERPQLKHGIGTTANSRQQTRNIARRLEETNSPIVVFWGSQSGTAESFAHRLAREI TLRFGQNTLTADLSDYDPASIAEIPSSKLAIFILSTYGEGDPADNTVEFWNWLNSNDR NAEKKQKQFSGLRYFAFGLGNSNYKFYNRVIDRVVKVLGEHGANALLPVSRANDATGS TQEDFISWKERLFAFFRGSLGFTQSELIYQPTISITTDDTIKPSALHRGEPRHSPNPV AIPIMGIRQLFEPISDRYCLHIDLDISSTPGVVYKTGDHLAVWPSNPDEEVKLLLHML GRSHESEIPISIAPIEGADVEDVAKKVPSPTTLLVLLRHYLEITAQLPRDILATLAPF APTNEAKTFLTELANSKEAYADFTRRNHLTLARVLAASSTNKQRWTSLPLTYIIETLP VLQPRYYSISSSSVVSPRKISLTVLVLSTPLPENTAMSIQGLTSTYLLTLADSPQSRE HKNMTLPTYTNTLLPFTPDSSSDGRRRILAHLRRSSFKLPRLQTTPLILIAAGTGLAP FRAFIAERRQVLKIGREVGDVLLFFGCRSPEEDFIYKDELSEMEGAFGGKLRIVTAFS RYGQRSERAYVQDRVLEYKNEVREILVARRGNLYICGRAGMAREVERRVTGFLTEMED GWSDRDAEEWVRSVKRRNKWQEDVWG ANIA_05837 MITLTYAAITGLLVLVYFVIWPVFEYFRDPKGLRRYPNMSIFSG ISAIPFMLMASRKFRSKELQELHKKHPVLRTGPNSLSYGDVRAIKDIYGHNTRCGKDP SYIVSAGSHYHLADVIDRADHSRKRKVLSSAYALKNLETWEHKVSDKIARLVKHFDSV CTAPLPAGQEEPDPADLTVDFRAWTNFFSLDAIVDIGLSEKLGFLDQGHDMCTAERKD GTTYRASLRDALYPNARKQSLLLWNYDWYPIINRWVNIIPYFAKMQKSSDTWEDIVWH HAMQRRRRYEAGEKLEDFFSALMEDKAGHPLGLEWGEVCAEINIMMNAGSVTTAIAIT NVMYQLLRNPQCLATLREEIDSVYDSEDEVVASYDKVKHLPYLRACLDESLRIFPPTS HGLPRETPPEGMEILGQWVPGKTSVSMSAYVAHRDETVFPEAYLYKPERWLGEEGKAL QPYFVAFSAGARSCIGRNISYLEQTVILATLVRRYEFALPSKDWELQREETMNLILGG MPVKVWRRQLDGDA ANIA_05836 MASMNQPQPYMDVHSHLSSGQTYASHPATAGALTHYQYPQQPPV LQPTSTYGPASSYSQYPYPNSVASSQSVPPPTTSISSQVPAQLLPLPVTNHPVPTHGY GNNSGTPMQGYVYDPTGQMAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNGM INGTKLLNVAGMTRGRRDGILKSEKVRNVVKIGPMHLKGVWIPFDRALEFANKEKITD LLYPLFVQHISNLLYHPANQNQRNMTVPDSRRLEGPQPVVRTPQAQQPPSLHHHSLQT PVPSHMSQPGGRPSLDRAHTFPTPPASASSLIGITSQNNSYDWNPGMNSSVPNTQPLS IDTSLSNARSMPTTPATTPPGNNLQGMQSYQPQSGYDSKPYYSAAPSTHPQYAPQQPL PQQSMAQYGHSMPTSSYRDMAPPSSQRGSVTEIESDVKTERYGQGTVAKTEPEQEQEY AQPDSGYNTGRGSYYTTNPSVGGLAHDHSQLTPDMTGSPQQNGSGRMTPRTSNTAPQW APGYTTPPRPAAASSLYNIVSDTRGTSGANGSTSDNYSVASNSGYSTGMNGSMGSNKR MRDDDDDRIVPPDSRGEFDTKRRKTLTETPVGGPVGGVPLGLQPMKAGGSLISARR ANIA_11491 MGGEGKRKNSDGEIAKRTNNNFDCYRTMDASELKRAKALLAGLA PIQLSRATPLP ANIA_10747 MDQVRRKDTTKGPPLRILSLDGGGVRGYSMLILLQELMHRIYVE IEGKPPRRDQIPKPCDYFDLIAGTGTGGLIALMLGRLRLDLETCKDVYVRMTRRVFET DKTFAGIPFRSTLFKASKLEEAIRECVREHTIYETEGNDSTSPTSPTYAPFSPNFSTT SIPQRSGSRASQSTTHSSINNRSSAFINGLRWGNPDALLYDNRENRTKTAVTAVYRGT PKNGNSVLLRSYDSRKEPAPEQHCTIWQAGRATSATGLAFKPIQIGQHYFIDEGAGTY NPAPEVLDEAAVNEWPGREIGVFISVGTGKRPPDTNNRQHEWWEDFFGDALGTFAEAR RRLIAKIEGCEDIHHAMLREHLPKRGVNKDNYYRLNVEVGVGEFGMNEWHRLADISTN TRRYLSRPDVKKMIHDASVKFAKIERMHRRLEAHTAAGHDPVNSSLTEDDHSSLAPSP RLSVTSPTSQTGPPPPPANTFELPAELPGDFTPYQPNDDKLPMHPTPQDAIRPPPGRT SGSDLHLSSNEPSRPSSQAYSPPRLSFEHTGPPPVPPKTPIPYPDTAELGGNPMPMPN QSLGLHPAHATTAPVSAAGRPAPPYPVDEPPPVVNKLRKPSYQVRDYSV ANIA_10746 MKVSQIYVYPIKSLRGVPLSSAQVTRTGFEYDRRFMLLKVIPSE SEDAKGEKTLKNMHIPHFPEMSLFQTELLEPGSGDNARIRVTYHPSDSESAGQVNGTG YGKEEEALHIPLNPDTRGLEQLAVVMHRSPTKGYNMGPKYNEWFSERFGYDVVLAYLG DGNGRAVLGTFAPGKHVAHKDQRQGMNLGYVAALLAVIGVLYIALTVLTPSPPGFAFV AAGFLGRRDRVGVMVAVAALLPAFLVLKRQSTKDERITFADTAPYMVVSETSVANVTD RLEGEEMDMRKFRANIVVSGAKTAFEEDFWAELVIGDAQVRLLLTANCVRCRSLDVDY ETGKMGTGESGKVLKKLMADRRVDTGAKYSPVFGRYAFLEGQSDLKMVRVGDEVIVAR NMKERAIYGKQPKLISRIWLQDLGSNG ANIA_10745 MRKKFDHENHSPPFVCAAGSFFLRAPTRSGMLVLIRISVQLPAG NQRHLVRLRHTAETPPPTYTSSFLFSPHQFFRRYPQKSSITMLGRCGRQASRLLPRPV TARPPSLQWQRMVSSSRDGQQSLLTAPLEQADPSVYNILQKEKKRQQHFINLIPSENF TSQAVLDALGSVMQNKYSEGYPGARYYGGNEHIDEAERLCQQRALETFRLSPEEWGVN VQPLSGSPANLYAISALLNTHDRLMGLDLPHGGHLSHGYQTPTKKISFISKYFETLPY RLDESTGLIDYESLEKQALLYRPKLIIAGTSAYSRLIDYPRMRQIADNAGAYLMSDMA HISGLVAAGVIPSPFAHSDVVTTTTHKSLRGPRGAMIFYRKGIRRTDKKGNQEMYDLE GPINASVFPGHQGGPHNHTITALAVALQQAQSTEFKTYQETVLANAKSLAERLGGSTS SGGLGYNIVSGGTDNHLVLVDLKNRGVDGARVERVLELCGVASNKNTVPGDRSALKPG GLRLGTPAMTTRGFQPEDFRRVADIVDRAVTITQKLDKSAKESAASKGVKNPNTVKAF LEYVGNGEEISEIVQLRQEVEDWAGTFNLPWAKE ANIA_05834 MKFSFAAATALLAGAAVAAVHPGADESAQQYTTVEVTEYTTYCP KSTTLVAGSETIPVETPGVVTLSNGPYTVTRPLLTTTVTRCKACSSSTPTTAPSSSVP VIPAPVVPTSSVPVIPSAPTSVDATGVPSSTTPAAPAFTGGASRAAVGAGAIAGLFGA VAALL ANIA_05833 MTHPQQAVHAASLQNPEAFWSHHAQQLHWHKKPSRAIGRSTKTL ASGASHESWSWFPDGEISTTYNCVDRHVLNGNGDNVAIIWDSAVTGKKEKYTYRQLLD EVEVLAGVLREEGVKKGDVVIIYMPMIPAALIGALAVARLGAIHAAVFGGFAAKSLAQ RIEAARPRAILTASCGIEGAKGPIAYRPLVEGAIEASSFKPEKVLIWQRDQLRWNNPD KLGGQRNWNRLVKSARMRGIRAEPVPVRSTDGLYIIYTSGTTGLPKGVVREAGGHAVG LSLSIKYLFDIHGPGDTMFCASDIGWVVGHSYILYAPLLVGATTVLFEGKPVGTPDAG TFWRVVAEHKANVLFTAPTALRAIRKEDPDNKHFEKVAGDNNLRHLRALFLAGERSEP SIVRAYQDLLTKHAARGALVVDNWWSSESGSPISGLALRSAVGRVPPRSDEYDVAPLA IRPGSAGLPMPGFDVRVVDDEGNEVAQGTMGNIVMATPLAPTAFTRLFNDDERFYKGY LKRFGGRWLDTGDAGMIDQDGYIHVMSRSDDIINVAAHRFSTGQGSIEQAILSHPAIG EASVVGIPDALKGHLPFAFITLKQSGGNSPARPSAELFNSVNRLVREQIGAIASLGGM IQGQGMIPKTRSGKTLRRVLRELVENGARGEFEKEVAVPPTVEDRGVVEVAREKVREY FESQSGSPKAKL ANIA_05832 MAGKMTLYKLVVLGDGGVGKTALTIQLCLNHFVETYDPTIEDSY RKQVVIDQQSCMLEVLDTAGQEEYTALRDQWIRDGEGFVLVYSITSRASFSRITKFYN QIKMVKESANSSSPSGASYLGSPMSSPSGPPLPVPVMLVGNKSDKAVERAVSAQEGQA LAKDLGCEFVEASAKNCINRMQQQRPQDTRRTTGLGQMRDSGPEYPRTFRPDRPRHRG GIKCQIL ANIA_05831 MAENETYKHADKDGHFRRKDSVFRSWVSASPDADFPAEKDRYVL YLNYGCPWAHRTNIVRSLKGLEDIIQLVVCDFELGSQGWFFSESGRNGSAKKDPLYGF TTISQLYFKADPEYKGRYTIPVLWDKKKETIVNNESSEIIRMFYTEFDHLLPEERREV NQPGGGLYPPHLRGEIEAMNEWVYDRINNGVYKTGFAATQEAYEASLHPLFEALDRIE GHLAQPGHQPYLFGEHITEADVRLYTTIARFDVAYYLIFKCNLKMIRHDYPLIDAWYR RLYYDESEKTRGGAFGKTTFLGLYKFGYLAALGKKQSVDSSQLIVPAGPKPDILPRDA ANIA_05830 MSFRPQTKALFFDVFGTTVQWRKVVTKALKEASENALRSDRESL QDDIRAQASAMTEDNWHSMAEDWRASYGRFTSTFDPSNGFVSIDQHHYDSLLEILRSR QLDELFTESELQDVVQCWHRLEPWNDTVEGLKLLSSKFRTSTLSNGNVSLLEDLVRHA SLPFTDIVSAEHFGAYKPSPRVYLGAAEKLGFKPEECILVAAHLKDLKAAKACGFGTI YVERVGEEFGNLDHAIQEGYVDLAVEMEDSPDGFVEVARRLGIST ANIA_05829 MATEAMYQSPPLASAFPTPSTTPKRPPSMHVRGDSSPGPVVSPV SLPNHVSSPEGRSDLDSIADEDVISPLDPRRFTPTLHASLVSEILALRRDVESKTKAI DHLENSLDESRIENEELAQRLAKHSKESRSLKQQLQLLEGGSSSALTELAKERDNALE GVAEFRRKLEQAQKKARSRDEELERTTKLWTREKEAWDGERRNLERKVHVVEGRLKAV LNEVAAAQAANSREPSINDAQDAGKEASTGKDSDSVSMYSSSQGRRRTSITSLSSAGS DEHHGLGEGRYSVMSAAGMLGSQTNLADELAFDEEDEFDLDDDNDDDIPQSPEALPEE RPISVHSQMSHTMSSKARKILGLSLDEKLDSFAVKAEASPVLKSPSIDRTPPTEYQDS GVQYSPPASPNLPLMADKSIEANVENDVSLYRTKESSTSTLTIDMVSASSQTVESLPT PPWTPRVAAPLELPAVDHAAMVPASVQTDIPAKIEAESNPVQKLKPANIEIPMITIQP PVSEPSSPRGSVVLPPQTKSVSCQADLRAVTETRTTGIQTEPIRIDQRPVKLPASLLP SAIPDLPLNTSALDHAIQPYRAPSPKSAKSQRKQPPLVVETPADTTALSKFGHIQAYP GNNDNGPLSEDSKPNLRRPLRSSSLFAGFEQTSDDEADAPRDVFTDDELLNRPFASYK VRKGKLVSANERRRSLEDAMLPDLTEDLNSESYFEAGVGNDLTSPPGSSWSRSGTSGF RQQDMRKAAIISSGAATHVRRARSPSEPSIDSGSGSNASSVAPPFPVPIRLSSRKFPQ TGSDGRQSPTPSSRNFSDRPRPSIVRRPTLRRVRSAAAMSQTEQASRPTTRSSPAMSI SSYGQDSPRHPRMPFDDIALPRDRQSSNARAARRPSISQYLTHERHESTTSVQPTSVV DAIAQTMVGEWMWKYVRRRRSFGGDRDNWEGRNAEEVSASITNSGVRHKRWVWLAPYE RSVMWSSKQPTTGPALLGKSGRKLIIQSVLDVKDDNPLPKGFSGSVQFNRSILILTPQ RALKFTATSIERHYVWLTALSFLSHSAIGLQDLASLPPVPREDYTRPAPTATLRRNPI RDSIRIAKGRPRPFPRGKGKRPFNNQPEPVPELPYDLDDEVRASEDAAAPPTVPRFSN HARKRSNTAPRMPIPNIRSFSSQNTIPSVRSSSDAAAGLSSHSRGLNSVRSSFSHRTS EASSVRTGNFFDAIGTVRMEAFIDQTESNRYRAANSRRHTRKPSTPWSMNQGYPELES PFEEVHEDPFHGF ANIA_05828 MSKTFTPAEVAKHNKPDQGLYIIVDNSVYDVTNFVDEHPGGAKI LKRVAGKDASKQFWKYHNDGVLKKYAPKLKIGEVKEGAKL ANIA_05827 MDNRTFVSDSLLRLANASDPTVVDFILATATSAKSSSSLQDKIA PFLDAGAEEVSSFCSELYKRVGKSETSAITNAGTGSGNRDGKTVAAGTEKKKYRLLDM DEVDYEGVSGTGSSLGPRSVETERKDRGRRAHDKSRDGDGNSKSHSDRWDKNENRKRE RENSRDRRRSKKLRRRDVDDFEDRWGDEEILEEEEQDVEGEFAESPSKRARLEDGSAS PRSRASSADLDPEERKERERKRDLEERDALAKRLASKDDKRSKKIVEDRTKDSEAARR RALADDAKARDAAMPDLRLRSRQEYLKKRETERLALLRRQVAEETQELRENPNLTRRE KEEFARNREVLRIAEERLRIDDHRDGYMMPEDYITEKGKIDRKKKEDALYKRYVERDE LGQEKFITEHEEWEMEQTAKAKAQINRAEFVDEGDYEYVFDDTQRINFTMDAQMKGTR KLMTQEQRMLQEKLDQAEQKAQTIEDTRKKLPIYQFRDQIIQAVHDHQVLIIVGETGS GKTTQLPQYLHEAGYTKNGMKVGCTQPRRVAAMSVAARVAEEMGVKLGNEVGYSIRFE DNTSDKTVLKYMTDGMLLRELLTEPDLGQYSALMIDEAHERTVPTDIACGLLKDIAKA RPDLKLLISSATMDAQKFQSYFDNAPIFNIPGRMYNVDIHYTQQPEANYLAAAITTVF QIHVSQGPGDILVFLTGQEEIEAAEQSLQETARKLGNKIPEMIICPIYANLPSDLQAK IFEPTPPKARKVVLATNIAETSLTIDGIVYVIDPGFVKENVFNPRTGMESLVVTPCSR ASANQRAGRAGRVGPGKCFRLYTKWAYYNELEESTTPEIQRTNLSSVILMLKSLGIDQ LLEFDFMDPPPAETIIRALEQLYALGALNDRGELTKIGRQMAEFPTDPMLAKAILAAD KHGCVEEVLSIVSMLGEASALFFRPKDKKIHADSARNRFTVKDGGDHLTLLNIWNQWV DSDFSYVWAKENFLQQRSLTRARDVRDQLAKLCDRVEVTVSSCGSNNIVPIQKAITAG FFPNAARLQRGGDSYRTIKTGQTVYLHPSSTLFEVNPRWVIYFELVLTSKEYMRSNMP LQAEWLVEVAPHYYKKKDLETLGTEKKPKGQGAVGGKSRD ANIA_10733 MEIRTRKIIHRYVPRDGGGTCRRPKVLDRDEYYYRRNVQHIRPE QKNSPTYRIIETREPLRSRTSLNTLWRRDTSPIRSKPFKMRMPYTIRRVPSVPDDCGL EPRGRNRSPRPFIVDSAPEIQYAWPKSGRPRSLSPEIRPPPQRSISPSLRRETETTVF VEDNPRQSRSLERPKGPRPPRERTPVVEREPVRRRSRAVEIHQTPEQARGRTESAGRR QVRFAEDIDYEEYGTWPRRCNEYQLSESDDDFRDEFRRRIFERRVPRNVEDRPRYRRI SPERSSYRTTDLPRATIRPNRLRPRIIQDGNREILEDGDRIYAKARRRRYEDRELPDL MSHSTARWRRRFDDIRDFSSDDDSYIRSAGSWRYGRRWL ANIA_10741 MNSGVGEGEGEPSLDATNAQQVLGLLPLPKEVKYDPNFHRPHIS AIRPENAMELLCIAVDMLLAMSTTRALSGDASESGVRNEVSSGENTPTRVEELRFHPH GHDLTQQSLLCKRFLSKREPPITLKEYLTRFHHYCPLSTGVYIAASLYITRIAVVDSV ISVNRKNMHRLVLAGLRVAMKTVEDLVYPHSRVAKVGGVTERELTRLEISFCFLADFD LRVDEQTLDNQAGILHWYMEHPT ANIA_05824 MSSGDPPSGLQASGSNSSAALGLSPPSAPSGKSAATPPKVATDD ASVELSSMKSERSPAKSIPLGEDIMQVARIGEVSVMQKLFDEKKFTANYSDEEGITPL HWAAINNQYAMCKFLIDSGADVNAKGGESVATPAMWAAQRCHYYIVHLLLQYGADPLL TDVQGYNILHLATIDGNAFLLVLLLHQEIPVDVVDQQGHTGLMWAAYKGYPACVDLFL RWGANPNAVDDGGLAPLHWALVKGSLPCVLKILEYGADRFATTTDGKTPALVAQEMNT RHVWYRALSECGYDADGNRKVLPMGLGPYVRDKAIMSKFFFFWPFLLLFVVLWILSNM VVYFAIPVAAVAVFGLQWVAKKAASQGPSEFRIIQKTPFLAGVFAGSLFWVFVRYVLY VLPATYSTNPFLNLGFVVFFSLTTYFYFYSMVADPGYVPKLGSRNEQRAVIGQLFEEW KFDEENFCVYCMIRRPLRSKHCRRCSRCVAKHDHHCPWIDNCVGVNNLRQFVLYILCL EIGIILFLHLTFNYINGLPAPAEPICNILNDQICSFVLRDTFTLLLDVWIAIQLVWVT MLGVVQLVQVSRNQTTYENMRGHSLDRGHSSTRAFASAVTAGTTSLDAAGLSASGQGP NPALAQGGHRHRGGCLKQWSSLLGFDTFFATARDGLRDGPRAARPRNPFSRGIVTNCR DFWCDPAPYFGKREPGSAMLGGEIVNYNRMYETPSRMYSSGDYRSVAYDEAADIV ANIA_05823 MEPLQRKSELDFQSYRKMPLAQQRTQRLKPTSPEELHDLICVGF GPASLAIAIALHDALDPCLNKCAPTSGWQPKVAFLERQKQFAWHSGMLVPGSRMQISF IKDLATLRDPRSSFTFLNYLHQKDRLIHFTNLSTFLPARMEFEDYMRWCANQFSDVVT YGEEVIEVLPGKSSPDSPVVDYFTVLSRNVETGEISSRSARKVVLALGGTAKLPAELP QDPRIMHSSKYCTALPNLLKDNNEPYNIAVLGSGQSAAEIFHDLQKRYPNSRTSLIMR DTAMRPSDDSPFVNEVFNPERTDKFYNLSAAERERSLKADKATNYSVVRLELIEEIYH DMYLQRVKNPDETQWQHRILPSRKITRVEHYGPNKRMRVHVRAVKDGKDSLIGDGKEV LEVDALMVATGYNRNAHEQLLSKVQYLRPATQDRWTPSRDYRVDLDRSKVSAGAGIWL QGSNEQTHGLSDSLLSVLATRGGEMVESIFGEQLESAAVPDTRFRAML ANIA_05822 MVATFSPHRDAGGTLHLSSHSGIHHIDANTAIRQLRRSFSRSPS KSSSFSLLTSRNHSPSKNTSYVSSPLSPSRRSAQGNFVLFPSSSQQSPFAIPYPPSAK ISRPTMRRARTSPRSPVKRALNVSSDQGNAKPLHETPVTPGVENSSTIPEISLSPDDI GGGFSTCSNESSIYAEGTPNPRPSASRIEKRRSGTFNSAVSPMKRSDGIMNLDRASRG SPSAKRRSVQAVNLPGDFSIFDSENVTNTPEDPKTEETDLEKDAIFFPTSTTPPFSPF ATVPRRTSSLRRSTLQQRSNDRSLFSRPRTMGDSEDLDAGTPLTVRSRQSLDANTPQP HRESLFSPRPAQVSSLFSNSNGATSRPAAHPLSRTITQSSSSSSLVDDSPTHEPPQKS DRPRAIINFSKSLPAGATRPSGARHLTREDSTSSTDSFATPENYKLVKPLPAAFMSTG LISKKNRNADDSHSLLGFSKNMPDTPCKRPVNLFAASQKAQPDGPLGRPAWSNAVDMP PPSPFNPSSARPKQGPFARGMGIFGTNFNRQGASRRGSLASIDGDDGYGLQTQSPSNR QNSQPLSESDFPPTPTKQSFFPSRTYPPTASQIASLERLSEARGTNSSPLHDRFQHES PRPNDRQSAQPDFNPLNLPATPTGPRDSFVGKRPSLPLNIHNTPDVDACLTSRFERVE LVGTGEFSQVYRVSQPNGVSLPSIFSTTPKSLNSLPDQVWAVKKSKQPYSGLKDRERR IREVDALKALTNYDHIISFADSWEDNGHLYIQTEYCEEGSLDVFLAQVGLKARLDDFR IWKILLELSTGLKHIHDSGFIHLDLKPANILVTFEGVLKIADFGMASRWPAEEGIEGE GDREYIGPEILMGRYDKPADIFSLGLIIFEIAGNVELPDNGLSWQKLRNGDMSDVPSL TWSSETTVFRDASGNPISEEPSFEELCASDFGDDDFGTTDLFGSNRERKPVPFTRSSE LADPPAFMIDAGHEQSLDKIVRWMISPEPLDRPTADHILESYGVQFVASRRRAGATVY EGNWGPADDVLAEDAEMIDV ANIA_05821 MPRVASRPSSFQEGNESLLMTDLNRPAAHASNGNGSTLPIHDGP ERHKFRRELFRVHTAGQSGRSGVHPLLFFKVCFRSTCTLSMIVNVLWPFVPAAVAIHF ARPDLHVWIFALNYIAMVPSANLLGFAGGELAKKLPKVFGVLLETTLSSVVEIVLFMV LIHNDQGGNLIPVIQAAILGSILANLLLCLGLCFFFGGLGREDQVFHEAVSEVGSGLL LVAGFGLLIPSAFYATLVSGSTNTTAQRADIVRSTLEISRATAIILLAAFLMFLFYNL HSHHTIFDEILEHDENRDEDRDKEEKRAKLTLTECLIAIAIALTFVCMSAVFLVNEIE HIVLEQGVPDNFMGLILVPVVEKAAEHLTAIDEAWDNQINFALFHCLGPSIQTALLNA PLAVIVGWGLNKDVSLNYEIFMIVLVVLAILVVGNFLRDGRSNYLEGGLCVLVYIIIA VTTWYYPPVEPLGSEGGTEAAAEAVAHRLML ANIA_05820 MSSKTTPTVPPVALDSITQHIGNTPLVRLNKLPQNLGINATVYA KLEYFNAGGSVKDRIALRMIEEAERSGRIKPGDTLIEPTSGNTGIGLALVAAVKGYKT IITLPEKMSAEKVSVLRALNATIIRTPNEAAYDSPESHIGVAKRLEKELPNAHILDQY GNENNPLAHELGTAQEIWSQTKGQIKAIVAGAGTGGTITGLSRGLKKHNSNVQVIAAD PQGSILALPAALNEEHANEPYKVEGIGYDFIPQVLDQHAVDKWYKTDDKESFQYARRL IAEEGLLVGGSSGSAIAALVKAARDNMFKEGDVVVVILPDSIRSYLTKIQFADDDWLA ANDLLPSLPTEVILQSSNKQTQGQDDAFAGSKVSSLRLKPVTTVHSNVPCETAIEVMR DKGFDQLPVLAPSGRKLVGLVTLGNVLSRLTHNRATGRSPVADVMFDFRTISEVVTDP RDMGLASLEPNQNGRDAPKPQTRSRKFVEITMDTSLSVLNRFFEWNSAAIVTERDEGG TLRPVAVVTKVDLLTWMLHHKKNGASE ANIA_05819 MAAYELLEAVATKLLEGSLFSIAVAVIITIGVPILLHLIFYRTV ASPPSSNFLLLGPSGAGKTALLTLLESKSSFAAKPKSQPTHTSQTSTLATIRLPVSVP IGSNKYRSVNDTSLKEAQRNPTKYRVKDTPGHGKLRGSQGLSELVSMSTTKDTKSRLR GVLFMVDTAAISETEALRDAASYLYDVLLILQKRALQRGKSSARAAAEIPVLIAANKQ DLFTALPPGSVREKLEAEIDRIRKSKSKGIMDASADDGNGEGEDDILGSYDLKDTFSF RALKDEIGVQVDVVGGAVKGDDGDEVGAGVRRWEEWVGQCL ANIA_05818 MRLNYIYTATLTLALALSVLASTGGFSSTNTAIETRNIGTAEWM EYDSLSPDLNSGVDHGAGDHLRPQKRKLVADPYKVPYPLQTGPTRYAPLAKKPGTATA TTLPTPQFPASPYKIATKYLNPGTVQTTLSATETLSVTMMENTAAPAPHP ANIA_05817 MVSERLTVVIKLGTSSIVDENTHEPILSILTLIVETAAKLHRDG HNVVLVSSGAVGVGLRRMDVDERPQYLPRIQALAAVGQCRLMSLWDGLFSHLRLPVAQ ILLTRNDIADRTQYLNAQNTFAQLFDMGVIPIVNENDTLAVSEIKFGDNDTLSAITAA MVKADYLFLMTDVDCLYTANPRTNPDAQPIEVVSDISSLEADVSSAGSALGTGGMSTK ITAAKLGTSAGVTTIITKSSKPGNIHEIVRYLQHSRQAALADAADVEITASKPQTPIP PLHTRFLPSETPIQSRTFWLLHGLKPHGTLYIDQGAYTALQKKASLLPAGVVDVDGHF GQQEAVRIVVVERISPESLNGDFLHPGQEPKEVGRALVNYGSLEIARIKGHRSTHIQS LLGYADSEYVALRENISFFDNDEQVRR ANIA_05816 MPNSQTSNSQPQVSEKDVDTGFRKGDDTFTHWRNVFNILTGRMT DEGIEQFRVARDLRNEAADCKRCEDQRDYLLQWSPIIRYMSDSIRQLGGDLSSHNIYC RRCTNRKAGGFDPEYGILICANEMKDQGHLEDTMAHEMVHAYDHLRFKVDWTNNLRHA ACTEIRASSLSGECRWAREFFRRGQWKLTQQHQECVRRRAVLSVMARPGCQDKGHAEK VVNEVWDSCFRDTRPFDEIFR ANIA_05815 MATKTLEARFEHLSVKDDSGNGNKGTSYPKHKSSLSTAVSLSGL GVAGQLTSGANRSNLLKLALQNTNDNKVNAMNANASQSPGKGTQNPASLRNLDENGEY EQPAPRKLHLGMFEIGKPLGKGKFGRVYLAKERSSGFVCALKVLHKSELQQGGVQKQV RREIEIQSNLRHPNVLRLFGHFQDSKRIFLILEFAGRGELYKHLRKEHRFPEWKAAHY IAQMASALKYLHKKHVMHRDIKPENILVGIHGEIKISDFGWSVHAPNNRRQTMCGTLD YLPPEMLTSNPQGNFYNEKVDLWSLGVLTYEFLVGEAPFEDTPVMTQRRIQRGDMQVP SFVSPEAKDLIKRLLVLDPEKRISLDEIQRHPWILKHCVKDDRTIKRGSGASKDGKV ANIA_05814 MASNSRTASVPIAGNTAAMSPTSQRPDTITVKGFKISTQKLPIL KAGPIEAMAKKLGIAPPEMIFGDNFVSIEHEKSGWSIHFNALDALDRVDKTGESMLEV AHSKEWQKSRETTHEGIKDVIKPFDWSYSTDYKGTVLSIQGPDFEETSKPIPIELLKR PDPILFFDEVILYEDELADNGITMLSCKIRVMQDRLLLLSRFFLRLDNVLFRLRDTRV YVDFEKSEVIREYQSKECDYGIVRQKLASARDDIPAIMRDPNRLSELLPLVDRRLERV VLDG ANIA_05813 MPPRYFDRLWSQYLTHQSIKFQTQFSKLPLPLFTSTQRSLCTMS ANSREAVNYRLPRLELQNASFRRRASAQLSGSKAQSCRYASGFLPRLAKKIVPMAQRP GGAAEQYIAYGMTQRLFEACSKQGDYTIPQLKEKGAQVPKTEAGEDLGVGEGWWYKGL LSPMQLFDVEPLALTSLIPELGLVPTFSTWSQITFLHMYLLMVRLRALPSRDSLQTYS RHLIDHFSHNAEYRMDVLHGLTSRAIRNKFLKDLFIQWRGVLAAYDEGLIKGDAVLGA AIWRNLWKASYNTPDGEEIDWEKVARVVAYMRRVLSELSELQEADLILGLGSLDCDKP GIFSPSKADMLLVKGKH ANIA_05812 MATLMNPVRDPNTLSNYNNWLCTHTTANFEIFFEEKKLVGNVVH KLRSITNAETDEIILDSHHVDIRNVQVAGLPVKAWELLPPLGPYGTALKIKLENPVGL NEIIDVDIAVQTTKECTALQWLTPAQTSNKKHPYMFSQCQAIHARSIFPCQDTPDVKC TFDFNITSPLPVIASGLPVRSTSTVPQSGVKTLHRFHQKVPIPSYLFALASGDIAEAA IGPRSVVATSPDKLEECKWELEADTERFIKTIEEIIYPYAWGEYNVLILPPSFPYGGM ENPVFTFATPSIISKDRENVDVIAHELAHSWSGNLVTSASWEHFWLNEGWTVYLERRI LASLHGEKYRHFSAIIGWKALRDSVEHYSHDHEFTKLVPNLKGEDPDDAFSTIPYEKG FNFLFHLENLVGKEKFDRFIPHYFTTFKGKSLDSYDFKATLLDFFKSDAEASRLLQEL DWDSWFYKPGLPPKPEFDTSLADVVYELAGKWRSLPESPFQPQPSDIQGLTANQIVVF LEQILLFERPLTAELSKLMGEVYGLTGSENIEVANLYLQVGLKAADKSVIGPTTDLLG RIGRMKFVRPLYRALQKVDRQVAIDTFEKHKDFYHPICRGMVEKDLFGKKDA ANIA_05811 MDLIPQVQVSTREERAPAARAQQTPIDTEATQATIAGEDAGPAE VETQPAEEPQLPKPIPFPGLARKDQRLRLLLIVTSGDRFLTSPIVELIVGSNDKRTAM TAHQDLLLESSLLSDHVKAFDDGPRHIELPDDDVEAFGYFLQYLYTRDYSPSETGADE GADNSGDRLLKHARVYTLAEKLGISTLKSLAHSKIHRINSTSLGELEYARYVYANTKS DDVTIRKPVSNFWGMRGHILRHESEEEFRQLCLDVPQFCFDVLSVVLDQREKRAQDAA ETEFAVRGSGRKRLRSGL ANIA_05810 MTSLDSILADKYPAKAHARRVAEGLKALGHSGGAIYLEAQKTRL IEDNDEPVPFRQRRPFFYLSGCLLPDSSLVYNIDSDQLTLFIPPINPDDVIWSGLPLS AAEALERYDVDNVLETTEVNATLANIAASHANNSTAFAIAEQVSEGTKFEGFSETNFN VLKGVIERTRVVKDSYEIALLRKANDISAKGHIAAIKASKSATNEREIEAAFIATCIA NGAREQSYHPIVACGQNGATLHYGKNDEDLIDPVTNRRKDNVLIDAGAEYRTYCADIT RAFPLNGKFLPETRQIYEIVLRMQLECIDMLKEGVQWEDVHAHAHRVAIRGLLELGIL RGSEDELFDKRISVAFFPHGLGHYLGMDTHDTGGNPNYEDTDTMFRYLRVRGRLPAGS VITVEPGIYFCRFIIEPFLKNPDLQKYIDVGTLNRYWRVGGVRIEDNVHITKDGHDNL TTAPKTIEEVESLAA ANIA_10737 MPRPAAKRNRLATRTLGVSDHTQGHGPRSTVESRGISRSPTSDS VGNSRLADSADPSDIIRQLRNQTPISKAHEFAIGSSPGTEQGVTGSRPPTRARGYSST LSIAGRKGDNGSRVQGTPAFESSILSNFRRRPRQASILHMMQDEDGSSDLDDDDFLGG LSPQDESTPLNISRGKSLVLGPAISSLDKSPSLPSIGNSSKRKRSTDRPEPQSPLYLA SIMPGTPRPKLATLRSEASVESHGLAETPAAFSETMVPPMSSPVFNSTLETSTQEADR LPPGTRRARNAKPAPAKDKKLQLPTAALQNKLLPQRRRRRPRRQNLSKFDVLSDSEDD LPSAAADDDELSFLPMQKRSPAPRPPTSTKPLRTNRANLNSNTHDKDGKEFKRYEASS HEEKNYLKENKPMEVSSPLSSALDTDELDSEFDLGQEAPAKAFLSEELRLQALKFAEI DKWQMEFEDVVTVGTQENGAFR ANIA_05809 MTLSLSSTTLPRKIIYAIIKELDRPDKLALGLTGPYFLGILADY YDLDRYRSNPDAWKRLGLPAGVTSWHDSAAHATVIRYLTRAGYSDIEAVSVYDDERNH DDRLEEGEEMDVDEDGDMKEVEEIDADSHSAGSVILGETDIPGLGCRGGSELLLSYED SDEAVDDELVELLISEWLDKKFGILDGCVVCADCSRFMLCCRPDGSPNSFATNMLHRP RWFRHCGDCEKGYRVAPGTMLA ANIA_05808 MSSSPERDREGILDSTSASLNELINKKRSNSEATEYPRRRATIA CQICRLRKTRCNGARPKCQLCTDLDAECVYREPGIKLDAGDKLIIERLARIEGLLQTS LAAQNSQLTLSSTSPATSNGTNVGSDDTVAKASTHLAVTGRTTSIVGLASWANPPTSI STMPRVHTTPALNLLKWPLIRDLVARPYDSQTLLQLEMAREPLKMTPPMAVDLSCAPL YIRTFFEKVNVWYACVNPYTWSKYYDAAVSLSFREGPESCVVLLVLALGCAAQCGSIA LISTDRDPPGVPYFIAAWNLLPSVMMRNSVVAAQCIILAAAYLFYLVRPLEAWTLLSN ASMKLQLLFGNPSRVPVQWRELSVRVYWNALLYESDLLAELDLPHSGIVNFEELVDLP GGFDEIEDDEDEDDGDTGNKLVSPIGCDELWYFLAEIALRRLLNRVSHMLYQKDNSLT LASLAPIVSELDFQLSQWYEGLPQPVRFPLSHAPISHPVQTVLRLRYFACRTIIYRPY ILAVFENEQASGDSWVRECCRRCLEATLYQLESVTTHREGHLPYLWQGALSMVSQSLL IMGATMSPALSSLLPPTLRVDEIISSVIVEVDRYAHLAPSLKLSSEILRDAERRRQIC LRSSGSRV ANIA_05807 MDDDNIHEGLGSLNRWSHSTTSSSLSPNYVSHRRQESAPRLFAT DNHLSYKKMLSLENSPQAHLLKKTDDATGKDEPFIRHHNSQSSTEKSHMDIPLSDRTE LTTVSKSTAHNTGAFSLQPEPPYLESISAQHEPRTHSRSPEKGVLERTHGGFASQITT RPHSQNDKRRQGPSQKAMLSKALQKANTAVLLDNAANFEGAMEAYNDACQLLQLVMLR SNGGEDEKLKLQEIRDTYMIRVTELQRMDFPFRDVDSKALPERPLSQESYGDYIQSVP EDAYSLSNQDPRFMDKEPLGERDTASEGSRTFVQSHIPPRRQSLLPSALDDDIYNRAF SSTAQNQTDISRPVIQGAPVAHRRVHSGTNPANSDDPLPRENQHPLHSRLSELSILSA QARDPNESTSWLDTIDESGASSSPSLRSNASSVYLRRRRSTSLSQGTEAEFDAALDAA VEAAYDEGFEPASGSEAQEEEILDDDVFANARRNVEIAKQKVREAEREAEVAMTRGSE LRRAQEQDSLDNVNDTGLDYFDEEAEEEERLLEEMTRGYVMDDFEFDLQSKSALPRQS ALSGFSQRTWEKSVKYNPTTGGTSLSPLVEDDTLPIETNSTQQVELGLGPALAQPTSK STPLQGVRARRMSGQNTAELKIETSARSRSGSGLVNAELAQSMDIQTTQVPILPEKDA SLPNGRAETTTSASTVHQSERNVSISSVSEDMSPNLKLARETTAMEEDNTTGAPKMLS PSRPPIGKVPSAPDNLGRPHPSVLPFRSRNVSVPNPDIFTDSPATPSMNAFPTLDLQK GIAAASMNALPTPSAVNFAPSGLSSDGLYLFDNYIHSPASPGFSTALDPNAPLPLEPC PESFLLRPFWLMRCIYQTIAHPRGGYLSTKLFVPREVWRVKNVKIKAVEEKISNCDLL TAALLKLSKVDTYDADAVLEEMQAFESVLDQVQMSLSKKVGNEVGVQGAMPLFKAAPM LDDPATADAMPSKMSNGPSKSYLSSWRKLRSKNSGFGATALSSSKEASKDHLTISSLP MTPIPNAQHSNRNIAQVQFDGPHANYMSALARLCDAAQVLDQIAQQVEDPGLKHSSQT LVGLELSTRHAAEFFGFYICRFALNDIGIMLDKFIKRGSEWVLL ANIA_05806 MASQSRKRVSEVVDHSLVDKPPLSKKSRTNAPDVAGFDNSRPEL VARKEDSNGDIYWEISKMRRVTISSFRGKTMVNIREYYEKDGEELPGKKGISLPIDQF SSLVTLLPEIEIVLQEKGLSIPRPKYVGQGTSTGGNDKKDSTDGLPMARPSRENIEAT SDEDEDEE ANIA_10738 MAHNTPTEATGTSSALQQILNVWSHIKVNSPIYNFLLSDVDIYH AEEGTFSARLEVGPKHLNSKGSLHGVFSACVTDWAGGLAIASCGLESTGVSTNINVNY LSTATTGDWLEIRGYANKIGKSLAFTTITISKLTSSGDTTLVAQGSHTKYVRAR ANIA_10732 MDAPNDTLRSQSDQTPQTERPACEEGTRGHKDGRLIQNNTDGAR LKQLLDTALCFLSTCSNETLLLVLFCLMGTTYIVLGRLGLLLIGMALGVALHASWVGM DQSNSSENTIIGRKQLSLSIVHKLLHWEETYFVKADSNAHGAGEDHHRALSVSDVDVL PFGPITASALHSLIEAAMRDYVNSWYEPILPSESTFPNACQAVLTNFITSIASHLSRK RAADTVLEFLTNSSSIIIVFLNELSAAFQAAGPNVTAEHAVLQYMESNPESSLSSLLA HQQQRQKLQTISDDLLSRYLDSNAYNCIPVRNFLREILTGIAFESTITSLSRPEFING WIIYLFSEGESEIMSAIDAGLEGARSHGVAAAKDSEETSRPASISQNGSVAEGSVSAY HAPNVPGQVFDKADKATREAMLEAKRLSDMIAAQNLPKYIEETTQSEIRGEHGTRDNN IIIANAGVECSAEEQQSNAAIESYPSESAQDVQQVQPSELGDLVTLPSLPPMETSTGS SLGSNVTTSAPSLFRASVTVDDGCDSRDMSALRTKPTSNYLIQVELHSGHSSGWMVFK KYADFESIHETLVTIARLNQLHFGDSYPHVPPWKGRTHQALARDLERYLQEALQLEPL AESVTMKRFLEKDRGLGIEAADLSEKPGFVFPGQATFENVGKGVLGVLTNGPRGVSGG SKAVLDSVSGVFGGGLGKKSPVALRADNDKVARKDPLKHGPALRKGDPKEEDLKPSTD TRGGASLSQTLKVCDSDDFATSGESAFPTESSTPVPTPESGGNPINKAGDQPWSVSAS IDRVNQKVDSPSLLEEKQNNDIALMESRNSTETPAGRQSNPITGDETRVAVELIFAVI NELYSLSSAWNIRRTLLNAAKSYILRPANPSLETIRRLLQDSMIDRHTTDEAIGTYLA KLQENALPTAEELNSWPPAMSDAEKERQREAARRILIQKGLPKAITGVMGAVASREAL SKVFDSLQINIVARGLVFSIFLQAMRAIVF ANIA_05804 MATDSTKEAASSGTSFYSTARPSSNLDAGKDAQEILRRFQGRDH TISQRNRLGIRHYPADSPPLKVRWFYAVDSPKWKPSFLDQPKEISKPLPAPKKFVPFT IKDSQAIERAFQDLCNAELEREQGHSPQPVEDEPVKVPVNEDYLFDVNINKRELCPAY WIGPVYEVRRGTWFFQEGSTIKPCEENLAAQLEEGYLKVKPWRPADTSESSSQPLSNA NNREQALLTSDDFMSRVSKTVYQTLGGVPGTRLVRGFSEMKKYNEAQDKKSSDKKASE PLPSDQSAQTGNTVARPTLDLSKSNTEPQESPDTAESRPITTLERRVSSLAGVQDSTE IEEQARKQEEKEMEDLRETDDEDREREIDHLVLVTHGIGQRLGLRLESVNFIHDVNVL RKTMKRVYKASPDLQALNSAFPDRQKNCRVQVLPVCWRHLLEFPHKKAGQNRTELDLA DMDMLDDDVYPTLSDITLESVPAVRNLISDLAIDVLLYQSKHRDQISAIVRNECNRIV ELYRKRNPSFNGTVSLCGHSLGSAILFDILCSGDTGPKMENIHDEAGTASLTFECEEF FCLGSPVALFEMLKGTGTAVTENQSSVSVPKCQQLYNIFHPSDPPQPLPSVKRSIWTA SGQSLSLIGSRVGQSVGSLWTNFTSGVASSLLNRSLGIGSEESTRQRPDSRPQTLPHS SLPQNATNSGDRSPTLIDPGLETLYDGFQKAKLRREKTAAISEQESDSGMKSTDLGLT KLKLEDEKVRLLNSNGRVDYSIQEGAFDISLIASLASHLSYWSDEDVNHFMLSQMLCR NRTDSIGH ANIA_05803 MNVIKIQRKYPQFEQGEIFALQDAFRKLDVDDKGYLDEGTVIKA TQQSERQPYDIVRQTLKEVELDSSRRVELEDYVDLIAKLRSGSTQSVPVRDPVSAAAP GAARHVSKGSVGGKIHVQGSSSNVTHTINEDERTEFTRHINAVLAGDPDIGHLLPFPT DTFEMFDKCKDGLVLAKLINDSVPDTIDERVLNKPGRKLKELNAFHMTENNNIVINSA KGIGCSVVNIGSGDIIEVREHLILGLIWQIIRRGLLGKIDIKLHPELYRLLEEDETLE QFLRLPPEQILLRWFNYHLKNAQWPRRVTNFSADVKDGENYTVLLSQLAPELCSRQPL QTRDLLQRAEEVLGNAEKLNCRKFLTPTSLVAGNPKLNLAFVANLFNTIPGLDPITEE EKLEVEDFDAEGEREARVFTLWLNSLDVQPAVNSLFDDLRDGTILMQAYDKVIPHSVN WRHVNKPPASGQEMMRFKAVENTNYAIELGKHHGFSLVGVQGADITDGQRTLTLGLVW QLMRRDITNTLSSLASRLGKHEITDSEMIKWANDMTRKGGRSSSIRSFKDQSIGSGVF LLDVLNGMKASYVDYDLVTPGQTDEQAYANAKLSISIARKLGATIWLVPEDICQVRSR LVTTFIGSLMATYEKM ANIA_05802 MSRPGTTLYVTGFGHGTRARDLAYEFERYGRLVRCDIPAPRTPS SRLFAFVEYESRRDADDAYHEMHNKRIGRDDLLKIEWARTPPSASWRFDSGRDRRRDR TPPRRGRSPSPRRARGEYSPRRDDRYDRDYDRHDRDYERRDRDYDRRDRDYDRRDRDR SRDRSRSPDDRDRDVKEDRERRDDERERRDDERENGPNGEDRKVPLEPLTSAHDELDT AE ANIA_05801 MSLNRQEATRHDGSDGVDKSALSKISQLEAPTSTQETYPRSSLL HGARVAVAEPVTAAFLAGGVAGAVSRTIVSPLERLKILLQIQSVGREEYKLSIWQALK KIGREEGWRGFLRGNGTNCIRIIPYSAVQFGSYNFYKRFAEPSPDADLTPIRRLICGG AAGITSVIVTYPLDLVRTRLSIQSASFAALKRDSAGEKLPGMFTTMVLVYKNEGGFLA LYRGIIPTVAGVAPYVGLNFMTYESVRKYLTPEGDSTPSALRKLLAGAISGAVAQTCT YPFDVLRRRFQINTMSNMGYQYASIFDAVKVIVAEEGVRGLFKGIAPNLLKVAPSMAS SWLSFELTRDFLLSFDER ANIA_05800 MGIDLDRHHVRSTHRKAPKSENVYLQVLVKLYRFLARRTESNFN KVVLRRLFMSRINRPPVSLSRIASNVTESHQGKTIVVIGTVTDDNRLLTVPKLSVAAL RFTATARARIEKAGGETLTLDQLALRAPTGANTLLLRGPKNAREAVKHFGFGPHKHKK PYVRSKGRKFERARGRRRSRGFKV ANIA_05799 MSLTESTAIDIAKAASSASRHLAILSEADRNEALTALHAALSRN KDAILEANARDIQAATQAVNGGSLNHSVLKRLDLSRPGKYDDMLQGILSVRSLRDPIG NVTLRTLLDDGLTLEKVACPIGVLLIIFEARPEVIANIAALSIKSGNAAILKGGKEST ESFVTIANVISEAISHTRVPQSSIQLVKTRAAVSDLLAQDSLIDLVIPRGSNDLVRFV KENTKIPVLGHADGLCSAYIHSDADPEIALKVIVDSKTDYPAACNSLETLLVHQDALK EVLPPLAKALIAKGVTLRCDESSKAALIENLTLKEAGMLRDACESDFRTEFLDLTLAV KTIPSNSPLTDVEAAIAHINSHSSKHTDIIITESENIANIFMKGVDSAGVFWNASTRF ADGMRYGFGTEVGISTNKIHSRGPVGLDGLTIYKYLIRGSGHRAGDYFDGEGGRKYKH QNLELS ANIA_05798 MSDFLAYLTSAPSRPHGSANITDKCYDHQLRDLIAYLKQPGVAP STADINGYLEAISPAVHSLSYLYLLRIRIQQLQEKTAVGVPNDLQPGGTLWNQTVKFL RSFDPIQIRYVGHEWRELVDSVANAALSVSKPILAVKMIRDALERLNTAGVFTSLHLM LVKLALLSSSYTYVLPVLDKLLCHFPSDTQNAHAGILLCSEHEPSTVFFTDSSGFSAN LTYRDHLQFYMYSGMVYMALKKWDQASHCLGIVISAPTANSVSKIMVEAYKKWVLANL LGHGKLFSVPNLVAPHVTRVYQSLSKPYISLAEAFEKRDFQRLRTEISLGQTIWRADK NSGLVYQVFEAYDKFLIIKLGKTFSALTMPDVLQRASSCSKGSRDIEEFVVSLVMTKE LRAKLSHSPGNETTTMLRFPLSSQSHALREEHIRFRLIQKEAALNTISRAITQTKITL ETSHENLQVIAKNQKLAGSSERSGVVGSTEADGGGDLDEDLMGDGR ANIA_10829 MPRLTTRAILEANKYDRLLPLLLKECRSLSSAVNEFRWLQERAQ RVVSLKSMHDRNGWKKAPSGRRRLLKSMCLARSRGVPLQYILGDQPFGELDIKCTKGV LIPRHETEAITIHTAKLIQDRMTCVERDGAAPLRILDLCTGTGCISLLLHSLLSPCFP RLSIVGVDVSAIAIRLAKENVERNVRLGLLSERALNEVDFQHGDVLGLSSGPLSQLEG LFDRTTGLSASSGPRCDVIISNPPYVSVEEYHDGTTSRSVRLFEPRLALVPPDSTLSS IIESKHVRREDIFYYHIACLAALFRARMTVLECGNRSQAKRVATLCKSVTGEHGYWDG PVLVDVWSVTGSDTGPSAVIIYSPR ANIA_06487 MLRVGFDASTNEIPDPVARDRRRRKRDQTVAQLIDNEETLYFCN LTVGTPGQSLRLILDTGSSDLWCNAANSTLCSSPKDPCRISGSFDPSSSSSYSYISSD FNITYADGTGAAGDYATDTVSIGGATIKDFQFGIGYTSSSAEGVLGIGYPSNEVQVAR YGDDAYPNLPRFLMQNGFVQSSAYSLWLNDLEANTGSILFGGVDTEKYRGDLQTLPIQ TVNGEYSELIIALTGVSLDTEARKHTVSSNALPAAVLLDSGSSLSYLPDSIAEKIYDD LRISYEPSTGAGYAPCSLARQNINVTFTFSSPEIAVGIDELIIDAGDLRFSNGERACI FGLVPAGDNTAVLGDTFLRSAYVVYDLTNNEISIAKTNFNSTKSNILEIGTGSDAVPG ATKVSHPVTSVVADGSGSRIGAPTNTEDIVPSASTGAAVVLGRSTISPVLVGAAALGY MFAF ANIA_06488 MLIAAKQYSQWLPACRAVKGINHEKWLCAGLGKPSRRLKSSQNA QAPTVFSGIQPTGVPHLGNFLGALREWFKIQEAATENTKLIFSIVDLHALTVPQQSSQ LRKWRKEALATLIAVGLDPNRSTIFYQSSVPQHAELFWILSTVASTGYLSRMTQWKSK LQLPEDASLDNSEVRSNLRLGLFSYPVLQAADILVHRATHVPVGEDQRQHLEFARYTA NSFNHLYGPIFPSPEALISPAKRVMSLKEPTLKMSKSHADGRSRIILTDSPEDIRRKI KVALTDSEPGITYDPIRRPGISNLIEIFSHLEGKPCSEIASLYQDATPRALKEHLSDK ICQILSPIREKFHAVMADGHALSAISEQGAQEARANAEITMKKVRDAMGL ANIA_06489 MSLFSPHRILLRTGSAFQLATATRALLSTSSQLRNTKNAQSGLA EQARAEEPVASSPSQTTRPEQKSLEEETTKQTQTHADSTVNEWGARLTDLNINARLPR SVQALYLRPLRRKAEYGLPVCDLQLRSYSVRNLEFFADFAIRAAYYLNLPVSGPVPLP KIVERWTFPRSSFVHKKSQENFERITVRRLIQIKDGNSQAVQAWLAFLRKHAFYGVGM KANIWEHESIEIAKTMDQTLPEIEKALEPHISQFGRREDAEPAHDLITNFLGSERLSL SKGPLTDVRGG ANIA_06490 MDLSARPSALEKTNEAFDFIKKLLPEPLKAPRVAIVCGSGLGGL ANTIDNDLRVEIDYSDIPHFPHLTVAGHAGKLVFGLIDKKVPVVLMVGRAHYYEGHSI DQVTFPIRVFKLLGIDTVVLTNAAGGLNPDYAVGDIVLLNDHIFLAGLAGIHPLRGPN CEEFGPRFPPLSDAYDLDLRRQVHEAWRKYLDPCSKRRLHEGVYAFVCGPSYETRAEC RLLRQLGADLVGMSTVPEIVVARHCGLRIIAFSLVTNNAVLSPVPRGDDHLIQGRDVK ELDAILQEGKANHEEVLEAGREAAQDMQKLVVHVISKIF ANIA_06491 MDILPELSNVQSQDHTELTDDNIQHLLAEAEKRLRNDTVRTAND SVALRQSGQVLPNIPKFSSGSSLKPYVRQHNDVAVVDTARIIDPLVSNCTQSSANEKI VPKTSKKDKPTAGSEWFNLPKTELTPDLKRDLQLLRMRSILDPKRHYKKESGKAQPPK YSQVGTIIEGPTEFFSGRIPKRDRKKTFVDEALALEKETRRFETRYNDVQQKKRSGKT AFYKSLVAKRKGRKNRQ ANIA_06492 MEQAQQTSGQQQGRQQPVYDTRQGGHYGASAALAAQGFAPVAEL YTGTWANVNQGLQGTARDILTTYWQHVINHLESDNHDYKIHQLPLARIKKVMKADPEV KMISAEAPILFAKGCDVFITELTMRAWIHAEDNKRRTLQRSDIAAALSKSDMFDFLID IVPREEATSHAKRSSQSAGAPAGPGGPTAAGQLPQTQHGVQHHPHHMAPPDYGALGQH PLQDQEYRQQTMYGGAVQSDPTAAYAQPQTQMFEGMYTAYPHLPPQQ ANIA_06493 MPSMVPSRSESLYVLNQIIISPSDTDYLDQLIPSIKEYSVGNKT SELLRSLSKFASDKEAEIENICNTNHQEFVSSVNQLLHIREGTVSLTAEILDLNQSIQ ASTEKLAEQKKALVESRQHRLNIDETSRAIQDCLEVLRLANQVHDLLAKKNHYAALRA LEELQNVHLKGVTQFQIADMIQRSVPATQRAIAEAVMSDLNTWLYRIREMSQYLGEIA LYHTDLRKTRQKERAARLPYLEHFKLNSAIELVCDEHEEFDLLQNEELQVDFTPLFEC LHIHQSLGQMEKFRVEYANTRRRQKELLIPASVTLVDDDGASLHNLLEEMAGFAIVER ATMKKVPDLRYPVDVDELWESMCHTAVGLISTALHEVDNAESLLKTKNLIALFMQTMN TWDFAMGAFEDLLLTLFKKYAELLKKRFSDDFQEIVSTDDYMPMPIQTPEEFDKVLNV SWYTPSEPQEQAFPCVLPFSQMYPLCCIDIRNFLNQFYFFANDDFTNPDIIDATLKDA LDELLSSKVCDTLVERLNSQYLGQIVQILINLEHFEHACHELELLLAAARSQNFSSEP VALKATGKFRDNKKAAEKRIFEVVNSKIDDLIETAEYDWTAAAAPTEPSNYMQTLTRF LSNIMNSTLLGLPKEIKELIYFDALSHASTMILALPLSAEVKRINPNGVMALAKDVEY LYQFVDSLNNPILRENLDELQQTVQLMQAENADEFYDISMRNKKYGRVDAINGPTLLE KLTHTVQSPVKMDKFSTLSSRFGKK ANIA_06494 MRGTDSSRGLSSPGSTDGVASPNGSPDTKLTAFSPEDVRSKTLS ESSACGPLDDWTGFKQFFSNACSDPFLVSANVSTRPQLSPTAASFTPIGLTDSASANR AHFMTKDVSPSNISLLTADSKADSGSNSKVSRPERSLPKYGAIGSDRIYRDCGVQARK AAEQEGNTRALLIENVPNNLTYMSLAGFFNRREFTSLKGPILSELSSKGNVYVSFTDC REAKKAIEKVRLLRPEWRVFPLTPREYVQHLDPALLPQTSNYEGQLLATVYYDSRNPG LNQHTVARSLETLAMTFGDIQAFTPLLSSQENISEFHIEYFNTRDAENVMTTLNGTSV DDCVVEVSFFKPDVESEAYPSPQSPCSLKKSPSCLNASYQKDASWSSPRHSRAPYMEL SPTGRSTIPPGEHAGLMDWMTRAGESILPSPRREIARCPDLRLTNQNAVDVERIRLGL DVRTTIMLRNIPNKIDQTMLKAIVDETSHGKYDFMYLRIVLAMLSSTSKIVYTYVIQS LSKHEQGAHGTASTAIRSQKCHTQGKDCLVQKFRNSSVMLEHPSFRPKIFHTGTGPLA GKEDRFPGPDNPSKMRRSIENAEHVGLFAPRVGQQYRDEQRRRRSQFDRGTTAAEREI VYVRSLAPRRPFSAVGNGLKSAPCTYPGMKMWYDSSVSDHGPKTS ANIA_06495 MEEDEVFRIYSMLHLIFHRNRNQHGRTKWWKWLSILKRAVWNLA MSLSSSKQGDFRTSAENYKQYLADRVLPRCYLAFSVVIADVQFSALGAVLFAILAQLS KSTGIAEEFKLPSPVETNHNSLASYTEVPTRIDDIGEALPRPAEPSEVAEDFQLQQPV KPVLAVSNKSSASQTLNVTEPEKKMKKKKKQKEKGSETKKRRKENAIDDLFDGLF ANIA_06496 MVHHLLVLVANVLIPIAVLVFSLGFFPYKPLISGLATFDSFEDR PPRVFDKVIFMVVDALRSDFVYSNNSGFLFTQSPTDFRSLIRSGAAIPFTAYAGSPTV TMPRLKAMTTGSVPSFLDVILNIAESDTSSTLAYQDTWLAQIRAKGEQLVMYGDDTWL KLFPGMFSRSDGTTSFFVSDFTEVDTNVTRHIHDELVTGDWSGMIMHYLGLDHIGHKA GPQSSYMVPKQHEMDSIVAQVYRAMEQEAHLQSTLFILCGDHGMNDAGNHGGSSAGET SPALTFISPKLQSLGAGRESPVNATHELQYYSVVDQTDITPTLAGLLGLPIPLNSLGV FIPEFLNMWHLGSQRIRLLAGNAKQLLNALKETYPNHNFGDDTLPASCYDDSPRGPDG ALCAWAQAQELLHQYGADAADDIYVQTEIESALLRFLRSSQEVMSSAASNYDLRYLLL GICIAGLAVLFSIPATYKALSNHTLPRLFLTTGVLLYGAMMFASSYVEEEQQFWYWVF TGWTFYLHVRSIRLQKAPQGATYLLPAAILAISHRFMRRWNQTGQKFAAEPDIARIYL PSHRINLWLLIVVTYADVCLHLMDNLSSLIWRLLCLAVTAMCFTFKLVFAASESPELL NETTIQTVATFMDGITLVLYARVAMGGIAVLFMLIFMRNGKLPIKQGLFHEALTLFLL TQSRVTNVPIFLFFRLQLKALTWMNLNSAEVTLTSLLMQYIAFFAFGGSNAISSIDLS NAYNGVSVYNVILVGILTYVGNWAGPIWWISATKLLRRGESHDESRTHTALLTFHAAS ILTSVMAACTALRTHLFIWTVFSPKYLYTMSWVIIHHWVNLLLPTVGRQ ANIA_06497 MAKSVRASVQKRNKAKLRSTVFGPAVDARTERLSAKLQELAAQP KPRAQENSNTVTEATNIVTEDESKTNPSENSEAMDIDSSKVSARVRSQRSGRIQKRQR KNRSSIVFKPHPSKTKKMHKKK ANIA_10822 MASGQTSELQSVIALVKTLTNAQLKDILRDEGLAVSGLKAALQV RIINALEGHFQAGRLGRYDSLRKFIYATAHRSMPPSPSIPPPVPGNYYQQIPTTHSVQ SHHRQSPLGMPVASHGSVPGPLIFKDSPFYRIIRQLTPTIECKAREHTRDSVELKIHL DADVASRLLAEPKLRVMIFCAADSGLNQFTKSDIAFPHQVELKANLDEVKANLRGLKN KPGTTRPADITNYIRKKAGYTNHVVMTYALTQKRFFIVANLVECTAIEELVDKLKRRK TITREQVLQEMKSKAEDADIVATSTVMSLKCPLSTRRIEVPCRSVLCTHNQCFDASSF LQLQEQAPTWSCPVCAKATSYESLNVDQYVDDILRSTPLDVEQVIIEPNGQWSTPKDE AVATGPGTFTPATDDDELVEIREPGVTPVKQENLSSLDLWRQTPATSREQSSAWSSSK RPAPVIDLTGSDDDDDSPIRPPKRQAVHLHRPLPKQDPRSSYTGGYPLPTFGSE ANIA_10826 MSFDAAGIHPIPSNSQDRLLSASCLDFLLIELVPMAERIAKDLA ADERLLDDEETKETAFSRLESLGYRVGQGLAERFSRDRPRFTDNLDVIKFLCKDLWMT LFKKQIDNLKTNHRGVYVLTDNSFRPFAKMSMSSRNEALSRAQAYLWFPCGVIRGALS NLGIHTTVQAETTELPGATFQVKTINK ANIA_06499 MVKAAVLGASGGIGQPLSLLLKASPFIDELALYDVVNTPGVAAD LSHISSVAKISGYLPKEDGLKNALTGTDIVVIPAGIPRKPGMTRDDLFKINAGIVRDL VKGIAEYSPKAFILIISNPVNSTVPIAAEILKAAGVFDPARLFGVTTLDVVRAETFTQ EFSGQKDPSAVTVPVVGGHSGETIVPLFSKVSPAFQIPADKYDALVNRVQFGGDEVVK AKDGAGSATLSMAFAGFRFAESVIKASKGQSGIVEPSYVYLPGVPGGADIAKATGVNF FSTPVELGPNGVQKAINILDGITDAEKKLLDTAIKGLKGNIDKGVEFAQSPPPK ANIA_06500 MAAERSNVSSDLVWQLTRNQNAYLVKRNTHGGVQFSRDPLNVLN KHSFKYAGYSNTKAIGVQATENGGVVTITKKPGTSQQPAKSLAVVTYGPGASNRKIYK GVADRTAKNGYRADLRQEAVSRVSAIRRSQKAKKETPARKPRGAQARKAAEQESA ANIA_10827 MAYLFYFLAFLVVICGTALYLTRSRWLPLLPVPDYIYDRLPASF TDDIAAGLTSSEFDLSTNIEHGDQRAGLDAFGKREVLNIMRRQKVDFNEARRIYTEQR FAKNNIGPDGRPRDPKFVSFS ANIA_06501 MSGARHWEQDKEATVYIGNLDERVSDSLVWELMLQAGRIVNVHL PKDRVTQSHQGYGFVEFNSEEDAEYASRIMNGIRLYGKPIRVNKASADKQKSVEIGAE LFVGNLDPMVTEQVLYDTFSRFGNLVNLPKVARDDSNLSKGYGFVSFADFESSDAAIA NMNGQYLMNKQVSVQYAYKKDGKGERHGDQAERMLAAQARKHNVQVPTQALPPQFTAP AAPAMPADMSRPMSTGPADQGMGRVPMLPPQLVGFSPNVATQQSLARPGLPSVTAATP PPGLPARPPPSQAGYGGPQVFLPPGLNNSGQQPQYTPQAAPPPGFAPPGFGTPSGSSG PPPPMPPGFQQPGYGRGR ANIA_10828 MVQFSEETKERISKVIDVSRVAVHYGYLPLILYLGYTYSDPRPS LFKLFSPLA ANIA_10823 MFGNDGLSSSVVETIAGFTAGTATTLCLHPLDLIKTRLQVDRTS SSRVGVSLRVIREIFHKEGGLIAFYRGLTPNLIGNSSSWALYFLFYDNVKEILGSWRS RSNSNGSQQRREPLEASDYFIASGSAGIITSILTNPIWVIKTRMLATGSMSPGAYTSF TAGAMQILRSEGVPGFYRGLVPSLFGVSHGALQFMAYEKLKFHRANAHSGGLQRKELS NMDFFIISSVSKIFAGSITYPYQVLRSRLQTYDAYLAYRGLQDAIVKIWATEGLGGFY KGLGPNLFRVLPSTWVTFLMYENTRAYLSKVMSNA ANIA_06503 METAEPVSYEFPGHAVGAVASRRMLGSNINHNFSFYTNPTASFP LPYHQPPSATYSFSHPLNTHHHHQHHQPQPQHPQHHNHHHQHPGYQHFFVPNHSLNAQ SARLASEPPLAQPIPDIRPARNAVNRVSGDSVAKVEQRAAPQSSSVAAAPGNGVSAHE KNPNASEVEFSTEVDVLMKAIQAKEASQPAALQSLPPLQQLTHGGGNGFAQGYMSAVV NHRGAVIADESQSRSAKKRKYTCTLPHCGKSFAQKTHLDIHMRAHTGDKPFVCKEPTC GQRFSQLGNLKTHQRRHTGEKPFTCDVCQKRFAQRGNVRAHKITHLKTKPFTCLLEGC GKQFTQLGNLKSHQNKFHTQTLQALTKKFSAMIDGEHMSPQDRKLWEYFAGLYKNSNK GIKGRGKDRRISPSSKTNVSADGSRRPGADDQARRGSYEDSVYTGASSSDEDNEDPYF IEKRGDE ANIA_06504 MQFSKYIGSVFTYAGVRSGTMQPPQIITPNNRVLPAKDLRPQVI LAYRQSPCIIGGRLHENLIHHDCVNPASARGKNERITLANDNTHIILPAVILTICYKL KNAQSKSQHS ANIA_06505 MRSIDQPLLTRLPSSGRAQTSQLPLTMYNAHRGMVPAPNSRLNE LLDQLRQEFENQSRSTGEFEHQLTGQLQEMEMIRQKVYQLEQAQLKMKQDYEAEIRML RHELESRGVQPVASHVAVPAQHGGPSQAPPPALGHGPSNLFGGIMANQGGSGPGYQPG AAVNGYGPPPPPTASPGPGKRPRAPPGPATPQQAHQLAYPESRVSPQLARPTPPNQPV VRDRPGNMLANWNPEDLPPSQKKEGVDWYAVFNPEVQRVLDVELVHHLVHDSVVCCVR FSRDGKYLATGCNRSAQIFDVTLGQNVAVLQDESVDKSGDLYIRSVCFSPDGKYLATG AEDKQIRVWDIATRTIKHIFSGHEQDIYSLDFAGNGRYIASGSGDKTVRLWDIAEGKL VYTLSIEDGVTTVAMSPDGLYVAAGSLDKTVRVWDTTTGYLVERLENPDGHKDSVYSV AFAPNGKELVSGSLDKTIKLWELNLPRQQYNSAGKGGKCHRTFEGHKDFVLSVCLTPD GHWVMSGSKDRGVQFWDPITGNAQMMLQGHKNSVISVAPSPTGNLFATGSGDMRARIW RYSAYTGR ANIA_06506 MDIALELFDTFVGDRLYSALLPASLSSTVSVPGFPTAANSTLSL FGASKPFVYEPATKLLYLEPSKYAYLSAWPRNNIYRQFLSFFLIVWIFGFIVYFISAT LSYMFIWDKSTVKHPKFLKNQIPLEIAQTMRSMPIMSLLTAPFLVAEVRGYAKLYDTF DEEPFPYYSILQFPLFIAFTDFFIYWIHRGLHHPLVYKTLHKPHHKWIMPSPFASHAF HPVDGWSQSVPYHVFPFIFPLQKAAYVLLFGFINIWTVMIHDGEYVANSPVINGAACH TMHHLYFNYNYGQFTTLWDRMGGSYRKPNEELFRRETKMGEEEWKRQTKEMETILKDV EGDDDRKYLAEEDSKKNL ANIA_06507 MADYERRNHGPRGGGRKRRYRDDDDYDRRQRRRYEEPLVVKVRR QLLTIAESAARRAEDDALSIAKNVAENYEDEELRDNFIEVALDLVLEQPLKIPFIATT VLVANTIKSDLAADVLKHAVERFQHNVDAGAWREVKLLLRFLGCLQCILEGDGVFAIL EELFSRAVDLQTASSEDLIGLELVKIILYTIPYIMASPVSGFESQALGLLEKTDIIAS TPHTLVDLANPFGPDSQKSATVPSVISLLQSQLQAEASRNWELECLPRPWANVREGDE EPKRLEEGPKHVLPDITIPSPVLNGSRAIFPEVFLSVYGDQDIETVPSTSNIASSLLR DALVDTINIMDFNRIATAKFLIDLDCYFTPSIFVKRATPFDKLRDLPDDRTTWKPEDV AVDAVFSQLFQLPSPEHKLVYYHSVLTECCKIAPAAIAPSLGRAIRFLYRSLDVIDLE LSHRFLDWFAHHLSNFGFTWKWSEWIEDLELPLVHPRMAFINGALDKEIRLSFAQRIR GTLPDPYQDLISEGKEKDTPDFKYASDTTPYANEGREIMQLIRRKAADDEIQPHITAI EERAAGLGVEIPLLPSTDAFVTSICFVGAKSLSHVLSCIERNKERLLAIGPRSPGARR QIITSVMEYWVDQPGIGINIIDKLLNYTILTPLSVIEWALVDHLDAGTILAKTHIFEM ISATVGKVTNRLRQIVAARIQPGLYEPQLSVLDETLNREKKDMHALFQVIEDSVVSVA GGSNDQLMERGDGSGDLPEDEIIREWGKRWLRAFRRKAGVEEAFISQAMSSATPVGTK APTPPPAAADGSVPGDGAGDGDMDIADADADRTAGI ANIA_06508 MSQNRSGVFSNLRMGEVVREKVQDGLTGETKEIQYSQCKIVGNG SFGVVFQTKMMPSGEDAAIKRVLQDKRFKNRELQIMRIVRHPNIVELKAFYYSNGERK DEVYLNLVLEYVPETVYRASRYFNKLKTTMPMLEVKLYIYQLFRSLAYIHSQGICHRD IKPQNLLLDPATGILKLCDFGSAKILVENEPNVSYICSRYYRAPELIFGATNYTTKID VWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPTREQIRTMNPNYMEHKFPQIK PHPFNKVFRKAPHEAIDLISALLEYTPTQRLSAIEAMCHPFFDELRDPNTKLPDSRHP NGAARDLPNLFDFSRHELSIAPSMNSRLVPPHSRPALEARGLYIDDFKPLKKEEMMAH LD ANIA_11520 MHSGDSAQHEPGGACRSMQSKKHEDLIDLDMAVPKTWYLLPL ANIA_06509 MYWDGDCNLKIKQAYQRTISSRTLLFPVYRPTKALKHLVTEFVR STADIWAISVTSHVPEPVLRCGSFDADKKPSLNLKTTTAATSVLPSAQLWRVQVLNEA RRSPWFTKWKMAPAPANSCAHIYDVMAPTACTSARPVIDDPTEGLTFIGFVIGGQNA ANIA_06510 MADHLALPSFLTDNSVVSALLDTYTSFSERRAALGLPNPGTVEN VGREVQKDVLLSNFMFSGLRADLTKMFSMAPLFRVSHAFSMGGSGNMAPYAFSAMYGT SSVFMQGNFGSDGGLAALYNYRWTPKLVTKTNVQIMPGAEQGLIQLDNDYTGDDFSLS LKAFNPSYLDGGLTGIFVGSYLQSVTPKLALGFEAIWQRQGLNTRPESAVSYSARYKS DDWIASAQLQAQGVFTASYWKKISERVEAGVDMNLQFAPNAAAMMMGGPSKDGTTAIG AKYDFRASTFRAQVDSAGKVSCLLEKRIAMPIALTFAGEIDQAKQSAKVGLAVSLEIA GEEVMEQTEKADPSTMVTPPF ANIA_10824 MDTLLTADIVVNSPRFRRKSSTFVDAIHDLPEKADLAPAQLYST ESGRLFHSGRIVIITVGLPARGKTCVEPPSHMSVAMARYLRWLGVKTRIFHLGDYRRA TIPHGQDIPDDYFFVNASASSVLLRQKIVKRCREDIYQFLNEENGQIAIYDAVNPSAA GRRSLANEFAKHDIETLFIESWCDDYRIIEENVRRVKISSPDVSVSCGFAFASIDTNC RQYVGWSSEDAVKHYLARISARIPQFHTMEEKDLNYIKMINAGERLIVNNKSFGYLSN RIVFYLLNLHIKTRRTYFARAGVSVEPNSYKADGPLSEQGRDYAKKMTETLLKDRESE KQAMIDRGETDYELKPLTVWTSTRRRTVETAKYLHEKGYKVRQRSQLSQLNPGVCELK SERRIREEYPDEVAKHELDPYHHRYPRAESYHDLAVRLEPIILELEREQNDLLIIAHE SVLRVLYGYLMACNAADIPFLEFPRDEIIEIIPESYQNEARRIQIPDLPEEIIPGSPE DIKIPVPSSGLNTPSVQGIGSPNDGVLTPQGGIRTPREPERISQQHVEDVV ANIA_10832 MRGKISKAEVGNGGGVIAVCLGPDLHIYRIDKHKKVDRFGELPS SAVTHQLLAACSPAFIHAPRKLDSVVCLPMVSFTSEYISVGGNRHPAAADWDVHSGIL AYGADNNVALWDPLAESRRGVYSVLVGHTDKVSVVKFYTCPTTGTRLLLTGSVDCTVR LWRADPIDHRRFAHALTLTDHTGSVNAIATNSGVDIIATGGADATVKIWRISIQDSVK GELLESIPTKPRYFPLALALAPLPTDTQDRPVALAVAGTTNIVQIYAAENTVDTPRFK VSATLSGHEAWVRSLAFTVDMHSKTGDLLLASASQDKYVRLWRLNRGEAASSGLVGSE EDAVLGGFEPTLSNKAHQFEAARSKYSMTFEALLFGNEDWVYTAAWNPNPERQQLLTA SADNTLTIWEQDPLSGVWLSAERMGELSVQKGSTTATGSTGGFWIGLWSPNGCQIVCL GRTGSWRAWRYQAESDTWDQTLGITGHVRSVNGIQWEPSGGYLLSTSADQTTRLHAQW LREGQKSWHEFSRPQIHGYDLNCVDTLGPDRFVSGAEEKLLRVFKEPKPIAQLLKNLS GLAQNTEGELPDTAQIPVLGLSNQAVGEEAPVETDTAEAESIGQAQAYQSILSNSTQP PLEDQLARYTLWPEHEKLYGHGYEISAVAVSHDRTLIATACKASSIDHAVVRLYDTSD WHEIRPSLAAHTLTITSLSFSADDKYLLSVGRDRQWAVYRRSETDSSSFTLITSNPKG HSRMILDADWAPVSESQLPIFATAGRDKLIKLWQLSGDLAECKATIPSRSSVTSLSFL PHIYRGMLYLAAGEDDGKLSIHQIKVDGVEPSPLASPDRDQSPSKAITELSWRPLTEQ SEASADKQDFELAVASDDNSIRIYSISDMVSEP ANIA_06512 MSDSPDIQVQNLSYKFPDGSSGLTDVTLGLPAGSRTLLIGANGA GKTTLLRLLSGKRLAPSNTISIANKDPFKEGLEGVTYLGVEWVLNSIVRTDIDVPTLL ASVGGNAYPERRDELVEILDIDLRWRMHAVSDGERRRVQLAMGLLRPWQVLLLDEITV DLDLLSRSNFLSFLKRETETRPCTIVYATHILDNLAHWPTHLVHMHLGNVRQWGAIEK FKEEAPETSENSQLGEIVLKWLKEDLQARGPRNVRGSQAKTYEAGGIGGYGYEKKA ANIA_06513 MFRRECLRLINDIHARGKLAVLVGGTHYYTQSVLFQDQLVSKEL ESSDEESDSCPAGDVDSAVKWPILNAEPELVLQKLREVDPIMADHWHPKDTRKIRRSL EIFFQTGKPASQVYAEQRQSKQANANNDNGSDWGQLRFDTAIFWVHSEKAILEERLFK RVDVMVEQGLLSEASRMSDYLQEQKAQGITVDQTRGVWISIGFKELAPYFNALREDSR TEKELETLKQSCIGSVKIATRQYAMSQLKWIRNKLWTGLAKGAMTGRLYILDSTKVED WTKNVTEPSERIVEAHIGKKPLPDPKSISELAKTTFEALEAKSLSTDPSPSQCITCDI CRKTLTNKEQWQIHINGSVHKRVLKSLAKKRERDAYLRKRQENLGNTLE ANIA_06514 MNRETFDIQPIGRFYGSNTAIRRPREIACFSYDDQHKFHLGDSS LRYYYPPQLPADLNRGFDTFQKLDDAADEHIDALLDTIAAMEKETGKRCEADIITWRG MMTKILTAPFDDMNGFEMNATCFQGTMCKQLQRQQRMPPGMASQDLMAYWGYKFETIS VLDKTWDEASREEIEGRENLVVNNNAQYCSVVRTGIGRTKLVLGGEVDAIWDSKPERK EDPINWVELKTSAEIRKDWDMVKFERKLLKFWAQSFLLGVPKIVVGFRDQGGILRRLE ELETANIPNRVRKSGRGTWDGNICINFAATFLEWLKSVIKEGGTWRLRKAEKSSVIEV YQVEESGTGDILSQAFLSWRSTT ANIA_06515 MTSRIDKTIARQREKIASGAYYEAHQQLRVIAARYIKQNNYDAA AEILAGGATALLRAGSQQGASASGGDLAIMLVTEVYVKAGWEIVGGDDDAEGRARKKR LIELLREFPSEEPTRKRFIQEAIGWSGRFGSVERGDPELHHAVGSVYAEDQEPYEAEK HLVLGTSESAETLAKLEYEWYTSDEPHTAGIYAARAVIPFLLIGNLRSANKAFLIFTS RLSSANPSLGVQDVSSATSDVRVFPALPLLNFLNMLLLAIQRGTADLFKQLTAHYATH IKEAGILDDALPQIGEQYFGINIPRQGNPLLDMMGSMLFGGGQDSGRRSASGRGQSRK VEAPPSNMELD ANIA_06516 MGTTSVRRNLFQNHLSSRPISNPAPNTRTSGLSSQVLQSNVPES NSSNSVGLMDDGEIVVKDKNGSFSLDIPVLPPIVGEDEDEMEGIETEGTGGESTAATG AEATGQGGMSGRQKERTGTDKRVVNHKKF ANIA_06517 MTQAESSASATRNALKANTAGAPPDYELPWVEKYRPVFLDDVVG NTETVERLKIIAKDGNMPHVIISGMPGIGKTTSILCLARQLLGDSYKEAVLELNASDE RGIDVVRNRIKGFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSSTTRFA FACNQSNKIIEPLQSRCAILRYARLTDAQIVKRLKQVCDAEQVKYTEDGLAALVFSAE GDMRQALNNLQSTWSGFGLVSGDNVFRVVDSPHPIKVQAMIKACWEGKVDSALETLNE LWYKSLSSALCSR ANIA_06518 MGKKRVLVSYGVDIDAVSGWLGSYGGEDSASDISRGLFAGHVGT PRLLKLFEKYGIKATWFIPGHSLETFPEECAMVRDAGHEIGLHGYSHENPTDMTIEQQ KIILDKTYRMITDFCGKPPRGTVAPWWETSQEMTELLLDYGIEYDHSMLHHDCQMYWL RTGDSWTKINYSQKPETWMKPLERGKETGLVEIPGNWYLDDLPPMMFIKKAPNSHGWV NPRDVEDIWKDHFDYFYHVSGRPHVLLMHERVRIIEHINKHEGVEWVTMEQMCDEFKS KNKPPAGARMPAPPSSVLK ANIA_10833 MGSLQPWEQTVAKKRALRDQALNPYFVSDIDNRPPRVRSVSNRS CLEHDPLVQEITNIDNIPALVEQLRKGRFTAEQVTFAYIRRATVAHQLTNCITEVIFN DALAQARELDKVFKATGKLIGPLHGVPVTVKDQFNVKGYDSTIGYVGRSFSPASEDAV LVQMLKKMGAIILAKTNLPQSIMWAETENPLWGLTINPRDPALTPGGSTGGEAALLAL HGSILGFGTDIGGSIRIPQSILGLYGFKPSSGRLPYRGVPVSTEGQEHVPSSVGPMAR DINSIYYITRLLTSSRPWDLDPRCANLPWNESVFRGIQSRPLVIGLILDDGVVKIHPP IERAMRELAAKLEANGHELVTWNTSDHLKCISLMDRYYTVDGGEDVRRDVAVAGEPFI PHVEALVNRGKPISVYEYWQMNTERNNLQKKYLDGWNAARSPSGKPVDVLLSPTMPHV AVPHRSVRWVGYTKIWNLLDYPAITFPVDRVRADKDVLPPDYRPRNDLDAWNWSLYDA EAMEGQPVNLQVIGKRLEEESLLGAATVIERIWRS ANIA_10825 MSRITFNAPESRSENGARDSLELASLASSSPESALDLSRSSSPS GISSSRKLSLEDEDPLSNSNPHMTHLSSRPRPDRSYSISSAFDFGRNLFPLSQTADGY APLGAPTQIDGDGGGIDGSLERNKTLTYINGLSLVVGLVIGSGIFSSPSQVNANVGSP GGALIAWLVAGLLAWTGAASYAELGGAIPLNGGAQAYLSKIFGELAGFLFTWCAVLVL KPGSAAIISIIFGEYVVRAILGAEVEQISPWINKGVAFGGLLVVICLNCLSTRLAARI GDLFMIFKFVALIGVAIIGIIVAATGLSAKGQANQEWKISWFEGTNMDISGWAMALYA GLWAFDGWDNTNYVTGEFKNPNRDLPRVIHTAMPLVIVSYLLANVSYFFVLPHSTIEA TNTIAVQFGDKVFGSIGALIFALIVSASCFGALNATVFTSGRLVYAAGKEGYLPTLFG NLWTQGSSSSMNRLQRQSWMSKLVRRVFGNGTWIGYTPINAMALNGILTLLYVIVGEF GTLVTFYGVAGYTFYFLTVLGLIVLRVREPQLERPYKTWISTPIIFCCVSLFLLSRAV ISEPLQTLIVVAFIVAGVPVYIWRIYKRDGKKAFQGWSLWHSR ANIA_06520 MKPRIRLRGFLLQDAFSARSLARTQRRHATGSSSSPQPPRASPA SRRWLRLTLVTVTAAGIGAYIKWMQDMKTASTTLNPGRFTSYQLVSREPVSSTGSLFT IKPPKSDGSNLKVYEDAWNTGVWSVMFKQPQLQIGRDYTPLPPTSANEDDECLRFFIR KDPFGEVSRYLHSLKIGARIEVRGPRIECEIPPDTDRILFIAGGTGIAPALQAGHTLL RRTDHIRKPTIHILWANRQRQDCAGGYNETTDTTAETRMSWLYGLFGSSKSVTRPAPA EVADTVEPSLIVREIEALKAQYPEQVTVQYFVDEESSFIGKKTILECTKTAVPSSPDK SKRNLIFVSGPEGFISYMAGPKLWAQGMELQGPLQGIIKELDLQDWAVWKL ANIA_06521 MQSRLVSQSGLGRRWAVLRCALSKTYQRRTLTSTRRQFQDVFQS QLEDPTSAALFSALNSSKAVPQTLTEKIVQKYSVGLPQGKFVKSGDYVTIQPHRCMTH DNSWPCALKFMSIGASRLHNPDQIVMTLDHDVQNKSDKNLKKYRQIEEFATQHGVEFY PAGRGIGHQIMIEEGFAWPGTLAVASDSHSNMYGGVGCLGTPIVRTDAASVWATGKTW WQIPPVAKVTFKGVLPPGVTGKDVIVALCGLFNKDDVLNHAIEFTGSEETMRSLSVDT RLTIANMTTEWGALSGLFPIDSVLKGWLRGKATTAAMGLADGPFKTRAAERFTHPLLE QLFENPLTADKGAKYAKELFLDLSSLSPYVSGPNSVKVATPLKELEAQNIKVDKAYLV SCTNSRASDIAAAAKVFKEAAEKNGGKIPKIADGVKFYIAAASIPEQLAAEGNGDWQT LLEAGATQLPAGCGPCIGMGQGLLEPGEVGISASNRNFKGRMGSTEAKAYLGSPEVVA ASALSGKLSGPGWYQTPEGWTEVIRGEGDGIREEDRMLTNEEALEKIIGQLDDLVADG EKRFASETPAVEESEQGLTEIYPGFPERVSGELVFCDADNVNTDGIYPGKYTYQDDVP PETMARVCMENYDPEFSTTAKEGDILVSGFNFGCGSSREQAATAILAKKIPLVVSGSF GNIFSRNSINNALMGLEVPRLVNRLRETFGSGDKVLTRRTGWTLTWDVRKSQIEVQEG PGGPKWTHKVGELPPNVQEIIAKGGLEKWVKNAIGA ANIA_06522 MRASPFWHLRRVATPVRLPRNQPWAKAHPLCHALNTSVSSTPQH RCFAATVPSRLLYKGDSTIYALSTAPGRAAIAVVRVSGPACVSIYQALCPKAPLPRPR VAAVRTIYDPASDEPCGQADILDAGALVLYMPGPKTATGEDVLEFHLHGGPAIVKSVL SAISKTSGKEHSVRYAEPGEFTRRAFMNDRLELPQIEALGDTLAADTEQQRRLAVRGA SDALSKRYEKWRQQLLYARGELEALIDFSEDQYFDESSDDLVLSVSTQVRALSRQIAL HIQNASKGELMRSGIKVALLGAPNAGKSSLLNRIVGREAAIVSTEEGTTRDIVDVGID LGGWYCKLGDMAGIRSEPSSNSPGGASLVIGSVEKEGIRRARARAMASDVVVVVISVE EGSDEGAPFRLAMEPDVVDAANECVRAGKQIIVAINKCDRLPPLDQSEASQVPHEIAQ KVNQIFPEVPKKRVFGISCLESSDGLEQPMTNSWPSFLQGLISTFEEMATPAGVDGDA NGQYDRSYWEDSLGVSHRQSSNLQLCLQSLEEFLAQANPSSSRDTPDGPYSGSPGPDS VESEVDIVVAAEHLRYAADALASITGKGESGDVEDVLGVVFEKFCVGK ANIA_06523 MPTSDKSRQTSAGKSFFGRKLHKERPVEDRWDAHGSWESLAPPS SAAGSRSSRYSKRSSIQSVDFGADIDPSLLSTSAGPITSIPFESLSTDTQSPIPVDYL SKAETSPRKEPSPGHLAKGVGDFHQYPAWDPSAMRNHQQFSHPTGPRPPPHAAGVAMS SSATGDKGARYQQWGRPGSSAGNAGLSHHSSSTVDSSTNSRMSIDQASIHSSLSSNTR GSSYISTDGSSRTTLPSHSNDRSNYYAAMNSGRGSSAQGAIPPAQPRVQNTEQYLTRP RDDRVVDQLFLELMQKRGWQNLPEQARRQMMAYPASKKWTLVHQDRLTELQGEQKRKQ KARETHGYDGPSGILERADEEGSPEWYVKKVMDDTITSKQLASLSVSLRTQPISWVKA FVEAQGQIALTNVLVKINRKKVTGPVPAPPSGDKDLDREYDIVKCLKALMNNKYGADD ALAHQQIIVALISSLLSPRLNTRKLVSEVLTFLCHWAEGQGHERVLQAMDHVKNHQGE TGRFDAWMRIVEVTIDGRGKMGSLVGASEEYRSGGIGMENLLMEYAVSTMILINMLVD APENDLQLRCHIRAQFISCGIKRLLSKMEGFQYEVIDKQIEHFRENEAIDYEDLLQRE SSSTKDSIEGEVKDMTDPLQITDAIASRLNGTRAHDYFLSALQHLLLIRENSGEDGLR MYQLVDAMLSYVAMDRRLPDLDLRQGLTFTQSLLDRLHTDAEARRAYDESLEARQIAE AALAERDEMKAQVELGADGLVRKLQKQIEEQTGIIELQSRQNEMLKAELADVQRLRAQ ELQRNELETRELYLMLRDAQDIAASNAKKSNMGEAETDPAHMRGILDREKLLTRLEKQ LERTKTQFKLEGKVWGQHDPSDRLRELREQMDGDAGPREAFEEQARLNLSLNPVGSVY RKKTYIQGMEDTATEELGQTDDEVVYAKARLVDLHRPRMDPEQATGLLGEIAAKVPKI DADDAKDEGKPTESEQPAEGAATKGDEQGVDDTVAVDKATAAPPPPPPPPPAHPGLSG AAPPPPPPPPPPPPGAGAAPPPPPPPPPPPPGGLGGPPPPPPPPPPGGFGGPPPPPPP PGGFGGPPPPPPPPPGGAFGVPPPPPPPGTVIGGWRANYLASQGAPSHAIPVMSSIRP KKKLKALHWDKVDTPQVTVWATHGTTPQEKEEKYVELAKRGVLDEVERLFMAKETRIF GGGVAAKQRKDKKQIISNDLSKNFQIALSKFSQFPAEEVVRRIIHCDAEILDNMVVME FLQRDEMCTVPENVSKLMAPYSKDWTGPDAANTEREQDPSELTREDQIYLYTAFELNH YWKARMRALALTRSFEPDYEHISAKLREVVRVSESLRDSVSLMNVLGLILDIGNFMND ANKQAQGFKLSSLARLGMVKDDKNETTFADLVERIVRNQYPEWEDFTEQISGVIGLQK LNVDQLRTDAKKYIDNIKNVQASLDAGNLSDPKKFHPQDRVSQITQRSMKDARRKAEQ MQLYLEEMVKTYDDIMVFYGEDNTDDGARRDFFAKLAAFLQEWKKSKEKNIALEEARR RTEASLARKRINVGLANGAGAAGDAPVSPATSGAMDSLLEKLRAAAPQAKDQRDRRRR ARLKERHQVRVASGQKIPDLEGAEAPGSGGQNSGATDTNATDSSLLSPTIQEPEGGSS PIASQSEDVADRAASMLQDMLRNSPDPERTRRRRESAEEERRKRRLRRRNGATSGSKD SNDTTPLSPVTEPTSTQGESAEPENLSLSSPPNGEDPTLNPPTIVLSSDASDTPDDEH RPSTS ANIA_06524 MGAASLAKTLFIPAVISLALYVLISFIIVPFFRRYHQRYSQYLP LETITAHTSSLWERIADAIMRRFLPSSWRQQAHISDPNDNISILDEEGEIMVGMNMDS AQREALERQRNTVAEDEGRLSRELEEGFMDDSDEEVNQDNWTGRHR ANIA_06525 MGKVLMVLYDGGSHAKDQPGLLGTTENELGIRKWIEEQGHTLVT TSDKDGENSTFDKELVDAEVIITTPFHPGYLTAERLAKAKNLKLAVTAGIGSDHVDLD AANKTNGGITVAEVTGSNVVSVAEHVVMTILLLVRNFVPAHDQIRNGDWNVAAVAKNE FDLENKVVGTVGVGRIGERVLRRLKPFDCKELLYYDYQPLRPEVEKEIGARRVDSLEE MVSQCDVVTINCPLHEKTRGLFNKELISKMKPGSWLVNTARGAIVVKEDVAEALKSGH LRGYGGDVWFPQPAPKEHPLRYAEHPWGGGNATVPHMSGTSIDAQIRYANGTKAILDS YFSGRFDYQPQDLIVHGGDYATKAYGQREKK ANIA_06526 MQHLFRFQSSPVRAALFTRTCKTYTLPPRSRSGCSYATVSTTAT SKSRNLDLPALDKKWQAIWQKHGSIRAPVGVVADTTAGAAGEKPKSYILSMFPYPSGT LHMGHLRVYTISDVLARFYRMRGHDVLHPMGWDAFGLPAENAAIQHGVSPAGWTTENI AKMKEQLRSISTSFNWEREFATCSPEFYEHTQRIFLMLYEKGLAYQADAMVNYDPVDK TVLANEQVDANGCSWRSGAKVEKKKLRQWFFRITEFKDQLLGDLDSLAGSWPERVLTQ QRNWLGKSYGAKIKFPLAIEGSEGSEGLHINVFTTRPDTLYGAEYLALALDHPLVLEA AKTDAALQDFLNEAAMLPTDSKVGYKLPHLSVTNPLRVIDKDTNHINRPLPVYVAPYV LSDYGEGAVMGVPGHDSRDFLFFKENADPKSIPVVLSAEKDIATSTDANSDIPINEAR PFTHEGFLTTKCGKYHGLHSREAGKMITNDLRATDHADFVEQWRLRDWLISRQRYWGT PIPIIHCDNCGPQPVPSSDLPVKLPELKGDWLREKKGSPLESDQEWITTKCPSCGSKA TRDTDTMDTFVDSSWYYLRFLDSANQERPFSPSVARPVDVYVGGVEHAILHLLYSRFI YKFLVQSDLFPEIARTGDLAAPPEPFKVLLTQGMVHGKTYTEPSTGRFLLPSELDFSN PEKPVIKKTGETPSVSFEKMSKSKHNGVDPTTCVSKYGADATRAHVLFSAPVSEILEW DDTKIVGIERWFSRLWKLVVDAEQTLASSTYKVDRADLVKASVNAASLEPLQSLSDKD ADAILTTHRTVCSVTSCLEKNPYALNTVISDLTKLTNSLISSTPTSPYILHLTISSLL RLLGPVAPALASECWEILHSSIVTEQPESGPKALTVFDCPWPAAPLTTEQADILAARG GQVVAVQINGKLRFTVTIPNMLSPTTPEGATAEQDYIISRILETEEGRLWLRERNDWE KRRRVIVVKGGKLVNIVF ANIA_06527 MVTNSSFTPSSTVSCSPDTASAFLFPSFKYFPSIPVNVSDSPKT TTNLSTFVKAFLLPTQLSPMTETLPEPRHSELLRKPELASEFPDAVDIEHSPVILICG HGGRDMRCGVMAPILEEQFRKILESRGIYTPAGPDNDKFDRPDRAHIGLISHIGGHKY AGNVIIYIPKSMKYGDSSVAHPLAGRGIWYGRIEPKHVEGVVEETILGGRVLSDHFRG GIDHDGTILRL ANIA_06528 MAPVSGLLTRLKALYSKQDDEPAISVQAAAGISVCLTLIYVLPF YVSPSMRPSATLSRDAPSVIRARIRAVTLSCLICSVAVLWVIVAKDDSSFTHALKLMG WWPISFTDIFRSLLLTAILFTGPLFERGVAEGEWREWFKRDRISETLGGWIGWRNYIA VRLSLVQLAHLIDGAIQGPITEEVMFRSAIIPLHLLARISPGHIVWVAPLYFGVAHVH HFYEFRLTHPDTSVIAAVLRSVFQFAYTTVFGWYATFVYLRTGSLFAVILIHMFCNWC GLPRLWGRVEAAVPIRPTFNRGKEDSDKSLEYSYGHLGIGWTVAYYALLVGGATSFGY SLWSLTESLHALAEFTSS ANIA_06529 MRSGNPSPQRRALHERTPSQTNECSPPVSVRAVSDRHPEQEERD VYSTTPYPTKPEQILPPRPGKGHEFVPDSRFHIEEGPSTSTEAYSTDISGIADSSLIN PSIVDWDISSTFDAGNTPPQLWEDDPSSSKTSFPDSELLDHERTGARQIDASSAAYSD EEPTLPQSAPTIKAVPPDSVSRQPSGSVVVSSSNTSPNIIPIGPPSSPNYVSLDTSSL NFVRIGASSNPDSGSRSNSVSSLNSLGTVIRYADAAPWTHGSSSELGPSRSQSFRSSP PHQVSSRESNSTRPSVRARSHSRSVTSSSGSAPRSDIRQAVVDSGMFIQYPIIRVPSS TGSRIESTSNSAGSEDNMHEPTVDYSSDRFRSHLSTVTSRWSAEENSGFVSPSNSNSR AVSQELSLPPAALTRQRLTSSSVWMVNESEDDEFLDSVASLPPRPTNPGVPNSQSSSS RSSSVRSNQRPGTSSSFISSALPTWAKFYYTVAEDAGNSTLALVEESSSRPAPARPPT SNSSYIQRIASVVTRARTSTNESRGSVNQQPIADLRDPRSHWAKDSEVSVSRTTSTLH RLRHSWSPHLFPDRRVVAPKTSIWRAPSLDSRTEPILGRRNIQVWSFCLGFICPLTWL IASFLPLPRKPEMIMEENQGPDLEATLKMRLYDLERRRYLNARWWRNLNRWMNPLGLV IIAIVEQQ ANIA_06530 MPWIGFIFLMGHMSINHISRQILNDPQVVDITGAQMVLVMKLSA FCWNVHDGRLPQNQLSDPQKYAAITTFPSILDYAGYVLFFPGLFGGPAFDYVAYRRWI DTTLFEVPPGTDPSKVPPTRKKRKIPRSGTPAAKKAVIGLVWVVVFLQMSSIYNKEAL LEPSFRDYSFLRRVWIVHALGFTTRAKYYGVWSLTEGACILSGMGYNGFDPKTGKVFW NRLQNIDPWKLETAQNSHAYLGNWNKNTNHWLRNYVYLRVTPKGKKPGFRASMATFTT SAFWHGFYPGYYFSFVLGSFVQTVAKNFRRYVRPFFLSTDGTTPGPYKRYYDVVSWLV TQAVMSFVVLPFIYLSFSDSIHVWGSVYYYGIIAVAASLAFFSSPAKGFLIKKLKSRA DRPKAVRSPSTESIQEPTLGLPDDAIKEFDDVVQEIRSEIESRRRKGSVVTMPTGEEL KAAIENKIGRTLG ANIA_06531 MDVVAAVSGYISKMVTAGDPSAGGSSTAKMKILLLDSETVPIVS TAITQSALLNHEVYLIDRLDNNARERMRHLRCLCFVRPSATSIQLLIDELREPKYGEY YIYLSNIIRKSSLERLAEADVHEVVRVVQEHFADFLVINPDLCSLNLGYPNQRLWANS PDVWNADALQRSTEGVIATLLSLKKNPLIRYEKNSLLAKKLATEVRYQITQEEQLFNF RKTDTPPILLILDRRDDPITPLLTQWTYQAMVHELIGIQNGRVDLRDVPEIRPELREI VLSQDQDPFFKKNMFQNFGDLGQNIKEYVEQYQVKTKNTMNIESIADMKRFVEDYPEF RKLSGNVSKHVTLVGELSRRVGEENLLDVSELEQSLACNDNHNSDLKTLQRIIQLPTV PPENKLRLVALYAIRYEKQPSNALPVLLDLLVTAGGVPSHRVNIIPKLLAYHHSLQAP PIAGGFSDLFESTSLFSGARDRFKGLKGVENVYTQHSPRLEATLQNLIKGKLKELQYP FLEGGGHVRDKPQDIIIFMVGGTTYEEAKMITQVNASSPGIRVVLASTSVHNSKSFLE EVDDAVSGWPESEPSTAAGRLRRNIGR ANIA_06532 MEFHNSPPRAATALSPSTAVSSREPKLQLPSTTDPPGPQNPPKP LVWLIFGATGHMGRSLVKTALSRDDLVAAVGRTFETSPQAMKKLEDEHENCLGLLCDV RARETVQQVIDRTIERFGRIDIIANCAGYGVIGACEDQDEYDIRDQFETNFTGTLNMI QLSLPHFRERRSGRYLIFSSTSGALGVPGLGPYCASKYAVEGLMESMLYEVDSFNIKT TLVEPGHMRRDDVGDLVSAEPFPSTDSVHNLSSPLPLYGHFLVKPPSEPYNTPTAPAA HAKRMLMWLGDKQPASAVKAAHLVWQLGHCSYPPLRLILGTYAVESIRDRLKCIIEEI EDWKYLSFPSMDQPVGSAGQGKDKPTPNVQEQEEEGRT ANIA_06533 MGVDPLSPIAPARLRALILPVGRIKRSRFLSFAARLQAENVVRL GDISPDARPNRNMFSPLAFPTGIILYDLSFSMPPISHLELYPFEIFREPLVVLAIADG TELTGDTTQTGPESSTDKPSKPKGLEQLEQELDLLREKNPRALVHQLLIFDYEGVSKV SNGPDNILWIPPPQVSKATTMKTVLCDITSLVLSEMDEFAKTIQSIPTIDSPKASSWG PHRGPDLRRRPTDKLFHRKTMPALASTNGTSETAQGSTQSSPAPEDHETPTTFDEITR SIQLASRSSTTLGKPGSLPSSKEHSRDRLSVSGLSATDRTKNRIKGRSGVVIGTLFLQ SGRWPDALKELVEAASNARASSDYVWHAKALESILLCLLMFAWAGMDFQVPPICYPVA DKSSKASAAIVFDPTSSQSAGNRIISLQNLSNLLPDLANNILNLYTRAANITDEPLPQ LVFSETVIRLSRLLVATRIRDGALDDNALKHIVMNEPLEPLVRPERPLGLTILRKNEI ANFLFRALPFSAGSDLPATDAIPILIGVVSVLNALDLPRKKAFVLRELLSILVPALVT ARKIGAAEVGIHPAAGLSSLSDTAFDINALDVGPGNMNRSMRSLLATIGEIYGVQSSS QIELGKRTSVGGNNSQSPDSITAITERAFRHIVLDRYGDLNLKIDVLKMCINSCEALP DFGGVLRFTVELLQTIRGDLMLSKSSYTAPCLQPDEQIRLLNNIKRTVGAANRLGVAG LEAEYWDDFLVRGVELLSLSDPKRPVRRSKSELDAVTATSEKSKKDPFLYNPFTKSAN KASELLMVAGEHAAFQVTLQNPYEFEVEIERIRLESEGVPLDAVAEYILLPPLCLQDV TVYGIAQEEGTLKVTGCSVKVRYCRERSFPIFKDFWKPDIEAKFKRTGLAAKKPSVDR PLSWSSTFSKDGKPSTKKGPETFSCEVKVIRPQPSLVVESLSLSQSAVMILEGERSSF TITLRNTSSCDLDFILFTFQDSTTKQIQTALSNKDLLPIEVYELALKLTRPALQWRRE GLNPSDYSIPAGQCATFTIDVVGNPGLQEATVQIDYCSIGVSYEALPDTFYTRQLFIP LTATVNASLEIARCDILPFSSDFAWSNDPNSASATLDSSDSASSDHFSTVLSNLARGT YGSDHCILLLDLRNAWPNPLSVALHVTDVPHESPDRAAAPTDGAGRYTLRETLQPGQT SRFVLVVPRVYIQNPHASIPSLNTGSKRQFVVSAHKLTFDAEAAAREAFWYREELLKR VSGSWKEALGNREGTIDLRNMRFTARMVDAFRLEDVETSFSLKPSFSGSAKNGVSDLV IQTGNSRYRVGTDEMLDLTVTVHNRSSRPIHPLLRLQPSLCNQPSNVALDLPRRLAWT GMLQQVLPVLNSGESTSTTVGVTILCRGEYEFGATVEELRLLRLPSETEGNKITSDDA STPIPYEEGFITDTFGADMAKKRRIWHARDTCVMTAHD ANIA_06534 MRRGLLVFLVVNLLIVTFLIRSVSTLLSLLLEDASADAIHRAEL PSPNSSLIEQRPQKIPKIIHQTYKNESIPEVWQEAQQSCIDLHPDYEYILWTNEKSRD FIAAEYPWFLDTFDNYKYPIQRADSIRYFVLAHYGGTYIDLDDGCNRRLDPLLSYPAW VRRTAPTGISNDAMGSVPQHPFFLRVIEMLQSYDRSWLLPYITVMYSTGPLFLSVIWK EYMAESPAEAARVRILMQDEYNRFSWSFFTHHVGNSWHGKDARLIFWMGQHWMLLTVA GFVLAGAVGLCLWWAYGRLLLLGAKYRYRYSKLPIPGLRLPSPSRRSRGMMPILLRRV SFKDEESGPTETSYEYVSRRD ANIA_06535 MKFNTLILPFLAATLAGASPCQPAPPSNSPSTTVTPAQILAIAP KSQSCANATDFVSECATASQAAPALSAAFKKYGLTNKAEQAAVLGIIAFESGEFRYNK NHFPSPGVEGKGTRNMQSVDFNKKYAASIPEIADRFEEIQDQPGPVLDLLLEDPVRDF GSGVWFLTTQCEESVREGLRNNGEQGWEGFIVDCVGTDANDERKGYWTSAVKALGA ANIA_06536 MPLPARTASLSRNTNETKIQVSLSLDGGVLPPYEPSSHFPVPTD PLEAEAAKKGIVPNKDAAHATQFTPTQQITISTGIGFLDHMLHALAKHSGWSLAIRAK GDLYIDDHHTTEDTFLALGTAFTTALGARQSLARFGRGDAPLDEALSWAVIDLSSRPW AVINIGFKREKIGDLSTEMITHGLQSFAQAAGVTLHIGCTYGDNDHHRAESAFKALAV AIRAACARRVEGEVGAGDVVSTKGVL ANIA_06537 MPPPSSQRADVEPPEEITSEAVRGFLAGAFRFGSVSILAHMIMI LPHPFKFSPNTSGPPQHMQEHGQRPPGPSPFSKDYIRSRLFYRPLEGFSEWLSPTSKI YRGLTLQFKVFLQIAAMTLGGCIWAEHRVNAYINNIRKVKRAERLQAQREARFQE ANIA_06538 MAQSLGKRERRKSLGSFGPLSTTSNPGPIPLDRSTIHETNVLRK KQRRNSGFFGGRNPSPVRASTGYRDPGTVAPVRVATSPALDGPRARRKSLQKRRNSVF GSLRSLHSLDDDDPNRRSLDSEEGHGVGSIILHHGEIQITGGVWRKKSQYLVLTDTHI VRFKSLNKALETFPSIPPSMARSGSNRQSIASISSLQDPQLSAVGDASVGIPLNSIVA VYMLDDGKPSSSIEVAYMDERTQKTTFIQMQTPDIQELNLWMVGIRSAAEMSRSNTPM PYDPRALDCVIRILEHERDYDPEIFRMFRVIQMASSKSPTRASADELTKLSPTGCYLA LGMHKLHLVSLQKVSSRGSTASLTDLDAATSFGLMNLTSLSMEWGDDSLHLTFRVPLQ KSCSIFVASVHSLEIAYWIRQQTEFLRPLWLKQPYDFIVPRDLNNENNFPPVCLDEDY GCFDRTLVAHCASYGIDTSNIRYTIDTQCDDAPCFRLLRPASPQRSKYTALELIALMR TLRYNESFRSISFSGVSLDAIQDVRDLNGIDKDALLTRANAQIHIPGQENLSVLSQEI RALSLKSKWLRRLDFSYTLSRTPKSDNDSHDPGCGIPEAIFPICRRELTNVDWIVLNG IKLGDSDLDYLVDAASQRSSHFRALEVGNCGLSVHDIDLLLSTTVAQVSTLEAINISG IQGRIKPDMLQQYLGYFGQVKKLDLSRIARTSGPEPLIPAQVLFNWRLEELSMNQTPA NRETVDAIATYLASDRSECLRVIRLDQCGLSGQDVAIFLHSLAALQHSRDLHLHVNDN RLDLGCSYLCDAIAQDKTPTHLSMRMIDFKKEQQFQDLVEALRKNRTLKYLDISKASL PYDAGPETSKSLQLMFEENDTLEDLDISGDNAHLDVARFGIGLNLALTGLKKNSSLKV LRIEHQKLGLQGANTLASVLESNTSLLEVYCENNDINLQSFTVLVNGLQNNRSLLSLS CMDCDRARSLDKVRREIANVKRDLTHSQPSTSSLRRSLHAAINAKHMSVNSKLAKHSR SYGHIRATASSLSAVESSKPPMDDQVEAIMQSLSAKWDAEVSRLRRYLFRNFNLANGL SKDGTDFVGDDAESDGRPATAASLGTMLEQLKLDVALSTNDTQEQRSQMPPQSAPQIS VADSSPHLEIFQSLDVENGLDDELQKSPYLSSNPEIASQPFPDYGASNSRSALPVPTM PSAVSKTSSVRSTRSSSTVSTSTGTGASARSTYGAASSTLRGLLSSRERKKMEAMKGT VCVGDRPPTLDWSPPRFDLGELR ANIA_06539 MLLQDDLCMVIEGQPDRAFRNERNMYNIQTNIFVWVFR ANIA_06540 MFRSSLRSASDPIREHVCFSCIARGLEGRAQARTFYATALLRGV TDSSSTITSAQSLDQAFQTLTQTLETQKKRRPQKNTNAQKAKGTDSQETSKRTTKPRL PPKETKKRRETRPQHKHAEPLGIKTKLKGVVQQLDIQKKLAKKAKKIGAPQEFPKPPT ILSKAISTQERGKLDSSTSAIEVVDVKTQPVPELSFGLQRVLFNPGVYQLRDPRSRVY NFDPYLGTIMPVTEFDFAALKEYITSSRDDTLRNIAKENKRKYMGSSSSMTSVLSHFH YLLSAWRPINTNSLSQGFEDKMKTFTRIMRAPAAMFLRYQDGIYAIDADKGFDTANIL MNLGKSMEKLLTLPKEEYERYRRSHKNKITAEEEAQTPESYHYSTLGDFMMRSQLDAY DPRLPGTGMFDLKTRAVVSIRMDARNFEEGLGYEIKSRFGRFESYEREYYDMIRSAFL KYSLQVRIGRMDGIFVAFHNIERIFGFQYVSLAEMDETLHGTSNTALGDAEFRLSLQL WNKILDKATKKYPGRSLRFHFEARETVHPFMYIFFEPVTDEEIHAIQTRNKAEIDAYQ QRILNLPIQEDQDPSTASSNVQDTAAATNDTPPESQSEEVSVNAENFSETKKREVSAI FLTVLNYHNGKQVNRVDDLKPGDRWTVEYEMSTIEGPKVQSLYEACVTRRKRTLMGTE DVSDEPESQYIKTLREFARKGREYRKQQDEIDKEKGVVVLDGLKL ANIA_06541 MAQERLRVLIVGNGGREHALAWKLSQSPRVDIVYVAPGNGGTAA GTHSKIENANVKGDDYPGLVAFAQKNGVNLVVPGPEAPLVDGIQGYFQAVGIRCFGPS KAAARMEGSKAFSKDFMKRHNIPTAAYENFTEYEKARKYLDSVSHNVVIKADGLAGGK GVIIPTSKEEAHQALREMMLDRQFGDAGNEVVIEEYLEGDELSVLTFSDGYSIKSLPA AQDHKRIFDGDQGPNTGGMGCYAPTPISSKQVLEEIDRTIVQPSIDGMRREGFPFVGI LFTGLMMTKNGPKVLEYNVRGGDPETQTLLPLLSDDTDLAEIMVACTEHWLDGVSIKI KPNFSTTVIAVAGGYPGSYAKGKKITLDQTPEGTLIFHAGTKLVGDELQTAGGRVIAA TATASSLEEALRKSYAGIETIHFEDIFYRKDIAHRAFRQREAQQSLTYAAAGVSIDAG NNLVNKIKSSVAKTKRPGTDAVIGGFGGLFSLAAANSAYHPESPTLIGAIDGVGTKLK IAHAAGIHNTVGVDLVAMNVNDLVVQGAEPLFFLDCYSCGKLDVETAAAFVTGVADGC IQAGCALIGGETAEMPGLFIDDTYDAVGAAVGAINTSGPNARTILPDTSSMKAGDVLL ALASSGPHSNGYSLVRKIVERSGLSYNDPAPFAMPSSLSSESNEPLTLGRALLTPTRI YVKPLLKALSATQSSIKGLAHITGGGLVDNVPRMLPPTLTAHIDARTWVLPPVFSWLK KQGNVSAHEMTRAFNCGVGMVIVVEKGSEGAVKDILEKEGETVYQVGELKVKVEGEEE CIVSGLETWDA ANIA_10831 MIHHTCMISRSFKTPKPCPTSERLSVPCLKTRNNAFLFALNLDL ELTNLVHSLAFFLENILNGTLRAFFDDNNHANTAVEGAGHLMSGDVALLFEP ANIA_06542 MEEEVAALVIDNGSGMCKAGFAGDDAPRAVFPSIVGRPRHHGIM IGMGQKDSYVGDEAQSKRGILTLRYPIEHGVVTNWDDMEKIWHHTFYNELRVAPEEHP VLLTEAPINPKSNREKMTQIVFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVT HVVPIYEGFALPHAISRVDMAGRDLTDYLMKILAERGYTFSTTAEREIVRDIKEKLCY VALDFEQEIQTASQSSSLEKSYELPDGQVITIGNERFRAPEALFQPSVLGLESGGIHV TTFNSIMKCDVDVRKDLYGNIVMSGGTTMYPGISDRMQKEITALAPSSMKVKIIAPPE RKYSVWIGGSILASLSTFQQMWISKQEYDESGPSIVHRKCF ANIA_10830 MMVQPSVSSFQRTGSVLSFVLLLSLSACKHDDDSLFPEVQQCLR GSYSRLPDFYICPLRKKKKMGRKKRNKACAELVLYNHARYYLWLISFCTQPF ANIA_06543 MDPNMNNLLKWGIQNSTATQQTSDSNNNASQAPRSNITPEMLSA LFGGPSEADLMKAAMEALRSDEVDLENKLIAFDNFEQLIESIDNANNLEPLGLWTPLV ELLKHEEPDMRRMAAWCIGTAVQNNEKAQDKLIVMNAIPTLVSMSTQDPVPAVRKKAV YALSSAVRNYQPGTNELVKHLPGGYASGKVDAADMDTIDMIMDKLRAHPVSSSPPSAA ANIA_06544 MDGSENRLFRFSKPEWLNNSTVRNAGVYTSGALFSLGFFFLIDA AAFSHSYRNASTVHVKFVDWIPGICSALGMLVINSIEKSRLQADSFSYSGNGVAWKAR FVLFLGFALLAGGLAGSVTVMVLKYLIKNYPLPTLYFGIANVIANGLVMLSSSVVLWI SQNIEDDYTYNLAL ANIA_06545 MNIWSGAIALADQSLLQAFVNPALIPFYARVGPNLELHTSNPET SRWLKHKLLANTLLEEDDEVALFQTIQCPVGFLLDLNGATRPRVSGPSTTDLLVYGIL STTTSYERPPTPPILSSPKKERAVSGATKQELRIYATPISESLATRAQVLPGRGDPEA ITGREQHGQFLPNIHPLSPKRKRVENLFESVAQHHKRVRHKGGEAVSQLMAQSNSQPS VQLQNYRIKREPEESGLLILSKIAPHRSRSMSISSNQNQNRPLSRPNSSWGRASQLSS KGVTSAFGLSTETPTQRGSVSTPYSLSPESREPSSEKKKPAEIIISDNKNLIIRTILT CMRLYGFNRTARPASFKTLSHNDMVPPHIEGRDSHVPGDSMNLPASSTASEEDEFKAM YHATYRASTFALRKYLREPVVSDDGSRLAPPILEKGKAMICIDGFLKLFCEEDH ANIA_06546 MTAGLKTIIALSFVLAIGFLLVILSSALWHNFLPLTVVATYVIA PLPNWICSRCANPDDFMDSSGNAVADFGRFLTGFLVLMGVALPAVLAHSGAIQVPAMI MSILGGLLIYGTIISFSMFFREQEEF ANIA_06547 MFRNNYDNDAVTFSPQGRIFQVEYAQEAVKQGSVVVGLVNKTHA VLVGLKRNAEELSSYQKKIIEVDSHMGVAIAGLASDARVLSNYMKQQCLGSRMTYGRP MPVNRIVSQIADRAQTNTQQYGKRPYGVGLLVAGVDEAGPHLFEFQPSGMTQEMLACA IGARSQMARTYLERNLDKLQESSRDELITHGLRALKETLSQDKELTVDNTSVGVVGLA DTGKVESFKLYEGQQLLPLFEALDQSDASETKDEENMEVDS ANIA_06548 MGIAETKRPVVCVFCGSVEGINPAHMETARRLAQIFHENNIQLV YGGGTKGLMGEIARTLVSLSGPESVHGIIPRALVSIEPGYKQNGNGTTGTPSTSSASA GGAGKVAERVVGEAQSGNVPSSEYGVTTIVADMHTRKRLMAEKVREGGPGSGFVALAG GFGTIEEVMEMTTWNQLGIHHLGVVLLNVAGYWDGLLEWVRNSVREGYVSKENGSILV EAKTVEEVWPKLVQYQVSEGRYQLKWEDE ANIA_06549 MAGTQDPSSLEEILWRSPSHVQMMGGYLHSNNILFYFAESPFFD ATSNNASLAIQANYNEAFRHFVETREAFEARLKTMQGLEFMVAYDPLQAAAGANAQFV HEPSNVWVIRKQTRRKRSGFEDEVVVLATFFVVGDCIYMAPSAASVIGNRIVCLVALS VSRSAIVAELIAVKLSAVTSLTSLLKTASTLPKFTPSHGHTYLPPAPKSTDVSHPSVQ SQTSKENTPMPDADATNKSQSFTGSQNSSGPAVYDMRSLAESFSLVARYGDEFMDESP LMGEPGSFILSRPGDADRGAAPKQSQPSSTNAGGRVGTPLAKVDTPGKLSDKNSAAEE PKLRKKKSKPAS ANIA_06550 MAPLLGDWAASKARRDKEAGQGVRQKSILYVDAYDSFSYNVAAM IEEILGARVTVMMIDAEWPDGNMLECLQHYDAVVLGPGPGDPNVPEDVGIMADIWNID SSHMLPVLGICLGFQSLCLHYGTPIGRLPEPLHGQVHRITTAERDIFENLQNLEVTLY HSLYAMLDGQAESGSCDGAASPTPALDVLAWLSIQADDLCSSVTQIPMAVRHTEKPFW GVQFHPESCKSDREACSQLLRKWWDMAIKYNKSRHRDGCGSLSIDMIRSSCEDTRLPN VAFTMVNWSVSSSANCASRLFDTCQLDAEGLCELFNDPGVPTVLFQSNGRYSIISVPS PGSWRLEYYSQRHSLSMQRQSVSSSGCNALKDSDMRLEATMSVSQFWDVLRYLMDMKK VESGNYELPFWGGFLGYFSYELGLACLAHPKHESGSIADDHCSQESASADDPPDVSLL WTDRSVVVDNETGRVILQSTREADNLPAGWLDQASKTLERFCCMDILPKPKNSASDDE FLDSVLGRGVIQFPSENAYRNQVEACKDELRAGESYELCLTCETSITLPSPDVPIGRI EFPWKLYKRLRMYNPAAFSAFARLGNSKIVSSSPECFLNWDRHSTLEMKPMKGTVRKS DSMTMERAREILGSTKEMAENLMIADLVRHDLYGICGAGNVHVEKLLKVEDHGRVYQM ITHVKGHVDPHRPGYAAKSLPRLQSSNMSGYGLTALQRCLPPGSMTGAPKERSCMHLS SIEGRKRGIYSGVMGFLDLGGGGSFSVLIRTAFTSSNDNDDVQKWRIGAGGAVTMLSS ADGEWAEMLTKLQTVCGIFMPSHAK ANIA_06551 MTQNVDFSALKARTMRSGEDEEAVTVDTRGLISKVLARYSGQWT VLREMIQNAADANATKVTIKFETLPSKTVPFPSTTDRTSLIKHTISHHTIKRLLISNN GLLFNEKDWARLKRIADGNPDETKIGAFGVGFYSVFEDCEEPFVSSGSDAMAFYWKEN ALFTRRLQLNEQANSETTFVLDYRNDTSPIPSLMQLCQFLSSSLTFVNLECIELWLDD WNILRLAKKAAPGIALALPKDIETKTQEGLMKITSVTREVAQVDAAWMQVVEWNPNSS TLVEGIRDTTSSLRSFLSRLTQGSSSKVADTQKKEAADDTGDLTKISTATIFLHINTG SIQASISQSLGSELERATRKPPPKKTSIAVLTPSYDTSLASSSSQAEFLSTILPSKGG RVFIGFPTQQTTGLNAHISAPSVIPTVERESIDLNSRYIRKWNTEMLRAAGIICRIAW SAEMASVKNRIISGKDPSKQSKIRKADITTVLPEAIHTANQFVFRESTPLSVLGQIIE DAFWTCNKNASIEVISTCGVVHNHQARIATKDLTFLDSIPVLPDEFVEGSKEFVKKLT LLGLVTEVTVTDIKRELETCPLRSSQITEFLSWLARRTVSGQLDSYSARSILNVAVAS ADENDTDTGLIVFSGVSLFLNPQRIPADLPLPPAVMPFKYTKSLSKKDLESFGWEELQ IVPWLCWLVSNAGNRDVLPQTQDITKCPSFAAQVLPVISKQWETLGQSSKQDVIDQLQ AHTVIPTKIGMKCPTEAYFSSVRLFDDLPVVHGLQGVKEKLLTALGVRKTVELGVIFE RLLNAPGSSDGDKSSQGKWSHVDLIRYLASVSSDIPASDIKRLKDTNFCTAEPIIDHD GSRRPNEDRYKVQQLYEPNDALRALRLPILEWPAKFTSSSPEGRFLARLGLRTFPQST VLTRIMAAAAEHNDWALHGKAMSYYVTEFENNGYGAIDCGSINDEFLPVEQINDSGAE KRYKVSAPSKCFTDEGAALFGYDILRKDLHRHASKLGVQRHPKLSNCLDTLIRLPPST VREARSLYRYLAGRVSELTPRDIDRVGRAEIVPVFAENNKGSTMHRVAPSLCYLGEGE DYKDIFDFVDFGQEANLFLMAVGSKREPTKIELAQMIVREPARISSTFQSGEKYLKLL RTLAEDMSLLRKNKELWQEMKRSAFLLASKDITSTAQVGDGAKKIVDNSDDEDEAEDG GIREWALTMAKETIVVDDYQSFILFKDHVLAGKPAPQEEILENFYLALGALPLSQLVE ERANWGAPATDQRLAVKLLKLIKERSRLFLHDQPADSIRHDSRWLDKNLNVQVVQSIT LTRSLRNRGISYRQERKAIVTEVSRECIMRICPEKYDFYEISQALSSLILARPKLHSA LTLEMLLKTDLLELRGRGYNVDRILKQKAHDAQIAETRRQQQLEEERRRLREKELALA EEARDQIAETQDATSMPGVFPDSPSSKDVRPNSPTQSPKEKRNLFSTFTKHFSEGNRS SWNPFRGETASLPDPPRASPPQLPTEQVKPPRPEAPVAVNSALQLQKSLHSAIQSSRS HGASGVFSRPQVDRINELKSYCDEKPGHDLEFAATLPCGVNILVARSVERSAFLTKNS VGLNLFGSILLDCADVFALRPDTVSIFYDPGSKAIGFNRSGSIFCNYHYFQQLHEKAL LQKPTPDRAEAMVYWWVTLSHELAHNLVADHSSAHSYYTESFVSQYFTRIAAKIAHAA TKRPNPEQ ANIA_06552 MKLCQEDSPRISHRSLFRSLISRTTTQADFRVLQSFIMHSVRRA GIKLRAVMTKNRPSHAIEARSREPGPPKRSYLLELPTELLLEIISHLTVLPQACLALT CKRLLSISATVLESKSLRFSRDFAPLFHHYRNGHTFATTRWQLIKLLEDARWRACSRC LKLHSQSAFPPRQLRRNAETRMCILGDLAGVVDLCPCKKLTFRDKLELIEILKIRQKA LPLLTSQFGGVEERFCWHSCSVEYGPTQIRVEIYPELDGDDQLKIRTEYHLTTVAGQL GEENYMTARFGCAHRSVDLWLSGVCHTALCQPNENYCSSCRRISVCSSCNTLLRCPRK QPRIDEAAGTATYTFWTERCLGPPSPIPDAIWAAQRIHPAEALVDLDNCRELCPWRRL ESHPPLRNAPSLEMDILVPAFQDQSLNQLYSSIDTN ANIA_06553 MSYPPSHNGHYHNRHPSQQAAQPPLLYNNVNFNANAIASAYQYG KPAVYPQAMIPPYQPYGQVPNQQPQQPQQPQQPQLHVNPADIFQQPILPSPSPASFSN HPFSQYGAQSAVPVAGNNRSPSFTTPAAAPPAPVPAVTPTPTPQYEPSPINASNQAFN KIPKPAAASQTPSDTNSAVVVPPVVTTPPVQVLLPAPSPEKMQRPPSKKQTQKQPTQQ PAPKPAKPGIDYQVLLLAMADEYLNTAHSHGTNVALLRDMELEEYYRLVATGLGCLEA VLKNWRLQPRVEALVRLRYARVLFEETDNDLEAETALSKGNRMLDLKYSMQHLLARML YKTNPKAALKAVDGMIQDVEAYRHFAWEYAFRFLRVSLSLSSHGHQESTSALQHLHKI SIMANRNGDRAVSAMSAIIEALAHLQQGYSSDSIEQAQRAIAAARSHQLNDELRHVPQ LTTLVQIVDICCSLLEYDVNQSAQKLKVLQDLMDERLNDSNWRIDGSFSIPLSGDILQ VQSGTLLLSFSWLPQHDLYALCYFLSSITLSCKNSHDGRKAEKFLQEGIFLASSRTDW DFANRTLKELRLEVQSFGDNLPHTMQCLMQYAAGTIAQATGDLETALAVFQSPLFLLS GFNKTARNDPHRDLAILATINIVLILSNPAHPSHSHLPNLLATLESFCRASPNKYIQA AYFLLCATTNTESTIQTKQNLQQALQAATAISNSQIICMTLTFMSWKYFRGVVGEQAE KSARAGRAMAGKASDRLWVSVTDDMLAETLERQGKGEEAKSVREEGYRVMTNLPPALR RPT ANIA_06554 MPPKGRKPSSAATAGTGSSKATSAKTTSTTTSGASPKVTKSSRT LGSKALASASVSKSKGSKRPPTAPSDDQSSIQPGDPTPKGRRHRYKPGTVALKEIRKY QRSYDLLLRKLPFARLVREVALDILPADVGSELRWQSHAIQALQEAAEAFLVHLFEDT NLCAIHAKRVTIMQKDIQLARRIRGAWGGLG ANIA_06555 MDTSVAGFFVTSLVIAMGLLSWARPSGRVSFFSSKENNLFLNRR NGKAGSKQTTLKELCRTATPARCDLNPLLFNGHLQTCWTTVKFDDVPVYYKRRIFEAD SEAYKGHFAVDFVVEPYKAPKSAEATDAERKYTLPSGLPERTAMFSEDEFAALPSEDS KPMLVVLHGLSGGSHELYLRHVVHPLIADRGWEACVINSRGCAQTKISTGILYNARAT WDVRQVVKWLRAAFPNRPLFGIGFSLGANILTNYLGEEGDACQLKAAVLCANPWNLEV SSVAMQSNFMGLKVYSKVMGANMKKLFEQHADEVVKNPRVDAEAIRNITYLHEFDRTL QCALWGYPTEGAYYRDASSIDSLLSIRIPFFVVQAEDDPIVTVKAVPFQEIAQTPYGV MMTTSWGGHLGWFEFGGGRWFVKPVTKFLNMMAHEIDLDTPPVVEKPEAVPGRTSSLQ KDAAVKEPKPAAFSPMRQHCE ANIA_06556 MAPTTKGAKGKTSAKGESKNNKVLGSKVSKKNVKRPPPKEVKSK ARTEQSQLKKTKKREYSEAELDLPKLNMITPVGVVNPKGKKKGKTFCDDPEAMMTIFA MVNAEKEGQIESKIMKARQLEEIREAKRKEAEARQSEKKNKLVWMTLNQDIVDVC ANIA_06557 MTINPTYLAQRTRSSANWSDAKKRVLRSYRDWLRASPEIQTMYS LNLPVSAIRTKVRQEFEKHRYVSQLQVVDVLLYQSHSEFQETLNYWKQLSHVMKYFRP EEDPGARLPPNFISGFLEGRN ANIA_06558 MPASVHSQDNDQSMLDAQAQNQDHIDETLLEQKRIIVLPGATDT AASFQFEGEGHTLGNALRFAIMKNPEVEFCGYTIPHPSESKMNIRIQTYDSTTAVEAL EKGLDTLMDLCDVVTDKFTAARDEFNAEQANRMES ANIA_06559 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSY ASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKL SIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALN QLIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEA HNASFQAIPGPCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_06560 MSTAVAQAPAAPLPSPRMNRDSSPRRLAPANSSQPSSTPPRAAL GSRDGSSNKSSPAGKKVTSPASQSGKPPKVVVKKEPPSSPGLQTSTRPRPRKLDLSTS LPSSERLSARPAGGPMTARDVSMQDVGLACLSPGFQTHDPVMREQLQRSLSVRDQQRH IIEARLQKSAKDDGPEGVKPSESNTFSLPKATSSKRRPPPGLSIVPPTAAQFANERVI QSAPLNQTFTGRHQPQPLTRHVVNQSPTLGSTSHIHHVPATQTSNRLPPLSDVFGSDA LAASRDRDANRTPYQQNNLPPMPSPRIPGSSQQTNRPREYRSAEEAVQDMSGGREDLL PRIVHYGGHQPPTPPSPRATNGPKSAVPHLETTSMASAQATHHPAPHAAEGTARRRTR SEYERDNGSPPLGYGPDSHYRPNPALGHGSNAAYGPFGAGRDSPETQRRKKEEFLGLC ARAWDLFHS ANIA_06561 MAISDLLNRRVRAAPDEDEEVYSDESASGSEPPSDEGSSDESGS ESGRDSLNGSDNDFDSESQNSEASDSISEDEDDDGDVKSSLNNISFGALAKAQASFAP QTKRRTKNLKDNEGTTASPLDDIRAKIQEAREQKRKASLASKDSSAKSRVDKPPPRTS KHAPTVQSSKYAVSRKRTIIEPPSVPKSRDPRFDPTIVGGRGGSGSSAPSDAYAFLDD YRAAELRALKEQLAKTKDVRRREALQREIRSMTDRLRTIENHKREKEILSEHKKREKQ LIREGKKATPYFLKKSDIKKQALLKKYEGMKSKDRAKALERRRKKAAAKERKEMPMER RGLGGDSDAAPSKRRRMA ANIA_06562 MKSQPSSNASSSVNHQTGSNRPSGGSAASSRPGWRPYHGRWAAK VAYWDRNETPREGAITPHKQASQRGHPLQDQNTSGKNLKGSADVGDGQWRRPHFPRSD APSSKPPRPQGDNSPTTSPANNGLANFPLMNPFAVFDGFPPPANSSTFQLPAFPPNLS EQSRPQFPPPIDPSLPNPPFFPLLGSGNAFMTMPPILPPLMNFDMLNQAMMNPMLNTP EAMKMTTSAGFTASGATRTNQKRLKGGQVDDTQRRASKAPRPPSATKKYLDQASLPPR ESSSPQPLLVILDLNGTLIYRKTRKFPPSFSRRVGLDDFLKVLVEKYKVMIWSSSQPP TVAAVCEQLFSESHRKKLVAEWGRDKLGLSKSEYNTKVQVYKTLETVWSSKQIQASHP GRVNKGKKKGPRWDQSNTVLIDDSRLKAVSEPYNLIEIPEFTNNPNVDESAIFPKVLQ RLEILAMCDDVSKMLCHWATANPKTSVLDLDLGPVHLLHYPNPTSDAGPGVGLVDKTP NRNSEFDPAEQRRLNRKTRKLEKKAARRAAANSAAKALASTSAGPLPQGARPNLNLDS NQITDKSEEQLQTVVSVGSNSNDSQQLLQRSPSPASSVQSGNTLLDLLEESLT ANIA_06563 MAFGKLYGRPDNTRTIAVLVAAKHNDLELELVETQANPAADFNK SDAYTKIQPLGKIPAFEGANGFTLSEVIAIAVYVTSQNEKTTLLGKTKQDYASILRWL SFANSELLVSFGSWFRPLLGLDPYNKKNVEDASKAALKKLGVLNTHLTANTYLVGERI TLADLFTASLLTRAFATVIDKKVRADYPAVTRWYQTIIDQSAFKAVVENPVLIDEAIK YTPPKKEEKPKKEAAPAAAAPAAEEDKPAPKPKHPLEALGKPTLILDDWKRTYSNEDT RSVAMPWFWQNYKPEEYSLWKVNYKYDNELKLTFMANNLIGGFHARLEASRKYLFGCQ GVYGENYACVNRGVFLVRGQEALPAFDVAPDYESYEFIKLDHTNEADRKYVEDIWAWD TPVVVDGKELPNVDGHVFK ANIA_06564 MGLQNPHCVAHAMHSARSATYLRAALVQRSASPLYLAAGAVLPT HTYRHQVTRGYATEVTEAHPAAPEIDFNKVLERRSARVVPASPSYFTGSPRFFDHLLR LENILARYAALPTVAPNEAPRMAWLKLPAFREFVGERVPTKKYKGLIKVLQRLNRINP DILPDEVRRALKDFLRPGNPYGTQSITTTVDELGRARGKGKRKESSAVVSLVEGDGEV RVNGKTLVEAFPRVHDRESATWALRCSNRLDKYNVWATVKGGGTTGQAEALALALGRA LMIHEPALKPILRRAGVITVDARRVERKKPGHVKARKSPTWVKR ANIA_06565 MDGDLRRMKQDEQQGQYASQPGVSRRSASRSTDRTAERIRQAAF NPTRGDPSQAAGRPRMPAYMDYGYTDSTFQGGALQEDELQPYPPTLRDHQQRQQPFPS YESELVYNLGQQGPTQTPYEVVPQFSSRHSASLDSLSGQFPVPQYFAPNEPSGTGLPS HYLPPGLSLSAYNQPGPIGRSSATQPFPATMADMTPVGAAGQQQALSQSQPQALPEPP PSAEPLRQFQRALRVTVDHTRAGQLVEASQSLLDISEWLVATARELGILRDDQMLHSD RLKLWNDFNICWLAICQRQKDMTQDLLQTGRQAPRTSLLDVEMLDSMGKQLIHFCDQL EQHGLVDYQMGCSAASEVLG ANIA_06566 MYSRRRRSSLGTSQIFENIISGSNFDKDEVDRLRKRFMKLDKDN SGTIDRDEFLSLPQVSTNPLATRMIAIFDEDGGGDVDFQEFVSGLSAFSSKGNKEEKL RFAFKVYDIDRDGYISNGELFIVLKMMVGNNLKDVQLQQIVDKTIMEADKDHDGKISF EEFTDMVESTDVNLSMTLNQI ANIA_06567 MTRYAGLGRTRPKKLTPKAPIPIYREHQIDDLEEEIQNGLQQVE TGVEKAEESEYHLQVAINAVASGRVVNEAHIPTPETVLSNLQYDELYPPVFSQPATYI RFSSTVEDCCGCPYNMTDEDDVFLKIMNEKRDPADRCTEDQFEEVMNFFEETVRLKQP YAAVGSAPVLSFAEMQESMDATVEDYVRRLAKDVYDHWKTRRLNNGNQSLLPSLKFET GAETDDTDPYVCFRRREVRQVRKTRGRDAQSADKLRRLRKELEDARQLVALVRQRELA RKEMLATERILFLQRAEVKDMKRKLNIKDDDEDLINQKPKKKPIEAPPMQRPAAAQLR MPPKPGAQAAEDLQLLEDVQAEKENEIIRDIKANIAKHMKWNEGYVDFTRAPLSPSPE RTVDISFRPAITTQLPTPPSSDSSENTPDLALDMSGTVSYRDKLDEHALIMSEDANKM PSFRRRIGRGGRLLIDRRNFASRCRVELDPWKADRFKYDQEDSDEDLDYEMDQYDISL MQNRAIMLAKARDQAHAQAQAAQVRRLQAEQAALNNLNSGQTSGQTMGSNPGPGAIAP TPET ANIA_06568 MSSSAHFPDRDNFQCRSDEFTTWLSSRPGVKVNSKIRIADLRAN AAGRGVVAQADIDEDEELFAIPRDLVLSTHNSKLKDLLSQDLDQLGPWLSLMLVMIFE YLQGGKSTWAPYFKVLPQNFDTLMFWSPEELEELQGSAVVEKIGKQGAEESILKLIIP VVRANPALFPPINGLASYDGDVGAQALLGLAHTMGSLIMAYAFDIETPENEDEREGED GYLTDEEEEQSSKGMVPLADMLNADAYRNNARLFQEEESLVMKAIKPIRAGEEIFNDY GEIPRSDLLRRYGYVTDNYASYDVIELSLDTLCSSAGLSDSSIENQPRLEFLESLELL DDGYAISRPSPNDTLTDIIPEELVVLLKTLTLSPEQFTHQQQKQKPPKAAFGQEEAKI LFDSILMSEKRYSTTIEQDQELLAQINQVEAVSPLEGSDRRRKMAIQVRLGEKEIFEA VKAKINDHFTNSSTSKRIADSNGDDLRRTKVQRT ANIA_06569 MIAIGLEGSANKLGVGIMLHPKDGSTPQVLANIRHTYVSPPGEG FLPKDTARHHRSWVVSLVKKALKEARISVDDVDCICYTKGPGMGAPLQSVAVAARTLS LLWGKELVGVNHCVGHIEMGRLITGASNPVVLYVSGGNTQVIAYSSQRYRIFGETLDI AVGNCLDRFARTLHISNDPAPGYNIEQLAKKGKQLVDLPYTVKGMDCSMSGILAAIDA LAATYGLNGEQPDEEEDVTDVTPVSDGALESRKPTRADLCFSLQETVFSMLVEITERA MAHVGSKEVLIVGGVGCNERLQEMMGIMARDRGGSVHATDERFCIDNGIMIAQAGMLA YKTGFRTPLKESTCTQRFRTDDVFVQWRD ANIA_06570 MGNNPSKGPVGDGPSPVVSGNTGLTASTSDKRAARGPSAHGPSN AAKAPVADVASSRETATGQSSSQHQTSVQQRLQTRNVKGSPTRTVDRPGFSKTDSPRS KAISAPDPSDPVQVPSSRTAAARRDPYESVALSAPPPKMYYSASHHLQRPPRMPLPIG DATAIPGSPISGPDDTSPLATEERLGDKTTAAKPDLATIEDDDTVDELQPYATSGVGR AVPTTIEWTAGGNKVYVTGTFVNWEKKFRLHRSENNPDVLSTKLNLRPGTHHLKFIVD GEMRASDNLPTAVDFTNHLVNYIELSADDVNRSRRESDKSAPSGVHPPQVLPEEVADG KVETAVDDEKPEKEEEPEEIPIGDFRGVVPQFLLDLDKDEESPCYQQAVNIIGDAPTP PSLPLFLGKSILNGTTPMKDDSSLLNNPNHTVLNHLATSSIKNGVLATSVTTRYKRKV RIIQHPGPN ANIA_06571 MALPRPLTRVRIVLLAVALTFFSIWSYSRYPSSSSSLPKAPFAN TNGHTQKQVLTGHIQAWSQLQPVLLTSMPKCDSPVRLGTAPSIRVEESDPDNRPEMLD MLPEEVDEMKNAHMKFLDAVNMNVVRLHYVPNTRGIISTAGGSYLPVLVISLRMLRRT GSELPVEVFLANDEEYESFVCDVVLPSLNAQCVVLSHIFDAAPKVMEIEKYQLKLFAM LFSSFEEFLFLDADAFPLLKPESLFTNEPFKSRGMVTWPDFWASTVSSYYYEIASQPA PKPGTSPRQSTESGEILISKKTHTKTLLLSAYYNLWGPKYYYPLLSQGAAGEGDKETF VAAAIAVGEPYYHVTEGIVAMGHSSAGGLAGSAMVQFDPAEDYALHKEQNSLADLAAA KSSGTETPRPFFIHANFPKFDPATVFEPHEVNPAFADDGSYTRAWTIPENVIENFGAD VEKRYWSEILWTACELEGKFRSWSGKKGICSGVRAYWNAVFAATRADTQAHAGMSLGL I ANIA_06572 MSGKRLLDAIQFLNVAKSVATKHLAVRQQQLDIFTRTSSLTKGI KSQTDGLILTAKAAAALAKRFNDGSPSQSSTTSARPSESSPSTQKDQDIEPKIPSSVT GASTNNGGGQLKASPQQEEFYQPSREPAAEPAGTPRVEVPKATSDTQVGLDEDINADV YQLFAKASPERNTSGHTGADRLNVSAQQEETYRPAPDPAAGRARAPDIVLPQATSTTQ TMTNQGVNADVYHSPVDPKAELQQQDLPDEMIRELFHSPKVARSLSQKAAADWRFRSI YAKEKGVKQAEEQIVENVEPRLVEDILPSTSKVDAEIVAELKKDEDTYKMVESRVPSS RLGRLWQYGGLATSMAFGAVGESFRRVTGSAEAAAGSLMFSAGNMERLVAKLSKMRGA ALKLGQMISFQDSKMLPDSIQQVLQRVQDRADYMPAYQRDKVLTDNLGPNWRDLFSSF DEVPMAAASIGQVHGATLKSTGQAVAVKIQYPGVADSIDSDLNNLSILLTASRILPRG LYLDKTIANARTELAWECDYTREAECGNRFRELLKDDPVFYVPQIIPEASGRNVLTME RLNGIAVTKIQTFTQAQRDWIGTQILRLCLREITEFKYMQTDPNWTNFLYNASTNRLE LLDFGASRAYPDSFIDKYVQVLCAASRADRETCHALSIDLGYLTGHESSAMIDAHVSS ILTLAEPFMDSSPDVYDFRDQTITERVRDLIPVMIRERLAPPPEETYSLHRKLSGAFL LCARLGSRVRCKELFRDAMENLNNAQG ANIA_06573 MTGTPTIHDIEQEILDLEARLRKAKSRLAQVSAPRDSEPQPQPP PNGISSSETYHALLLLSDSALPLGSFAYSSGLESYIAHQKPLPRTITPVASFQRFLKL SIASMGSISLPYVLTAYRNPIELETLDNDLDASTPCPVAQRASIAQGRALLGVWERAF RSTYAHGNGNCQLRGSRVQSGIKAVADFSEALKCCMDDELGVKGHFAPLWGAVCLAMG MGARQTAYVFMLNHAKAVLSAAVRASVMGPYQAQKRQTSVILRHRHSHDSMQRLLASP TTDTTLVWFEIPGTP ANIA_06574 MPAAEASPVAPPESGFVKMEDRKRAATYENDSAPPLKKQATSVN GGNKPHPDADMPWKDDLERFQKDAIWRQMQEYKRDKVSLEAKLKESAKATAYHGDHLR VIDAWYNQLIDEVKLLLGSPDEDSKDRSSFQSALQFEDLDNFEQHLKSRSHDIRDIIS RLVAKSPTSPPEVSDLQSQLARKLAEEKATLAELSKVLSEKQQLEESLEEASLRYMVA EKKLDRARSLTVAKLEKQYILGAQRPGGDSASGNRDEPPATNGATPTGERTPDTDETY SKLAAISEKQKEQIQKLEAENANLLSQITEISIKKTKLTDDDYAHTDLFKQLRSQYDD VVKRLNNLEAVNVQLREEAAKLRSERTAYRNQVDEETQIVLAEKEAQLMKTENDLARI RNTRDELLADQQMRKSAQEQERTASLKIQELADAGKAQIAALESEVERLRIEVGREKA KQADTNDITIEDLRVKYGNLERQYSMLNAELASMQTACKKYSSLASQRVAEFSAMEEK VSRLAAEKSKADQKYFSAMKSKEARDMEVRTLRMQNSKSSDIVSQLKESEAATRSLLA NMEKQVSETKEALNSMVNKYHVSQQQITENNIVMEGLRGQIAELKALSVSKDSSLAST SSACRRAETQVEGLKASLADTKKSLENWKNKSLGNSSSEYEMLRLFAPFVVETSRTQQ SRHADTSFAENAWKSD ANIA_06575 MKSFSSSVINKSGKKFAPKAPARRAPPAPPRAPSRRPSVASQGS ALHAPVTTSVTATPEPPLPATSIEPSAQSETTIAGSGGVPTVAPTVEHAPKEQTATAI PIPAPKHKASVPVPIPAPRSTTNISPAPSASTTTTVEPVAQAPSRPDENREVTRPGPD NVQNEQRHAPSDVAADSDNEVVPEGRPSKRLRTSIESVLTTTSPQPEEELAQLATPPS TQIPALEERTESRDESEVPGLARRSESAPKKKGRRASRSTSNDAGKIKKERKRRTRNR EPTPDGAELIEIAPAVVKMSDLCKDTRTGKRSKRETELRAHELAEAERKKSQQEGGQK DETAAKQTLNESVLKEDQTGSTPNKSSQAGPVMRIVNGEIVLDTASLQVDRHADAERN GDDLEDVVENSLTRKVNQATYGKRSKTESWDEDMTELFYRGLRMFGTDFMVISKMFPG RSRRQIKLKFNNEERRDPQRIRETLLGPRESIDINTYSEMTNTVYDDPRLIQQELDEE KKRIEEQYEKDKKAQEDLLRNPDGVAGNNALGVDKVAIKGKRNNKKQSARDFGGGTEE ILGSIDD ANIA_09529 MRSTILQLLLFLISLPSSSLSASYSKKEPPGKDAILLSRVHSLT LRGGGRLTRARRVSPIPQLKCVGPSKRICNMYEIDSMRCINDGYGYDEEDVQWTCTAS LPGEFKLGSTDVVCEGYRNADDPYVLKGSCGVEYRLLLTELGEQKFGQGSFDEDNWWR SLKHGWENKDSESTLTLFGNLVFWGIFLVVFLYIVVGLVRQCLGWRRGQPQPGRRWGW GGDGGDDGGPYPGGPPPPYSSNPFYSFAGKEKYEQSIQLAVSAGPQIWFQRRELVIVP CPFLNAVHKHRIRFDKAQMIAYFANMVLILYV ANIA_09528 MAFQQGEAAPVSTQSSLSFTQGFLLGQLSVVLLIGAFIKFFIFG EAPPPPSRGLRASTHRRSNSIFSQDAPPPRSLREKPSTSNVLRPVPSSATNTRSILRK TYYSAIPPNPSSKHRIHHSSHQPESLDWFNVLIAQTIAQYRQTAYLLKDSPTSSILHS LTAALNNPEKKPSFIDKITVTDISLGEEFPIFSNCRIIAVDDPMSDGGRLQALLDVDM SDDNLSIAVETSLVLNYPKPCSAILPVALSISVVRFSGTLCISLVPASTPPLHTPSPM PSPPTAGAQPAAGAQPTDGGDIPPKSSSKSNIAFSFLPDYRLDLSVRSLIGSRSRLQD VPKVAQLVEARVHAWFEERVVEPRVQVVGLPDLWPRMGRTGVRTGDESETGSNTASRP AMSVDMSSPGHLQGDGGNHEEELRFRGLGPRPPLPFDAVSRTSSYQVETGAPRSPSLT RERSLGDDFHMPGSMPEAPGAQ ANIA_06576 MKAYWYDNKPGDQREPHDSGRPVSEDYLASLGVIYRHFPELSDV DALAKERGYKNRDEITVSPATMGEAYEDKVKMFFAEHLHEDEEIRYIRDGEGYFDVRG KEDEWVRIRLVKDDLIILPAGIYHRFTTDNKNYIKAMRLFQEEPKWTPLNRAPELDEN QHRKSYLEGLTATSIAAN ANIA_06577 MAFRARSRTMFRWGASVRSRLLGGFRGLASVSDSTRPYDVVVIG GGHAGSEACAAAARSGARTALITPSLSNIGVCSCNPSFGGIGKGTMIREIDAMDGVAG RIVDKAGLMFMVLNRSKGPAVWGPRAQIDRELYKIYMREELLATENLSIVEGKVADIV ISKEDVGTGPAAPAGKIVGVRLETGEVIPTSRVVITTGTFLGGEIHIGLKAYPSGRIG EAATFGLSKSLREAGFQLGRLKTGTPPRLDKKTIDFSALQVQKGDMPPMPFSYLNERV QVDEDAQLNSFLTYTNNASHDIVRANLDKSVHIRETVKGPRYCPSLESKVIRFKDKTQ HLIWLEPEGFAPNEVIYPNGISMTIPEDAQFELLKTIRGLENVRMLQPGYGVEYDYID PRNLWPTLETKLISGLYLAGQINGTTGYEEAAGQGIIAGANAGLSAQGREPLTLTRSD GYIGIMIDDLITKGVSEPYRMFTARSEFRLSARSDNADLRLTRLAHKAGIVGKKRWSH FSDTEAQIKELQSLLSNTKLPLAAWARKGLTVRNDGTVRSAHELLAHRAVGLDDLIPH IESPSGTAYTASSFAPEIRNRVIVEARYAPYVLKQDKAAARVRRYEDFPIPLDLDYNA IHGISTEERQALERVRPANIGMARRIEGVTPAGSLQLLLHLGRLGRRQENARRIPADI EADTDMSGLEKTPDDIST ANIA_06578 MVNGNSTVLEPTFNGYVATTHDALILFEACLTGVLHHVPRRPHD RERSHLVRSGAVFIYEENSSGIKRWTDGVTWSPSRILGNFLVYRELEKPFPPGEKKRA MKKTTRRPLTSGRPGEPYARQDSNGGSYSPSPTASGYGAERTHQSEIERALVGSLVDS YGFKEMGLVKKTMSVTVSGVTHHLVSYYSVEDVTRGVLAPPSAVEALKYVRPRPELTQ KQSFRAPIDELEHVGMEVTSDPSHPFFPYRSQMVPTPPYSIPAPQQDFYMQQHHYAPV THPAQPNQVATFTLGGPSPTQQAYLPTPTAPTHIPQPQKQEDYTQFRAQGPYATGFDP LGHNALSSSLQAGIPPLTNPINERARSQSDQSQAAYRNSSISSRSVATDATSPMDPST PAYSRGSSFSLASQLDGSAHSPLEHRGMSFDASIPRRDSHQISAQFYPGSRSTSVLSQ CTSACDSRQLPSHDLGGGCSAADVIP ANIA_06579 MVLNHLAAPILTTAPKGAQDSSLPRDEPCDPNVPDQRLSINRPC IYERRLPGNAYITAHVQRLQHGHYSTSAVSDRDFDHVDFLAVNFVFHSPDTRNHRFKA ATIRASLRYPGYSNRGSVSPPGPHRKTNHPHFLKHAPHFLHGSIVPETLQWNYSLSGS LGVAQLPLFASLSPAAGLNGRFNRYEMMRIQGSVRSKNGIPASQIVWTMEENTLQRSG LPREFTFAMLIAKPGAESRVQFVLEIEPVLQCWILGQYPGWWVKLWKRYRAARRKRGV DFRVSIGQRFGDELKPRSHTYSGLSRRGFNFAKLVAGLDEYVTLSGGRVMAAAGGSFI PQKPDSTMPLPPPIEDPAPAPNPYPLPMPYPGQRPVDGVPYPFPMPPGQVYEPGRAPE QESRQPRHQSRRQHSTPLRPREERAWDRESSELHTILTNAPKVPARTSSSGQGSTSRA KSRQGYRQHQKYWR ANIA_06580 MFNGHPKDLAQFPPEAANGSSGYSKEGQRRNPTQKNQSLFHPDP SPGCLVLTVCRPKKSPILSRIPLPTAHENIYTIPNILTFSRLVAAPLVGYFLVHEHHA AALALFAYAGITDLVDGYIARRYNLQTVVGTIIDPMADKLLMTIGVACLAVNGSIPGV VWLAVIILGRDVGLAISAFYYRWISLPPPKTMARYWDFSLPSAEVKPTGISKVNTALQ LLLVGSAIALPVVPEAKSTISRRVFMFGRTGKLWRYK ANIA_11522 MRHGYKAGELALLTPATARSKSALAEGVLKEEGAMKLGSPKKPR ESCRGQGGNRWGARKEPMIHDDYLNCHWVFRSSSWVVSR ANIA_06581 MADNAHLTQLPTVTGEEPSDSTMVSGTSSDTDASSEGQWGEQGT EPVSRRGAMEDYEEMRRELTRLSLQRTKSTTKDAHRLRSRASQHQDPEKALQEEDLEK EGESEYGGFDLTDFLMGGHLERRTTAGDPAKKVGVVFKNLTVKGVQTGASFVRTLPDA VIGTFGPDLYNIICRFVPQLRFGKKPPVRDLIHDFTGTVREGEMMLVLGRPGAGCSTF LKAIANDRGAFAAVEGDVSYGGLSAAEQDRHYRGEVNYNQEDDQHFPNLTVWQTLKFS LINKTKKNDKESIPIIIDALLKMFGITHTKNTLVGNEYVRGVSGGERKRVSIAETLAT KSSVVCWDNSTRGLDASTALDYAKSLRIMTDVSKRTTLVTLYQAGESIYELMDKVLVI DSGRMLFQGPAHYARQYFVNLGFYCPEQSTTADFLTSLCDPNARQFQEGREASTPKTP EELEAAFRQSEYYKLIQNEVQAYEDQLHDTNCADTQRFQKTVQSSKSKTVSKKSPYTV SIARQVAACVRREFWLLWGDKTSLYTKYFIIISNAFIVSSLFYGEAMNTNGAFPRGGA LFFSILFLGWLQLTELMPAVSGRAIVARHKDYAFYRPSAVAIARVVVDFPAILCMCIP FTIVVYFLAGLDATASKFWIYFLFVYTTTFCITSMYRMFAALSPTIDDAVRFSGIALN VLVLFVGYVIPKQGLIDGSIWFGWLFYVNPLSYSYESVLSNEFSDRVMACDPSMLVPQ GPGVSPEYQGCALTGSKLGSTDVAGSDYLSTTFQFTRHHLWRNFGVVIAFTVLYLLVT VIATETLSFVGGGDGALVFKRSSNAKQIKAATEKPNDEENGQGDAVTQSGGNNEAAFN RISSSERVFTWDNVEFTVPYGNGTRKLLNGVSGYAKPGLMIALMGASGAGKTTLLNTL AQRQTTGVISGDMFVDGRPLSTDFQRGTGFCEQMDLHDATATIREALEFSALLRQDRN IPREEKIAYVKQIIDLLELEEIQDAIIGSLNVEQKKRVTIGVELAAKPSLLLFLDEPT SGLDSQAAFSIVRFLKKLSQAGQAIVCTIHQPSSMLIQQFDMILALNPGGNTFYFGPV GKDGKDVIKYFADRGAVCPPAKNVAEFILETAAKPIKRDGKTVDWNEEWRTSEQSRQV KEEIERIYKERRDATANEDQSAQYEFAAPTWLQCYLLTRRVFTQYWRDPSYYYGKLFT SVIIGIFNGFTFWMLDNSIASMQNRMFSLFLIILLPPIFLNSTLPKFYMNRALWEARE YPSRIYGWVAFCTANVVAEIPAAIISATVYFLLWYFAVGFPVTASASGYVFLMTMLFF LFMASWGQWICAFAPSFTVISNVLPFFFVMTGLFNGVVRPYDDYPVFWKYWMYYVNPV TWWIRGAISAIFPSVEITCAATEATHFNPPPGSTCSDYASNFVNNIAGAGYLANPDAT SDCQYCPYENGTQYMSTLNVRPDDKWHSFGIFLAFVIINWVLVYFFIYTVRVRKWSFG MGSLFGGIGAVLSGIKNVFAKKN ANIA_06582 MEALDRILEKATDPTSGILHGTVFIAVDRSAKIPGETIYARASG VDSVATGAEASPKPLQLNSLYWVASMTKLVTAVAAAQLIERKILDLDMDVRKYVKELE GVSGKNSAHFLREYGISALFRPKSTLLAGSGADGAEQEGYTHPLLFQPGTSWGYGAGL DWAGVLGLLKIERVTGVRLSTYIEDNIFSRLDASCTSFLHPNQQPQDKTPPPLLEMAY RTTPSPSNRNSKATNSSFTTPNPVLKPIPFLQAGPIILTYPLSHDLGGIGLFSTPADF ASLLASLLRDGDRLFARGKDSTDLLLAPQLFSEYPKAGIALPAGLGRQMKRIFGVGVD ARYSTVKQPVEQPEWIDRKSGIAAALFTQLMPPSDEKVTGLLISLEEALYAAVGNREG SMDQTVDRGFPHRCLFTIILYPPRITTGSISTGNQVAAELG ANIA_06583 MESEDEDLRAAIAASLADAPPPERAINHETSVVDLTADSDDDVI PIFPKSKSVVGSDTSRDASIIENAEDDEDLKLAIALSLQSPAGQGDSSTSEPNSIPPP ERKEKETSEPKATGHAFPGLDRKKMEEERLARLAKRKAQSPAAEQRELKQPRTRSQPD ASSVHTHTAMQPVAPTSVSGVQFSVGTVKKTFASGHRRLDDDIKIEEVLQSSDLELGV LSSFMWDIDWLFSKVNMEKSRLLLIMQAKDEATSVFLIDLPEKDGSTSDCSTSFYKDL VYFLKASTLHENIIRKLEDFDFSKTSQFAFVHTIGGSHWEDSWKETGYCGLGRSLSSL GLQANKPINLDFVVRFQNLYTQQTLTAQTSSLGSITPDFMRCIYLAAQGDSGLTELSF RTAKSFPAKSLADPRCLLPASVADEWKERVRVYFPSENTVLQSKGGPNSAGTICFQER WFENGKFPKNVLRDCVSVREGLVMHNKVSIYCTAEMRAMLMDKDSGSISLRPDKKAAE AQLSELGMRRRDSSS ANIA_06584 MAETLGLHGLNLDLPFPAATKRVSGNINGIHTDVMTIKFSDKIM ITISQKGRLGHWLHVPLENKNPGTEGQHRIPDPANDGLLPLSNLTATSILGGRAPGHE IVGQLYARQIASAIVTKTPNENRLLVVGLGLETAEADRDVFFAVIDLVLQCI ANIA_06585 MPKFVPRQRKQKHRQQAANAAVDTNAAEIQPLSKSEREARKEKL REELRAQHTKISAKKQKRLDKYIENKLKKEENTALLKKLAQSKVEVTGLQSSKELGKR KREDDEAPRLTADAAEERNAPEYSSDETDDDLSGLKSDATGKGQQEQKASTLMNGIGL KRPLELGPDGFPILKKRKRAPKAAPKAPLNEIPWEGFDSDDEDKEEEIGPGIDAKSEE EGTGSDDSSESESEVDDNGDSGSDEDEDRKDEEDEDEDEDEDVDDDEDEDEDDEKEDD DDEDEDENNTDGRIKPRQSAFKSWARQQINEVVGFKPTSGPITTEPQVIPKSALPVRA VEEEPLPLELQVTKGNPYRKAFSVQVDRPEHIQEARLKLPVVGEEQKIMEAIHNNSAI VIWGATGSGKTTQLPQFLFESGYGNPESGNPGMIGVTQPRRVAAVSMAKRVGDELGQF SSQVSYQIRFESTASSKTAIKFMTDGILIREIAEDFSLSKYSIIVIDEAHERSVNTDI LIGMVSRIVDLRKTMSEEDPSVKPLKLVIMSATLRISDFTQNASLFRQGPPPLVQAEG RQYPVTIHFSRRTHRDYVEEAFRKVSRGHRKLPPGAILVFLTGQNEIRQLSKRLKQAF KPTQRGEVTNAKVQISANEAPLEAEDLEIGEADITNTANTNAYGYDDDDSDLEITGLD DPEEDEEFNVGEEGEEAMDSSTKVHVLPLYSQLPTKEQLRVFEPPPEGSRLIVLATNV AETSLTIPGIRYVFDCGRAKEKQYDLETGVQKFQINWISKASANQRAGRAGRTGPGHC YRLYSSAIYEGEFAEYTDPEILRTPIEGVVLQMKSMGLHNVINFPFPTPPSRQGLAKA EKLLKNLGALTADGKITPVGNSLSTYPLSPRFGKMVYVGHQHGCMPYVIALVAALAVG DLFVPENQIDPHPGKEDNEDNGVYKNSDRLEDTARTQGGYAA ANIA_06586 MSSNVGLSTPRGSGTSGYVQKNHAFLRPRNTGAGAPYPSPSSSS KDGSESSFKQRQPDRAILEHEMKREIEVKVLEERERLEDINERVEEGKGREGEKVRSE EEIEKICDELRERLTREMEDRKNGNGRNDGRNRDGKGKRGFKAYQVHELAEAKIKESE RLRRALGIRDLDEQGGGGGGGGSSSARAEVERRREKERERERERPSFTSFLCMTFLAG NGQPVLPTSPTNDGITKQARLSGTPPNDSIFPIGFPYSMSGVPCASAESCNPLGVTSG YILIFGTSPATTEGTDCSFSLMSDVLMGASRDRKSVM ANIA_06587 MQPSALNERTRGAHGRISSGLGGNSSWGMSDIWRNNMDDSVARS TIEGISGSSSLLPSSESDGWDIRRNVPWPSRAQGNGMATSPVQNRSNDLGGVLVDGND ASSYFTQSVSSTLGPSPGTGQVTYLDSGSERISPAGETSAMGNRVLFNNNDRRSVGLT GTAGTAAYPAQSGFATPLESARSEHKGTMSMSSMQTLADNIQRGQIRNGYAHSSHNST SNASQRPNHAAFPSFCSDTPFPNRYGNNPTELSTSLERMQMSETPNATSRPPYVSHAS LDTSLSRLRHQVSRDEDSYQAAQGYGNEFLPQNPALAYQIAQSPHIRERDIVTYGDYP LNGAYYNGRPAGSNSGGRYRHGFANNAQENQLPGLQGRLREDIPVESPYQVSHMLARQ RQFTPAYDYGYQSPAALASIYPVAQLNATALTTRLPSREHGSPQESWGPVLADFRLHG KNKRFELKDIYGHIVEFSGDQYGSRFLQQKIETANSDEKDRVFREILPNFLQLAQDIF GNYVAQKLYEHGNQTQKKMMTEDMRSKVVKLSLSPYGCRVVQKALEHVLTDQQAWLVR EIQPQVLECVESQHGNHVIQKVFEYVPTQYTKGLVDSFRGQVERQSTHSYGCRVIQRM LEFCEDVDRRFILAEIRACAPRLIEDQYGNYVIQHIIQSGEEEDRSFMIEMVKQKLLW YSKHKFASNVVEKSIEFGNEAQRQDIITRLTAKTEGHETQLIELMADQYGNYVFQKVL GHLSGVERAALVDRIKPLLVHLKKSNCGKQIAAIEKLIGDSSPSPNPAIPASNHASST TPPNSHKSSPQPVKRAVEERFVATPPTPPPTDNQSNGEGTAVSAELTGPMQ ANIA_06588 MNQIMHQFFARDDAKDDGLSESMVDLLISLLVLVLLGIVLICTL LVLRRRRLNRQQSELPVHNGQCSTHHRSVTISAPPYAKTESVFVIDEKRNLMENSSSP PPSPVPEIRITFPEEEDESGKRKSGRVVVVRISEAGSVGLEPYNDQLPPYQSTDADRF QSLDIERMGGLKEKDDTKRWG ANIA_06589 MSSRNDSSTADAFNKPGLLNSSPGPSDPPRTQSAKMLSHMQSRT ANKSRLKDDHVEKLEREFKYKHLSLLGVFVWILLLHVVGIFFFTKGFLLTRMVLENKS SCDDLPFDDSRSVPNQSNREGCWHPKSFDKAIVIIIDALRYDFTVPFAPNAESESAQL FHDNIPVLYETAVNSPQNAFLLPFIADPPTTTLQRLKGLTTGTLPTFVDAGSNFAGTA IDEDNIVAQLHAAGKSIVQLGDDTWQALFPDYFNADLTRPFDSFNVWDLHTVDNGVNE NLFPLLEPQNYTKWDVIFGHYLGVDHAGHRYGPSHPAMAAKLSQMDQVIRDLIDRIDD TTLLVVMGDHGMDSKGDHGGESDDEVDAALWMYSKKGVFGRTSQETIMPPKYARERFV PQIDLVPTLSLLMGLPIPFNNLGSPIEEAFIGTSGSDWENLVNVNRLSSAQIKRYQQA YARNRGSEDSGNSGSMEYWETAERQWQAHHGRPNPKSFVSINEAYKQYQRHTLQACRR LWASFDVPSMMQGIGVLLAGIVLLVFYARGVQSDRTLLTGPLLSRVGLGSILGALVGT LMAILGLTEIKVLELSALLAAVGSICGASVAIFGSSQRLSLPLPNSLWGWLAILFTVS QSIGFASNSYTIWEDEILLFFLSTFGVVAGVSSMRQQSTTDRVLGVYHSIVFVILGRI ASLSRLCREEQMPFCRSTYYASSTSSTSAPWQLTIPFLVTLILPTVIKGFYAGSKSYE GAASLWIGIGFRLGLFVTSIFWVLEEIDSKEWLPLSDESLKTVRVFLAQLVLGLAFAA GTTAFTYSKPCVSISVAQTNTEPEPKRPSLGEQQLPRTTVTILGFGNVHGTRFFFLAI NFCLAITLMQKPMGQGAMGLLLWQILSLLEILDTNALVTNNSSIGPVVLGLLGSFYYF KTGHQATISSIQWETAFIPLTTVKYPWSPLLVVLNTFGAQILTAIAVPLTVLWKRPLQ LNDKSASSTSEKPALRLLSDVAQAAATYILYFATINLATTMWAGHLRRHLMLYRVFSP RFMFGAIVLGVVDVVLILFSIAGVRWSTLSVGEIFGW ANIA_06590 MPSDDVSFPPRSKYSTSSFADVFRSLSASRAKSFPATPLSDSSN SVHDDTDGHTSFWNASGFDSMHRGSVVSSSSDASGGRDFETSVKLLAQKQNLGHACDE AEQVAKALQWYTPEQALGLWEAGSHLLHHEGSPDARRSGSLLLVSLSARQDLSPAARR TVFDSIASESEPDVIPARAQALISLSDHGRRLEFATSSLLPLISSFIVPLYEIISSAR AKARKAKVGKANGLAYEDSVLDDLLQFAVDLITLQRKQPESDEVRELLDQIFIICRKT SVAADIKHSLAVFDAVILYGNVPDGSFTPMLELLCSIHASVRSLSGPTSRAVRNLAKS RRKHEMVATLHSFLLESNGENCQNLNVLRGAVYVFADLIRAHGQEGIAQLQFEPLMNS LQVVLQKNDGRLEADILELCLNTLEGEFAQVTLTQDWTGFVSILNFCSRRVVEEPETS ATKPLSPDSPQSRGSVIDDVKPNILANIVQIASAVGSLWDSLNGQQRLEITNFLSNVC QHIEPTQAELILNTMRTEKLCDPQTSGWVQCCQQVINYFVRDRSKPTEVRITALEILK EAFHGYESMVLFHKERLLDLMLEDFSNEDSILFLESLVSFLVDNIVPSCDDTAFKSLI DIISSPMAKDSNPGESEPVQVSPPEGRSLSFALEASLANVCALGLVRTFLRSLNLSAA KAIKVYEALLKIAESSDRPLDSRLTALKMLFRLRCDSSGSIIVISVPEDDFRMSISGR NLEVGSLTNDLEDATGDYIADNDPGLSSTQKRHPTRATSSSALSRSTGRITNYNQRVP KLSHPVWAYAAPQVLPEEPPDGSSPFLYARATPNTSPQLDSEPAQRIALKANVWLETI IILLQRETNWDIYSYVLTHLAPQLQNKDLFDNAVPQIKLLRSILCDQIKNDSFREPPI YTGVKKSDVAGYIFDSLCVLISYHEHFAKSEEDELVRSFMMGIIGSWGGTSRGCIHAL SVCCHEIPLSVTKALNGILDKISKLITLSNLAVHILEFLALLARLPEVYINLREEEIR TVFGICIRLLQTSREHRLKATEAPNRNPQGAARTGSVVGETAALPGNEMSEPSTQDAM STYIYTSTHHVMIFWFLSLKLQDRAKHINWITSRLIFTDEHGKETLEEQSQVFLDLMQ RVAFSDLGETIPYATFPPSPEDGPVIKRSWIVGMSIVTLETAGVSGLTQITKRQASGT TYAMYQQRTAPILPHQIPISHDAHLHSDSMHTNVLPSHIMLQLTATAFPTPTTIQPIP LPDDDITRRALSTFDRNDIVDGHKIGVIYIDDGQTTEAEILSNTVGSPDYEYFLSQLG TKVSLKEARFNTQGLHAETDGEFTYAWRDRVTEIVYHVATMMPTNFDSDPSCILKKSH IGNDFVNIIFNRSNTPFNFNTIPSQFNFFNIVVSPVSRLTQGDKMASAAASNPENMFY CVKVMSKPGFPEISPAASPKIISGKNLAPFVRILALNASVFSLVWNSQGEHISSWRNR LREIKRLRERVLGSQSQNADTLDATYPTQRRNTKANIFSEEVPVRTSSGKSEISTDWN ATADSNILQNLDFSRWAK ANIA_06591 MADLQSIAQLLAASSDRTQTKQAEAALRQQESNPNFPISLLQIT ASDSYPLGTRLSSAILFKNVIRRNWTDEDGNYKLPLEVVGTLKQELINLMISVPQVLQ TQLGEAVSVIADSDFWERWDTLVNDLVSKLQPDNPSVNIGVLQVAHSIFKRWRPLFRS DDLYIEINHVLERFGTPFLTLFQGLDTYLETNKSNKDQLTQGFTQLNLMVKLVYDLSC HDLPPMFEENMSGLAQILLKYLTYDNQLLHTDDDAESGQLEYVRAGIFEVLTLYVQKY GDEFQPYIQQFVESSWNFLTTIGQETKYDILVSRALKFLTSIAGMPQHAQIFQAESTL AQVIEKVVLPNVSLRESDEELFEDEPIEFIRRDLEGSDSDTRRRAATDFLKQLNANFE ASVTKAVLQYIEHYLNEYGKSPQLNWKAKDTATYLFIAIAAKGVATATHGVTTTNSLI SITDFFQKNLAADLVSGDGVHPILKVDAIKYLYLFRSLITKEQWQEVFPLLVNHLGSS NFVVYTYAAIAVERVLYFTDNQGQPIVSPDTIRPLAKDLLEHIFSLIQKNPAPEKVQE NEFIMKCAMRVLIVIKEGVVPITDNVLAHLINITQIISGNPSNPRFYYYHFETLGAFI RFAAPSNPDKLEQALYPPFSAVLQADIAEFVPYIFQLFAALLEANPSGTLPTYYHGLI APILAPQVWESKGNIPALVRLLSSIIARGSQHILENNQLINTLGIFQKLLSSKTNEGY GFDLLEAVIEHFPSAALEPFFKDIMQIILTRLQNHKTESLTLRFVRFYHFMCANDAKG YSADFVIQVIDKVQEGLYVQLYLNIILPESQKLARPMDRKTAVISFTKTLANSEAFAV KYKKGWGFTCEALLKLLELPPLPASKDDIIAEHDVEDMAFGVGFTALVTIRPQARDPW PDTGADLKLWVGKYLKEADQRHGGKISGFVQERLGEQAKAMLSSYIA ANIA_06592 MTVFWIPAAFIRWVRLKIYQYEVTFAVYMLTPTEKFIFNSILLT LISMILTAAYVYLPDHLRTIYGHLYYYWVGERPIVSSGMAALSTAFRDGGTQTIEMMY ETVQNTAATAAATVPEL ANIA_06593 MSSILRRLQGGNLEVFKFGMYVLFPIGWMYYFGTNLDERFSVPG FWPTAEQSHKIPLEKEEIDQELARMRRVDALKRERRERQLAMQQELEAQSQALAQAQA QSQNQSVSE ANIA_06594 MPLIESDATGSPTDQLQRLPFPPVTYSHILHCSYDYWQPKYRAL TPKSRIIPLTSSFVSYLHADGIVLPPENTPPTNDDDDFSDDPDAEEEADPSKDWPEVH AQIKSAIAELDGKVTPKLNWSAPKDATWMAATNDLQCRTPNDIYLLLKSSDFITHDLE HPFDDCVPDTSYSPAPISTPPEVKYNLVLRKYVNFNPSLEFRCFVRNRILLCICQRDQ NHFDFLFELRDTLRSRIQSFFDEKLKDSFPDSSFVFDVYIPAPHQRVWLIDINPWAER TDPLLFSWLEILRMKDPIGIQEEDDSAEEQFVRLSLNGHSNGDQKPESESESEEEVEK AEDDAPLLPEFRLVKRDDPEAYSFTTPQYSAHKLPKEVVDASMTGPGGMSEFLGQWQD ILSRQGQESDTESDN ANIA_10841 MRINIDTGAEIHVIVLQPCPDRLKQVPVNVIRITLSLGSSGQAP ANNGSPQISNNFGSRVTDRHSISTFKQHIDTLSLGKESTKDKMVSEQDITVTYKDRIA IITLNQPKKLNALSGDHYYLLGERLREVDKRDDITITVITGTGRFFSAGADVTSARPG AGLGTNVRRELVRNFVVNNIDITHTFSHHSKILVAALNGPAVGLSAALVALADFVYAA PHTFILTPFSSLGLVAEGGASTAFVERLGIAKANEALIQSKRITCEELVSAGFVNKVI SAPSGKPEDSDGFLKKVLEEVEDRMGAHLSQSSLLRIKELIRRPGRELMDRQNTIEAF QGLERFLAGIPQEEFRKLATGEKRHKL ANIA_10834 MDPYRGPAPKGHIPASQRIPAIARPFVSARALKTLDAVEKFVEE ECIPADAVYNQALGETTQERFSAHPQIMEDLKTKAKQLGLWNMFLPKNHFSEGAGYSN LEYGLMAEYLGRSLTASEATNCAAPDTGNMEVFAKYGTEEQKKKWLRPLLDGEIRSGF LMTEPQVASSDATNIELNMKKDGDYFILNGQVGLLCSIFRTGYSNGRLQKWWASGVGD LRTKVFLVMGKSDPANPNKYKQQTVIAVPSDTPGITIKRMLSVLGYDDAPHGHGHVFF DNVRVHKSNVILGEGRGFEVVQGRLGPGRIHHAMRSVGAAEKALEYFLARVNDPRKRP FGKQLSEHGIMLERIARSRIEIDAARLAVLNAAIMIDQGDAKHALKEIAEVKVQVPRM LQEIIDRAIQAYGAGGVSQDTPLANMSSGARTMRIVDGPDEVHMLQLGRNENKRGKAL LGKIEAQKQKTQALLKQYNMQERDILQLDRRSGTSAKL ANIA_06596 MASDGPDPQSLKTWEDAFQYSIPTVRRLEQELRRDVASNKEKLR ALVGTRYRELVGTAETIVAMSRNMEEVDTTLGDIGRRCNPRLVGKKYTHLNRMENNKT TAQDATKRALGAQLALLHRCSVLISKMLRKRGSPLLIAKLLVISRLLHKCLSEQKSVP PFLETLRNQLASLRRGLRSRINKLLASAKSTIDEVIEALAAYCLSTSSSSDDAVAYYH KVRLDVIGNQLDNAKPSGENILAALRLYIQTLQFSKTLLSRRFTDVLGKLKSRSLFTD PDIRNIDELSLDVLGRWVATDIFNFTPWIKLNEQSKQDADRAIKKWSKGAFEEFVRRS QDSLKTWADFSQLIQLRKETLGLWLCSWSSTTTHSTLQVLEGIRTVFNSRLKDILLDK AKDLGTFGQAVASALSGWDGDHGKTQSLWDHELLSLDYTNGAAAFREAITDKLLGRDA EISSATKEYQMWLSTIRTLREAVDALREVRWPDILEEAADEDLDLDVTAILNEDDSQL LRNALEAAVRQSFDALQGSFADSHKNIGDSGRGEKAAFMLKLVRLVRRDLPHEFIPKD TEFFKDIIPGLQEILAAEVIADTRPLSFASSVNPQTMTPAGRLLWEGDPELPVQPSPA TFKFMRRILESMGRHGHGLWDMSTVCVFQRALQKDVARRITAYLDDIDSPADWAKPED EKETPVQNGNSRSVAPTEAQLHDIKLQLYFDTIFLNSAMVDKATQQSSLQEVAEKLRN SFGSDAGSISETMDQRAQEYWHRTRLLFGLLAADAAQL ANIA_06597 MASPRLPFLYPNLMRAVKSCEPSTHRSLRVPSNARPPRGSRAPF HTTRRRAQGSIQRRYGPAVEPNIPPPSRLKDESTPGPSEDSGSKSPSEKSAASSTPEQ AKSGSQSELQLPQSTEDPSAASQQSAGEKRAELIENKTSNEEELEPEEEEEEEGGEEA APEIARGKQQHPNPEEKYAEEQSKSPILDGNPLEGVLHMPSPSSYLTPSTLPHNRPPH MAPPPYVHHFDTYSLVQDLAKGGFTDKQAITIMKGVRKILQNNLDFAKQNLTSKSDVE NETYLFKAACSELQSSLQTARNSEIQRQRASRTALEHEADILSQRTNQELAGLKDDIK GMFNDHKMTVRELQRSIDTSVQELNYKITVSLNSDSKSEIEGLRWILTRRAALAIATS AFMILLFLRYSSTQRAQNAAEKKKQEAPSQKPAESRTPSVLATPGPQDVVPVAHLSES LG ANIA_06598 MSTAEVARTSSDNNVGNDATPRAELDITKLHALPSEQQDLYLLT FTSDLVQHISTLDKSQVSAEQKFLKRELFKVLTLSSPAITRVIRNNLGRCFGAIFSKG DRGILFETVTDLLGVLNAGKNEADLRTKFAAAHCLGDIFTTAGESVFAQSGAVVTSLL KLLKPSSNHTGCRGSVFAVLRKVVGGTGVPVDEGTARDIWKQARNAATGDKSTFVQVH ACRCLEQLLHTTPYFDNANDFESLKTVVWKVIDSPLAPVRHAAAACFARALTKLHAKD SRIMSTPKPKKAKRQSKKPAPRPGEDEDEAEVSESSAPKKSESRLYFLLPDLLKQLSQ QYLRSTTSNRARAGIAVCYKYVLRILGEKLVEERYDQIANHLLFDLLNHPTITNNRFR LLMTRKVVGSILEETVGRDSLRENSRLTAAKWLINDVLKDYPQVVQERREPSKYTLIN ALNALSSLVSSLGSAFGSLAESCRDALLQVLSHPSYTVQIHAAHCLRIFVLACPVQLL SCVTICLNSLNREVGQLSTPRQSPRRCVGYANGLSAMLSTSRLQPLYGSVEIYSRVFT QATDLLKTSSSAELRAASTQIQVAWILIGGLMPLGPSFVKIHLSQLMLLWKNALPKHL SQENSAKKGYLEMSFLAHVRECALGALLAFMEFNGKMITADGARRIATMLQNTVEFID EIPPQKSVVDISQRLHPSLQLHDIETMVRRRVLQCFAKLLHAHPLSHADVISQSSLLS LAISSFADPDATQSSPLESSIAASTAQFENLWELSDNYGFGVTGLARDYVRVTLSGKH EGESGPAWSAVESTDQVVDDALIFPICEASEHDPILLYSARQGDRLSADPHPTGVVNA AIELFSVALALHAPKVQESSVEQIATFLSSTGLQRNPGRKAAMVVNISVALLHALKVA MKETSSAARKLSPTTEKVMQELIQKFVLDPDPIVRTVGVEALGRLCESSGNTFTNTQI NWVVDTIVANREPNARAGCAAALGCIHSQIGGMAAGLHLKTIVGVLMSLGNDPHPVVH FWALGGLEKVANSAGLTFSPFVSSTLGMLAQLYNADSHNEEAESLATSNIEMSFLTPV VISRCIDSLINVLGPDLQDIAKTRNLILTLLRQFQLEENPALVTESSRCLDHLSLYAP EYVDFSGYVKRLQNELSAQDPLMRDVAIQGLTNLMKRDAPAVIKAATPALEEEIWLAF DDNPDNPILKGMIRDWLQQTALTETGLWIQRCHDTLTKTRLKVEDLPPISALKPTATD LPDDEVAGFASAIAGAGQEDGAGDAVAGQELLKWQTRNFVMSCLSELLELVQEAILPD QTIPAELALQQKVGDIVRMAFSASTANVIELRVWGLKILDQVLKMFGKTPDPDFAEAS LLEQYQAQIGSALTPAFAADSSPELASEAINVSATFIATGIVTNVERMGRILKLLVLG LENFSANPDTTEIGDLKGLNSNAKVMVKMALYAAWARLQIASIEHEYLNEVVQPYLLK LTPLWLSSLQEYARLRFEPDISGSLGTGPESGDLDEVYAALNRETLLKFYQETWLSLV DAIAGLVERDIDFVFDALDGKAQIKEPEKSEEDQLSNGEPKGKGDDINYRDEPVAFFF VLFGLAFEALVDQSTSASQRMEILQALKRILRPIISGNAIYQDAIFSETMDSLDRLAL TEGTAVQNVIVEIARNLALDHPSAKGGEDRSDHLSDDIEQLFELTRSIILVLAGLLPN LRESTTIARFNVGSDDALSLIRLSLSSLVNVASIFPSIIRNDLNACILHIFSTILATG LCQQEVVPQALPIFKHFIQSISGQENASLENQENQNVISHQLRGCLVRFLTTLTIAQR RESESSLPCAKNTLLTITILLTTGGHLIPPHDPILPQILNELLDCLQDVGLASVAAGC IRSILLSNSTKSNTDEVIARYLIPRLIAFLIGTPTDPGGEASNDPENSRTSIARTLVS CVTVPNAAAFPGGETHTAMSLVMSCLLARAKREGAPVYTETAANLLELAKADQLAFRT LVGTMSPALKGLLEEILRNANVGAGGGAVGRGEQETQNGGGQQSMPSIALRFDF ANIA_06599 MASIVTKRLARQPSCTRRTGLELARSFSSSPSLCAGHNRWSQIK HGKAKNDKAKSRERQMVAKEISSAVQMWGPDLKFNPRLTLALSNAKRAGIPKTIIEAA IARGQGLSITGEALEPVTIEAILPHSVAAVIECLTESKARLLQDVRHAIKEAGGTATP TAYLFEKKGRIVFEKKDGVSADDCLEQAIEAGATDISSDEEGRIIVFTEPTATKNVGE TLSKLAGLTVEELEIIWAPNQDTLVELKDEQVQEIEEILAFLRDEAGVRDIYLNTTQA L ANIA_06600 MASDAERGLSLLMPWLRSSTNMGRDEMRPSNLLDTVEHLEAVAF VPPRQRYTDAGELAKQIAEFAYESGIPQTALERLLNLLTRNNSLDQGTTTILIKNLYP SERIASKLITQVVCCLGPTKNKPSPATQALLLRWLILVYEFIDDRSHLSKLYAVLFNH LDMISLRKPLCHILSYITRRKHVKPFRIQALMELIGTSGGDDRELISLLRVFKNYHPD VIVGNTGMKGLFFKHPDPEWTTHARQIQETNLERTQGNGSNSFQVVHRGLAKRSKVQT VVPEVQTSRVNQNRTSLEELRSVTHFVERLDKIELPNQIISTITHDFAQKYLFLVQPE IADRRLNDWLQAFLSEHLESARDFDDGVETLGYVLNLVVGYAQYTKNIPEAFLTFLRS YLPIWNGFENRQLILELLEYLPIRDHDSLRSEILAPLETALLEDTASSKASLLDFYSG LIRQWGIKLRTESFDIEQSQRLSALILHAELLASSVLESPVQQPSDTSGDYRSSTLSV LGFYFTLADLFSHAHTNGNIKLTVPLAPSVYTLIFSPVSFVISSMNSILCSYKSSFEL SMNSETLQSKDPLWTQKLVPQFNGYIMDVCNLVWRNRALNSEDPNALGCLIPPATIAA LTQYLREVNDKARKKSRESAFSYTVGSLYSLSHHAALCNMSACCFSDIEKENDVGETQ PRLRKPVTQRALSALEKEGGIQMTWQDYRVRMLDWMDAAGSVGIANLMRTTMKALRKE ANIA_06601 MPEQRQQREEGEQGSIVRSLIQGVTIFFVTQFFIGQFFGNKQNA TAGGKPGAVTSFGERPPQAEVENYSSVPDTIAPIWPSDSALDISVYVSPSIVLPSISS LPSSSLVLEEKKFGLGNYSDVREVETTIQVPKEVQHNGTLWAHFFVALSGHELDPAAK GYSTDTAVHFLRPLNQYLPKRKAKKLKNLLAGADETDEEEDDGTPDVSIASYYHPNFT LSVIPDSGNMKYRQIHPAIRRHTQLEATGARDASGQNGWYYPIVFLNTFWQLRSHMVE LNSTVETIPLRFTLQNLQNWKFAMMASLDENAKQTAKQAAFGGSTPGGGDGSEFEMIK EVLLDTNIWLLGTTGVVTILHMIFETLAFKNDISHWRKKKDNVGTSVRTILANVFMQT VIFLYLMDNSENTSWMILASQGFGIVLEAWKVTKTVNVRLRPPPVGSFFSFLPYVVVF EDKHKLTETEKKTQEYDEIAFRYLYIIAVPLLGAYAAYSLMYNTHKSWYSYIIETLVG SVYAYGFLMMVPSLYINYRLKSVAHMPGKAMTYKFLNTFIDDLFAFTVKMPWLHRLAT LRDDVIFFIWLYQSYKYKVDYTRVNEFGQGGESDGEEEEDVKKLDEKENATRATGKQA SQTSARKRK ANIA_06602 MTENNPSPDYSRPQFPPHNESRVWVITAGDSPIGISVARQILAH GDSALVGITSSDLDRDACRRDMFEDFQAEVEAHRDEGWAERFKAVQLDIRIIGECQAV VAEAVATFGRIDILLCCTSQALVGTVEELAASQQTLNLVRDQFEVNYFGPLNIIKASL PHMRRQRSGHVMIVSGITPAAHIGTPGLGMYCAAGWALEGFCDSLAYEIAPFNIKLTI FQCSIEIPILTNLITSVPPIVPAYSPGINPAPLFRGILNRLIPRLPNTQHGGNISDGT QEQNQNQNHNQTSSIEDGPFSGPDLISTYPPLSSAHMAILVEETVYAITAIGGHENPP SRHIVGQEGVASVKEKLKTVSEELEDFIQCSFAVDYAADAEQGRTTREENMVFGANND AS ANIA_06603 MRQVIGCYVNLTRTAMKPSVAMASTNSKPKRTPRRAAKDKYEEE ILMTSDKSRLIDLDLVKLLALPEAWNCLEESEKQEILDLLPNDTHPNPNRPPDDPNAK IPPLPESFLRYSTNWREAIRHFQLDLQNGHYDPQWMREAEEAVAQRAAGKFDKFKEQE FEEFWGQKQKMDRALAAGESSKIKLSTLIKHGVIRRGDIWKWSRSVRKILIEKEARII DVNESRLTFAVPAGRRTFLKTPVAPNAKTTGTERRPESPPESSSISPPLTQANGAVSA DIKETEAGSSRKRSVEPNTEILPTKRQRGLSPKGPPAQGQGAANAAAVEITNSLSENG MKSSSSSNEHSLDSSAESQESGSNSGAAPQNTKPEVSDDSKLEEPELANSPDEQPSQA LPEKDEEPDEVIVRDIQGPTALTLEMLRIDGRVDKATNGNAWKELRCFRDNQDMGTLW EVRHACYLQAIMMHHYLLQRPYFPP ANIA_06604 MAPSKLSHRQTHNLLLVSKLLSLRDTASPLTLLLDSLEQPATPL INEYIRRARLSKVHVTLIAFETLKPYDGVDAFVSTRRKTPAGIVREVAAAYKPDSSNS PNRRRLVLIDSINPLIHSTRTDRSFNLSNFLSSFIVPSEPTATKPDTSLVVTFHQDVP SRPAQSPYSPSPLSLLSYLATTVIKLHSFSHILARKAARDRSLAPPVFGLEEEQDGVL LGRLDNPVGNGSTEAGGVVLEMEYRRKSGRGVLEWYLLPPASNYPATQVKEIVMLLDD HPLYRPPEDLDARSENEEPESTFELRLTERQRREREGVVLPYFDAQQGNGPGEGGRIL YDMGEEDDFDEEEDEI ANIA_10835 MAEPPAKRARRIDSSAMWDMDDRGSRSPVVDSNYDRNSRRDPSD DGREGPREDRKYRSRSEDRRDKRRERSRSRDRRDRDRDRGRDRRERDKDGRDARVRDR SGSRDRYTDRRGYSFKGDRYRDRSRSPTRNGTRDRASPGRGSRGDRRNERRDQRDRHN IAPDSKYRADWTKDEMDMDLDEAEEDDIDELMRRCLGFSKFRSTKNTKVPGNNAYGVR KEKKTEYRQYMNRQGGFNRPLSPSR ANIA_10839 MSVSSDRRDIIIVGGGIIGCCSAYYLTRHPSFDPSRHSVTLIEA SDIAGGASGKAGGLLALWAYPSNIVPLSYKLHAELAKEHNGKDRWGYREVGCAQLVAR GRPLSEKKEKDGAGSSLSLQKRSASAMGKLKTAKIPPELDWIEPELVRGYESMSDPGE TAQVHPYLFTTSIAKLAEEKGAKVILGSVTDIDYKGGSVKSVTYTEKETGQAQTIPAT DIVVAAGPWTSSILPDAPISAMRAHSVVIQPTRPASAHTLFTNIEIPANFDPSTKSRP TVASPEIYARPDNTVYACGEGDQVVPLPKTTADVEVDPRRCEDIINQVGSISDALRDG EVKARQACYLPNVNAVSGGPLVGKTGTKGLYLAAGHTCWGIQNAPGTGKLISEFVFDG RAKSANIESLDPRNVL ANIA_06606 MHLSISSLLSAPLFCAAALAVQVSDGVSTVAHEAARASNESLLW GPYKPNLYFGVRPRIPNSVFAGLMWAKVDNYATAQENFRHTCEQNEGMAGYGWDEYDV RKGGRETIHDAGNSLDLTIDFVKVPGGQHGGSWAARVKGVPRDDALPDQPTTVVFYAG LEGLGSLGVSTESDDPRGFEGDVKLDGFTAELGRFSIDVTAGPKTNEHPAYNHPSYDD KPLDRTIVSSFPVPPEHTWQTKVLLFTQMKKAVDEAIQKYGAENPPPPAQVFTVNNSP GDGNVQLVQKVFSGAFEFDILFSSGSAPEPLTSEILTAEIKSASLSFSERFKKILSPQ SPFDSADYLEFSKSMLSNLIGGIGFFHGSDIVDRSAAPEYDEENEGFWEETEEARSRA QPVLEGPKDLFTAVPSRPFFPRGFLWDEGFHLIPILDWDPDLALEIVKSWFSLMDEDG WIAREQILGNEARSKVPPEFTVQSTQYGDIKSYDREAFSTKEAYRWRGRSVQHILTSG LDDYPRAQPPHPGELHVDLISWMGMMTRALRRIAETLGETEDIEEFKAYETAIERNID DLHWDADAKTYCDATIDDYEDSVHVCHKGYVSIAPFLTGIVGPDSPRLEAILDLIEDP EELWSDYGIRSLSKKDEFYGTAENYWRSPVWININYLVLKNLYNIALTPGPQQNRARK MYSGLRKNLVENVYREWKNTGFAWEQYNPETGKGQRTQHFTGWTSLVVKMMAMPDLPA SEKTGHDEL ANIA_06607 MDLVAGVRKEGSRGGRGDFKWSDVKDSSHRENYLGHSLMAPVGR WQQGKDLQWYTRGEDDPEEAARKGREERQRVKAAEEEAMARALGLPLPSQNANLMPLG GEERPATSGNSDEKTTGMGGNNQFNYTVHLADELE ANIA_06608 MESNDNKKAISPKAQYGRGLQLRALNVEKGSKRTALSQRRNLLF VACGHQIYVWEPAGASQVLGSRPEMIITPVMKEPHATGYIRPASPHEINNILVDDLGR EEVLLLATDSGNVCGYRVEAIYSTLKRAVDNGRARPLDGSEVEPFFIEYVGASAWGLA IHKFARLIAVSANTGYVTVFAFALVSPGSDKGDDLFRQLEKADDATEYGQTWLHIRTE GQFKQLQQLMPEKYRTRNIKLTYSGHFTNIPSVGFLNTDFDPNGMWMVSTDIYNRTIV WNVWRSLSPFNEYHLNNVAPWSIPEDAYLDDGDRGWSVIALDPRSFHLVKTEEEACGG QPRRRVRNKQEILDLTSLTKRVPDSTRLYSHFPPAVKAEPEETLLPDFFEADCLINGN HNAQEKSDARKAQAVVPSNARNHDSAEAISHQAVDGSLNADILSGDSASDSDHISEQQ QTTGSHALLSAIANDPSRILSPEDILEIALRETAGVDIHEVQALLSETMGDDDDDHFQ LEMEVDASDSSGSTSEEDETAHHPLDDFGLHFSTSPPNSNFPILHFSHTNICLIPHPF SLNASVLCGAPLLQRFTQPIVSIRGFDRFNMVKYIPEHGIVVAASQKGRAAIIALTES PTTGRTFRVDWIVPLESQEKYGERPLVPLLGMSVAPMQGFEIQPDIPYIPQDPYAEGK EMETETERGTSMNDLLFQCKETNNSLSSSGSDSEQEFTLPECHARATRSYQPEESWRG WNPSRRYRLMLMYADHTVMSYEFWYTWNASDGHVRAEAGVEAATYGDSVESEGEDAYL IL ANIA_06609 MDSDALPEDERSEELSSIAAIFPEIKIDPSSPYRASLDIPVKPA TPLNVCFYQRPEVGFPSVLTPPTSLDASEVGLGSKAKLDDQSMADTEKDVHVLAHLPP LNLEIELPEGYPSIKPPIFKLNTNPRWLPLWAVSKLLDDGKRLWEEFGRSLVVFSYID HLQQLAETAFGIDEASGGEVRLSRELKIALLDFNSKAEREKFEQETFECGICLEPKKG VNCHRLLLCSHVFCIPCLQDFYNTCITEGDVEGVKCLAPDCGQEQNPPAAGQGNIRKK RDRTLSPSELLQIPLEQETVQRYVFMKRKRKLEADKTTIYCPRQWCQGAARSKKHPKP IDPMADDLEDSGDESEGLIFDPLGEESQLPPMADRLAICEDCNYAFCCVCKKGWHGEL LRCFPRRQAELTAEEKATEDYLRLYTSACPTCDAPCQKRMGCNHMKCFKCETHFCYLC SAWLEEGNPYRHFNDLASPCFNRLWDLEGGDGIDPEGAEALHQVPEQMIFDDGSDDDE EPQQWVMDREANEPRNGRQPPPPAPVPPRVNQVGGNRALGRNANGLDAAGRAAAAERQ AQARAMAEIRAGRVPERAGHEQPPVPHAGLQRFLELVQNDREDEWDSDELEDGF ANIA_06610 MEEDEQPLASLSLTHVHYNPDDPLSLVSAWLALVPQALCVVYVT LVWASREVEVGLMFAGQLVCEALNFALKRIIKEERPKQMFGKGYGMPSSHAQFVAFFA VYLTLFLIFRHAPNSANQSILFRMVASLGITLGASAVAVSRIYLTYHTVRQVLAGCAV GAVFALFWFTFTGLLRSYGWIDWALEHSIVRLLRIRDLVQGLHKLRGYKYTYVLDMTR CCVFDKNNSELQCSTRHHAQ ANIA_06611 MDEATASADASLEDHHIVKADEVDQTGTDIVQIPDDQMMEEVAE GIRQEQAAQTSATTASKPSVQMRAANVPMSRRPELQRNTSAPPPPRQPPPPAPVQHNP DAPTDSLSLAQLKQLVQEMPRAEQPAYAFEYADCQPFPEELEEWFQYSEFDRAMILAM KSSFERTWSLFCEVQPSLSSSTSWLDAPEAMQKLFLTEVLDNLQDSELLVRLEALESV CYVVTGVWGITAGKSTPDYPHDSPIAAENAKSKSLQIQWIVTNARLIHECSGLPSLYG CLLRAFEKSRVSAPSESNAASESANPMLLAAADREANLVLTAFYLMVETARMQETRDP KHTPLRDAITALEPDLMVFLIEIIARLRWDDASNIPLTRIILLFWKCILLFFGGSDML KQAKETLEPAFDCGKNDPTRRTPFLTASPLDYHNFRQEITSKYPAYNPPPPVIPLELE NNSILPPLPQHPSRSYSSNGLFSGVGPSVAGGNGSILHQSVHIATPAPSPPPSPIGPG GKAGKKQNYQTNQNFPFMYPPLDNSSNNLGGKGSTEMQDVLVGKKWDGSDVPASVIEA GQLFSTHVKMTRAMRQLWEERERFMKYDRGWHAERAYASGDVDAEDEIVDDLEEFSLD EKKVPKSNPPMEKETDNEEVQKRLDAVETFYNGGSGSESFSAVHAGASSFNNDTEDLT CDATIDELDNIRVREITSKAISGSLLLLLKWFKRSHILKFEYMTQLLLDSNYLPLILK MFAHQEIDQAVAQRTDRDELGFFRFCHLHSEQPPDITGFQQDSDDEAVPPPIARHRTE TGRADSVDETGLGFIGGPHRPEVDELGYPTAPPPSEPITTFSFRNFFSAINYLHIMQK ITRDKAHRCLLLVQYKSSTILRKGLKIPDPDLRFYTLKLFKSQVPYCGRKWRQGNMRV ITAIYLYCRPELRDDWLAGSDIDAEVEEALPLEQALRGLTHWWHLRRYKHVMGGEEGA TIMDEERDFFVRELEAMGWGYAGDELLNGVADEAELAAGPLANGTEWDGMLQVEGA ANIA_06612 MSSDEIVWQVINQQFCSYKLKTTKGQNFCRNEYNVTGLCNRQSC PLANSRYATIRSDPETGAMYLYMKTIERAHMPSKLWERIRLSSNYAKALEQVDSRLIY WPKFLIHKCKQRLTRLTQVAIRMKKLAREEERLGERVVPKLAPKIRRREETRERKAES AAKVERAIERELIERLRSGAYGDQPLNVDESIWKKVLRGLERSGEGERDEDMDDGELE EEEDEEGVGEVEYVSDLEEDEDLEDLEDWLGNDSGASSDDYSDEDEEDSDEASGSEDD GEEEQKKTKPGSKRKFAAPPPKPRKKGPRVEIEYETEGAGKENAFA ANIA_06613 MSSYFSSITSSSAISNLGTRLNSLRRAITSDEADDPDNEDCSHI SNVLRAYYLEKGRRLPPWLPPDPKERSSSSTRVIATSNNVSSASVSSGRGGGLGDLWG DSGSAATGGPPQSATSSLRRGRGHPGSSATSLPSQTSSSHSPSMSASSLHPAGGRPMP GERSGSYQSVTSTGSGSSPGLERAASAQERLRARLHGGRSPSPGQLSDPSLRKPVDML AVIPCGTAQYILGMQREPRKNGVNKK ANIA_06614 MPSSSEYLRAHDRASIESDNDDLDLEELDPSSAFNASRLSRDEP VQQRGHSGPGIALRNLRVGVGNRRLWKRSMSGSQGEDTAGLLEDREGERGLRPSHASS RPYTDDDAPLLDRRGSVRSLADGGRMPQRRSRLGWLKSSTLLGGLHGSTGGGKNTVDV VKPPRDVLVGQAQRAKYPPNIVSNAKYTPWSFLPRTLYNEFSFFFNIYFLLVALSQII PVLRIGYMSTYIAPLAFVVSISLGKEALDDVGRRRRDAEANAEEFSVLALDKSGGSRA FPIAEDVGESVPVIIKKSRDLKVGDVLKVRKNQRLPADVVILKSVSNDATVARESQEA GASHNVDYATNGSSSSVPNQQPTISAGADQTDISSASDTFIRTDQLDGETDWKLRLPS VLSQALSLEDFTRLKITASGPDKRVNEFVGNIELLPPSGFYDPHVDKSEDRSQDADET EQNSSAPLTIDNTAWANTVLASNTITYAAIIYTGSQTRAALSTSPSRSKVGLLEYEIN NLTKILCALTLTLSIILVALEGFQPTNDKEWYVAIMIYLILFSTIIPMSLRVNLDMAK SVYGRFIERDKDIPDTVVRTSTIPEDLGRIEYLLSDKTGTLTQNEMELKKIHVGTVSY ANDAMEEVASYVRQSFSGNTLTSAAAAFGTQAGLAAAPRTRREIGSRVRDIVLALALC HNVTPTSDEEDGVKVTNYQASSPDEIAIVRYTEEVGLKVAYRDRRTIVLESTDTGNVV VRARILDIFPFTSDSKRMGIIVEFDKDKDVLNSPAEEEIWFYQKGADTVMTSIVAAND WLDEETANMAREGLRTLVVGRKRLSPLQYQEFASKYKQASLSLQGRDIGMQKVVNEYL EHDLELLGVTGVEDRLQRDVKPSLELLRNAGVKIWMLTGDKVETARCVAISAKLVARG QYIHTVAKVKDKSAAQEALDFLRNKTDCCLLIDGESLALMLNQFRSAFISVAVLLPAV IACRCSPTQKAEVADLIRLHTKKRVCCIGDGGNDVSMIQAADVGIGIVGKEGRQASLA ADFSITQFHHITKLLVWHGRNSYKRSAKLAQFIMHRGLIISACQTMYSIASHFDPKGL FINWLMVGYATVYTNAPVFSLVLDRDVDEQLANLYPELYKELKTGRSLSYRSFFTWVL VSVYQGAVIQGLSQILLDTISGKRLISVSFTALVMNELLMVAIAVTTWHPVMIFCLLG TALVYAASVPFLGEYFDLKYVITLDWIWRVVAVTAVSVIPVWAAKLIKQSWNPPSYRK VRG ANIA_06615 MENSNSEASAVWNPALRTDDNHETAATVEEISAPVSSDVAPLTP KATTANTDIVPDAIDSINTESPAREETAELPLNEHTHTVPGAAEPVNVGSAGFIETAE APPTAIDDPGAVPVAVSPNGETSAPAIATDVTSVSENTVPDVTESSSVEPTALIEIAQ AESATGEDFNQAETREEFREEAAELFSAAADENQETDAFKPQAELAAAPGDGYNNLQS MQEEHVQEGQTHQLEIDTSVNAAQGSSDYPTPGWVYQQGIADHATPIDGELRSTNHDL WGSPKSVDNGEDRFFDQLRTQTKPIYFPSEESRFEEGVPLLDGSAEAPVEAAPVEATP VEQAVPQPGQLDRVFEGDEDEDDGFFSSAQQPATENEPQEPVHIQRKSTSQVLDSLNT NRDGVHSPLSPTAEEFNDIIAAAASKSPENVQEPASEEDLAARWQAELSDDDLEVAPV EEDLAAKWQAELDDDDLLLEDEPSDLAQNTAAEVVNGHGVEPNLQALGSPFGTPQNPS KPKPAQSVYTPHQPSTADLVQSVPIPGATPLSSSAPYSTSYFQPRPEPPAPAVRAESF AERPKEGYKSPYDLPEDLAPRRKPATKPVVSSAANVPAPPPRSSSFTAPPPPQSSSGV PVPPINAATLPPASKPNAPVASAPKNFYEELPLPPVRPRSRPAESGRYTPKFNTASPA FVQPPPAAHPVTNPYAQLSGDTPQSQLQQPEKLGPYANNVGSSSQSAPAVPPINSRYS PKPPTLQPGVKPPASPRYSPAPPPPAAASAPLQRYTSQPSVIPGQTVTLPFQPRTSSP LAHHEKVSYQPNEASRKPSFPQPTSPVTGQPSHTETAAPMSPRSSQVQASGPSAVDTS SSYQQTSPPRNPYAPPSYLEEFSRRVSHVNNSTPSAYTPPPETPPFVPPRRSQTQSPT QQQSGPRLSVPSVDPLKRPASVHAPSSPTKTSHTYAPMQPSSHIRTISQSLEFIPPSD GQELDPLQRWKGAPIFKFGFGGTVLSSFPKHVPRYSAGQTAPKIKPMPGDVKTTQLKD VISFPETIVRHPGPLRNKSKKKDLVAWLSSRIAAFENEGVPQNLQAYPDSYKRRDEKI LLWKAVRVLVEHDGGMQSTPDLQEALRGILFPHLQTNGLEPMYSDSLQSFGAEVINAS SLSDSAESKPLSSIRNNLLIGEREKAVWSAADNRLWGHAMIIASTLDKSIWKQVVQEF VRREVRSNSGNPESLAALYEIFAGNFEESVDELVPPSARAGLQMVSKVDGHGPSKDAL AGLESWKDTLGLVLSNRSPEDHRALLALGRLLLSYGRIEAAHICLIFSRAAVFGGPDD PQASIVLLGVDHVHSSPTALLDDDAILLTEAYEYATSVLGASPTSTLPHLLALKLVHA WSLTDQGRKSEAQQYCDAITAALKATTKQSGYHHQHLFFGVDELSARLKQTTGDGGSW ISKPSMEKVSSSMWNKFSNFVAGDDSDAASTGSGKGGETGFGPFAQISGTPTVSRSPS VTDLYGQYPMPVAQQVPGAGTSRYQPNNQNAPNSSPDQGRGRSSLDSQRSASFGLSYG QRRGSQEYGHPSESPMYGGGPFYGSPTAGYQSTPPQTSYMPLAPVEEDMAQQAYTPPT ATPQGLFGHDLPYQPPAQNPFDQSTGPEAPASQHTEADGYMPPTGNTGYEPPASNTLE VEVTEESEDEKPRKKLTMDDEDDDDIAARAEALKKAEKARKDREADEAFRKAAEADAK KPAPAKSSWWGWIKGGNKEEANSGKPIRAKLGEESSFYYDKELKKWVNKKDPNSATPA RATPPPPKAMGPPSRAASGSSMPPSGTAGLGSRPPSVAPPPSGSPAPSSLGLPPTPGL GPARSASTGAVPPAGNASSRPGSSAGPPPRPSTSLSHASSIDDLLGAPQARKGATSRG RKKGRIRDLPHLAYRSVYPCIANYQPPYIVVNLHAISSGSMAWLLVL ANIA_06616 MRFRTQVVNTATLTKLIGSLSSLGKLCWMRLEESVVRFTIIPDQ GTQVWAQLPVYSIFEDADYILESNTGVINLEVPLPALHRALRSAAGAKWVQLRLTKKG KVPLLALTIRTKSWTKGVNPLGIGSGNESMPLPSEEAGANIAAEAGAGEGLMGPPVAP ASASRSAGTGRRERETFITQEIPVKVMHESAVEGLHEPHCRDPDVHIILPDLFQLKSI SERFTRLAADSTPKTAALAATTSTTADAVLGSVGAGVSPKLELSANMHGSLRLAIATD TLRISSVWSDLVNPALDPSQLSQTQMDQLPSERMRALPGDNEAGWAKVRIDGRDWARV LSVGRLNPKVVACVIHETALILYVYPPSGYDEEGSCLTYYINSYMN ANIA_06617 MASRASPPRPPFQAPPTLAPAKASDRLAVPEYHVVDPLLGNLSP ESTLHALLSIDAVPNNEQVAQDILAKSISKASSAERALGIRAAVAAQKLGEWYKEVQS WPWPKRPHIGLGKGFVPPSGEDDTRYWGSLPSTVVEEREKRIEEIRDGMEELEVEELK EHVLNAHIPGRSRPSSANSTMSVPPPLSYVQLSDFTAVITATILRTLPLLSRLTSLLS TWHARLVVSRQIPGLLRDLRFAKSEVKAAFDLLKSSTPPTEKDYLYCITNYHAKRAAL ESIVLSAGRRMDRILDLLEGREDSLPENWIDDLEAVEAEFASWVMHAEKRTVENEWRR QSARPMETIVEEVGSPLPQLPQDPLSQQAGNIKAVAAVKPETRASPPSPSPYPSGSVD KCEVLEVPAIELGTARETVSENSASKAAAAADTASETMVESNLRRKTGCVEAVPETNA ECDVRNSATPADSTHKTVAEDEVTSGPRNVAASANAVPEAVVENNTSPQASTESEKPL SQDVALPVSSWSPRAPEESRPLITAVPDNEIKNYTVLPQTAEYSGPIVTAAPDNETKN STVVETITPGTHTSNPSTRCATPPADHLASLPNLFMPYGNSKAPSDRQQSEEPEQDEK GAKKPLDSPIKLSDKQKSRARRTSNTSDGSLSDYPSLVSSPEMPEPRTSSSIFETPPY RPSTSTRQKDDHDLHEDHLLHWESQKPCLRDAFAHGRTLSLPLQRFINDRIDVNYDNG SSNEDESRPKKSQSPAERRQKSKSASARAEPLNSATTARLKKQLTAHPSLESIGAYKS KTQPGTVRTLAGKTKSRSSSVSKKPVRPKDQMDEKINSILTSLPGNIHLVSADQDDDA VSVASSVPFRRERLSSNSPQDTPSRSFTPNPSLTLRPALARRRHSHAPEESSVKLYHL HRGGKSAPTKLFVRTVGDGERVMVRVGGGWADLAEYLREYAIHHGYRHVSETPRVEVE GLSLRDSPSYSPPSSRVPSGSARPMPARPRSVLSSRPSSSLAVRKTRRSSNVSDFGDV RTASGGDGLNVSFSALTDRRLSVSSNVSASAMSSISELRHGSPSAPAIPLGLAGPKPR AKHATISPESEAWVEDVLGQARRSTSLRPFKYTLPSPENKVDGRTPMLPKSRSISDIG KAGSSKRVVLRGLR ANIA_06618 MTSSNVQFTPPPSPPSPTASFFDVSDEEEDEYNTIAHSTPKKGV RLLFSKSKVYVHPTPSAKDNIPGFIALVQQKPLPSTQKTTSSNSNASRPDLSSFLLAW VPESALGDAYDTYVKVDLSEDDSPPRQRYLVPPLPETTTFKDPIGLYAFAVPLSQIYS LLVRPPSLGWWFGSLVINTRAGDSFPALFFHDSECQSTILQKKKRARETFDPFDEDGS VFWGGDEVLRWLRKYVDVQRSTVDHTVYLINPSEEDQLSFGKPQLTEAAGSQDKPSPR KNESAPHDAGMDPFMKAIKETRWRVLEQLSKITTFTRRTANEIAENPRIPPQVRRLLK TPEIQTLQEEFDSARIYLARWAMSISEQSERERNRRIWTARDTLEMENSAVGDFEILE AEMGNMALQERRKVVTLKEWQGFFDQQTGRLQVTVDEVKERIFHGGLDPNDGVRKEAW LFLLEVYPWDSDSEDRQALMNSRRDEYIRLKGAWWERMVEGDSTPKQQEWWKEQRNRI EKDVHRTDRTIPLFAGEDIPHPDPDSPFADVGTNVHLEQMKDMLLTYNEYNPDLGYVQ GMSDLLAPIYAVMQDDAVAFWAFANFMNRMERNFLRDQSGMRAQLLTLDHLVQLMDPQ LYLHLQSADSTNFFFFFRMLLVWYKREFEWVDVLRLWETLWTDYLTSNFHLFIALAIL EKHRDVIMDHLKQFDEVLKYINELSNTMDLIPILTRAETLFHRFGRQIEAIDKKNNFP TPPGQPQAQRPTPAQPQSSKGKSPERQLAASTGVSSSTQAGPGSKPEAAKIIPQELRD LFRKDVFWNGNSQHSNSKP ANIA_10842 MPELARTLPASWYCSQPLYQLERRAVFLKSWYLLGPLTRFQNVG EVEKTLRYHVTPTGLVFTTVSDQAPSFHEYFPELEPLLQRVDFTKLPYKRSIKYEGRF NWKTMQGPVSTCLHCQYTHPSFSIYYPPTWYEVHNHINFSQHIADPKKPDDGLFLYFF PNCTLNVYGGGMSSFRVCPTDDPGVTRMEFDYYHMESGEKFEEYYRFVRQVATEDYEL CEKAQANLNKGVYREGILNPEKENGVSCESSSLVGERTKLTTFSLPGLLVRARLPTIC SGGSEARGQ ANIA_10836 MEPLFAVVFALLAVVMYMCRWASYPSITKHSETKAMQPQSDSHA DSKTDLVTKELDLPVDWLTSRSICELERRAIFSKEWLPLTLTPLFPSPGVYHTTTPAG IPLFAIKSKDSRIRVFHNVCRHRAYPVIYKERDSGKSLVLACRYHGWSYDSCGKLIKA PKFDGLEGFERSQNGLFGVRTKVINGVVWVNLSMEETDEDDGKVEEGIGMNVRMVEDI GIKIGKSSWIGGGVMEGGFNWKMALPTKHLTNALGIEHISAYPSLYRSLIYAIQRSFH LQEEPTPSSTYLFPSMFLFNIPGLECLISLSILPQSERTTSVRYDLYNHSQGGSNAQE LSSLRGDLESKIKNMISGLERAYQNHSSISSRDSTPASTPGHKLLDLYLESASTQTRI LTHLKDHAKLERSLGEEIYPAKREPRVNARYEQAEKRLANKLTSTSLQRIGLP ANIA_06620 MARPSLCCLENTWGSQYMILAIHSFMMRRLLTIVPLLLRANAVP LANPNVQGVSDFPDILHDILPGLLDPGSGPGSDSHSEIVPPILGYTLTWHDEFSSSSQ SSSDSDSGHLPSSSVWLFDTGTSYPGGAPAWGNNELQSYTTSPRNIRITPQNTLQIIP RFSGNNGTGEEGQWTSARIETRTTSFAAASGGKLYIESRLRTGCAPSSQQKGIWPAFW ALGESFRGNPTNWPMASEWDIMEVINGEPTVYNTLHCGVDSNEGGPCNEYNGLGNSGV SWSGCDWHVVGLEVDRSGASLLGAGSGEGEGWQEETLTWFLDGEQVHRVSGADVGHET VWRTIAHKGHFLLLNVAVGGNWPGYPDENTVDGEEVAMEVDYVRVWNADGVDLL ANIA_06621 MFRLTQAACLLQALGALIQGSLAFTPAATDSHEAEALHRRSYFY VGGEYVNTTDGWMMHNQMYVEKLSPARGTTQLYPIVFLHGGAQTGTNFLNKPDGGRGW ASWFLSHGYEVYIADRTMTARSPVLPADGYGESVFSAEFISQRFTNVQDYPLWPQAKL HSQWPGTGERGDPVFDAYYASNVQSISDSEAQEVTMKAAGEALLDIIGPVILITHSQG GLYGWSWADSRPELIKGLIQIEPKGPPFKEVIFSNSFSRPYGLTSIPLTYQPAPTNES SPLSMKTVSSDKPGELLDCIIQAEPARQLTNLAKVPILIDTGEASYHATYDYCFIKFL KQAGVSNVQHLELGKWGIHGNAHLQFLEKNSDVIAGVLHEWIGNVTALN ANIA_06622 MHEDLSTTLALVGVFGGLSIILMAIRLFMRKYRNQDFILSDYLT MVCIVFVLARSALTTVVLLWGNNNMTRPALNLTETEIYHRTVGSKLTLANRAVYNTYL WIQKSNVLLLCERVLSGLPEPEMIVKVYWIVLLGSLAAVMGTTFGECRPAHLYWQVLP DPGDCVKANIQLVTLVALNITTDAMLILLPMPWLLRVRKSWLKRLQYVLLFSVGLLLI AIAIVRLPVYSSSTNQVNRNTWGSVEEFFAAVAANVPTLFTLRKDPNKHKREEELSHS RPSARPRFTPNQFQDSDLFTTNVALDTVEQTGTEPKRGRSPGRARARSLPVGVTAAPS QENLVRDDSSHVGEGDYGDSHGEYGFDEERRGRGR ANIA_06623 MKSPDQEPIQRRRSSTLHYHTFDTPPPKSRGRPNSGQSASSGED SRHHHVSIDESTSQSPLPKKQIMVLALIALCEQTAFNSISPYLPDMASSFPEAKPGMV GVYVGLIGTAFAVAQLVTNYFWGWLSDRIGRKPVILLGTVLTAVCFVAFGFCSTLWQA VAVQALMGVVNGNQGLVSTCLGEITDKSNQSKAFTYLPVLYGIGGVTGPLVGGLLVFR QNPFNNAEPNPYPYLWPNLLSAAILGLDFVVALIFLKESLEDADTLPTFGKKIRDLFA WLWQMTSLGKRPRTIRPGDHLPYRMIREQSADADEHDSELDSASEASADHQGHESLTR SELLNRDTILLLLTYLIFALCNIAFNALFPIFSQAAPPIGRGLTPSEIGLAQGFAGVV TIIFQICIFGQLRNKMGNRWSYRAGLFGFVVSFILMPFIGYKGDDTGKTGVTGKTAFM AIELCLVLLVKTVAAVGGLTSALLLITNSAPDHAVLGALNGLAQTLSAAGRAVGPFLS GSLFSLAARVQPKGEVIPFGVFAAVSLVGFFLSFGIKGRKLEADGWESNPDDDDDDDD GSGNSDDEEN ANIA_06624 MYPVHAPNGVYQSLPAGEMGLSDATFCSSGLGDGAMIEPEKPAL CEDENRHEASDLDNSDGALIDSRNVDYEIPRSSKRQSFFQKLFGRKVPLNSRATATAR DSTLSTDESQNNSSSTVQDTDYIALLRGTQNVFVHMQYISGGAIVHDVSGRQRILQVD EVAKLFLQIKNELSSTAVWSRALTTRLRGEALLKQGNAQGAIIELHDTMKLLADTPGL DIEKQTRASILHSMGKAYQALDIPAEAEACYLESLGLYKRVLGRDHPKNFSVLHDLGS LCEKDGYATEAAALYERSFAGRLRTLGHNAPETLCSMQRLASLKVLLGDLESALLLLE KAVPALDTVFGIQNATTLNAMNKLSSLYQKLGLENESRIICSRTIPHCRAVFGLSAQI TRDAVLRYIQSSDNFDFPPDIQDIIDSYKRSRDVDCLRVIHRLGRSYMDAGLNRDAAA LFETLVEDFLAVKGPEAAETFDALSALCVSREHLDSVDKAMLAYRQLVHMAKRTQDGH QSRKRIAYAEKRICELNRRRETLEAERREWGLKEPGPCQRCGALTRMFCSTTPAQSSA IFPTDPRNFATFRMKLNTKVNTVVLFSLDSDVEYAVVANNSLPRTAVGGPEAAAEQSK GRISFSRPTTSSRRNVSMAGAGNAVPSQTTISAQKPEVPQSQEQQQQHQQEIIWQTPQ TQDSISYTPIPNPKLNQHQPMTENVTRNQAPEEKYLLVKPGKEMHKSLLDKRLSVRAS SFPSPPSFSPSVDPIESQSYTPSKELIEYAQGLLLTGYLGEAFMYVIEWVWRCWGHIC RDKLIA ANIA_06625 MRRNTHPPVTAAEGKGRKERSLYDDDIYVSPILEGRPSWSGTRW TRFFPELSSHFSLVSPTSPREPQYLHGSLTATVYIRENKSEEDASEPATGASSLWSDE LVDDSSSCYSRRSSITSLGSEHSASAYRSADAFSIISPIRAGVFDEISVHRSGTNLSR RLSRSPSVREKPLPCEPPIQMAPLSIRRKRTQPPLIREDSLAEIVESTAPTHGLQPTL SQAAEELENALDSLVERKNSLPHIDIPLQVSRGNMDMIPSRSAPSPPHDLLKSRKPRL STLSPEEEMKHAKKPHKGRLSFSVPGFGKKRDRPHFRSLSGSNIVGRLERKHAHHEVA ISTVAVSKDSEKENDAFGSTDTDFLSPPPPPQRPASVSSERELRLKLPRLQTQEVRSP GLRQKPSSVKVPTTVEATQRTEKASPKPRKTFSLNLGGTMSKNAAAAKAIGPMCEMDV DSAPIVVYELDAGLPEQQIKTPVVPPPFIPSGQMPATLLDEIVLMLLRHCSSLPDLFN FAVMNRQFYRVFKQNELDLIKTTVFQMSPPAWELREMSPPWASEWQVLFDLDAPVPEY TPSSYLDRYTRDLYTLVRLKGLILARCTTFLRPETVRGLSGIDDARAEEIDNAFWRLW TFCRIFGCGKGREGDVVGQIDWLNGGVLATSQHASITMSISEPFGMNNVLLEPPEGFG RGNLGGLSHSQLYDMMEIWTCLGVLLQPIHGKCEAARKVGVFNGHKAEKDPVKEEETL EEWTYYILTLGLSAVLALGSIATVDNADEIFQRAQLLGLTKWEAADASRSIFFREAVS KAYKHRQRSPQRMSPVCQTDSNPTRHSGCSNTSPTNSVICKLHRRRQAAYAEQLRNRR AQNADLSYVEERPISSYAEIMNRLAGAPTHPLYRQQAEEPVPPLPTPASTAATPLPPP AAAVQPPQDPPINTHNIQIPYTNACPPRPPVQAPQIRDPADQAIEMMVCELGFAEEDA KWALKITDTGEGINPNAAVSLLLQEYQRQNLDMGRSTNGYPSPGLIGSGQSTEPRNSI LFSVMHSQEAMGSGWRWA ANIA_06626 MALPPIAKATLQAALISASSNVLAQGITSYREGVRTTPCPRFLK VFSLQKMQNITPFELDSQVLFQFTTSALILSPLAFLWLEGLEQRFPGTQQTQPPKGKE KTEEKGKSKDKPEPKPNVKNIVAKIVVDQLIGGAWNTVAFIVTMGILRGQNYEVIKEE IMNNFWPYMLAGLKFWPLVSILNFTVVPASQRLLVGNLFGVVWGVYVSLMAA ANIA_06627 MLEAFEILSTSGVVLWSKSYAPVGAHVVNSLVNDVFIEEKAQLQ NATNSVSPIFKKEKYTLKWRKSKDFNLIFVAVYQSLLHLGWIDKLLDNISTIFVDLYK TQLQSERARIVQYPFDKYFDQQVQELEDNATAGFASEAPAADAEARKDPLVSADNGAQ PQAALSAATSDEGSPPQTPDISRLSSPSSSHILTGKAGPGGRISRRARKAANASATAS SGDEQVRKGKTPKSGKKLRVWDADGLADEDDGRVLDYSAPAEADDAVAPTVEAVAQES WGRRTGKGQFVLKDLGDEVHSILENADNKKATSSAPSGLVGSGFSAIGGLLRNIVGGK ILTEADLEKPLKAMEDHLLKKNVAREAAVRLCEGVKQELVGKKTGNFQSVDAALKSAM ESSLRKILTPTSSLDLLHEIDTVTKANKQGTSRPYVISIVGVNGVGKSTNLGKICYFL LQNNYRILIAACDTFRSGAVEQLRVHARNLKELSARENVGAVELYEKGYGKDAANVAK DAVEYGAANNFDVVLIDTAGRRHNDQRLMSSLEKFAKFAQPNKIFMVGEALVGTDSVM QARNFNQAFGTGRNLDGFIISKCDTVGDMVGTLVSMVHATGIPIVFLGVGQHYGDLRG LSVPWAVNLLMK ANIA_06628 MYRQSFAPPPAHSPPLHHPVPQHVSTVPMMRSPPPPTSQQPQSS AYGNPYQPDIAQGGSGTYAPGFGGFINDPTAQMGFHMGKTAMAAGQEYMEQNFNRYVS IPALKHYFNVSNSYVINKLGLVLFPWRHKPWSRQQARLTTASTGPDGQISHQQYSSMF LPPRDDINSPDMYIPVMALVTYILLSAMLAGFRGNFHPELLGATTTTAIAVIVFEIIC LKLAMYILSINNDSQLVDLVAYSGYKFVGIIVTLVASEILTPGRGTGSWVGWVAFIYT FLANAFFLLRSLKYVLLPDSTSDSSMRTGSMHTVARSQRNRRTQFLFIYSYVIQFIFM WVLSREGPSATASALGNGISS ANIA_06629 MGLNASPRPHRTEDVESCYWLVSESVGNTEIRDPVSRVRTCAFW TTSTTPTFDNNFHHPSPELSTEAFAMADIDVKIAQWKLVEVGRVVLIRRGPFTGKLAT IVEIVDHKRVLVDGPSTEEAKIVPRHVLPLSHATLTHFVIPKLPRAAGTGPVKKLWAQ NEIDGKWAKSSIAQKADINNRRKNLTDFERFKVLRLRKQARFEVQKAHAKIRAAAPKA ANIA_06630 MADPRIEELPDEEVPKTNVEDAADSSESEAGEEPTIPGGAAVTI HSRNEKKARKAIGKLGLKHVPGITRVTLRRPKNILFVINQPDVYRSPSSNTWIIFGEA KIEDLNSQAQASAAQQLAAAEAAGEHAGHDHDHDKGKGKAPETEAKKEEEEDDGEEVD ETGLEPKDIDLVMAQANVSRKKAVKALRENDNDIVNSIMALSI ANIA_06631 MAARSAALKIDWVKTTSSLGLRGQTAASLQAFKKRNDDARRKVQ LLSEQPQTVDFARYRSILKNQAIVDEIENNFKNFKPATYDLDRQLKAIDAFEAQAIQS AEQTKGKVEAELRNLQKTLENIETARPFDELTVDEVAAAQPEIDEKTAAMVQKGRWMP AGYKERFGDMSVV ANIA_06632 MDASKTPTKLVKVTRVLGRTGSRGGVTQVRVEFMDDQTRSIIRN VKGPVRVDDILCLLESEREARRLR ANIA_06633 MGAVFSCIRDLFRSIGACIMGVINAIATGIKVVINGIVSVLGVL VSCLTCGYVGRRRRTSTRMHNRSRPVY ANIA_06634 MPTPIRTRGRRKVRAQSDNGVPKPVSSGPRGGRPMLPPDVKRES GSYKRARLLAAKPPPPRLRRRLSRLESLPVELIEKIFLYSLNVNLPRCSSSISAAVSS DRIYRALTLLAFFDPEKTVLPDLYPPNQDVGSPTDPKAAAIRVSETQISRILRPLEYI HLEKEERSSLQASVVRCRWCTIDRLISSLPDLMCLAIWRYWFPGAHISLNIRNVGPDV ESATDLTILDVSEIPDKFLSGANEGFNEAHTRFLEVLRLAGGLNRLLSVERINEINFS REAIQQGIHAALVEHNANALTTLLKLDEFTFRCQNNLVDAVYTLPPEHFRTAVRVARH DSSFFQLLLRASAESVPYDDSEITHWAVTTGGPFEQWLLDFMLQLPEQIRATTKGSQQ MFYHGGLNTASAMTERYLRDILGTDELKVWLEESSYDFPSEWIVES ANIA_06635 MYLSTVLFPLLALNLGLSHARFVRETLELTWEYGSPNGGTPREM VFTNGEYPGPDLIFDEDDDVEVLVINNLPFNTTVHWHGLEMRETPEADGVPGLTQTPI EPGATFTYRFRAYPAGTFWYHSHYKGLMQDGQVGAMYIRRKPDALRPYAVITEDPREL AEIQYAEDNPYLMLATDWTYLTSAEYHNIEVESGYNVFCVDSLLINGRGSVYCPGYQY LEEVSDDGLTAVLEGTHLTEKGCLQPDLHNVQGDYGPWNLSAVPTEVVFNCTPSSVEP PVIYVDPEFNGWVSLNFIGGAAQKAITFSVDNHPMWVYEVDGQFVEPREVEMVGVYSG ARYAVMIKLDQTPGDYAIRIAVNGGDQVMSVYAILSYVNQDWIHRENVPKAAIGPHTD TVGYMNYGGGNTSADVRQLLFTENLPAFGVPPPPPSSEVSTTLRTGMIRVNNSYSWSL GNNVLYEPEMTSSTPLLFEPDPLAVIAPKYALTTENNTWVDIVLEITADPRDLIHPPH PIHKHGNRAYIIGNGVGKFRWENVSAAEAEVPDLFYVNETAALRDTFVTDFFDSRLMD GAWIVIRYFVQDKFPSILHCHIASHQMGGMALALLDGVDVWDS ANIA_06636 MECLAPYIPPALLSLVERAQEQVQNQTHTLSIAVLSLSAVLLGY LFVAGSRESPVSFTVPNPPEINPHWEGSKWEDLPQGSEERNVIEGQIRGQWNENLIMS YCPADGRVLGSGIKPATADDVDRAIQAASRAQEQWATTTFAERRRVLKTLLKYVLEHQ DEIVIACCLDSGKTKVDATFGETLVTAEKLKWTIDHGERALSPESRPTNFLMMYKKNQ VIYEPLGVVSACVSWNYPFHNFISPVISAIFAGNGIVVKPSEQTAWSSVYFLNIIRGA LENCGHPRDLVQSVVCLPKVADHLTSHPGIAQITFIGSRPVAHKVCESAAKALTPVTV ELGGKDPSVILDDSRTISEVTSVASVLMRGVFQSAGQNCIGVERVIALPGVYDKLLDT VTSRIKALRLGSVLLDTKPNNPNNKSGAPDVGAMISPASFSRLEFLIQRAVSQGARLV AGGKQFEHPTYPLGHYFTPTLLADVTPSMEIAQTELFAPVFLMMRASSVSDAITIANS TQYALGASVFGYNTRDVNACVSGIKAGMVSVNDFGSYYTVQLPFGGVKGSGYGRFAGE EGLRGVSNIKAICVDRFPRLMATRIPPRVDYPIMKGEAEKENGDGAFEMCKGVVETGY QITLAGRVRGILRLIGNM ANIA_10840 MLPPASLYSPWFSAVSFHFPTIHGIMTRSQAARETALIAAGIEK IAPQMQDSAVGPPASQINGRVTRSKTAALQHHNPALQKEGANQLRKKKEQRKGKRKSV LIPGDVNELPHNLGFLPPLSKIEDVANGDIKTEVEEEGVDALTKELQATVNKATEVLA GPPPEDSKKKTKKPKKANTYGLTPGITPFPDWARPTPEECEEVNRLLSSIHGEIVAPT TIPEPSLTVTGCGEVPSVLDALIRTLLSGATTGNNSALAFNGLVQKFGILHDGIGKGS VNWDAVRRAPVKDVFEAIKSGGLADSKSKNIKAILDMVYKENQERRNILVKGQDTNSD SGKFVQQLNDKPEGEKQYEIACADQNFLSLNYLHGLPTEEVMTELMKYPGIGPKTAAC VLLFCLQRPCFAVDTHIFRICKWLNWVPPDRATEITAFSHLEVRIPDHLKYPLHQLLI RHGKSCPRCRAITGHSSAGWEKGCVIDHLVTRTGKRKNAIEGEKGKSKRPKG ANIA_10837 MCGIIALIQANPTSSAAIDLHEALYLLQHRGQDAAGIATCAAGG RIFQLKANGMAAKVFSDGARVADLPGYMGIGHLRYPTAGSSANAEAQPFYVNSPYGIC LAHNGNLINAPELKRYLDFEAHRHINTDSDSELMLNVFADELSETKKARVNQEDVFAA LSRMYERCEGGWACTAMLAGFGILGFRDSYGIRPLVLGSRKSLDGQGTDYMMASESVA LHQLGFTDIRDILPGEAVLIEKGGQPVFRQVAPRKAYAPDIFEYVYFARPDSVIDGIS VYRSRQRMGDRLAAKILKSLGPEVVKDIDVVIPIPETSTTSAAAVARYLDKPYCQGFV KNRYVFRTFIMPEQKTRQKGVRRKLNAMQTEFKDRNVLLVDDSIVRGTTSREIVTMAR EAGAKKVYFASCSPEITHAHIYGIDLASPNELVAHNRDTETIAKHIGADSVIYQTLED LKGACAEIAQENGLEHPRNFEVGVFCGNYITPVSEGYFDHLEKIRGEGRKVKAVDRAK EAVTHGFASEKDFQIAANGVKMSSNGDLVPAENPAESEVPQVGVYGSNKPAPLEMEEP PKVKDRMDISIHNIADHS ANIA_06638 MRQSAYIYLTFVSSCTVCELLLYTFLTRLSLPSCSRDMHNFYRP SVIVDDASDASSVTIDHDPDRLYFLREDSDTATREFIDLTEDETTHDGEYITDECFEV LREDWLASACASSPLSPELPEGQLLEEVCDADGIVYKPGNSVELHDGAFFRICSIRQA LKGNIILTGRRLLKFKDHPDKYLPQWRNELIWVADETAEIPLWFVRRFVSVHFSNVCP IGQDCQKNNNPDGLFCRLKRVIQKETTSIEYLTFEEADAGFRAPSASLRHGWRGETAP FGSKEEAETPVIVLDDDNDFQDTILKQKAQRKYTFGDGFCGAGGVSCGAEAAGLDIKW AFDLCPHAAATYRLNFPNVECEGSDIFSFMTSNEEFMRVDISHGSPPCQTFSPAHTIP GPNDDANSAAIFSCWDLIRKAKPRVHTMEETSGLFDRHQQVFLRVICDFIETGYSVRW ALLNCMWYGVPQSRKRLIIIASGPGESLPRLPRPTHGLPGSGLRDLTTISQAIRDIPT GSPDHDVVAARGRGVHNRRAPFDGNRQARTITCGGGDNYHPSGLRGFTLREFACLQTF PLGFRFLGGRTQVKRQIGNAVPPLLAKAVFKEIIRSLQDTDERELHENQ ANIA_06639 MYSLRCAGKCPAQRRKSHGLGSVGQPSIPPSQRLSRPFFFSPSP FLPTRRFLSSPSDINWVLQSQSYLCVLSLLFTMSASSALRRLTHRSPRNLQSRIASLS RTSCSPSSTFNSYRASSSPALPRASRFSTMASLRSAAPIPASSQVDYDPEIKDMADYI HNYKIDSDLAFDTARLVLLDTLGCGLEALKFKECTKLLGPVVDGTVVPNGTRVPGTPY QLDPVNGAFNIGAMIRWLDYNDCWLAAEWGHPSDNLGGILAVADWVSRTNRAGGNIAG GKIFTIKEVLEAMIKAHEIQGVLALENSYNKVGLDHVVLVKVATTAVVSKMLGLSEKQ TADAITQAWVDGQSLRTYRHSPNTMSRKSWAAGDACQRAVNLVLKVQKGEGGLKTVLS APVWGFYDVLFKGNKFKFQRPYGSYVMENVLFKVSYPAEFHSQTAIEAAEIINKKLAA LGKSAKDIKEVTNRTHEACIRIIDKQFKAMDNFADRDHCVQYMVATMLVFNRLTANDY ADGSEAATSPLLEDLRKRIRCVEDPKFTLDYHDPSKRTIPNALTVTLNDGTVLDEVVV EAPLGHRLRRDEAKPEILDKYKRHLQAHFDQARLQELVDLGNNKAELEAYEVDKYVDL YVRDKIVAPTA ANIA_06640 MQLSASQLRYLKPVQIALRQYDEKLQDINHKIWSNPELAYNEHQ AHNNICELFEALRSEGYSVRRHAYGLDTAFEIEYKHGDADNGRVLTFNAEYDALPGIG HACGHNLIATSSIAAFIATCEAMKIAAPAGLVYTVRLLGTPAEESGGGKIRLLDSGAY KGVNACLMVHPAALPPGQANLLSVAKPPEGGFLANDKVRVTFTGKPAHAAAAPWEGVN ALDAVVAAYVNISLLRQQILPSQRVHGIIVNGGDRPNIIPWSASVDYYIRSPTLATLK PLTEKVVKCFEAAATATGCKVEFQWGPSYADVKSNEHICESYVSVMRAMGQHVLLNSP QGAGGSASTDMGNVSYAIPGFHGIFFISAKSGNHTPQFTDGAGSPNAYRRALDCAAGM AVTACYFLDDDDFARQVEEDFLRGGSL ANIA_06641 MAFIQNSNPPPFIPHYGKNKEVGVCMAGMTFTIEPILAPGSPRV TVDRVTGRITADGKRTAQFEHTLLVTETGVEVLTARNADSPGDPALLPTTSEATEANR RPDIAKAWAWNVLSRLFFFYFGSFAPTAQSCGFTIVQPITAGAVMRDMALTHQVTSYI PLPSLCYGRVYVQMMEYPLETKQYSREGSTVELGFETGNLTIYLQPAAEQPCCIEGNL TAVKTSVIVSRNAVSITAIAEVWSRVMAITSGIIRLLPVNYM ANIA_06642 MGEETEQKGHVQPLSRPAHTLSPDEILRELKVNSEEGLTAAEAK KRLELFGPNELEGGEGVSLAKIIIRQIANAMMLVLIIAMAVSFGIESWIEGGVIGAVI GLNIVVGVYQDYAAEKTMDSLRNLSSPTGVATRDGKTNTIPATEIVPGDMIELKVGDT VPADVRLVDAMNFETDEALLTGESLPVQKEVDVTFAEDTGPGDRLNIAYSSSTVTRGR ARGVVIGTGMKTEIGAIAAALHANDSKRRPVKRGPGGETKKRWYVQAWTLTATDAVGR FLGINVGTPLQRKLSKLALLLFGIAVVFAIVVMGANEMRNDKEVIIYAVATGLAMIPA CLVVVLTITMAVGTKQMVERHVIVRRLDSLEALGAVTNICSDKTGTLTQGKMVAKRAW IPSLGTYSVGSSNNPLDPTEGELSLLPDPPVKLERDARGDPADPAELIKDNKILEDYL NVASMANLAVVHRSEGNEWQARGEPTDIAIQVFAHRFNWGRERWTKGEKPIWRQKAEY PFDSTVKKMSVIFAREDDSEKGRQMVFTKGAVERVIDSCTTILWTRNEDPIPMSEDIK SQILQNMEALAKEGLRVLCLASREFDTPIANSEEVPPREEVEKDLVFCGLVGLYDPPR PETAGAIEECYRAGISVHMVTGDHPGTARAIAAQVGIIPANMDGIAKDVADAMVMTAS QFDKLTDEEIDDLPTLPLVIARCAPTTKVRMIDALHRRGRYAAMTGDGVNDSPSLKRA DVGIAMGEAGSDVAKDASELVLTDDNFASIINGIEEGRRIFDNIQKFVLHLLAENVGL ALTLLIGLVFKDEAGQSVFPIAPVEILWIIMITSGAPDMGLGMEVAAPDVMDRPPQSK QGIFTWEIIVDMLVYGVWMAALCLTAFSLVLYVWGDGNLARGCNANYSQECDTVFRAR ATTFVCMTWFALFLAWEMINMRRSFFRMQPGSKKYFTQWMHDVWRNKFLFCGVMLGFV TTFPVLYIPVINDVVFMHTGISWEWGVVVVEAILFFAGVELWKWCKRIYFRRESVQNR NKVDVYRGPQDFSRYTTMSRSETQATGDFKAEQNIV ANIA_06643 MSVSFTRSFPRAFIRSYGTVQSSPTAASFASRIPPALQEAVAAT APRTNWTRDEVQQIYETPLNQLTYAAAAVHRRFHDPSAIQMCTLMNIKTGGCSEDCSY CAQSSRYSTGLKATKMSPVDDVLEKARIAKANGSTRFCMGAAWRDMRGRKTSLKNVKQ MVSGVREMGMEVCVTLGMIDADQAKELKDAGLTAYNHNLDTSREFYPTIITTRSYDER LKTLSHVRDAGINVCSGGILGLGEADSDRIGLIHTVSSLPSHPESFPVNALVPIKGTP LGDRKMISFDKLLRTVATARIVLPATIVRLAAGRISLTEEQQVACFMAGANAVFTGEK MLTTDCNGWDEDRAMFDRWGFYPMRSFEKETNAATPQQHVDSVAHESEKNPAAPAAEA L ANIA_06644 MAPVGAALWRSLRAHQVYGANTDVGKTIVSTFLCNAVNRLKNQG KSAFLKPVSTGPLDEADDRHLQRHAPNTLTKCLYQFDEPVSPHIAAKTFAIPRDDEIL SSVHRTLSDWANDGVGFALVETAGGVHSPGPNGNSQADLYRPLRLPIILVADSRLGGI SSSISAYESLLLRGYDVHSVLLFKDDYYQNHEYLGNYFRGKSIPLVPVPAPPRRPQEQ DPDSRARDLEALDKYYSSVTKSTDVVSLLDELVLKNKQRVEYLDEMASRAQKTIWYPF TQHHGMAAKDITPIDSAYDDFFQTYVTADRSAQQGRLQATFDGSASWWTQGLGHGNPG LALSAAYAAGRYGHVMFPGNIHEPALALAESLLKTVDNPRLQKVFYTDNGSTGMEVAL KMGLRAACDRYGWDASKEQINILGLKGSYHGDTIGVMDCSEPSTYNQRVEWYRGRGHW FDFPLVKMSQGVWQVEVPATLQASLGGNQQFSSLDAVFDVESRVRSDAGQRYRKYILE TIERLVTQEGKKFGALIMEPIILGAGGMLFCDPLFQRCLADVVRGNPQLFNRGRLTEP QPQTDLSWSGLPVIFDEVFTGLYRLGRKSSASFLGVNPDIAVNAKLLTGGLVPLCTTL ASNEIFNAFTSPEKRDALLHGHSYTAHAVGCQVALDSLRTMNNMDEDGSWNDFKNDWK QPHAGDTARVWSVWSHKLLHNLSHAESVDGVFAIGSVLSISLKDAEGAGYTSTAAKGL QTRLAAGGPQFNVHSRVLGNVLYLMSSVTSKQETLRTIEGILREALL ANIA_06645 MGDSPKCLRDSLREALRRREDKLCRRKLTILPSSSVDFSSNDFL SLSTSPAYRARFLDILQQAPPLHPFASGGSRLLDGNSAYAEELENFIAAFHNAPSGLL FNSGYDANVGVFSSIPQPGDLIVYDELIHASAHEGMRLSRAGKRIKFPHSSPDGLRAV LQAEITADPRLLQGRRNVFIAFESVYSMDGDVAPIREFVEIVDQLLPYGNGYFLVDEA HATGVFGPRGSGVVQELGLEDRMFVRVHTFGKALASHGAIVLCCADTRDYLINYARSL IYTTALGFPFLASIRAAYELLVEGKTEQLQHKLGQLIAHFRTGLDNLNHKDSSTFEVE HFTNSPIFSLRSSVPRVLASVCQEQGYTVRAIMPPTVPAGKERVRVCLHAGNTVEEVD GLLETIATWLQRMEKQKARL ANIA_06646 MATSDQEYFWTSNILLHALASQERPDSSSNNPTRLQTQTQQPIS DSDRDAICLLFHDYFPSRLPLPYAGFDNRIAALRADVHKRCYPRNLTIVEEVHQFFLE LRSLLSVSWHSQGAASYLRDMDLHSQAQATAQATVQVDEVDLNSDSENWPESRIDDEE TYHTSIWEARRSNESPSPDKKVSRRPVKGDCTICFAPLKNDQTSPPLKEHQSEPKDVA FVNNEPGSRGPDPDIYEDHGDEGNNQYGDSSDEDEGDDDGNDSSSLVWCRDFCGTNYH SQCFAQWIPQFKKRQDVSCPTCRRRWKYWGGRNY ANIA_06647 MDERTILIVGAGIFGVSTAYHLSQRVPDPSRIILLDRAARDPPS NTLAASADINKIIRADYSSPLYMELGFEAIEAWQTLPFFQSQNPDADPDAGVYHQSGW IAMDEEGSNVAHRIRENFLAGKHDSVIVDMEEDEVRRAWGGVLERTDYAPFGSYYFNR SAGWADAGRALELMLRKALELGIRYETGEARHLVLLEGAGVLGVETEAGSILQADKVL LATGAWTSKLMSSLEDALGIERNDRVENQISAAGVCVAHFQLSDAEKEVYSKLPVLVY GCQGELIPPTSSGVLKFTSTTSFKNTVRTATGHEISVPVEDQSYASRGLQEDLIAAVR ARLPQLLDNDRRPDYYRLCWDAISASQHPLITGHPDPRLANLYFAVGGSFHFYKFLPI IGKYVVNVLEGVGNGPAKDTAWSWKSVEETGCGVHESLVPRKELRDFL ANIA_11523 MCVYVCECEQRAKYLAPVFSVAQPSTKPNTATALALVICHVGPT S ANIA_06648 MYQEFIAVIAARLGLNPTISQGIDAKAENDERNQGRRKGKINLT STPWMHATLRPPTPRQGVPPPPVTSSPLAIGNTPVLQLRNIIPDKDTHASVYLNLEYD DVCLVGNLSCSRWEGHEGFVSSNDAKGERASGVYPDEFYYADQFHNSDALPGYASLGE EILAQFPGGIDAFCGAAGSGGMLMGVSSVLRTKRPETRIVVLEPASLPVISEGGAGVH GFEGIAPGFVPPHLAREMYDEAWGIDEARRGLCVGDSPGKKAFSCRDVDWAECRCCCE IGKGARPGKTVVTIACDSGLKYLNGDLLADS ANIA_06649 MYLSSQLVFGSCTHHIENDQPLDPIKLSKCHKSRSPPQMRRRAE DQERSTAIIADSTVDMALATASALTLAAYLDAKFHLTKDLRTLLVAASAARRHSQDES KGRLNAWYFFDATASQYPDALAIWSREGIYTFRETRDRAAQYAHFFLSRGVERGELVA LYLQNRPEFIFAWLGLWCLGCAPAAINYNLAGDALVHCLRISGARIVLVDDEEGCRGR MEEVKGVVGGDLGMETVTVDETFNTKVIPSLPTTVPEGGKLTENTSGGYPAILLYTSG TTGMPKGCAFTMSRLHQSILLRRGGMDDKDGPDGDRWYSCMPLYHGTSAIALITSLSS GISIAISRKFSVRQFWADIRDSDATAFVYVGEAARYLLAAPPSPQDKEHRVRLMYGNG LRPDIWDRFRERFGVAEVGEFFNSTEGIFALFNYNKGPFTAGSVGHHGLLLRLLMNNT YVPVAIDPNTGDVLRDPETGFVVRAPYEVGGEILVNVPGKDAFQGYWENEDATNKKFL RDVFKKGDLYYRSGDALRRQADGRWYFLDRLGDTYRWKSENVYVPLRPLIFEPSRKAN SGVCVRSATAEVSEVLNTHSSILEANVYGVTVPHHEGRAGCAAVQLRDGTTDADLKDL ATFTRARLPKYAVPVFLRIVQNSTHTDNHKQGKVALREEGVDPGLTGTKVKGGQGDRF LWLRPGDEQYRAFGAEEWKAIVTGKVRL ANIA_06650 MALPLRTARHASRLAQTIGRRGYATAEPDLKSALKAVIPAKREL LAEVKKQGDEVIGEVKVSNVIGGMRGLKSMLWEGSVLDADEGIRFHGKTIKDCQKELP KGPTGTEMLPEAMFWLLLTGEVPSTSQVRAFSKQLAEESHLPDHILDLAKSFPKHMHP MTQISIITAALNTESKFAKLYEKGINKADYWEPTFDDAISLLAKIPRVAALVFRPNEI DVVGRQKLDPAQDWSYNFAELLGKGGANNADFHDLLRLYLALHGDHEGGNVSAHATHL VGSALSDPFLSYSAGLLGLAGPLHGLAAQEVLRWILAMQEKIGTKFTDEDVRAYLWDT LKSGRVVPGYGHGVLRKPDPRFQALMDFAATRKDVLANPVFQLVKKNSEIAPGVLTEH GKTKNPHPNVDAASGVLFYHYGFQQPLYYTVTFGVSRALGPLVQLIWDRALGLPIERP KSINLLGLKK ANIA_06651 MPHLESTPAMPTVVAKTPSAVPPAPQEISSLLQTIFNAETSQQS LDASYALANLLIQSVGPFSFSQYDFILPEIKKAALDKKNGARRESAMLILGALVERFP PAHPLSEVVFLLQDGGVFHLALDALADKGAVVRDAAQYAIDALYAALKPEAKVNALLP AISSYLSRGTGKWQGFVGAYCLIEKMALDAQMGSGTKEQELEKDVLREAMGKTLKDLI PLVESGMHDLKNDVVKCACKAMNALTTLLSNDDVEPRIPLLIKTMEQPSEQTLQKAIH ALSQTTFVAIVTSPVLALLTPLLERSLNAPTTPQETLRQTVVVVENLTKLVHDPAEAR TFLPKLKPGVQAVKDRASLPEVRELATRAMDVMEKAMADKDIAAGSVAKVTPDEVLSV LNAKIQENGGLARPDAATLFELGKTYVSEMVREDVNCRLLDRIPSCIAPYLRGLLAEN KNDEVAAAVQAHFVAEDERKYGKPVPDDPNEVEIVNANFSLAYGGMLLLSHTNLRLLK GHRYGLCGRNGAGKSTLMRSIANDKLEGFPPPDQVRTCFVEHNQGEDADLTIFEYVKK DPKIAAEGDEHIRNVLLEFGFTDGPEGRQSQAVGSLSGGWKMKLALARAMLLKADVLL LDEPTNHLDVANVKWLQEYLKKHTEITSLIVSHDSGFLDEVCTDIYHYEQKKLVCYKG NLADFVKVKPEAKSYYTLSASNIQFKFPPPGILSGIKSNTRSILRMTDCSYTYPGASK PSLTGASLSLTLSSRVAIIGGNGAGKSTFIKMLTGETIPQTGKVEKHPNLRIGYIKQH ALEHVEMHLEKTPSQYLQWRYANGDDREVFLKQTRILTEEDKAQLEKPVDLGDGRGPR RIEALIGRQKWKKSFQYEVKWVGLLPKHNTMISRETLLELGFFKMVQEFDDHEASREG LGFRVLEPKTIAKHFEDVGLDPEIANHNEISGLSGGQKVKVVLAGAMWNNPHLLVLDE PTNFLDRDSLGGLAVAIRDFKGGVVMISHNEEFVGALCPEQIHIADGKIVARTNTAIS LDRFEDSASSTPQPGSTAASSVANSAAASAVNSGAEDQGELKFKARKKKKMTRAQLKE REARRRLRHIEWLNSPKGTPKPPDTDDEAE ANIA_06652 MPKSYTPVHDSIPEEDHFSSDDESNFRLHRIDRSASRSQSPKEN EGEPSILAPLVRKSTDFETYLDSLTEDEQQLLSASKDHDIEDLDRFGDGTAAARRRFS ESKKRRKLLAKRGGWRAVYYSKTWWRTLVVVIIALGLLVWGFLKYASTRGDIWEEYDM PGPDSYFPTPKGGTLKHWAESYEKASKLVERMTLIEKVNITTGTGWQMGINSKLTISG PAALVGFPSLCLQDGPLGIRFADHITAFPAGITTGATWNRDLMRQRGAAIGLEARLKG VNVILGPSMGPLGMMPAGGRNWEGFGSDPVLQAVAAVETIHGIQSNGVMATAKHYIMN EQEHFRQPNEWGIPYALSSNIDDRALHEVFLWPFAESIRADVASVMCSYNQVNNSHAC ENSKLLNGILKDELGFQGFVQSDWLAQRSGVNSALGGLDMSMPGDGLHWADGRSLWGS ELTRAALNTSVPMERLNDMVTRIVAAWYQLGQDSWESPAPDGDGGPNFSSWTDDEFGF RYPGSPGDTSAARVNRFIDAQGRGEEGHWNIARKVAAEGIVLVKNVGGVLPLSRSPRA NAERPYRVGVYGDDGGPAAGPNICTDRGCNSGTLAMGWGSGTVEFPYLISPIDALQGA WQSDVQMTPYLRNAVMPADTSDKDLCLVFVNADSGEGYISAGGIHGDRNNLFLQKGGD TLVHTVATNCGGPTVVVVHAVGPVIVEPWIDLPGVQAVLFAHLPGEESGNALLDVLFG DVDASGRLPYTVGKSLEDYGPGAQVLYEPNAPVPQVDFSDALYIDHRYFDRNNINPRY EFGFGLSYTKWELTNMKITRLQRNPSRLPAARPPDAVAPPSYDANPPLANESVLFPPG FRILSKYIYPYLPTLEATTPPPPNPEASGSATDQKPHRTKPSDAGGGAGGNPSLYEEV ARIDLTVQNTGTRSGQQVIQLYVSFPHTVTESSGQKSHENIDFPDRVLRNFTKISLAP GQKMDVNMTLTRKDLSYWSVREQNWVLPKDEFYFWVGYSSRNLPLGKPFDP ANIA_06653 MSQVDAQLKDVAILGSVSNEARKILTKEACAFLAILHRTFNPTR KALLQRRVDRQAEIDKGHLPDFLPETKHIRDDPSWKGAPPAPGLVDRRVEITGPTDRK MVVNALNSDVWTYMADFEDSSAPTWDNMINGQINLYDAIRRQVDFKQGQKEYKLRTDR TLPTLIARARGWHLDEKHFTVDGEPISGSLFDFGLYFFHNAKELVARGFGPYFYLPKM ESHLEARLWNDVFNLAQDYIGMPRGTIRGTVLIETITAAFEMEEIIYELRDHSSGLNC GRWDYIFSFIKKFRQHPNFVLPDRSDVTMTVPFMDAYVKLLIKTCHKRGVHAMGGMAA QIPIKDNAEANDKAMEGVRADKLREVRAGHDGTWVAHPALASIASEVFNKYMPTPNQM HVRREDVNITANDLLNTNVPGKITEDGIRKNLNIGLSYMEGWLRGVGCIPINYLMEDA ATAEVSRSQLWQWARHGVTTSEGKKVDKAYALRLLKEQADALAAKGPKGNKFQLAGRY FAGQVTGEDYADFLTSLLYNEISSPGTASKL ANIA_06654 MSATEVTSENVAQILQNDTRVKLAGVDADGMLRGKLVSKKKFLS VVDEGFGFCSVIFGWDMHDRTYFRELGISNKENGYRDLLAKPDLSSFRRIPWENNVPF FLVSFYDPDTKEPLFACPRSLLRMALRKPEAQGYRAMAGAEYEFYQFATPNRNASSTA SFLKENPVEALPSITDGMFGYSLTRPIHNQDYYYGIFDACEQFNCEIEGWHTESGPGV YEAALQFGEAKGMADKAGLFKYVVKSIGTKHGITPTFMAKPREGLPGNSGHMHISLVN SDDTNAFHRSTPDPSPPYPDVAYLSDLGRCFLAGILTGLPDIMPMFAPTVNSYKRLVE NFWAPVTVSWGLEHRAASIRLITPPTASPKATRLEVRVPGADANPHFVLAAIVALGWW GVEKKLEIPVPPLSKGEDMGGESDKGVRLAKNLGAAIATFTRKDSVAREVFGDAFVDH FGGTREHELRLWEEAVTDWEVRRYIETV ANIA_06655 MATPRGRLQGKNAIITGAAGGIGLETSILFAREGANVLMADISA SALEKALAKVRELVPDAPRVETIKCDVSKESEVQAMVESQDSWGGTDVIFNNAGIMHA DDADAIDTPEKIWDLTQNINVKGVWFGCKHAVLSMRRHKKSKGSIINTASVVALVGSA TPQLAYTASKGAVLALTRELAIVHAREGIRFNALCPAPLNTPLLQDWLGDDQAKRHRR EVHFPMGRFGEAIEQAHAVVFLASDESSFVNGADFVVDGGMSKAYVTPEGPAPPAPKN QAQ ANIA_06656 MKRCALLTPLLPLALACNNPNDPAHSCASIYSVSSDEAASFCAT FTASVVSEPTGVPDAFLSACDSKIKHLSSACSCLGPVDSATATPVPATSTVPASVPVI TTSTATATPSRIPVFVPSSSSSSAVVTFKTQIKSSSPGPSSSFAAAATTEAPTSTRAS PYTPYTGNGGTTCTVTDYAGISSAVASCSNIMLSDVYAPPSSTIDLQDLQTGAAVIFA GKTTFGDTSDSDFDPIVISGTNLTITGTEDHVIDGNGQAYWDGQGSNGGSDKPDHFIV LKHVYNSVVANLNIQNWPVHCFDIENTESLTLTGITLDNSAGDEPNDSSDGDPAAHNS DGFDIKSSTDLILKDSNVYNQDDCVAITSGTNITVDNMYCSGGHGLSIGSIGGKSDNT VDGVVFSNSQVVNSQNGCRIKTNEGETGEVSNIKYENISLSGISKYGIVVQQDYLNGG PTGEPSNGVSITNVEFTDVTGTMSGGKDYYILCGDGSCENFTFSGVSITGGSDDSCNY PDSGCP ANIA_06657 MHPEFSAHPPQRPTLKRPAAIPSVRIRRWSIPLLAIAAGAYGIA QYGAMQSNSPYRVSSQLAEEERLRKNQQLMDAYGYKDNVEDLQKALEAYEVQ ANIA_06658 MHLATLATLAPLLFSTCAGHVTQSRLHDASCTRTTVAVLGGGMA GVTAAQALANASLHDFIIVEYRDTLGGRVWHTEFGQGPDGQPWVIEYGANWNRRADRE QIQGLGSENAANPVWTLAKKYGLKNTYSDYGSILTYNETGYTDYSHLLDEYSAASERA SERAGSILNDNIQDMTARSGLALAGWRPRRDDMAAQAVEWWNWDWEGAYTPETSSFVF GVASENLTFNQFGDQNNLVLDRRGYSAIIQGEASTFLHHNDSRLRLNTRVADIEYGPG GVIVRNSDGSCISAANAICTFSLGVLQNDAVNFTPSLPDWKQTAIAKFNMGTYTKIFM QFNETFWPDDTQFFLYADPTTRGYYPVFQSLSTDGFLPGSNIIFVTVVQDQAYRAERQ SDEQTKREVLEVLQKMFPDKHIPDPIAFTYPRWSTEPWAYGSYSNWPAGTTLEMHQNL RANVDRLWFAGEAMSAQYFGFLHGAWFEGREAGMNIAALLHDECVSIYDIETCGARKH YDVLHGTTPAAAYSVVNGWTANSTTIQA ANIA_06659 MPSYLITGVSRGIGFEFLRQLSADPANLVIGLVRNKPATEAKVS QDLKRENIRIVEGDLADYDSIKRAVEAIGKITPSLDYLIANAALISSWSAYDGLGDLL HRAVRDPAKLDEVFLSSIRTNVLGNIHLFSLLIPLIQKSDIKKVITITSGMADLDFIT QYNIAVAAPYSISKAAMNAAVAKFSAQYRKDGILFLALSPGLVDTGNYDDATPEQLAA AGKMFQQFATYAPAFKGPISPAESVGFLLDVIERAHVDRGYAGAFLSHYGNKQWL ANIA_06660 MPSIRTLALGLSLALLATTTTAERLKVVWSSGSFSAIGDQSGNY NSFAIIRENGEAIYNDGYPKDRSPCFNTGDGRTFQIEGDCWASGRQFHCLSDFGGSPT NCDVRDENGNVLGTGVGQKETTFIGISIGTDASCVVEFDSDDAGHCPVDDGNGPLHVT KDDL ANIA_11524 MSLILSSSSDISGGSTETVTLDFAEENPACEYQVKDRSMARLTP PDASHLGNHDLGT ANIA_06661 MALIESTYYPSPDTPINQLGIKPDFSREPRGMSSTPRISISASM ACKPSNTTTFKARQFLLLIKAHFSILKHLLQSGSGIVHATHEPSTCTLTVHVDRTKFQ SHGKPALADYLCRLHIWRCTADVSTCKEYYERLYVVDGIYEEWRQIWIGVRRLRIWSN SFIIGFLEAVVQLLLGLERWMWSLETLCLVEIPYCRLQSMDMRVLSSYSTSHCRPDVE F ANIA_10843 MFFSKSYAIINLFLSCQAKEARRKHRCKPRKPEFPIERMVTTIN GLWIYGWPSKGGLIVLSHPTRVDFDYLGWDYLNPHRKRDQDQDAEDEVCKMLLRLGAT WYDSRSRYNLIAAVAQNVTSARNLFLYDEVPAATAVESLWVRVGWPSTGGLWVAEFIH GAFEDVVDDLVEWDEQDGHYGNAAGQIVLAKDMDERCEILKRLGGRFYASLDDYHGKG CLKMWEHKTTGEVGPLVRTRYVER ANIA_10838 MSRLHLGWVAFLWTLLPSFSNAGQDVEGNKILRLGDAVPIDSPG TYYTDLHPCPAACGYNKPDSWTVYSAVERLTHCPETMLFDLAIKTPVDASDAFVKIRA CTAGLPDNANTTANALYLAIAEDEHGLTTKRKRNVGECLSDSADEVKLSVQFGQQGGR TSQVDTAVAALEHLERFMGDDSNCDTPVLLAYTNGTVAGMYLGPGFGRDTATSVLGRL QKQVRENGVAETTLVQLCGVNRNAHHVLGVAVETTANVAAVQKFLSTWSNAECVADLD EMTEWDNISLSELDQGLGRSSRSSSERWAPSEADRTPLQPRAECTVEKAIAGDNCDTL AARCGITKPDLIKYNGSADLCLPGRILAGQRLCCNRGTLPDIRPKPNEDGSCFSYTVQ PGDDCTTLALTYGLTNTVLERFNNKTTWGWNGCRNLNHGINICLSNGDPPMPAPVANA VCGPTKVGTERPTDGTPLQDLNPCPLNVCCNIWGQCGINEQFCIEKRGPAENPGTSPA NTNGCISSCGVDIHNTDGDIVVPYGRVGYYESWNFNRKCLWLRAANANTNLAYTHIHW AFVEVDPSDWTVKIADPYNQWEDFKALDDVRRIVSFGGWGYSTEPETYDILRQAMSPP NRKAFATNVAAFVTEHELDGVDFDWEYPGAVDIPGTPPGLETDGPNYYKFLIVMRGQL AEGISLSIAAPASYWYLKAFPIDLMAKELDYIVFMTYDLHVNLTETTYALSMRESSYG RSFLMSEEGCTGPDCFFEGDRLNSPAAKGVCTDTGGYISNAEIDQIAFLGDNVQTWHD GASNSDIMSYTDDEYYDYKTGEGGEDLLPPLPDDPECTATYDGLEGIEKDFGRIHELC IGQYILEALQKNFTASLTAYDALMEDGYDGKFKAYAKAVVSASNKVVEDFMYKNGENY FSCIVTETIECCELCEDSYATNHPEINCRYCEEYDCVPEGNCDNPEVHCDPPEYRYRN MTQPCPPDYSMRGQSPPSDGRYTQSVYWTLEDPDAFWADLFTETGVAQEDIKWKDVNH FPCYPTEKHCADRQWDYNFPVPTEYDAEDVINPKDVVSEAYDKLKALGPELTDLIDAV SMPVLMVSDAIENMQSIVEIAEEIEEAKAKSILFAFLSAIFFFVPVVGEVMGAVSSLA NIGRIVSLLGAAGNVALDVYTILDDPENAPLAIFSLILTPLALMDVVKVGQAAKARRG MPAADVAKLGDRLSGRLNLISKLKDRCTI ANIA_06663 MRLAIVYLLFSFCASIAATGIRGSAERIYMQEEIFDDPGKDGGI ATGCVGSRTGLRGQPNRCTLRELCEYIWAPTNQHNTKPDPAKMSWPSWSAAAADDIWS KGERTVAEAMALVVEGVDEKNRKGKKKGVYYNGYWDASKVLPGFSTTASEYYRAAREL GDWQVAARRAIEAQLGGNGLNPDQKRLFKNWNMEGERAVKLVVGLRRKDLNEFVIKQM RRPLYFGRQIARTPMTDYEEFAKMQGAMQPDRGGTIVMYEQGYPGGRAQLIADYDAAI SLMRQTQDNRLHRRAITSWAHSSNRIKNCKPFFLLTLLIATDRPKDVLPLQALLFQPS VLLHLTTMHPTGAPVLPTKVLLRIAVHLKPLDQISLLQVFPTIAGLFNLQQFASTDEN GNTILHLQARASTTAGDLTHPGASTPYFPTILDCSQGDILHPQNRDGQTPLMEAAFAG NIPFMQRLLEKDPEGLNMVDGVGATALWYAVYGKNVPATALLLEQPSVDVNHQLTEGE GRDAYQVTPFMHALWHGWGRYDIISLFIKHPATDLTFLDSTGQTAIHLAIQEEREDIV RDLLECGRLDVNAAWGNGWTPLHSAAAGYKDTKFVKLLLAQDGIDVNRATVTGETPLM TTKSPHCMEVLLAHPGIEIDRVDDFGWTALGYTAYRGGRGALECAHVLLQHGARPDLV DLDGYTPRDRAEQAKHWEMVKLLEDALGQK ANIA_06664 MVPNPVFLLTLALSLAKTSLAVRGYSRRVDTPQLPFDPNTTPYC TWWIDNDGSSSCSDILSDWIISLDDFRRWNPSITAGCGGLETGKSYCVEAWGEPVPTT STSLTTTAVPITTTTTTKTGNAPGPTQSGQVETCNRWDLVQDGDTCSVYLEKYPGLSL AKLVEWNPAIGSQCQNLWVETYLCTGIEGWSAPTTTTATTTTSPPGNGIPTPTPTQPG MIADCNAFHEVKSGDTCANIAQSAGISVSQFTAWNSGVGTGCTSLWLGYFVCVSRVGA TATMTTTTTSAGNGIATPTPTLPGMVANCDAFYLVRSGDGCAAIASSKGISLAQLYAW NTNLGTSCTGLWAEYYVCVSIVGVSPTTTTKTTTRTATTTRTTTTQGNGVATPTPIQP GMTTSCKKFHKVVSGDQCGTIASKAGITLVNFLRWNPGVGGSACSSLWLGYYVCIAVL ANIA_06665 MSLKRNQIKVDEALAINLKFGGLIVWPKFWFSLFSPFSPPTHSV LVSLTPKSSSALRACCTFIRVDNSGSSTAERTGSPVFHTLWSKQQKYIKNTSTRKQLI EALND ANIA_06666 MFLNTSILCQNAPVFILFLFLLVCCHPFLFHLPSSSSNHSTDYR DSMALIQFSKSPLTYSTARLSADLNMPSHKAENRKVHSMYPDHHSSVVELLKPFGIEM EFHPVDTNETPCGNRMLMKHTNIMGVFSCYNKSCSSHGWASKMVAATIRMYCRAGKGV IKHRKGEGGADKPGLYNVRVYHQRCKKCNFLSSPKLDETYAERVAYYLKMWHGVTVER PVYVRKSKAPHARQFCEGCKAGVCRYSTSLDGVSD ANIA_06667 MLDEDIHLPKRRKVRKGTQSCWECKRRKVRCMFSSAGHAICNNC RRRGTACVSQELPDTTGTSSGQSQVEARLSLVEELIERLVDARATPSLERDGPDAGSP VYRAVPSRPPTTTRPLPVGPGPDQYEELSRDLLDVWPSRDDLETISSLPVGLLCLPLC WRTCALPGDQSPREMLKLPASGAHPVLIAQRLLRLGIFLQGVPPAAIKQLGDRGVSYR ETMTRSVERAIALVTTNDELITSVEGLECIMMEVMYQNYAGNLRRAWMAVKRAISAAQ IMGFHRAQDLPASRFLDPATRAAFDADNICFRLVQMDHYLSLMLGLPQTAPEGRFAIP TDLPDLDPQDRLERLHCTVSGRIVQRNDAGINDLSITCEADKLLQTAAAEMPPQWWLP PTFSEDSNLVADTIRLMIQFTHHHLLARLHLPYMLRSSTSTDHNHDRSRTIAVNASRE LLSRYILFRSRNPADYYCRGCDFLAFVATTIMCLAHINTNTHSNSNNTNPFDPLTHSR PTDRGLMERTAEIIASTAAAEYGVSEAIAPKLNRIIRHLLDVESNAANGTIYSTSTSS SAADGDEGEIGGALSQGGKSLQIRIPYFGTIRLERGHGSILKGSEVEVQGQGTAAGSY GMASAHAEVPVSSSWGVDHDIRTSHSQVPISNESGGIESQLDSMYSGAEDDWNLQGID VALFDSLFRGIGIPDADTNGEAWI ANIA_06668 MVKIAIAGGTSGVGQEVIDALVETKRHEVLLLSRKDAPSIILPD SITWIKTDYAETEQLADILKGVHTVLSFVIEQDSETSPVQRRLIDASIAAGVKRFAPS EWASSTFDHLPWYTYKSSIRTYLSEVNREKQILEYTLFQPGLFTNYLAAPYKTTPHIS LLEMPIDFFHRRAILVEGAENAPITLTTIADFKAVFVRAIEYQGAWPVVSGIAGSTLT LGELIALGERVRGGKFSIERVKREDFLAGNWETSWVPRNEHPSIPKEMVDEFARPATK GISLGLADGAFAIQGVWNGNLDGFAFEGAEEFLGRIWKGKD ANIA_06669 MADGVVAGTRVEAPVTWKTYLMCAFAAFGGIFFGYDSGYINGVM AMDYFIHEFSGKVKAEYEAADNLSGYVISSSNKSLITSILSAGTFFGAIIAGDLADWF GRRTTIISGCGIFMVGVALQTASTTVALLVVGRLIAGFGVGFVSAILILYMSEIAPRK VRGAIVSGYQFCVTVGLMLASCVDYGTENRTDSGSYRIPIGLQLLWAIILAVGLFLLP ESPRYYVRKGDVSSAAKVLARVRDQDVESDYVKEELAEIVANNEYEMSLIPQGGYFAT WFNCFRGSIWSPNSNLRRTILGTSLQMMQQWTGVNFVFYFGTTFFTNLGTISDPFLIS MITTIVNVFSTPISFYTMEKIGRRPLLLWGALGMVICQFIVAIAGVVDGSNNKTVSAQ IAFICIYIFFFASTWGPGAWVVIGEIYPLPIRSRGVALSTASNWLWNCIIAVITPYMV DEDKGNLKSKVFFIWGSLCACAFVYTYFLIPETKGLTLEQVDKMMEETTPRTSSKWKP HGTFAAEMGMTEKDVTQTVEDIAKKA ANIA_06670 MPGPDTVFNAIGLGLMGISMIPLADSFFPKVEPKYSTLRVAAGL FEPGDSSASTGGSVPGVALFDADSNRVGFQSGKGHGKIEEGNYKDILIAPIKSQNTIT PEYLSVVSCGAAWYHSNLAIKVNDETYKPSWFWIRGPRENTGRPTNNFPMGIGLRIID FDGNEARQAQYVEHPETLCTTCLPIFDPPLKNEYGRGDTDFERLHTQGKVMCEPSAND IDPFPMAEQIRKLQKWPPGRLSTPTYGTKRRSLADAVAAGSCTHTDDLIESSHPSTRL QSCAMIPMPSARTLFRTPLCGANVKEGCFDVETKQVRRQDLADQRGETVTVHTKIAAT PVRAYKTVKWD ANIA_06671 MILSLPPMLLALALLPISFTLATPVDISPPYSFTEPQVVGSFTP ISADIKGHDSLETRGDDDKLKTGDHDCENNPTGIRARTQEWKYDKDKIATLKFRNMGC RTRYKSIAPNIKTATYLARARRVNLVGFDPGQGTKYSASGSA ANIA_06672 MHLVTSLAALAALAPAIVTATLDPASSNTKGYKPNSLNCEAAKV STAIQAAECSHNTRTSETQTFAVFETDHQYDGNNGYPYGTCSAYTCAPPSSDELEADD DYWIFYWSDEGSESGYGTGCIKDPNTGECGCENSDGTFVA ANIA_06673 MKLHHIPLLAPLLSSRPTFASPDLSASRHLWYTSPAPATDWENG ALPIGNGRLAATIYGGVRAEVITLNENTIWSGPFQERTPENALAALPIARELLLNGSI TEAGEFIQREMMHEIDSMRAYSYFGNLELGFGHDEAKVEGYRRWLDTRKGDAGVEYVV EGVKYTREYIASFPAGVLAARFTASEKGALTLNATFCRVSDATSLQASVSDRAPWIRL SGTSGQPAEEYPIVFSGQASFVAEGALFTSSNGTLTLVNATTVDIFFDAETNYRYPSQ EAIDAEIAHKLTDALNKGYDRIRDEALADSSSLLDRASIDFGISTDETSDLATDERIA LVRSAGGLDGDLELATLAWNYGRHLLVASSRNTTEAIDLPANLQGIWNNQTTAAWGGK YTININTEMNYWPAGPTNLIETQEPLFDLFAVAYPRGQKLARDMYNCSGVVFHHNLDV WGDPAPVDNYTSSSMWPMGAAWLATHLYDQYRFTGDKALLADTIYPYLVDVAKFYQCY TFEHEGYKVTGPSLSPENTFIIPENWTVAGNKAAMDVAIPMDDQIIWEVLHNLLDAAS ELGIADDDHTVSAAKSFLHKIHPPRIGFQGQIQEWRLDYESSAPGHRHLSPLFGLHPG GQFSPLVNSTLSAAAEVLLEDRLSHGSGSTGWSNAWFINQYARLYRGDDAWAQIEKWF SLYPTNTLWNTDDGATFQIDGNFGVVSGITEMLLQSHAGVVHLLPALPAVAVPRGSAR GLMARGGFTVDIDWEDGRLRTAVIRSLAGGALRVRVHDGVEFFVDGEKYEKGLETEEG GDFSDWLRHVRVLPALGYQLRLTSYIRDHILSL ANIA_06674 MSGAADDRSSWRGGRAFDQNRQSGQRQPNSRTMSAHGGSRGGQQ SSNTSHTSWADEVTAASQDQHTPVGGFNAAEAKTALRRAPKPYFYKAQGKDANNRASG PWGSNPNTMASGKDFFLELRKQVTALRQGGSVAGG ANIA_06675 MAPYTSPKRSSPGGPLKNGSKTPLLDSGNSETPVADNLGPIIKK RKYVPGGPGGGGRYIEIEVRETPKQPKPKPKPKQPKPSPVKRTSSSISRTRHQLREAE SSAQPAQLPPPPPPVHAPTTPPSTRLRREKSQTRGRFGSSTAAALALQQGDGYKPREE RGWEEFHPELDIDAQFPVFPSAEVDRPPLSAQLASILSPNGLDKTNENDPVAELIRAH ANGTVQTPIKRRPGRPPRRPEAILQSLGVFTPQPKVVPPPGPNPREKLTLPKPSFRLK DPFTFYDQPGVGQQNYVDRTMASVGYQESDLFIRHDRRLIRMPEGAQEDDLDIGNPLL GEGEVNAAVGRVEYDMDEQDEKWLEDYNAKRREDQYEPIKPAVFEITMTKIEKEWHSL EKRIPKPNPKPPQTQRPRSSSAAAVNGETTGPGEDQDTKCAICDDGDCENANAIVFCD GCDLAVHQECYGVPFIPEGQWLCRKCQLIGRGSPNCIFCPNIEGAFKQTTTSKWSHLL CAIWIPEVSIGNPSLMEPITDVEKVPRSRWKLQCYICRQKMGASIQCSNKNCFVAFHV TCARRAQLYLKMKSGHGNLAVMDSHLLKAFCDKHVPPEWRREHGTDAATADAIEYYRT TMQGRRWGDSQAAALSLEPAHPLGGGPEDEDGQRTHTPRITLTVGGNKRKRPNAPRTI WKLPSGAPVIPQVLLNSVVASLQRFGVRQRKQYAEDACKYWTLKREARRGAALLKRLQ LQLETFSSMEMTRRDYAAMGATGSKRLQRRIEFGDRLYRDLDRLRMLCDEVKKREREK LKDAEMLRNIVDTVYFPIFPLLWPIFEKAQVLDSKGFFRRGLLAIRTKLEQRYYPSVS SFSAHLASIFTSEIGVQPAGDTAELQMQISGRAPELSLEQREKRKLAKRIIKAIQPAL EDAIRKESELTRQPFEQELKDLDVMLGDVSSGRGSEIDSAERPGEEAFEKSELPNGDT DSTNMDPNSTAKMKSTEEVLESTETNTPGAEPSKLTGSQAAQAAATENTPVDVPMSDA STTQPPNEAQAAITPELAEEPGEAPVIQQQTNQTPQVPLSPPALETDQHHPLARGGIQ WYMQPFDPMGTTIHEERWTGREVMRGLSEELSELDEEELNDLVDEDELEENATGTGVF ANRAPDSSRGEQGVKVHRTRRRWRGFK ANIA_06676 MSAEYEHENGRYDDEPRFARDRSASPRDEPRADRARSRSPNGRL DERGPIDPRKQLDDDEGAVNTGSNLFVTGIHPRLTESDISRLFEKYGDVESCSIMVDP HTKESRGFGFVNMVTAEQADAAKEGLQGEVIEGRTLSIEKARRSRPRTPTPGKYFGPP KREFGGRRGGRGDRYDDRRGGYGGGNWRRGGDDYRGYGRYDSYSDRRDYGGRDYRDYG RRDYRDDYAGGPGGSGSGGYRGGADRYASGGREERYGRDERRDDRRDERRGYYDRDAN PPSYGHGAPSRDPYPGRSYEPRGGEDRYAGR ANIA_06677 MTDNPTSNTTLIAHSPTFNPNSNSGFTPTTTSTTHPPAQPQPTF AQPQDFPPTATPKPNPNTNLMVNETSQLSSAGTGSAHTAAADPIASTVRPGGAPARVY MNEKIVPYLLEGMKTVTKEQPANPLRVLGEFLIQKSNEVEGPQSGNAPE ANIA_06678 MSLFDYWDTNRPSIKRRKLATEVPLPLIKTQRDIAEVPKEPPSD ESQNLETEDLVRLASADEGPLNEKDNGAIPGSQTELESALPPVEADTKAIEEYEFSQK SGDDEEKELSLQVRMRDGKWRKGKSSIYVDAFNLALDTVLAEEAHLFSKAEKEVFQHW RELSYESQYLYVRLFLRKTSAWHRVNKLAYYSDIVDVPQVVADLQKTRELSSSGASNE QNTSDTYSSSDIGLTGDFRFANTVDEITTVEEAASLLLLDELKILAKEAKVQGKSKQE LITALHKSSQKQTGLEWNPLNNSNRKEHFVKKILNYTGDCIRLAPGPHALFERVHLVF YRSTEWTEKSLTTIILAKISRRNFPEYVVCRSGSIFPSREILLEFESALRTQYKIDNF LEFSGTPTKSRLQEIKDLAYEIYPRWKMLLEQEQQKEDTSYECGEGAYLRRFSPAWVY TRILHKGLLPLGRFKEHKEEHKMLSELLAQRLFHPARRGAWYQRRALLEEHYMWSLTP FEGRNEEAQKKHWKRIALRTCEEGLEDPLCQLIYHHDLQKRIQKLEKALKIVKREQHD FGHVALSKPEERIVEGIQIERENSPVRTPTFIMDHNEDALTPPPKRSGPTIWIDEHGD GAECRVEAMCLSWYRDHGWKGYHSEGGILRTLFAYLFYDIIFTYIPNVFQTIFQSCPL DLHTDAFYPSRASEINHRLVQIANGDATSLIHSVHARYSETQPCAIGLDWSFPLEDLL EIAECFKGEALAAICKIMAQEYQARGGGVPDLFLWSVERKETNMRPQRQ ANIA_06679 MGRLHSKGKGIASSALPYSRTPAPWVKITPEQVVDHICKLARKG ASPSQIGVVLRDSHGVAQVKTVTGNKILRILKSNGLAPEIPEDLYHLIKKAVAVRKHL ERNRKDKDSKFRLILIESRIHRLSRYYKTVGVLPPTWKYESATASTMVA ANIA_06680 MSGNNLHGECPVPYYQESFFDTDGGFLQGRLCQALGNVTCCLPC PQASWMWGEDIQEQTKIASWVSVAVLPLCIFLLVSYAVLPAKRTHRHYLSICFTLGIC CMQIAFIIPLGTKPDQCYNEITPRDMYSSLSCAFTGAMLLFGGWVVVVFSFIRTVAFH LQVCWEVILGPRFMWGAFICGLGVPIIGTSVMLVLTGVSFRFGDVCHINIDKSLQDYW IPVIAFSGAALIMQFTTMGYCVHIYIKSLYDTASTTNSSNVHSYTASAVTLSARQAYR RVRKILKLQWRSNCLVLVILANVLIFAVIFIRTNNQTEMTAENLEKALPWLLCLAASE GDAKKCVDKAEEFGPKKATLLALLILLTLVGFWNFILFARPSMFVGWWDLLFRSKDNA QFEFVSADARKKRSDASNYEMLSPYKNPEPYVEPSAEPQIRSPSPAYTSRTLSPDLNN HYGRDARYVRPSMSFSVPRPPTSPGRDWDPATTFAQGYR ANIA_06681 MNGDTYSSRGADSGRPRDHYRDERRERGDRGERRRSRSPHYGSR SSRREAEADSYSSSRDYRAREREDRYSSRRDDRDYDRERGDRRRRDYDDRPSRRERDR DLFEDRPRRDRGDRERGGDRRDRKRSPSPSRKREPTPDLTDVPSVLTRKRRLTQWDIK PPGYENVTAEQAKLSADDLGMFPLPGAPRQQPMDPSRLQAFMNQSGGGSADTSALKPS NSRQAKRLFVYNLPPNATVENLVSFFNLQLNGLNVIQSVDPCISAQISDDHSFALLEF KSPNDTTVALALDGITMGEHESNGENGAAKGLEVRRPKDYIVPNLAEQDLEGASGMKD VPDSPNKICVSNIPQYIPEEPVTMLLKSFGELKSFVLVKDSSTEESRGIAFCEYADPN TTTIAVQGLNGMELGDRHLKVVRASIGMTQAAGLDMGVNAMSMFAKTTSQDLESSRVL QLLNMVTPEELMDNEDYEEICDDVRDECSKFGRVLELKIPRPTGGSRQSPGVGKIFVK FETIEATTAALKSLAGRKFSDRTVVTTYFSEENFDVNAW ANIA_06682 MTVGAFSEWHKLPIGLSELCINTTLRCGQTFRWHKDPDNDEWRC VLYGRLISLKQDPSHLYYRTYVNSKPSGSCNGSDSGSEDTTLAIIKHYFNLNSNLTTL YAQWSSSDPNFRKKASQFTGIRILRQDAWEALISFICSSNNNIARISQMVEKLCANYG LHIADVDGRSYHDFPPPERLAEDEGVEARLRSLGFGYRAKYIYQTAVIIAKQKENGWL NSLRNPEAPAFGLEVVAGQEGEMPPEGRSGYREAHEKLLELQGVGPKVADCVALMGLG WGESVPVDTHVWQIAQRDYKFGKGSHKSLTKATYDAVGNHFRKLWGKEAGWAHSVLFT ADLKTFSDRLVATTKQAKVDIEVKQEEEGTKITATTTEMNVALKRTAGEGKIKLESDD KQVELVTGSTTSTRRTSKRLRR ANIA_06683 MIVDTDVLIIGAGLSGVGFAIQLQKKYPRITFEIYEKAEGFGGT WWANTYPGCACDVPSHLYSYSFALNPDWSEQFASQAEIAAYCRAVAEMHDIPRHVTLR STVQSATFDEYLGTWAVKILDQQTGRIYERHSRVLITAVGMLSEPKDCDIPGAEEYNG RLFHSACWDHEFEWAGKEVVVVGNGCSATQFVPILTNTPGSAKQVTQFIRQPHWLEPR PNPEYPSAIKWIFRHVPLVMRCFRYAIFLYLESYFSTFKRVSGKRTREARMKSQAAYL KKMAPKKYHEILTPRIELGCKRRVMDTDYLACLHRENMELIHDDPIERITVDGVRTKS GRKIYADAIILATGFKTAQPLFPLGDNIRGEGGVTLSEHWESTASHAPQAYYGTCVSR FPNMFILVGPNTATGHTSVLFTVECQIDFTLKVLDPILNSLYPESSSSSSSVLQEMIC FLRKPFSSGPRAGKKLALPPDIVSVTSQAEEKESQWIDEAILKELWKVFAAEP ANIA_06684 MRFCILRLNSLTCRTRKLKCDEQKPVCSQCQKGGRECRPSEGVV FRHQQNASMNKNIEDGASDGRGSLRGFYSYKNTFDKDSVWLDIPKHVIFVDNSDPYAQ DLESALSESEAAILAANSQNARWHGPQMPPTEREESQGLEALSALAAQDRVSYPALMV DQPSVSTPGSVTTPFSAISTTTMPATHPNQMPRSISAQISPPISINSDNGHNNNIHFL LNPSQSISPSIDPTIQHTPESTGSPLALKSVEGDLEGPVETDYEITFLLRHFSEVLGP WMDLYDLGTYFSSQVPIRALRNPLLKYAACAQAAKQLGHVNVSRSVGVRLSRTFSGLQ KDSKNIDWCWKGAKYYEKAIQLLMKELQPNGGNRDMMAQGTNRMSDNTDGQGARSYTE YRLSHGDRSDEILAAAAILSVYELLDATGPAWNRHLSGVKSLLDLAEVGMRPLQRLPP GDLSLQLKRPSLSKARKATFWNFARQDYLSAFINGTRTRLHTEDLALWTDAGLQVDSL GFVRASNKSTGDHSGEDTMKEDSFSNALVWIISKIINFISPSSNAHIGPSGAVNSSPI GLPQQVSLERWYRLEAELDMWYKGLPETFHPCARIGFQETANKSDNLDDAPSLSEIWY SIPMCSSAMQHYHMGRILLHIHKPPQPSGAANPITSRYNSTDIQFHSREIVGIAMACP AGSVRINSLQPLFVSGQCLTDPSERRMVLRLLQDIETDLGWATEYRVQQLRREWGWDQ SADIAGVS ANIA_06685 MRLPSLFGPATAGSSAFLQLWLLSQLSGASALSFQPVSRPELDL SRLGHVALTGEFDAVTFYSYAEQKNAKAGDDDSQSILAPLPNGILTTLSTSDADIRAM CAFSKQDGSHSGIYVGGNFTSLGGEKANGVALYDPISNKVTTLPGLSGSVSALLCDQE TNTVYVGGSFKQGNTSNAAAWVVGEGWKDLSFGGLNGPVSSIVKNDDAHIVFGGSFDG IGNSTSSSKKIEQVINLSNATITSDTTSTRSGFTDPRNIICQTSGAGGAGQTWLLADY ALGYWRADMRYNFSPTKLRVYNTHYEGRGTKSFLFRRLPDNGIMNLTYTDPSTGDDVN CDQYCSLSDDPDEEYRDFRFVNQVGMTGFMFEIRDYYGTGAGLNGIEVFTNDVFTYAI NDFNEPTCGNSSDISTSTRTGSWTLTDGNDSPSDYLTAHVSDSDAASTSVVFEPNIQR SGNYSILVYTPGCVQDGTCGSRGIVNVTATVRADSEELIEKQIYQTNNYEKYDTIYTG PVDVSDDSFRPRVTLSPVAGQGDLTVVASRVRFELISASNDTSGELNGLFEYDPTSKN ATANLLGSTINSSGTQLDSDASVLSLVTHRGVIYAGGNFSSSDINNLFSLEQDANATA LPQGGLNSEVTTMSVLNDKLYVGGNFTGTSEGATDSLSYVASYSIDSKSWSALGGGVN GHVKRVFALPLNVSTVINETIVAVSGDFDELLPFDEYPAVAVSGFAVWVPSRNNWLQN LNVSQTQFAGHLSSFASFNGTTILGGSLSSGGLAAGGAVALLQEDGLQLGPLLNSTQL TGEIITGIYDTDSSRNLTILGGHFNLSTGGQEVRNLAFIDGGTGSISGLGTEVENNST FMAFAITSNVLYAGGRVTGTVGKNEIDGLVLYDLQNGTIAQEQPSKFNGDNVSVNAIA PRPNSKEIYVGGYFQAAGALTCPGVCYYDTESQQWNRPGVGLEGSVLALKWGNANTLI AVGNLTVAGNETAVASYSAKEQIWEVFDGASSSSIPGTVTAFSPANGDASRFWLAGQS SNGSNFIVNYDGSSFQLAQEIFDDGTIIRGLDVIPLASDHDDSDLLNNDLALMITGQI AVPEFGTASAALFNGTTLIPFVISSKSDGQPGRMSRMFYENNNPYARGSGHHSNGIVV LVAFCCALGCVFLIVIAGVIFNKIQRRRQGYMAAPQSTGTDRPTSMRRLPPEYLFNSL KQPNPGAPSI ANIA_06686 MVVLLPALASLLFATDVVGRPAVPDREPVKRGIRAPAHRYIPSN NIVSRDTGAVYHIWNGGGWILPVLVGGQQVFLNIDTGSSDLWTASTLMPEDQQNTVTR GSVYNPNNSSTSREVEGYTFGLAYADGSGASGPVYRDTVNIGGAIVPDFALGVCDDLR YGEGSDGTRDTAGPVGLGFGKLNSIRPNPQCTFMECLEPYIQEPIFGTAFKLNSTGFI NFGYVDSEAYTGPFTEVPVANTSSGNEGQWMSLGVSFGSGGDLFIADPLDMDFDSGTA SLSVSSDIAAAYWALVEGADDSSGSWEYPCGTELPDLDFVFSNVTHGHSTVTIPGKNL KNGDGTTGMCGTWMDVVDGRGNAGVPFYISKYIVWNQAKPSMSFADQAN ANIA_06687 MESFDNIYLDLSNQPGKCKLAETGLGWRPSGGGDTFTLDSSNIG AAQWSRAAKGYELKILSRSSGVIQLDGFDQEDFERLSKAFKIWYGINVESREHALRGW NWGKAEFTKAELAFNVQNRPAFEVPYSEISNTNLAGKNEVAVELSLSVDPNGSKPAGS TKNRGRKAAAGPDELVEMRFYIPGTAVKTENGIKGENADEKNGGEGEENGEEQNAANL FYELLMEKAEIGDVAGDTFATFLDVLHLTPRGRFDIDMYESSFRLRGKTYDYKIQYSS IKKFFLLPKNDDTHTLIVLGLEPPLRQGQTRYPFLVMQLKLDEEISLELNMTEELLET RYKDKLEPRYEEPIHQVITKIFRGLSGKKVIMPSKDFVSHHGHSGVKCSIKANEGLLY FLDKSLIFVPKPATYIQMENVAVVTMSRVGGAISASRTFDITVSLKAGMGEHQFSNIN REEQQPLEEFFKAKNIRIKNEMSDDTNALIAAALDNDDMMSSDEDGGGRPDRGSADED EESVDEDFQADSDSDVAEEYDSAHESSGSGSDAEMDDASDAGVDEDEDADADMSEEER PKKKSKTGK ANIA_06688 MASPPPSNGTPATASPPSSKPGSPFVERSNPMGAGNAQTVTSKD PKAAAQAATDMKNVVRRKLTGYVGFANLPNQWHRKSVRKGFNFNVMVVGESGLGKSTL VNTLFNTSLYPPKERAGPSHDIIPKTVSIQSISADIEENGVRLRLTVVDTPGFGDFVN NDDSWRPIVENIEQRYDAYLEAENKVNRTNIIDNRIHACVYFIQPTGHSLKPLDIEVM RRLHTKVNLIPVIAKADTLTDDEISMFKKRILADIQHHSIQIFEGPRYELDDEETIAE NQEIMSKVPFAVVGANSEVATSDGRKVRGRSYPWGIIEVDNEEHCDFVKLRQMLIRTH MEELKEHTNNHLYENYRSDKLTQMGVAQDPSVFKEVNPAVKQEEERALHEQKLAKMEA EMKMVFQQKVAEKESKLKQSEDELYARHREMKEQLDRQRAELEEKKARLESGRPLEEK GKRKGFSLR ANIA_06689 MAPPPPSNLPLAERLKALAQTLQFAWFIGHVTLLGSVFRYLLSY ATFNYYSGAAQVSYRLAFISAAVTYGIVVYKGHVARGRLQGSLPSILLKLAGDENVQY LGMALVWLYSRQVPLALLPFSVYSVFHVATYTRAHLIPTLQPPSTPAGSPGRANAKQS PLAETIGRFVKQYYDASMDLVAGLEIALLFRLLLAILTFSKGSFILLFIYLTFFRARY SQSSFVQQAVRHFTARVDASMSHQSTPPAVRQGWEGFKDAARQAYQATDVSRFTAGAG KKPQ ANIA_06690 MLAGGIGDTVKTRQQGDPHIPPRYTSMTSSYATIYRQEGLLRGL YGGVTPALMGSFPGTLIFFGVYEFTKRKMLDAGINANVAYLSGGFFADLAASVVYVPS EVAKTRLQLQGRYNNPYFKSGYNYRSTADAFRTIVRQEGFSALFYGYKATIFRDLPFS ALQFAFYEQEQRIAKEWVGSRDIGLGLEIFTAVTAGGMAGVLTCPMDVVKTRIQTQQN PAPNSAPAPNASVVREAKSGVQSQSRSISATAAAASPTPSGALRLDNSSFFTGLKMIY KTEGIGGWFRGVGPRGVWTSIQSGTMLVLYQYLLKQFEAFGEAEDTNPL ANIA_06691 MDDNIDPVDWEEDINDILSDTFEEEDQPTTLTDLTDAKLHPLQT LYIEYIDDLPEYPKTHINGHTYIIAADKMSQSEAEQRVQDPSLRLLYHTYLDEKAWQE IQTIRKDIDLVENDIRKRNAFSLYRSKKRFFNSGKACIENLSSCAPVFRKSFYPDINN KHHPYISCINSAPGPLQKHYHSSLKGHTSIDLEFLERLFNESILPSQEECAVIEPLTT RRKFCVNIQETPYILFTSHGIHQHPPPPPHKPPEQILKGIESIIRNMRNPSLTLVTNY SIAKFLRSPELEAFCQQYNASTPAEIHASLSNIDRISAIIQKQRLLTYPEGQDFNGVV YISNINPTLKQYIQEKYRDPDGIMILCAYKEQIMLLNKLTSFEVDMSYKRIRQKNMNE VVFATYLHEHGKSQPVEFNSIHGNGISGIIVDMDTKQYAGVWSRMASLVDCKSEEDYD QLCDLLAAYEDPKIQNWALHKKNAVIKAGLNKNCSRIPSSLYDSIWNHTNSAEQSHHK ANAGGKQLSLIEAVQNSAKLDKQDIIQYQNRDYFGVHHSYRTANMEANYLRHLAREES RKRRRSSSAHLSSRSGRHLLQKAL ANIA_06692 MSLIGQNKWFKKYKEEVKSGKLDLPALEFSPFSTTNNLRTHLRD QHDCKLEESKGGRNAHKTINLGIQWYKGLFSEQDAHRPVALEDEHEAVQQQIISNLQT QSKSDLSAALPSLPRKKDGTVHISNMRKKVKELGHIIPCNKCPSAKDCCKDQNTCEHF RHFENDLEEDEDEDEE ANIA_06693 MSSTNKSSPKTFDDASSTYSTASTSTVMKEKEEAKHKWQNKNKS NASNASNADSKNKDAALHYEAMAHYLAFR ANIA_06694 MPFISSAELSSRATPPDLQKRKYGEQSQPSEPKRQKIMGGFLDD DDDDDHDGLEAFNEAQYQSEFEIQEQRVDLPQISQSGSRAEPKRPKIMGGFLDNDDDD DDGLEAFNDAHLESQIDTQEQPVKLTEVSRSQSTLESVVINSVTERSTIVPAYAPPNI SPTSVKIKTCNGKALNVPLKKPSARVSYERLIASRSTTAPGRAQKSYYGIDIHSLLNE SAKEVKAAEAPKPAPVADVRPSIEAPIGDKRSKKLSTAMWTEKYRARKYTELIGDERT NRSILRWLRGWDPIVYPSLARAKQNKKYNNDEEERPHRKVLLLCGPPGLGKTTLAHVC ARQAGYEVLEINASDERSRDVVKGRIRDALGTENVKGMNVELGEQKVRKVGRPVCVVV DEVDGVVSGSGGSGEGGFMKALTDLVLLDQRNSARTSERASDGRKRKGDNFRFLRPLI LVCNDVYHASLRPLRQSSVAEIIHVRQAPLENVVSRMKSIFTLEGIPSDSDGVRRLCE ASWGLAKRKQRGVRSTGAAEGDIRSVLVAAEWVAHKLRNESSAPLRLTRNWLEQRVLA DAGGGSFFKGMNRGGVRDIVDRVFTEGAGFPDVPLGDESLQDPYDRSEAVSVDVANIK KRHAIRRLCEMVDASGDHDRCTSECFSSYPLQPYQDDTFLTKPNAAYDWLHFHDTISS RIYSAHDWELGAYLSQATSAFHLLFATAQGKAQQQYREIDEEEEEAHPFSGPRADYAA FEATKQNQAILSTFQSSFSAPLLRLFRSSNNVATELIPNVIRMLSPDIKPVVVRGSEQ KSVASVRKESERALVQSAVRVMTGLGVTFEKVRIENEGGGHGGWAYRMEPPLDALVSF SKVPGFSSATNPVRYAVRQVLDQEYRKESIRKNSENLSSTGSKKSTTKSDDIETPANP AEAAKLKYGTAVKRDFFGRIIQDRVPSPQEDMEQALSRKAKSAQQELSSAGRKVWVTY HDGFSNAVRKPISMAELLSGL ANIA_06695 MTKSKHLNSGGPVQRLNCSILQGKGSEFITAGIATLQPGGKRMD RQRKHIFPVSKSLDSSLKKNTAFIKRLRTGINAAAQQTLLTDIRTLSLHKYLSEIISA CYEGLCKLKSPGEITAGVEITSALHQRFGPAEFTRQIGWLLGRGLSSPDKSQLKTLSQ ELREREEKERLSRHRVLLKVVTELWLVGVLRTLDDVERPEDVGSKGKDGAGLGNKVVD GVSRNRNPAGDKEPEPFPLEVLKDLLGHDRDHSNLPLALLFAKSFSWDVLGAKAAEEG RKTVEADGATAMPAETQNGDEATAEDDTPLAPEKIQVRFKSILNRYLEDVKAHVMRDQ KALTAQSRRNAEAYVKSGEIFEDRQANFERQTKSLEKLVANTQVLCEILGVEMPALAT QEASDPAASGGIGLVKTSEYLRGQGEGPGIWEDEEERRFYENLVDLKGKVPAVLLDDG KKKKTETGEPTKKKESEAGHESSEKTEPQSQISEERTTEADDQSMAIASKTVGAQVDA LLAKLPELQTKEQVDQMALDFCFLNSKASRNRLVKAISDIPKGRIDLLPLYSRLVATL GQYMPDIPQGLTTYLDEEFRSLQRRKSKEFLGQVRMSNIRYLAELTKFGVVPEHIIFH CFKVSLDDFSRMNIEIIGHLLENCGRYLLRNPDTSPRMASFLETLGRKKTVQHLGPQE RMIIENAVYYVDPPQRPAIQQKERTPMESYIRKLIYLDMNKRNYTKILKSVRKLHWEE PEVVHILERVFSKPAKVKYGNIHLLAILVSALYRYHQGFVIGIVDNILEYITLGLEQN DFKFNQKRIAEVKYLGELYNYKMIDSPVIFDTLYRIVTYGHEGGTPMPGKINVLDMPD DFFRIRLVCQLLDTCGHCFDRGSAKKKLDFFLKFFQYYICTKDPLPMDIDFLVQDTYS LTRPQWTLVTELDEASRIFGEAVAQNFKPQEEKPEPEEESEDSGSDEDLEEDAFPEAD EEGESSDEADVSPNAERNDDSESEEEQIFVTRQEEERDPEAEAEFDREFEKMMAESVE SRKFERKAVFDIPLPMRRAARDPTAEVTAANSTPAPVSSPAQSSGTMAFSLMTKKGNK QQTRTIDLPSDSTFAVAMRSQQQADREEQQRIKNLVLNYEMSNETDSTEEPVEKRSPA RVDKSGTNRSAFRSRKLQLSDVNW ANIA_06696 MESSPPRSCSVAPVAGVKRPASLLPAFEPLSSSPSLPRPQKRVA RDDDRAISTYPTPVPTSSTHIMSSSPPRMPTSSRRNLTSTLSERAPLSTVPTLMLPET GEPIMMGRSSLSCQYQLAANRMISRVHVKATYKPAPNPFDRDRVEIMCLGWNGLKLHC QGKTYTLAKGKTFTSDIKDADIMIDVSESRVLVQWPRGDRKEDVSTDSEQTWEETTPT RKKQTHRSLQDSPGAERQRLASPVSPSPAVKSMIPPSSPLFTPTRSRNAVVVYEDEAS PVRLLHSDDALKPSSSVASLLQSSQSSDLSDLSKPDDLSDHDEENDPIIHSFGPFGDN LLPRMASFSADESPLRPTRPRAHLQPTSPKQPSKPVEHSQEEEEKKKKKKTAVINEDA EHVQNHAVNQLAFSRLSSTPFSTILDNLPSSIWKADNHSRHGPSREEIRVILDSTKCI GKVAREGKDAAGKPLEAEYYYIPDFDDDVMRREAVVTDLRKPGLRNCRKQHKQYFWRK PK ANIA_06697 MKYSFALTLATAGSMAAAAQHQHGHHHQHSKREVVTVDGPTVVK YMLDNQLISAEKVCEGITDGTLAWANGQPPSDPCQASSTTSTEAYTPTATAAKFIETE ASSSTATSTSTTVSVPSSTTSQPAASSSSASTATGLDAPFPDGELDCSTFPSDYGAVP LDYLGLDGWSGIQYVTLVGEIISDIITAVTGDSCTSGAMCSYACPPGYQKSQWPSTQG STGQSVGGLQCKFGKLYLTNPDLSDKLCIKGVGGVKAKNTLSDHVAVCRTDYPGTESE TIPISLNSGETKEVTCPDGATYYKWEGKTTSAQYYVNPAGTSQEEGCQWGDGSKPIGN WAPINLGVGENNGKWLSIFQNSPTTTEKLDFNIKIQGDNLSGSCKYEDGSFISDTGSN DSGCTVQVMSGDATFVFY ANIA_11525 MTLRTTGCKTRGPRPRRSLSTQSAASSIISASVYSAFEQAFEAP PLPTLWLELAPPCAYCLARI ANIA_06698 MGSSTALTTKVENGTPYQLEKSQVSRASTALLKHIKSKQSEREA TAAKKTLIGDNDSDAEDTPIHNEAVWLVLTTKKHVVDKNRLKPGKISIPHSLNASPAL SICLITADPQRSVKNIVSDPSFPEHLSSRIEKVIGYSKLKDRYKSFESRRQLLSEHDV FLADDRIVMRLVNTLGKVFYKSSKRPIPVKLAQIEKVDGKRVKKDPKQQQNQKDDENK VSLFASTAIVAKEIEKALNSAPVHLAPATTAAIRVGSSNFTPEQLSENIEAVVKGLTD KFVTKGWRNIKALHIKGANTMALPIWLASELWVEETDVVETIEDGEADGKNKKRKQIE GEGEQKLLEGSNKKSRKQKTADDDEEASSLAARKEKLEKQKAQALKDGEAAALKVSTG PAKKKKKSLS ANIA_10852 MKGTFSSFSRGQSILSEPPPLRLSTLELSASRASPCAPPAMRPP RHRRLSSASETSCCSLLGVSARPHPRSASASCSCRRPLHLQWSPRHRSPPPTARSPTR SAEP ANIA_06699 MVFAARTSVLRAARAQFAPSRSFVFNQSALARLLSTLAVLEQRD GKLQSSSVSAIAAALKLGGPVTAFVAGAGVKATSAAEAAKYKGVEKVVAVENEAYEKG LPENYAPLLVENIKKGEYTHIIAGHSAFGKSLLPRVAALLDVQQVSDITAIESEDTFV RPIYAGNAILTVQSTDPIKVITVRGTAFQDAEATGGNASITDGTDPNAPAQTEWVSEE LSKSERPDLATAPRVVSGGRGLKSKEEFDRIMIPLADSLGAAIGASRAAVDSGFADNS LQVGQTGKNVAPQLYLSVGISGAIQHLAGMKDSKVIAAINKDADAPIFQVADVGLVGD LFEKVPELTEKVKNA ANIA_06700 MAFRVPDLIPALSANIWDTRDPVKDRIQGTPYKPYQPAKGKKPE QKEQPERTGTLEIVCGLISNKDIEKFIPALVKCIAFPDRVPETIHLLGATTFVSEVTG PTLAIMTPLLERGLKAEQATPIKRKAAVIVDNMCKLVEDPQIVAPFLPLLLPQILKLS GESPDPKENGLADPEARGKCKQALDTLTRVGNVVDGKIPEISTAGDISTVSAILKDIL AAKFKSQAEKAEAVINYVAAIAGQLVDEKIAESADWTRNVLPYIAAIVGEADAPAIAE TLRKRASPDAAAADAVESDEEEGEDLCNCTFSLAYGAKILLNQTSLRLKRGQRYGLLG PNGSGKTTLMRAINNEQLEGFPKKDEVKTVYVEHDLDSADTEQTVIGWTMKKLREVGL DPVQSEVESKLEEFGFLREQFEGPITALSGGWKMKLALCRAVFEDPDILLLDEPTNHL DVKNVAWLENYLRNSPCTSIIVSHDSKFLDNVLQHVIHYERFKLKRYRGTLSEFVKRV PSARSYYELGASDLEFKFPEPGFLEGVKTKAKAIIRVSNMYFRYPGVDRDQLQDITFQ VSLGSRIAVIGPNGAGKSTLVDVLTGEKIATRGEVYHHENIRIAYIKQHAFAHIDNHL DKTPSEYIQWRFQTGEDRETMDRANKQVTEEDEKAELKIYKIEGTPRRVVGVHARRKF KNTYEYECSFLLGENIGMKSEKWTPMSTTDNAWIPRNEIMESHSKKVAEIDAQEALKS GNIRPLIRKEIEDHCAQFGLDAELVSHSQMRGLSGGQRVKVVLAACSWQRPHVIVLDE PTNYLDRDSLGALSKALKAFEGGVIIITHSAEFTQNLTEEVWAVLDGKMTPSGHNWVT GQGSGPRLEEKEGPDEIVDAMGNKIKVEKKVKLSSGDKRKQRKERLARKKRGEDVDDD EDF ANIA_06701 MSYNHNQYGGPPDQGYYGGPGYGDHGNYPQQQYGGQPQQQGYYP PQQQQPYGQPPHDPAYSAGPPPYQQGHHYPQQPPQGAYGQPPYGQQHPGHYGHDPNAN YGHDRGHSPYPPQQQQQYHKPHGGENSSYYGGHHAEYPAPQQGNAQVPVGAEGERGLG STLLGGAAGSFLGHKMGGGALGTVGGAVAGAVGMNVASKMHKKHKKKKKYGRKRSGSS SSSSSSSSSSSSS ANIA_06702 MPNALHSEYMTLKSLGRPHLAIDDELDYLEYELYMQIRGAEYQA SQDNQPAEFRTVYADMVILAELRRLADKSGFALLRAPYLDFFSTLARCVAAFSILSPD SSFSPIVVCFASRTSPVGPSLVTSSGRRLGAPAASSFPLSTTREHETWSSAVKIAVQS FREIDQVLGVAPDSVLPLQMHRRSSGSSPDADDAEDSLASRSSEPSHGCELPEKPLPE IARTGTSIDLRRDTNGKSTPRSRNSSTWRTLSSATTTWASHDPPRYPAVMITPQRLAI EASPDSPHRSRLARLRSPWSCSILTALTTILACVFLFSIVRSFSALQTGSDGCGVPVM SPTFLRMVGFDTEHTRFASKYNLFLYREEGVDPYNHENLGLNGAPVLFLPGNAGSYRQ VRSLAAEASRHYAQVVQHDQERLRAGTRSLDFFMIDFNEDMAAFHGQTLLDQAEYVNE AVAYILSLYHDPRRTRRDADLPDPSSVILIGHSMGGIVARTALTMANYQENSVNTIIT MSAPHAKAPVSFDSDIVHTYKQINDYWREAYSQTWANNNPLWHVTLISIAGGSRDTVV PSDYASISSLVPETHGFTVFTSTMPDVWIGVDHLSITWCDQFRKAIIKSLFDVVDVRR ASQTKPRAERMRIFKKWYLTGLESVSERMLTRNEPSTLVTLEDHTNTILAQGQRLVLR ELGHRTGPNVHLLPVPPQGVSGKKFTLLTDQRLDRTGEQGSLEVLFCSVFPLHNGKAS SVFALNMDSSDSSGSTRLACKNAAVDEIHLPASTRTSRNAYDRARPFSYLQYDLEDLA EHQFVAVVDKARVPMKGWAIAEFSDSSDALIKARIGLGGLLSAGLKVRLPANRPMLTE VRIPALYSSLLDYKLRVVRHHQAGDPRELFAPLLRQSIADPHESKFFVNVDKVNVNLH GLAPYMPPPLRQTSQNGVSFQLWTDPTCDSTVDITLTVDIVSSLGELVMRYRTVFAAF PLLVVSLTLRKQFQVYDETGFFITFAEGLDSALRSSMPALLLAMSLLASSLATSTKLP TGDDPFHWATNSTETPIDFTKNDLLLGSQDAFFWFLVPLFGLICVGVCVLLNYVALIV LQILSVVYGLWNSKSGYIRRDEKGYLPVFPAPSPRRRMIKMGVLLVLVSTAIPYQFAY LVACIVQLATCVRAQWHAKETRSTAHYNFANYAYSVFILMLWVLPINILVLLVWAHNL VVHWFMPFSSHHNVLSIMPFIILVETMTTGSMIPRVTTRFKHVTSVLLFCIAVYSAVY GVSYAYISHHLVNILAGWLVSIYFFRSGFSLHRFWKIVEGDETPSTPESGSHMKKKP ANIA_06703 MADDQVKAQAGHIEAPEEHRPLTFKACFQYLKDRVPTLKPPMRK APNPFKALTLLNRQQWLFFAVAFLGWTLDALDFFTVSLTTSELAEEFGKEISDISWGI TLVLMLRSVGAITFGIASDRWGRKWPFIINNLLFIALEIGTGFTQTYQQFLAVRALFG IAMGGLYGNVAATALEDCPKEARGIVSGLLQQGYAFGYLLAAAFARALVGTTSHGWRP FYWFAGCLPVLAIVFRLFLPETNAYRQRQELRAEMPGNVTSAFISEGKVALKRHWLLL IYLVLLMAGFNFMSHGSQDLYPTLVQRQYGFSRDAVTVTQVVANLGALTGGTLCGWGS QIFGRRFSIIVISIVGGALLYPYTFISSHKIMAPAFFEQFCVQGAWGVIPIHLMELSP GSIRTFTVGTAYQLGNLVSSASSTIESTIGERFPLPPTEEGEERYDYGKVICIFMGCV YAYVIIVTFFGPERLGRDFDVENDEDVGEVRAERGVIDDMEKGRN ANIA_06704 MESNNLKGHSKHDNKDDSTPETSDALQHRIAQLSLSNPYIESSG PDQSKQPDQPQSEGQAQEDASTSKGGSPVAHRISSRRADSDKPESDEQGEEDSDIEPE ELLKTILTIDMPREVEVRAKIKGDFTVRILPSTSFTSSSGRGFAQVFKSCFILGSLDP AMTDEDQETKGSSSQSTPREVSTPPNLQKDSSAIWTTRSAKR ANIA_06705 MDENTTIQGGVADDSQITSGQDAPGDVVNASDAPASPKPKNAGE EDEEMGGTGNETKKETEGGEELADVAAQSGAEGGSEEQTAQAKSSLEASARSHLVSQT HAIILPSYSTWFDMHTIHPIEKKALAEFFNGRNRSKTPAVYKDYRDFMINTYRLNPIE YLTVTACRRNLAGDVCAIMRVHSFLEQWGLINYQVDPQTRPSNIGPPFTGHFRVIADT PRGLQPFQPGPNHFVKPGKPLAATERAASATPTNKADLNLEIRRNIYDDKGKEVTPAV EAKEKQANGESSANGTGDATKALDSASQEPKKKIQCFSCGIDCTRLRFHYAKSTPATG TAAPDSKYDLCPNCFLQGRMPSSHNASDFVKLEDSSYSRIPDREAPWSDSELLLLLEG LENFDENWEQIANHVGTRTREECVMKFLQLEIEDQYLEDSPEVRAGPGREPVSHIENP VLSVVAFLAQMAEPSVAAAAAGRSVVEIRKELKKQLDKAPSADKSQDKGKEKEKEGSA AAVKSEDSMDVDTAREEPSTSTESSDKQPKASLANVALGAAAARAGALASHEEREMTR LVSAAVNVTLQKFEIKLQQFNEMEEIIEAERRELELARQQLFLDRLAFKKRVKEVQDT LQNISLKGPGAPDNDLLADAATAGINNRYNFQPGPHAAGAPQPLSATAGTDFKTLDL ANIA_06706 MSSSDVHSEDDDELSDTPFIKPRVARGPRARVLNKLRASLSENS IESYKKLLDRTFEENPIAKEDNFNTTQDGIVVWTPQEKRAFFEVLDRKGRGGIREIAT AIQSKSELEILEYIRLLQKGVRRQHFNDTHARTAILGDIPAAAEISKECCDALDNYAE LLCLEEQRDEDRTGRAKHGGLWIIDEELAGKLETAIAEEQKDPSNPSLEIAVHANEAH LEKGLSTPDVNDAATFFKLTNWILLSERLFMNFGGHRLEDNWVNVAFEGETPAMTADV VSDFYKIALTVTRRLVHATHFFASSRVRRNGKASRPSATVIKASDVRRAARTFDMRTN ASEYWIGVARRCSLEVEDSRHRKSWNPIPLDYDEVETLLSQTLLPSEPYERITPSPSS RERSKSIISDVSLDSLAAESNDSEDEHAEALDHQHSSAEELSCWTALGRTPRDSPNPQ LLNAEIRIPPKPPGKRKTTEELVDWRDRTLYRSEWEEYGYETEKLDGEFASQRKKRLT MASFRPLSGINIQVANETSKLDSDSREHMRVEAETEAEFDYQTDNSDPEFRLRSPDRL SRSKSQPKPIVSRTSSRKRTPVSYAPPQGFEFDVEMEVDSETCNGDADEELRETNDDQ GGDYVSLSEKDFNGEHGEDKDEKDASVSSVSDDHYHPSSPRDESISVWDEGHNEGDDN DNAEKGNFLSGH ANIA_06707 MDSGWNISIGERGWDCRSATAKPTPTPEAPAIKLRDYQEECIQS VLKHVEQGHKRLGISLATGAGKTVIFTQLIGRIPPRNILGDKTLIVVHRRELVEQAYR HCHLAYPDRTVEIEMGNHVASGSGDIIIASVRSLTSGDRLAKFDPQRFKLVLVDEAHH IVAPTYRTVLGYFGLKEKSPDSPILVGVSATFSRFDGLKLGAAIDQIVYHKDYTDMIN DAWLANAVFTTVQSHANLSRVRKDKFGDFALGSLSKAVNTHQTNDITVRAWLANAAER KSTLVFCVDVEHTKALTETFRQYGIDARYITGTTPKTTRDEQLDKFRAREFPVLLNCG LFTEGTDMPNIDCVLLARPTRSRNLLIQMIGRGLRLYPGKKDCHIIDMVATLNTGVIS TPTLFGLHPDEVLEKVTAKDLKERKATGQNVRDPEESLLSESGPELPDDLKLTFTKYD TIYDLIHDMKSEKHIRSLSHYAWVRIGENKYLLSDRSGWLTIEQQDATGPDEPSPQYL VYHVMIFKPTEDTKKYTRPRLVARAENFESAVRAGDTFAAAHFEDQYISTRQRWRSYS ATTSQVKFLNAAKIRQGNIQNGDLTRGQAADLITKLRFGSKKRFAAKRKQREKQDEKM KVIEELQRRGEVRVGPVEA ANIA_06708 MVASAVRMRVPSASFISKGACSLRRPQASYKFTAAIQHQLPALA ALSRYYASKSFPPHTIISMPALSPTMTAGNIGAWQKKAGDALQPGDVLVEIETDKAQM DFEFQEEGILAKVLKESGEKDVSVGSPIAVLVEEGTDVAAFESFSLEDAGGEGAGAAP PKETQETPKEAPKASEPSTPQPAAGAYEPDTSGEKLQPSLDREPAISPAAKALALEKG VPIKALKGTGRGGQITKEDVEKYKPTAAAAAAGPASEDIPLTSMRKTIASRLQQSWNQ NPHFFVSTTLSVTKLLKLRQALNASSEGKYKLSVNDFLIKACAAALRKVPQVNSSWTE ENGQVVIRQHNSVDISVAVATPVGLITPIVKNAQGLGLSSISNQVKDLGKRARDNKLK PEEYQGGTFTISNMGMNPAVERFTAIINPPQAGILAVGTTRKVAVPVETEEGTSVEWD DQIIVTASFDHRVVDGAVGAEWIKELKKVVENPLELLL ANIA_10850 MIAVKRSTAGFMPMLLMVKVPPWYSSGLSLLSRARLPRSLTWLE MLERPRPWAFFTIGVIRPTGVATATLMSTLLCWRITTWPFSSVQLEFTWGTLRRAAAQ ALMRKSLTESLYLPSEEAFSACRSFRSLVTDRVVETKK ANIA_06709 MAEAENDPTNELNQTSVTQADKQNGVDVATEPHAPEVVAESKPA LTDESERQEIPTIKENEDTMANNRLNDSKNNLPHEPSVTSPDTTTDSNEPTDEPEQPH TEGDQLETLQQDQPPASDEQLNEAPDAPSTRDEQLAQDMRQRSDSRSTTATFATNRSS VVSSTVFIVTALDAIGASREARKSKELEDAVKNALANVKQSDRQPIDPEILFYPLLLA SRTLSIPLQVTALDCIGKLITYSYFAFPSAQEAKPSEADATAEQPPLIERAIDAICDC FENEATPIEIQQQIIKSLLAAVLNDKIVVHGAGLLKAVRQIYNMFIYSKSSQNQQIAQ GSLTQMVSTVFDRLRVRLDLRELRIREGEKAQAGSSESVTIEPVVSPPSAEDDQASDV ASVAADQPVSKEPTEKLTLESFESNKDVTTVNDNVPTMVTRANINQKRTQSYSGTSSE EKEAEDASSNEDDVDEIYVKDAFLVFRALCKLSHKVLSHEQQQDLKSQNMRSKLLSLH LIHYLINNHVIIFTTPLLTLKNSSGNLEAMTFLQAIRPHLCLSLSRNGASSVPKVFEV CCEIFWLMLKHMRVMMKKELEVFMKEIYLAILEKRNAPAFQKQYFMEILERLADEPRA LVEMYLNYDCDRTALENIFQNIIEQLSRYASIPTVVNPLQQQQYHELHVKASSVGNEW HQRGTLPPNLTSASIGNNQQPPTHSVPSEYILKHQAVECLVVILESLDNWASQRSVDP TAARTFSQKSVDNPRDSMDSSAPAFLASPRVDGADGSTGRSTPVPDDDPSQVEKVKQR KIALTNVIQQFNFKPKRGVKLALQEGFIRSDSPEDIAAFILRNDRLDKAMIGEYLGEG DAENIATMHAFVDMMDFSKRRFVDALRSFLQHFRLPGEAQKIDRFMLKFSERYVTQNP NAFANADTAYVLAYSVILLNTDQHSSKMKGRRMTKEDFIKNNRGINDNQDLPDEYLGS IFDEIANNEIVLDTEREQAANAAHPAPVPSGLASRAGQVFATVGRDIQGERYAQASEE MANKTEQLYRSLIRAQRKTAVKEALSRFIFATSVQHAGSMFNVTWMSFLSGLSAPMQD TQNLKTIKLCMEGMKLAIRISCTFDLETPRVAFVTALAKFTNLGNVREMVAKNVEAVK ILLDVALSEGNHLKSSWRDILTCVSQLDRLQLLSDGVDEGSLPDMSRAGVVPPSASDG PRRSMQAPRRPRPKSITGPTPFRAEIAMESRSTEMVKGVDRIFTNTANLSHEAIIDFV RALSEVSWQEIQSSGQTASPRTYSLQKLVEISYYNMTRVRIEWSKIWEVLGQHFNQVG CHSNTTVVFFALDSLRQLSMRFMEIEELPGFKFQKDFLKPFEHVMSNSNAVTVKDMIL RCLIQMIQARGDNIRSGWKTMFGVFSFAAREPYDTEGIVNMAFEHVTQIYNTRFGVVI TQGAFPDLVVCLTEFSKNTRFQKKSLQAIELLKSTVAKMLRTPECPLSHRSSTEAFHE DSTNLTQQLTKQSKEEQFWYPILIAFQDILMTGDDLEARSRALTYLFDTLIRYGGSFP QEFWDVLWRQLLYPIFVVLQSKSEMSKVPNHEELSVWLSTTMIQALRHMITLFTHYFD ALEYMLGRVLELLTLCICQENDTIARIGSNCLQQLILQNVEKFQKDHWNKTVGAFIEL FNKTTAYELFTAATTMATVTLKTPSAPTANGQLADTHDTVQDPTESSPAQETSTEPPK LNGTQDTTAEHEDGDMPAASNTELEDYRPQSDTQQQPAAVTAARRRYFNRIITSCVLQ LLMIETVHELFSNDKVYAQIPSHELLRLMGLLKKSYQFAKKFNEDKELRMQLWRQGFM KSPPNLLKQESGSAATYVHILFRMYHDEREERKSSRSETEAALIPLCVDIISGFVRLD EDSQHRNIVAWRPVVVDVIEGYTNFPAEGFDKHIDTFYPLAVDLLGRELNSEIRLAIQ GLFQRIGEARLGLPVRPTPTPVSPRHSVSEHPSRKHSVGRR ANIA_06710 MASKFPEVQGGGSLIVAWQVKEKNILVVGGGEVAAGRILHALNA DAKVTVVCPASGLNDEVAYRVLEGQVTHIDRNFEPSDLDGADMVLCAIDDPEASTKIW KLCKEKRIPANIADVPSECDFYFGSVHRDGPLQVMVSTNGNGPKLASMVRKKIADTLP TNMGAAIENVGKLRQKLREVAPSPQEGRKRMKWMSAICESWRLEDLVHMTEEDMETLL THYQHGGIPTLGEIRSSLPPPSTD ANIA_06711 MRGVQIFSGNSHPLLTETVCERLGTSPAKVELGKFSNGETNVNV GVSVRNQDVYIIQSGSEKINDSVMELLIMISACKGGSAKSITAVMPYFPYSRQSKKKS HRGSITARMLANLLTVAGVDHVITLDLHASQMQGFFSKPVDNLFAEPFIARWIRNNVP GWKEAVVVSKNAGGTKRVTSLADTLKLNFGIVTTDRRRQKPGPTLADSTVFFEALDQE TTPLPKEQDPFIARTHIENPDALQSRESQADLNELDSLRPETPSGARRPSELEAVNEY TDVRVRDVITGRLVQGHLVDDDYPPSGPTSMAVSGDATPGQLPSQESEAIPDSMVDSV VSTTSSMPGDHALGGSFDAADSSDEEEGSTKRNVEQEKTITLVGDVRDRTVFLVDDMI DKSGSWIAAAETVVKRGGAKKVYCIATHGLFGDSSLEQLEACESINYIVVTNTFPISP QVARKSRKLIIIDISSLLSESIRRHHYGESVSALFHLND ANIA_06712 MSHFKEKIHDLEEHMKDSHISEKAHHLKHRVGKFFNIVNPNHRH DEEHEKETDRKRTAICESNRFGSFAPVRQGNKVKWYVDALDYLWAVSIALEQAKEVIY IEDWWLSPELFLRRPPLTAQEWRLDQVLKRKAEAGVKIYVIVYKEVNQALTCNSAHTK YALRALCPEGSPGHGNINLLRHPDHNIFENAADMTLYWAHHEKFIVIDYNVAFIGGID LCFGRWDTHQHPLADVHPSNLRMEIFPGQEFNNNRIMDFQSVGEWQSNELSKAEYGRM PWHDVAMGVIGDCVYDIAEHFVLRWNFIKRDKYKRDPTVDWLLLEGRTGKDEDLVGVQ RPKFPVGDYVQHPYKPLNTKPMGAQGSCTAQIVRSSADWSSGILVEHSIQNAYKDIIN KAEHFVYIENQFFITATGDQQSPILNTIGRSIVDACVRAGKEGRKFRVIIVIPAIPGF AGDLRQNEATGTRAIMDYQYKSINRGEHSIFGQIAAQGVDPTNHIFVFNLRAYDRINK TPALEELEKEAGVTFNDIQRGVAETLTSESVHPSVGKEGDKNEINYGGAEKEREERIA RLQKYEERNKAHEAHSTANNKDSVSHTTMLHGGKMSDEVWEGDPEAEKENFIQEELYV HGKVCIVDDRVAICGSANINDRSQLGYHDSELAIVVEDQDFIDSTMDGKPYKAARHAA TLRRQLWREHLGLLPAQNYDASEHPNAQPPTICMNEILEGPENDFVTDPMNDNLWKTW TDQATVNTNIYRQLFRTDPDDNIRTFEEYDKYRAKDGYKLGHLYDPFIPVQEVREKLD KIKGHLVWMPLDFLKDAEMAEPGLQVNQYTESIYT ANIA_06713 MARDFYKDGVDFASLALQSADFAKLSVQHIQLFSSILTNIDQQL TVSLLKRDFDLDVNIPETRLCPPVPNRLNYVLCVTGLDMCVPTPFVRKFLSPTSGTGC CSIYPILGVRTRPNWTFIATDIDDENIKSSTETVKANNLESRIRIVKTNADNDLISLN TLGVERLDFTMCNPPFYSSQDEMVASAKDKDRPPFSACTGAEIEMVTRGGEVSFVSRI VTESIALQDKVLWYTSMLGKLSSVSTLIERLIELGNTNYAVTEFVQGNKTRRWAIAWS WADRRPAVSVARGIKSPNYPKHLLPFPSEYTFALPGRSIDEISSAVNTELSSLHISWT WHSDLTTGIGFASTNVWSRQYRRAMKISSGVLDRNLDVDPHTAALGFRVQLAMESDTV RTGDETSTEKKEVRVLVRWLKGTDSVLFESFCGMLKRKLEGR ANIA_06714 MAQFSSTNFPEFLNTLFSEPSLNEQCDPINLEDGQIAPLLELPL AKAIFGHNSDDLSLERVTRGEISYTQFVSEKTKAVVSTPINGLSAAQQKSQLLHIGLA ALFAFLQSNVTGPPLQFKSADVIIPEALRSNPDTLRALRDKIIRDLSVDGEAAYKLTP CVELFAAAKAILVDADVLVEGGPLVARTTRMRVNFLHQKMLSEITSTLQDVIYKDLDV ISETLLNHMTSGAEKGKFLLERATVHVHHGFDAKARADLERAAAERKFEFALTGRLGK RTKFQQRDISQLVILAKSAEDPSNTDGVETSERTKDNAAAPTNLDLNDDTLLEAISFT KPDEQKSQGKAVTVQEESSLPPALASLDPGEQPMLDPVDSAILLCFAAAITNTRPEDG LTREETAPYATRVLDGGSSNWQIYTQALLLRSRIEGYRSRTVERSVLQLQALVDQVLA DTATSDTQTTEAQQEPTTFLPRPQKEESASAADRLKYIWLLSFATRWDLESELANRWV NLGGLRTALDIYERLQMWAEVALCYAATDKEDKARVTVRRQLYAPTGNPDDENEQFEG PELSPLPPDAPRLFCILGDIQSDPTLYDRAWDVSNQRYARAQRSLARHFLTLKPPALE KAAEAYTKSLNINRLNHGAWFALGCVQLELQRWDEAVESFTRTVQLEDNDAEAWSNLA AAMLRTSKPSELDDSTDQLAEASEPADDEEDSETQPAKPARDPYKRKREAIVALHRAA QLKNTDSRIWDNLLTVAASIPPPLTPYRDVITAQRKVIELLGPKKGEKAVDIPILGML VDHLVTDYNYEDLLIDVNPDPGNTSNGDGDVSGRKCRTKKVVRSGTIPGSILSLIESS VVPLITHSAPLWLLIATVERFRNRPSKALEAHEKAWRATVASASQGAFQMGDEKRWME IVSATERLVRSGYAKYGPLDKEDEDGEETKEVGEEKELVAKDWRFKAKSAVRGIMGKG KEYWEDTEGWGRLKELQGEVSGGR ANIA_06715 MTTSNHHQQRPSLSMSYSQGSIGSANGMSFSQSQMSSLNASQSV ASTPRATPPPKSSQQSAMSFNYSNGLPNGARASFSGFEDMNGYGTMIYHEEFKPQIYR AVYSNVSVYEMEVNGVAVMKRRSDGWLNATQILKVAGVVKARRTKTLEKEIAAGEHEK VQGGYGKYQGTWVNYQRGVELCREYHVEELLRPLLEYDMNPNGTAASGQDSLDTPTKE QAMAAQRKRLYSGMENRSMSQPQQGTFFQNISRTAATAVNAMSKARFESPAARGGDSR RLSVIRKPSQQMGSQDAQPPFGSQQSFYSAASDSGFASNIPTNGRYAPQDAMSFEQEE PMEPPRKRIRSSQAFSLPIDGTSMSMSEPTPTEPNDSFYQDMEPLHHIDEGRHGLDPL PPATTPERFQKMKLIMTLFLDKTTKDFSTHPALIQLSGEDLEVPLDEYRNNALHWAAM LARMPLVYALVKKGVNIARLNGAGETALQKAVGTRNNLDYRSFPRLLQVLAPTIDMVD RSGRTILHHIAVMAATGHGGHVSAKHYLEALLEFIVRHGGTSLNQQSNGTASQPGMPL SNEVITLGRFISEIVNLRDDQGDTALNLAGRARSVLVPQLLEVGADPHIPNHTGLRPA DYGVGVDMVDGSSQPAGSRSDTFLAQLAKTRKEILEATTAQVTAIVQETLGTFDKELA ASLTSKQEKFDHWHAKIRESAKARQIEQKQLDELKRRSIDRTETSRRLKNLEKSSTDL LEAHKEILTNLGDTSKPVSLGDADQESGFEIAEFEALFPETFDPASGFSEAQIAYLRK LPSAEILEQRVSCYRAFNKETLDEIDALRSKNVVLGQNYRRMVMACTGWSAEQVDEAA EGLTQCVKELNDNPVPEDEAIEILMRDRGQDW ANIA_06716 MAPRILVLHSREEWRNLLRSLLRECSYLPDPIARSACHEQVILR FRRYHVDPKKHDEDIERLKTARREVAKKSLSVLRRANEGFTKPLEKVLKFAYGRSGRR RAELLNKLLQDHGAVNSDDVNALIAAADQFEKKWVAPRVATDLLRSQIDNPYVSQISD RTIPIKGDKPPGDMKNAWGRRMPLKRGAHYRRRWYKMVLDALLPPLPDSQLKVLEGLM SGAIPWSPPKRRSQKHTTSPADASDLDSKGAMIQTVLTDGPPKEGTFAAYKSGRPHNI TRRFMFRLWKRISCLVPRHRWDAESKKHIFEWDTASVPPDIAVSAKEDSSLKILGGSD TESKTLHKPKETTKRAS ANIA_10847 MSYAEAAAKGPKQSPEEVLALPTSITFIETKREVKTTTQAERIE REEEESEEARERKEQAKNKAKAKAQRARENGGNPVFIGNAALLVLVGAGLGLGAYKKH TQGKLSWELVGLWTGAVGAFGAVDYFVSKWLLQNKYPPKH ANIA_06717 MFAARQSFGFLQKRAFSASASQASKVAVLGASGGIGQPLSLLLK LNPRVSELALYDIRGGPGVAADISHINTNSTVKGYEPTESGLADALKGSEIVLIPAGV PRKPGMTRDDLFNTNASIVRDLAKAAAKASPEANILVISNPVNSTVPIVSEVFKAAGV YNPKRLFGVTTLDVVRASRFISQVQGTDPSKEAVPVVGGHSGVTIVPLLSQSNHPNID GKTRDELVHRIQFGGDEVVKAKDGAGSATLSMAMAGARFAESLLRAAQGEKGVVEPTF VESPLYKDQGVNFFASKVELGPNGAEKINPVGEVNEFEQKLLEACLVDLKKNIQKGID FVKANP ANIA_06718 MKRNKKKTKLRSRYDDDTEKGSDTEETEQSDSFDEKQLRKFVSK LKAKKARMLNPVDDSSEDQQFDDGDTELIDMSLALAKEKLRSKEGTGKRTKLRGRRNL LGDALGDAQKNYSQKGLQKKLARKAGSKMAFKRVDQLWDNTIHKYKLTETVNDPDANE WDQYLFTVRRKFDWEGKYMETVVDLKSKHLREALGKVMDGVKGVSLVQDTAVVDPNML FLYLEETRDYMKELKQLAKTEKKKKARKIASLKARQLKVLVKYLDTDYAETKKTLYPL LEANTITFDLLWALFKPNTIAYAPTYGNQDEPRAFKVEYAVKESSFMKGQWYSIEGRY LEYDGKTFGMGTMEAVVESFKGARKITSLGCYPLKYHRDADDVRTKLIERGKKFVSLK GMNYRFHKGMAFYKKKRTVIKVNINGRIMIDPAIHRRINPNYPISTVRPNDPDLLDGS DDETDGGCCCVSGSESNQSNTQHQDSDTPKTKLKFVRNKQGKPQLVRVEVDENGNEVE KEILDEVSDNSEREFTEEELLIASPVVLGFAFSEKLWLEFSVSGVSDIQWSEDAFDSL VLPGNQKSIVKALVESHTFCAAQNIDDVIQGKGRGLVAVLHGPPGTGKTLTAEGIAEL LKRPLYMVSAGELGTDSRTLEGELNKILDIAHSWGAVLLLDEADIFLEKRTIQDIHRN ALVSIFLRLLEYFQGILFLTTNRVETFDDAFQSRIHVALRYGDLTTKAKRSVWKMFLQ RVQAVEGVQSADFTDKDFDMLSRHNLNGRQIKNSVRTAQALAVNEGNPLSMEHIKRVL EVAETFDHDLRGGSGYMDAMRSYT ANIA_06719 MDFDISTELSTHSIEFTPINIRTDHGREVANAAMNEANDNGDEQ PLSGIEAEDEENNTNASPVSMTKKETPKLGKRTGKRASPKTPSKKSKKDTGVVAGNGT GTPEGAPARATLPPIPTSLATAGEMDRMILHLRDEEKQPWTQINRLFTEKTGIKVGST TLRLRWSTMKANFVGITIEDEARLLRLKKEIEDKFEQEKWHRIMEAIQSDGGEKYPIA VLQKKFKELSKNAATSAHEAAFGTDDTKE ANIA_10853 MAGRASSGSQSSVAEQTNDRQEYLTIAWPAGLLGYCVNLSGIDS NHQIQISQHDNVCIVKNELLAVLITYSAPNLHRHCPLLEMLRSPVTVSPERSASRPPL PRSSSDFDDDPDRPGSSGSDASSVISNATAFQTTPHRRDRDRDREPDPSYSPRTVLRT PPTETSAAASASAQGPGHPPTSFMPHHDPTSRKPSGRVYPSDLHKRSRHHSQGFFEPS LPTASSSDATLSASRIAAQAAMQSQGQHSSSTIPQVPPKRAVQGHGSDNGSGSVSPPP PIPASQPQRPGSAGSPYQNSNATTGGHGVGQAAATTAANHVFPRLPPPGVEAHPNERE HKKTEKEKSKMKLFSKPKHIGISRDKDFKDRGLPSPNKISGLTRIVSASATNLADIYP SNNSSMYSLSNASASTVVPADKPSVPEKEKDKEKDKEKDKEKAHRHHHFLSRQKLKLK DLKDKDDHYNLPLSSAASNSRPSDPNAPQSLYSFTPASPSATTTSFSKSVGGLDLLHG GRALRDKKKEEKTLAEEQPEWLANSTVAGAATAGFAGPSSLGSTGGFLTEAVVRETLQ GFGLHNMSPEDAWDFLKAKLLVIFDGEDVRIAIEDLNKLVIIHIQRCVQRRTPTAIVD DLRGLLEAGFATLNHTLNGVPDDKLVPHLVQIWMLMNIREAKNFWSLALDGEYPGCEL EVRNLVLIAFRDMVIINRYDNLKATFSRLSLDSIKLGNSALSVTTKSSNNSNNGRPTT SASFDGGFGSYSSQSSTFLNTAGSFSSESPGYNRSRATSNTSSNPDQLIFQSFSSPSQ RPTIIHRANNASDTSHVITETVGRMLQCMSVLASVQTNDTAQERIETLSKDLKHNWLG RGRTGRDRRGFVGTKIRPPIVAQASDNSTDSNMDELSSKRLQQELSVL ANIA_10849 MLSAFTARPLVELKPRDKSRIEAVLAYGDRVLVGLNNGNLRVYR VNEVEIEAEHDADPPPTQNNGNGHDAGYGGSRPPTQNGNGDNGTNNPVAKAKRTDLLR ELEKFSRYKIEQLAIIKEAKLLVSLSGGYVSIHDLQTYELQEQLTRTKGAVAFAVTSN IVNDPETGVPSIVSRLAVAVKRKIMLWSWRDMELENDTAELTLVSGIKTLTWVSGTRL VAGLSSNFVLVDIEMKTVTDLVGPGSIGGLGGQETGRLAGVGVASMSYIGIGGSAPKP LATRLSEGQILLAKDINTQFTDIDGNSLGRRQIPWSSAPADIGYSYPFLLALHDSMKG ILEVRNPETLSLLQSISLPSASTMHIAQPTISLAHAGKGFLVASDRIIWRMEALGYDS QIDSLVEKGYLDEAISLAGMLEDALLKNKEGRIREIKLEKAEGMFRLRRYLESMELFT EVSAPPETVIQLYPRIIAGDLTSISEEDELEGSMTESQSKLPDSQAQAEGNGSEETTP IKALNHTPSMMSFLRSRDEGSGSDSGSVRGKPEEARLEKPLTGKDLKLAVRELQAYLA DVRRRFQRFLNPDGSLKIDPQTHAAKDEYTDSVMKLLDVGEDDEDYDLGEKLREKARL VDTTLFRVYMYATPSLAGSLFRIANFCDPEVVMEKLEETGRHNDLIDFLYGKKMHRQA LELLRKFGQSESEEETAPQLHGPKRMVAYLQHLPPEHIDLILEFSEWPIREDPDLGME IFLADTENAETLPRQKVLDFLQGIDDKLAVRYLEHVIGELNDLTPDLHQRLAVLYLNR LQKHQNSKDGFATEDEYKFWREKLITMLKTSDQYSPSKILARLDRDDPEFFEARAILF SKMGQHRQALEIYVFKLEDYVKAEEYCNHLHRTEDTPTPSGPAAEYSALAPSDDEPSI YHTLLSLYLTPPHGYKPQYAPALDILARHGSRLPANSALDLIPESLPVHELEFYFKGR MRAANTILNESRITANLLKVQTIKTRAELLVGEGTDGRSSRSRHVTITEERVCSVCHK RIGGSVINVFPEAGLSFLIPRIVRLPKDSLFLLLRTPFTGLVYLLPCSSRRLMHRHQP LPLRSSLPPWLHSLTIGGPGLQLFSCPPPYVRSKTN ANIA_10844 MSSKTFFASSDDTKICVVMVGLPARGKSLIAGKAMRYLGWVGIP ARVFNVGTYRRSATPQPDANFFDPHNSEGEKMRRAAAEAAMTDMIQWFNSGKGVVAIL DATNSTRKRRKWIYESCREANIETLFVESICDDEDLIMNNILEVKTTSPDYKGQDPEV AALDFRNRIRNYEKVYEGIGDDENHYTYVKLINVGSTVIINQIKDYLSSRLVYYIQNL HIKPRSIWLSRHGESEYNLTGKIGGDSSISERGEAYARALPGLLKKSGVPPNTKIVIW TSTLKRTIQTARHLAAETGYEKLEWKALDELDSGVCDGLTYEEIAEKYPEDFAARDED KYNYRYRGGESYRDVVIRLEPIIMELERSENVIIVTHQAVLRCIYSYFLNVAQEQSPW MEVPLHTLIKLTPRAYGTEEQRFKADIPAVSTWRAKGTSAKHQDFPTEMKA ANIA_06721 MTTVFKNARVYSPESGLGDAAFGSCMVIEKNQIVHVGSLEDATI PSDARIIDLHDRIIMPGFIDAHVHILQYGLSLRKVDLTGCTTLIQIRNAIKSYADSHL SVPRILCRGWNQSCFDEEPLASMIDDLEPRPIYIEAADLHSIWCNSAALDEMCAHTAP DPPGGAIHRDETGRAIGLLSEGAVMNFAWPFLDKVTPKAEKLDALEAAIKSYSATGYT GTIDMAMCEDHWEILNLYRAHKKIPFHIAAHWLVPFSDDQQVNFSYVDRALEIRRQYN DPTFTIAGIKLMCDGVVDGCTAALRHPYGGNPDPVQPIWPEDLMSAVIQRADDAGLQV AIHAIGDQAVHQSINILSSLVNTQSQSLQEPQRDRRHRIEHLELTSPADAARLGQFGI TASIQPVHSDPAHFTAWPSLIGSHRCKRAFAYKDFLAGGAVIALGTDAPTAPHFPFQN LYHATTRRSAIERESLQRLNPEFSLTLLQAVAGATNGAAYSRFAEGWTGRLEVGLNAD FVVVDMFWKEEKLLEARVCQTWYRGEKVFDCDA ANIA_10848 MDASENQAQAQQQTPQKPTYQNGVRTNGRAFNSPNWRVKREESP SGSRSPSQDTQNGSPRRTPGFGRQNREVPQAISEGRRLYVGNMPYTAKMEDVQELFTR GGFEVVRIDISIDPFSGRNPSYCFVDLSTKELAERAMAELDGGDLLGRPVRIKPGVVK SASERQPQQRTGMGSPRANRAGSSPLNADRWRRDDNLTSASTTPTNKRLYVGGLPRLT DPDAISSNITQFFKGYNLTNISKLFTPHPAKRFEPGDHYYLFVDFETVEETQNAMAAL NGAEGPWGAAIRVQRARGETWKNTDSNNTSEERRPAAGRWGPTTRRQDVASTPAPASG EAAVQA ANIA_10845 MQAKASTSPLGDSIEPRTENLEYATEQKESFVPRRAFGTAAERA RRNLNAKLANPLSGYSHEELRRQGINFAITHQIGDEGDIRAFGLGAMLAQAPEKFENV PGLTVQELEVLRHEFEHRWSQPWTMYLVIILCSLSAAVQGMDETVVNGAQIFYKHQFG IADENISRHNWISGLVNAAPYLCCAIVGCWLTVPFNSWFGRRGTIFITCIFSATTCLW QGCCSTWWSLFIARFALGFGIGPKSATVPVYAAETAPPAVRGALVMQWQMWTAFGIMF GYAADLAFFQVPDSSGIVGLNWRLMLASALVPAVIVCCFVFMCPESPRWYMSRNLYDR AYQSMCSLRFNKVQAARDMYYMYTLLEAEKSMKLGQNKLLELINVPRNRRAMFASEIV MFMQQFCGVNVLAYYSSEIFLQTASEHSKLTVSNQRKALTASLGWGLINWLFAIPAVY TIDTFGRRNLLLSTFPLMALSMFFTGFSFWIPEDTGNNARLGCTALGTYLFGVFYSVG EGPVPFTYSAEAYPLYVRSYGMALATATTWLFNFVLAITWPSLRIAFKDQGAFSWYAG WCIVGWWMILMFMPETKGKTLEELDQVFSVSTRFHARYGLRQIPFFFKRYVFRQKVQP EILYERDYSFENLAGGGLV ANIA_06723 MLGKIALEEAFALPRFEEKTRWWASLFSTDPETHVKEITDITKM RIEHADKYGVGYQILSYTAPGVQDIWDPAEAQALAVEINDYIAEQIKERPDRFGAFAT LSMHDPQEAATELRRCVEKYGFLGALVNDTQRAGPDGDDMIFYDNASWDVFWKTCTDL NVPLYLHPRNPTGTIYNKLWADRKWLVGPPLSFAQGVSLHVLGMVTNGVFDRHPKLQI ILGHLGEHIPFDMWRINHWFEDRKKQLGLAETCKRTIREYFADNLWITTSGHFSTNTL KFCMGEVSADRILFSIDYPFEHFEDACEWFDSAELNLVDKVKIGRENAKRLFRLGPYK DSEA ANIA_06724 MSYFSRHGSGGAASAYPTLPPTPEEPEEDILTDHENEETGLCHH QPYAKNAVEAPDQYRHATVESHAQSSDDLQNEVVTTSTKCSNANEADTVLPLRPRSRS STISTEPFPDLDASMSELPSLAYRAQEEAYKHAMGKVAEEILRMNEIRDHIIAIRGPA SSVEDEELARSRSSMIVLEGVRPCPEVSHHDHFCVQQEAHKRAMHERVDQFLKGREDV PKHFGKRFSAVTSMIVHMEFILFRSKNFPRDPLNQASENDLKTVFHYTTKIIEYFSAV GGLIFRERNNAERARVLISQVAAKTGVTLLDRFKEMAAIIIQYLKRARRSEQNFFEIF QQFFEVWLYDDFHVMYNNYLVEHMPNIPPNFQRLSYLLNATYNMLGQMIRDYEALGKI NRDIGDRFIRPVVDQMARGDNLYGNPINEPAYPACEHENKYLLALSRSAAERHQSEVH RELAFILGHAQTETGAKHHVNQARSPTVLSACFGISNDEAPQDHAHGLGNRTSQECRA AHEALHYPFHSRVEPHGRRISDRLGPSDVPLVYRSSPFIVRHHSNTLEQGTLSRPYPM PDGYRHDNSQLPEQSILQSSYTSAQMFSSQSSHALAAGSSRSDSLPVEHGKDDTCGVS DTDYAPPEAMTSLKFNRCWEETHRPNSKASLSSYAGHPQTGDAENNGGHITRHGTLNE HLRGHTSTEQSEATSSHSAQSSRTQSYDESKPQCQQDDCPDEDILDLNAAEEEEDEEA WLRHDYIDYRHRCTNTFAARLEALAHIRRQNSIENGEYEDPSNNVPSFAASRPRPSGY SISRSMLQFQYPAYEDASDQDQALERRVVARDDNNSPILPPMPEHPRFAVSLDSDEDH PVPVGIVRPQPRPAAMPIALPCPVTRRGLSSDCEREREVFIYEAPRQNPKL ANIA_06725 MFPTESPTDSASPGGNQSSEFTQQAGDSGATSEHPKRHYPSRLC RICLETVPPTLVPPSEHMPGFLQRGVRVVYESEDPELGRLLKPCKCKGSSRYVHEGCL QTWRLSSPSHDKRRFWNCPTCGFQYRLERLTWAGWINSPISQIALTSLVLLLTIFLLG FIADPIINFYIDPVETVYYADYWEENSLLGAKSPTWIDHFLKGMTSLGLLGFVQTLYG LAPWHWSTVRSSTIVRGRASTGRDRVSSIRWIVVVLGIASFFWAVYKGVRAWSRLILE RASGRVMDVPSPDDDDDDEAEDSHPKTE ANIA_06726 MADRYSFSLTTFSPSGKLVQIEYALNAVNQGVTALGIKATNGIV LATEKKSSSPLIDPPSLSKISLITPDIGMVYAGMSPDYRVLVDKARKVSHTGYKRIYN EYPPTRILVQDVARVVQEATQSGGVRPYGVSLLIAGWDEGVEPETAQAQKGEEEEPKK ATGKTGGILKGGPSLYQVDPSGSYYPWKATAIGKHATSAKTFLEKRYTEGLELEDAIH IALLTLKETIEGEMNGDTIEIGIVGPPADHLLGYEGVEGARGPRFRKLTKEEIEDYLT SL ANIA_06727 MVSMAQDIYDGISGSLTGEDRSEGLLAVLTIFIALSCYNVLELV VLVLSTFRRWKGLYFWSLLISGVVGVVPYSIGFLLKFFSHVDSTFSVSILTVGWWTMV TGQSFVLYSRLHLVLRDERILHRVLYMIIANVFLLHVPTTVLTYGANVVADEPWVVGY NVMEKIQMTGFTIQEVIISVLYVWETIRMLQLTSSRENRKIMHQLVGINVMIVTMDLV LLGLEGSSTA ANIA_06728 MDTQELLSPATQRLRPVVSYSASQSLQASAALSVGAIPTGITQL DNAISPLPAEQDDDADSRGIPQGQVTEVFGPPGVGKTSLALNVASNALLAGGKVVWID TGSPLPRLRLRDVLQKSIEVSKSPQTAEQLVQNLTHIRAQSLPHILALLLHPPSTFPP QDCRLLVVDSASGPFASYFPNPTELKARLGQSKNIDKAQVQWLMNRSSNVTSDLANQL MKLATTHRMAVLAINQTRTRIKGQPRATLCPVLAGGAWESSISTRLVLYRDLLTTENT VEGIRCAEVMKRSGKMLAVRLGANIVQFVIEKDGLRALDDQPASRTTALDFGEAQDTP LQRKRKVDEIADSEDEGDSDAEYGWVDDGFAK ANIA_06729 MQGIQQLWIPAMLEPQQSVILLNTILAISGVLIFTVYAVIRFSA ENTAAITPRGCRRIGLPKGQSNLHDEYDPKNSSGFSESSTDERGCPAWRIKALFTYPI KSCKGIELDESGIVPTGFAFDRQFCFAELDEKGNWIARTLRNRGFNRLALVRPEIWVP DATMQGYRSDLEEVRSGGVMLVYYPRPNPYRGLGWHAVHSAVFQMGLHFGLIAREGSF TVPLCQPSGSENMKLDSGVPRERVKIWKDNPLAYNYGQYIPSSFHDFLNEGFPNFNLA SRITANSSHRRITLFRVNPTHTRKIYRNAPRKQEIGFQPQTGFADAYPIHIISLSSVR DVNARCAEDIPDLSVRRFRANIIVQGPKAFEEDDWKVIRISPATAKKKAPKEDDEGDS AEQALIMYTACRTVRCKLPNVDPDTGTRHPSEPDRTLKSYRRIDKGDLTNACLGMQAV PAVEGALLILMINGSECVLDTFLFPSCC ANIA_06730 MDGPDQIGPDVRPRRTFGDRVRRAARAFTTRDGLIGDYDYGFLF TPRLPFVKQKRRAAPFFGLEDKIPLVLALLLGLQHALAMLAGVITPPILLAGSSGANF GADESQYLVSTSLIVSGLLSAVQMFRLHVYKTRYYVGTGLVSVVGTSFATITVATGTF NQMYSTGYCPVDGSGNRLPCPKGYGALLATSCLCSLLEIGLSFMSSRLLKALFPPIVT GPTVFLIGASLIGNAMKDWAGGSGTCSSNPGNGALCPSADAPHPLPWGSAEFIGLGFL VFATIILCERFGSPIMKSCAVIVGLLVGCIVAAACGYFDRSGIDAAPVASFIWVKTFP LTIYAPLILPLLAVYMVIMMESIGDITATCDVSRLQVEGATFDSRIQGGVLGNGITCL LAGLCTITPMSVFAQNNGVIALTRCANRKAGYCCCFFLVVMGIFAKFAAALVAIPSSV LGGMTTFLFSSVAISGVRIMCSVDWTRRNRFILTASFAVGMAATLVPDWFSYFFTYSG DNHALEGLLQAVELVMANGFAVTGFLGLLLNLILPEDMEEDVVESEEDYEATTVVGMQ GGSEPGSSGQNVKA ANIA_06731 MSAPTADIRARAPEAKKVHIADTAINRHNWYKHVNWLNVFLIIG IPLYGCIQAFWVPLQLKTAIWAVIYYFFTGLGITAGYHRLWAHCSYSATLPLRIWLAA VGGGAVEGSIRWWARDHRAHHRYTDTDKDPYSVRKGLLYSHLGWMVMKQNPKRIGRTD ISDLNEDPVVVWQHRNYLKVVFTMGLAVPMLVAGLGWGDWLGGFVYAGILRIFFVQQA TFCVNSLAHWLGDQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWHQYD PTKWSIWAWKQLGLAYDLKKFRANEIEKGRVQQLQKKLDRKRATLDWGTPLDQLPVME WDDYVEQAKNGRGLVAIAGVVHDVTDFIKDHPGGKAMISSGIGKDATAMFNGGVYYHS NAAHNLLSTMRVGVIRGGCEVEIWKRAQKENVEYVRDGSGQRVIRAGEQPTKIPEPIP TADAA ANIA_10851 MTAPTPNPSTLPITTHHCRFCATLLIATTRDVSALPTRSKNAAD GARILPLRSYELPQDIRTAEASASTSEQLENTEQEQIQKHYTILLATNSRDRKPTLIR RSDGFEKRFFLRCGRCRIPVGYFLDEVHFPVSGGLKGAAAGPGLDSAGVQGGEGVDRA VYLLPGALMETEIMSDEEKMKAIDREWGHWFK ANIA_06732 MASTNYKEAFSLFDKRGTGRVALESLGDLLRACGQNPTLAEIAD LEKNVGGDFDFESFLKVLNRPGGFREPGEPEEYCRGFQVFDKDMTGVIGKGAVALYLD ELGREDDR ANIA_06733 MAFAVTPVAPLSQGTRPDTEVGSESPDVPEANGPPRKRKRTRKV QVDRKFDCSYEGCGKSYSRAEHLYRHQLNHAPKQIYRCDFPECYRSFVRQDLCVRHRE RHTTQGSQLQKRDHFAQAASTSTGGIAKSQIVHTAVQLPQNAPPVLSPPDSKRGSTGP DQPIAASSIPVSSPTSRGFNRFSYQPAAQQHAPTAEVPYSQPCDLPNTPITTTTFNSP PLQRPLQVGSNTRHALNGSPTNELGPARSAALDEPLVSNRSQDLGASYAVSADLTGSL VSPSAYTDQAGLQIPVDGYSDINMAPVTSSASAPLDQTNGLTLDSMAGMAVGDMQFDG LNSCVYPVFGGESNRSPFHMGDDFTAWLFNEPVPGSSMAPPANMVPGFMDAQMQNQFL MSDPSYGNFLNSVIPAHPMSVTSILDPGSPRAIMSEEKRQELLDLMSTRFNEAAYSAV AKRKDALMDGDMDEDRHVLSLSKMQTYIGSYWYHFHAQLPILHRPTFVADKAPNLLLL AVIAIGAATLDSIHGQEVTEAASELADFIMWHLRWEIFMEGDFRPPAKLWVFQALLLL EVYEKMYSTRALHERAHIHHDTTLTLMRRGSSLIGRHSFDSPASLRDDRQARSTTGST MTPDFAADDSWAHWIKTEATRRVAFAAFVLDSTHATMFGHSAKMVAHELRLPLPCDEA LWSATSASEVARVQASLHANGVRPVMFLDGLKRTLNGQRVRTNAFGRTILMAGLLSVS WHMNQRDLQVSSLGVAHALGGRDKWRSALLRAFDNWRRDFDEALQPGMASYPNGYRGR YALDEDNVFESRDVLHGLAHMASHVDIVDCQIFAGARRLMGRAITPRDYNAAREKMVE RWATKASARDATFYALKFLAECLLDHQGAHYEGELYCGREDYLLNRPWVIYVAALVVW CYGYALEGPIAGAPALSTVAEQRQDMQAFLRRVGGCREPSDLETMKGRNQCLGLLIIL RDGFTNARWELLAEAANLLGSCIDKLREVSQ ANIA_06734 MHILIQYAQSSPAASTNPRLLDCITSWMREIPASKIVESPLMDV IVKALDDDASFDAAVDSMCTLYRDTREVDDSLPLIQALFPRVMSLRPKIAEFAEAEDT EAFRGITRLFAEAGEAWVVLIARMPAQFRELVEAVLECCARDWERDVVSITFVFWYEL KQYVTLERYAESRAVYSDVFSRLVDIMIKHLEYPRPEDGESDLFGGDREQEEKFRQFR HSMGDVLKDCCVVIGVAECLSKAYQVIQQWISQYASQSTDEHVPNWQELEAPLFSLRA MGRMVDPEESAVLPQVIPLIVQIPNQEKVRFQAIMALARYTEWTAQHPETLEAQLNYV ISGFQHSSIEVVQASALAFKFLGTDCQKLLGGHIAQLHSFYESVIDKLKPSSQEEVTE GVAAVVAVQPLEKIYETMKMFCDPIMARIMNLANNAKDEQGQRAVADHLQLITIFILV VNPYVAQDQENPAVKYCGEVLPITTTLVMNFTSSTPILERSLASGFEVSREGCFLWAT DAVVREFSEGAEFVDPATSRAVFQFYEQQATAFLRTLNDLPPENLPDVIEDFFRLSSD AVRFYPKECISSSLSVPIFSAALSSLTLQQIDPLMAVLHYYHDLFSFAFDKPAVSSFT APDGKAYSNPPEVQEAVKQLIASQGPVLVQRILTGMMFTFPGECFPDASSLLMSLFEL MPPEAGSWVQSTLQMLPAGTMKAGEAERLLKGISDKVQSGETRKIRALLQDFTNSYRR RNVAPREGLGRLEATRFRFSG ANIA_06735 MTGRGGGAARKTLLAPIHFIFKLLQQRSTVSIWLYEQLAFRIEG KIRGFDEFMNLVIDDAVEVRLATKSEEEKRRPLGQILLKGDNVSLIQAVQ ANIA_06736 MLVIYFIGSLLASLVSARNAADLIGTWTTKSRQVFTGPGFYDVV KDELIEPRLTGISFSFTEDGHFEEAFYRAVSNPQDPSCPKGILQWQHGTYTVDSDGSI HLNPIAEDGRQLLSDPCSSSKGIYTRYNQTEKYSSFTVDVDPYYDSIRLNLYSFDGSP MIPMYLAYRPPEMLPTGPLQSIVSKKAKRHFDSKKVTPFGLRTLISKDNLVDPNRWLW VGIVMSAMGGITLFFT ANIA_06737 MLKVLRTTTRLGLYQARWNSTASPSLPPLLATLKADLKTAMRAK DTDRLNVIRALISETNNSQKTASPIQTDLQLLALIRKRAAASRDSIQQFVDANRPDLK EKEEKAQAILEEYGNQVQTMGADEIKQIVSEQVNKMKAAGTKVEIGLVLKSLFAPGGA LDGKPAERSEVAKIAKETVSAA ANIA_06738 MSYPSLPPVTPQRPLPGAFFQTPAPGNALNPPQSNSAQQTAQPP SDAGQPVLPRLPPSIKPAPQNLNTEERAARTINDTLTQEARYPDLDSYLSQGFSSDYD IPVSSTWAPFQKVRMYNIPDQIFDQYNLAQVSTSMGLLAELNHAWVAIDNALYLWDYT HTNPQLVGFEDQPNSINAVKLAKPRPGVFLPSITHLLVISTTAEVLLLGMKCEPTPGG SYQVTLYQTGMSTSVRGLDIHIIASSDATGRIFFAGSSDNDVYELTYQQEEKWFQGRC SKINHTSSRISQLAPSLSFTQRPSEQVVQMVMDDSRRLLYTLSSASTIRVFHLRADGS VALAITKPALDIYANIGHIIASNQALNSKVRIVSISPIPAAEASRYHLMATTATGYRI YLSATGSYSWSPAPNGANAPTSMQAHYVKTPPFDSSAPAYQGQSRFQPSLAATRVPIH TLDPTSSSVRYPPGYFFCFTCQDSTQKSDTLFISAPDSGRVARSQENVIPGKAAETGI WLSLGSRMEDIGLSSPTTPASATPSGFGNELAVQFENPSAEIAILTNTGIHVFRRRRL VDIFAALVRNGGSGGEEGLEGEIKNFIRTYGRSETLATALAVACGQGVEVSTDSRLSK INDPDVLEFARKVFIDYGGRPTLNENAVADNSTPAIDTVVPSPRHAGIALYISRLLRS VWKKEVAVVGGGPGGAQTISPSFPRAKLLAIQHDLSALQEFFKTNKSFIEGLSGPEAL ARVSTKQEELELQAEHRALHSLVQLVSHTIEGISFVTVLFDEKVEEIVALLPEESKQR FLKLTYEELFSTTKGHDIAKELVKGIVNRNIAKGANVETVADALRRRCGSFCSSEDVV IFKAQELLKRATEAGFNSELGRNLLNESLHLFQQVSENLPMDYLVPAVESYISNQFFA GAIQLALNVAGRSDKANLGLGWMMDGQPSSCYDLIFKVVLAVDNLAAQDPGVVDGQLT TIAKRKNEAYGIIAVSEDQVFLTSLYDWYLEQGWSDRLLRSESPYVVEYLKRKSTDDI SHADLLWRYYTQSERFFEAAQVQLELAQSSFVLPLSRRIEYLGQARANASTFTQDVGR QARQRLLQQVSNLIDVANVQDDLLQRLKDDTRLDPDAKARAVEEVDGPIMEVSTMFNQ YADVASYYDICLQIFYIADYRNPADVRATWQHLLQTLHDEAVARGSAGPQPYEAVIDK LRSLGARLRMSDAVFPIPILLPMLERYALEHQRNVGPPTWVIDTFFDLGVPHETIYSV LESMYYIDEAPFHGSNRKIIARDLLYTIEHWFHDTVRLGGVVFGSDLIAERIEEMLTL LQQSGISAEQMAVAHELRARINNILR ANIA_06739 MPSSAPVLRPKKAQPVAAHKEQEPISYDINIPYVDVSRENHLRT RYPEYLPTWDKLWFDPLPYFDYEDPALRVKDKSKPNLLTPNVKVTDIQPRIGSVLEGV QLSQLSAAAKDELALLVSERKVVAFPNQDLIDAGPAAQAAFMSHFGKPNYQPVSGTVR GYPGFHIIHRDGNREEICRFLEQRTTTTLWHQDVSYEIQPPGYVMLGLLEGPEVGGDT VFAATDLAYKRLSATLCSWLDTLSAVHSSAKMINHARLTGGLVRKDPVDTVHPLVRIH PVTGEKCLWFNGEFITKIPGLKEPEQRWLLDFLMQHIVSGHDFQARVRWQPKTIVIFD NRSTIHSAIVDYIDDDYGAKLRHIFRLCALGEKPIPVYDEFE ANIA_06740 MTSLWNRKRDLVYFGFFAIHIPIIFLVDAVPVLPGLLDNAVSRQ LRDFYTATYRDKFFEDSVPAWFTSYIWMEILYHVPLSIWALGALLRVIHHILDLSVRG LVVGGPHRRRKTKFNRALRPLRCAWGVYGLRYVRADSVEPPEAGQVETGVIVSAFSLY LPPRNIIAPAEHLSTRASHTVCGARMSDVEKRDACTTIIALLYTLHSHLAILYSNIDD CEITNE ANIA_06741 MSCSSIQAHYIVGPDMTIELLKSIVESETSIPPSSQRLVYNQQL LGDDSKTLEQVGIGEGDMLGVHVTLRGGAPSVQGQARPTGIGGTSGASHSQQIQQRRQ QQINPDPEMIRLHILGDPRVRDAVRQRNPELADVAHDPHRFREVLLTQQRLESQREAE KEARIAMLNADPFNPENQKEIEEIIRQNAVTENLHNAMEHHPESFGRVTMLYIPVEVN GHKLNAFVDSGAQVTIMSPDCATACNIMRLVDSRYGGIAKGVGTANILGRVHSAQIKI GDMFLPCSFTVMEGKHIDLLLGLDMLRRHQACIDLRRGALVIQDQAVPFLGEADIPKH LLEEFEDEPVIKGADGAEVGARTGAVTHQAGGSTPAPGPSSAANATASSSRPAAVHRP SPRWPEASIAKITELGFTREEATRALEAANGDLDGAIGFLI ANIA_06742 MGSAVETEQGLHVLIAGAGIGGLSAAIALRQQGHRVELFERSRF ANEIGAAIHLTPNANAALLKLGINATTLGAVESEKLRVFPPNGPEIFSLDIKKTAGFW RHRWLLVHRAHLHEGLKIAAQAPGPGVPAKLHTSNKVVDIDPHSATITLENGEKVTGD IVLGADGVHSVAKTKLSGGKNIKTFSSGKNAFRFLISRKDALDDPETKELVNEPGTWY MFDSPDLRVVVYPCANNDLLNFVCIHPESLSKIHDGSEWDQGASKESLLEVYKDFSPQ VRRLLAKADPTTLKVWPLLDTDDLPTWVEDRLAVMGDAAHPFLPYRASGGAMAIEDAV SLGVMLHKGVSVGSISERLKLYEKARRTRATTIQQLTRKSSHGPLPPSEEKSMTEYIY GHDEHDHSTQILRKHLWAQEPQKYWRQPIVFGPMPGPRQDFIGRSRLDRSLKSTFQTT SIRFKTSRTLLQNLLPNDSWSFCTPSTVATATFSQTLLNGMDWLGGGGYRHLGLYIEG VQYTKANGEVVSGTYLPILFETLADPIVSGREELGMPKLYSALEANEREGSYYLQASW QGAVWGRFQWEGLEDQDPATTAGPGDSGVNGGLLLHRYIPKVGRDCKGQAEVEYPVFV PNADESKMLVSKVNRVRTATRAAFEIDGLGWEALPTLHHIIERLAEIPVDEILSAKIV EGEGVPDVSSARRIE ANIA_06743 MPNPIRADITTIDSTSFPYIFEQNVTITLKDNSGLVRCNVYRPK SPEKVPVLVTYGPYGKDIPYADFHPKSFSEVNPEHRSQHSAWETPDPAFWTKKGYAVV RADERGTGQSRGKLDTMSRETSEAFFDVVEWAAEQEWSSGKVGLLGISYYAGSQWRVA ARQPKGLACIIPWEGMSDYYRDRCRHGGILSNSFISFWWNRQVLSNQYGRPGRAARNW GVDTIEGDLVEEELEANRQDQTVDNRINRFRDDLYYASKEYTMSDIQVPLLSVANWGG ILLHLRGNVEGYTQAGSQLKYLRFITGRHDLPFYYKEEVDIQLSFLDAFLKGDDRAGW STGTAPKVDLVLRKGDVGFNNAEAEKTYARRVENEWPIARTQYTRFYLTPNRELLTTP PEQKGYSKLSYAALGNIENPSFIQFTTPPFEHETEITGHIVAHLNVSMSPNPGAPTPT DIDLFLTLRYISPEGKEVYYTGTAGDPVPLCKGWLRVSLRKVNDKHARHREYLPHRDY FSTDVQPVIPGEVYPVDVEIWPTNVVVEKGGQIVLEVASGDTQGSGIFLHNEPTDSGV SLHILDVFHAPDVDAVVPNTARPWLAQPASPKMSASNESSAPKNLRPLSRYITTHNAA GKAIFSESIAPTMPVTPIPDGADFSLAYTSPTIPASFANETDIAAYASYLEPGNSPGL VISTGSVCRIVDMPPNALSSMHRTVSLDYGVVLEGEVQLELDSGETRLLKRGDVAVQR GTNHAWRNVTPPGEDGQAQWARMLYVLLPAKTVEIDGKALGEELGHIGVRSST ANIA_06744 MSSTTTTTAPTLSLLADYELHHSTPPPSASLSSPPQSQSVALAH QANPASWPTHHRRIPPYRPINRNLDFAERSAGSSVPEYIFIQSMLHGVWLNASIAQLW RATGGKINDRIFRYEVGGEY ANIA_06745 MPSPSPYPRIYASAIDGRAVNTRYKQAQLQRLQTALLQHIQRVK AAIQTDTSHDAGEIQAEIVLALTELRKHYFSLSLEQDLENEYLVAKGKDNPNATRPAG SGIVYIVPSTHTMFFGIISALSAAIVGGCCVILEGLQQLTKNTMALPPLLRQILSDAL DADTFAVAEERPSSSFLEGVLVVAQTDIPSLPQSLQSPVNAKTVAVVDRTADLRLAAE SLVTARFAIGGRSTYAPDIVLVQEFALKAFVEALIHHSSKYLAGPDGESREKAVGASN PRRPGPGSSVLDAAYKDPSTRVLVAGSGWGVVEVHDRQSALLQRKEKIAEKVLILHPV SSLDDAIDFCAGFEALAATYAFADPPSAKYLTQFIEAHISLINHLPVDLLIGPAYPIT TQLPADRSTRYNAASFLVPHPQFVTESASSTLLRSVLDKPTSAEAVKVWDDALKPLPP TGQRSGKRIGFFEQGILTGVGITLFSVIGVVGAVGYYSVWFLRRL ANIA_06746 MGLTPVHFFSHGSTMMLGEESSSAEYWRKCGAEALARGIKGVVI MLQGAHWDTAGDAIEVSMNPNPGKSPVAYVHPSKYVDYNLTPDLSMGQRCISMLQSAG FSVSGNDKFDWIHDVYLILIHMFPGTSPPTTIISMNARYDPHYHVKIGSTLRPLRAEN YLLIGTGGAVHNLYRNKWGPMLRFRDNFAMETPPEDWALEFRQAVEDVIVKVGGGPGL RRAMTRLMKHPRYRDAHATDDHFMAAMFVAGLVGEWEDVGTKTVLGAESWELTNMCNS QFTFGEWNGSNNGGGRKFVEVEV ANIA_06747 MQSALDSPGSRSRQGYFQCGFGSCRKAYNRADHLIRHKTFIDKY RYSREAIRLSSLQQGILATVSFVLKVFPLSWMPAEERIRDLLKRHAAGHSHLQDGKRR RTLSYSKSGRVSQACKACATSKLKCDEEKPCRRCRDRKLFCDYADANAQDGEQQGSND EHEHEHEQEEYSPLESQTFSPLNPEMNFQQLVTPDVQIDYLPPNPGPPPPIPAYDGKS CLDKAQTQADQVPAQTVSSLVDHESGVFSVDGTFFPEFIPDSLVSLSRPGEADPSAFP PNDYYAHGLFDYNGKFDFDLTEVDFGLIDFYNSRGSANPAPLQPDETDCDADRDSGIA LGAEAYSRSSLSAWKPGHSDHAFADQNDLSVPKSIDSPEASAQCRHQILSERLSPGSR DLIFGMVLQTSQRANLARIMKSFPSTELLDSLIQDFFAYQAQQVDSWIHGPTFHPNEE SPDMVGIVAAAAAVKSTIPTIRKLGYALMEVVRLQMSLKYENDNTTIRDLRASQTFAL TIDIGMWSGSGRRTEIAESFQQPVLTMLRRGLRFRRSLYPTIVPCLEDTPSTLERKWR DWAEQESFKRLVHHLFLHDAQSSLMLNINPLISYADLELPLPMIRALWDAKSATEWRD IYIATSASALAPERLPSLVDTLRDMSAYQGRIDHQLSASVILHGLSALINEYHRLKLI AQGSSKHWNALVINSRQQELEQVLQHFRMISVDTDPTAMSSPSSSHEISLLHEVISMF LFMSLEDLQLFAGKEDRNEARRVYDSALEWIGSADSRKAIWHAGQVIRAARAMSMAKE GSLTGFLAISVFYASLAFWSYGVVSRARRSKISTTSATSAGTITSTASTNTVSSVLSP KLGLGSSTSASGSELLVFLDGEETADVHRFISLARGCPALRGFSLSDGPALVSDPGKV MDVAQRLLRGDASAQTPYEALSPLVQGLCQLMHGLGSAAGREPDSDKQ ANIA_06748 MPVLSKLLPTLTLTLPLLAGPCLAAANPKLSKRFTFPIPSSTGS VTFSEPYEIAAGEIYDGELQTFGRGVECTGQDEGGESDTVFIVQEGGTLKNAIIGADQ IEGVYCLGACTIENVWWEKVCEDALSLKEGSGPYVVTGGGAQGAEDKVIQHNSEGEVI VDGFTVYDFGKLYRSCGTCGDIQRSATITNVVAVSGSTIAGANGNFGDVVTIDSSNCA TDVSAICTTYEADADGGEPEEVSTDVTEACVFEELPACE ANIA_06749 MTQYSDDDIDSAYGDDSLIGDDTQTLSTYITDYRYEFGRRYHSY RDGAYWEILDVGTGTGIWAIDAADEYPSARVTGVDLSPIQPSFVPPNCIFEIDDITLP WTYSANQFDFIHIRELFGCIPDWDQFFGHCWHCLKPGGYIEVVEHSVQPIADDGSMGP DHFYHEWGRVVVESGERSGKTFKIWQESAERLKRAGFVDVVEQRFKWPMNGWSSDPKL HELGRWNQFRLNGGIEGFMLRLLTTTLGWSYERSQVHLAQMRTALRDYRTHAYLPGTV VYARKPGSGISSPPR ANIA_06750 MNLLKRLSTLLPGRRPAVAESPSTEHVTVLIVGAGSTGLALAQG LKKAGIPCIIVEKNPSIDAQNRDWNMGLHWGAESLQTLMPEAMWSRIQSIQVDPSTPT AEVDCLKFLNGATGEVMATVPARKFYRLRRRKLRHLLSEGLDIRWNHRITAIEYSGDG KYATAYFDGQTSVTASLVVGADGARSTVRELLLGPQNGRIRTVPYCATWVQARYTAEQ ARFLRTFHPLYIAGINPAGFFSFLGLHDASSPDPAAWTFFFYISWRSPLEEQEATKNW TNAQRLAQVKQFAQHFTDPWKSAFEWLPDDQKVWYMCLTDFDPGCDEHRWDNHDGRVT LAGDAAHAMTYQRGQGLNHSVTDAGKLATAIGEFVSGDKKRGDAIDEYEQEMIERAGG EVRMSTTNTEMMHNWEEVLESPIFRRGMTKVQDTAAAEAAATASSDKDAHDGKGG ANIA_06751 MPYTNPILPGSNPDPSIVRVGDDYFLVTSTFEYTPSAPIYHSKD LIKWNLITHAITRPSQLQIQTPEPGGGVWATTIRYHEATKTFYVVAASFSRYRPQDDD RVWPRGFYVKTDWEGIWDPTGQSWSESVWLDAVGFDQDLFFDDDGTVYLSSTYRKHQR TITNGCPLKDFAIHISTIDLQTGDVTSAPKLIRSSSSGVSEGSHIFKRGKYYYLFTAE GGTESGHSEWVCRSESSPFGPWEAGPVSEVNPLFGSGISPDDEVQNTGHADFVEDTNG NWWAVFLGVRPVWRESEKRWEGSVFGRETFLSPVTWENNWPVVNSKRRITLAIDTPHL YHYTSSVEWRDDFTSGTLQLGWYRKNTPLKKDYALLACSTQPGQNARTSGGLRLLGAP YTLSTPSCPTLFLRKQTAQYCTWETKLRFLPDSVNVEAGTAVYLNYFTFVSIGIRLDP SQAETEEFRSLNNGKKERKRIIRFAPSNTHNTPGFSSKAVDFPLGSSTSAIVLRIECG DSYRFGFREVQTDAGTVPGAKQPETGEVQWVGEVSNRALVEAPLPIGAPFTGVMLGLY SVGDREAVRVPADFEYVQIRGIDQD ANIA_06752 MPNPPPAWVQALKPASPQGTELLTQERAQSNIDVDTLGDLLHTK EALKKQDEILSVLKSEKVFDKSRNHVLGRTEKIQLALARGKRLQQLKKAHNWSDEDVH VANDLVSEPTPYGLHASMFLVTLREQGTPEQHKLFYERARNYEIIGCYAQTELGHGSN VRGLETTATWDPSDQTFIIHSPTLTASKWWIGSLGRTANHAVVMAQLYIGGKNYGPHP FVVQIRDMETHQPLENVYVGDIGPKFGYNTMDNGFLLFNKLKIPHVNMLARFAQVDKA TNKYIRPASPSLMYGTMTWVRSNIVLQAGGVLARGVTIAVRYCAVRRQFQDRDAKANA EENQVLNYKMVQIRLLPLLAAMYALHFTGRGMMRLYEENQERMKAAAQADQEKRGAGP EQLRAGSDLLADLHATSCGLKALASTTAGEGLEVCRRACGGHGYSNYSGIGPWYADYL PTLTWEGDNYMLTQQVARYLLKSARAVLAGKGTANDTSRILQAYLARRDKGASFDILG NDADIVAAFAWRTAHLTFETLKYRDVEKRSWNSLLINFWRLSTALSQYLVVKNFYEAV NSPEIRSSLDKDTASTLRSLFRLHALHTLDREASEFFSSAAVTVRQIGLTQTSEVPKL LDEIRPHAVRLVDSWKIPDWQLDSALGRSDGDVYPDLFKRASMQNPVNDLVFDPYPWN ENVLKNAGEIKSKL ANIA_06753 MALPDVENTPAAGIPYFTPAQNPPAGTAANPQTSGNAVPKLYTP LTVRGVTFHNRLGLAPLCQYSAEDGHMTDYHIAHLGGIAQRGPGLMMIEATSVSPEGR ITPQDVGLWKDSQIAPMKRVIDFVHSQSQKIGVQIAHAGRKASNIAPWLMNKGIVATE KVGGWPDRVIGPSTVPFHETFPTPKAMTKDDIEQFKRDWFDACKRAIAAGADFIEIHN AHGYLLSSFLSPSSNTRTDEYGGSFENRIRLSLEIAQVTRDAVGPNVPVFLRVSATDW IEETLPEESWKLSDSVRFAEALAAQGAIDLIDVSSGGVHAAQKIKSGPAFQAPFAVAI KKAVGDKLLVATVGTITNGKQANKLLEEEGLDVALVGRGFQKDPGLAWTFAQHLDVEI AMASQIRWGFTRRGGTPYIDPKAYKESIFE ANIA_06754 MMLSSLLLPALASLAIAESIVTSMFIFGADRQPLAASIMGNDAS ATTYSINCPPGTDSNDCGMGPGMTVIAADETTTYMMNDGDNFKFTAECSVGKSIADCT ASAGGPDANFPGVETATEEVSYIPVTVTAGSVTSTGGSTATSTSTSSSSNGSSASEEA TAAGSASASRASETASQTAEETAAQPSETGAAVRVTGVVGLVVGGAAVALMGAGL ANIA_06755 MSPTSKPATANSGHITRPAPLGNTFTTDPSLRRILEWYIPHHVD ALSPHLTRLGDEAVSAKIHEWSADAERNLPYVKWYNVWGERYGVDRLVTSEGWKRLGA WGAENGLRRECDLLMADKYGKERRMAQYAVNYMTGPSSGLTSCPASMTDGAALILSNE IARRSLPQDHPFQVALRRLTSRGTDAWTSGQWMTERAGGSDVQNTETWATYSPLPASE LRNATGQPQIDEGEYLVNGFKFFSSATDANIALLLAKTTPSGKLSVFLAPLRRTITDK DGKRHEVSNGVRIHRLKNKMGTKELPTAELELVDMRAHLVGDLDRGVQTIAPLLNITR AHTFLGSLGNWRRALSIAKAFAKTRTTVGEPLWLIPMHLRLMAELEGRHRAGMELAFF TIAVMDLVENKTRDGQRELAHLPRAGAEANTVFRTLTALSKAVISKMAVVGVQECQEA MGGVGYMDEPDEPEFNVSRILRNTFVNSIWEGTTNVLASECVRFLLKGENFDVLAGWV ERVMRLLGDGAVPDGLGLRSAWTAFKARFTSLQDSPALAVADARRVMFTLAWILAGTL LVLDARRDGDAVTGEIARRWVSGQLGVGDTVWRDVVGIYPGPQGETEVSQETVRWDCR IAWGVEVPRVVGGHRSMKL ANIA_06756 MWRLFSYFPSTGKEQAPRSLPASWYRSEAMYQLERRAILSKRWM LLTHCNRFNKAGDYLFFIIADFSFFLVRVRDGRVNGFHNICRHRAYPVVRAREGTAPI LTCRYYGWSYGLRGNLAKAPRFETLPNFDKSANCFQSTCTSTRSALSGRTSKLGNPIS NGETSLALSTKKIACAN ANIA_06757 MQMQEGHEFSDWLPDWLPQVTPGADTVTSDQELTQTPHASSPNS SHSPKKLGYPPNENDGEADQFKFKQAKTLYVSPSANRTVKRKLSGIHVFMITVNGTLG TGLYWRGGQILELAGPLAAVLSFLLIGLLSWAVMQCVTEMLCIWPIPGALSVYVTTLA AEVDFWTGADGTKVIDGVVIYFLVPSILALINATEIEFYALIEVLSGIIKLACLAVIV IALIVINAGAGEKGYLGMKNWSSPIAFDHDAADSWGIAFLMCLSIATFAYVGVEIVAA SALESALGTHRQRLDSTGVEAMQRNGILIGSTVKFSSMYFSLLATVAYSICGLLVSLD IPWNHCNLPRLSWISTTAECLPSAPGIQTDTASAFVVIAAESMIPHLHNVFNAFLVFT CITCASTNLYVASRALFGLTSRLDGGRGQPWYIQILAFLGRTNTRKVPIRAMITSAAA FVWVPFLQLRGGTTTATPIGMFVEILAQMGSVPVVVVWTCEALAYIRYYHCISRHRSV IERQRIPQVRRFSKSDYDDYPYRGPLQPYLAYLAFVGCLFVLIVANGASLWGGFYLFP FLSSFLFILVFIGVWVLLKLVRRGTWTWVDLSNPDKVVRKLRKLHDIRLAAA ANIA_06758 MASDSYDSLHKEIYDEVYSHLARKDRESLRFAPVGTAEQVLHGD KLRRFFHSLLEPGHALLDQFNATEEELVERVKRRQLQPFLIVLVIAACSVRSARKATA ALLVENEPVMERQGTEVGSLPANREDLKALFDGDEADADKFLGKQAYFCPVIINHGIE LKIDTIDYRRLPYLEEQDIGKGYFGHVFKVKIAKGHLIDPVQGTANLQPVEVARKDYY VSDKFDPAGEREIMEMIRASSAWECPNILKNMGSLAFGSTVYSLFMPLAICDLRAYMM EHHPTRPNSIEEKIDIIRCAMGLAGGLHFLHHEMRTPKMEDLVCYHMDLKPSNILIFS EPGADGKIRNIWRISDFGMSRMKIRRFSGGLGEEKNVNRWFLRRSELDVKDPSLSGTL NRRAEGTYLAPEAESGDRSMKTKSDVWSLGCVLSVLFAYMEEGRQGVVRYANERLKCN EVGASDKFVVRGFRGTKPNPAISKTHGYLIEKANGRSSHEGGIVSSFLSYIEKHVLQI DQSKREDAKNVESMLRVTFKGYVELGENPSSNVAKPPTGMRGVRQKVQERINHKDEDA TTASVYQWYLSETEAFKGCQISPDSSSIAYWTDLASTTQATFHTQHSLKDPCTVELPE QPAIRKIAISPDSRILACVLRARDDDRKPGSVLIYRLADTGQISSTDRWWKRPLKWPA GDITKLLFNTTDDIYLVFRPQLTVPSADRKVSAGRGQQQHRAAFHNLRSVPQKAYHDL AAEGTTAPNQRDIKQYRLLKLLINSRDDKLYAIARQPINYRLLLVEMALPRSTEDKLR FKELACLPDLAKDDQSTERLREVEGGGYILIASLVGSGRPAIHMVGFNDGAAE ANIA_06759 MAQACEKCRILKVRCVRIEPGKPCTKCIKAKTQCIVPEPKQRVQ QRQRRPQAQDYTGGWLDQGVLEELNMDFVQTLDPNTASSSSSEMIFETPASAESSWIT DLGLSLAVLEHLLDGFRSLARYFPFVIIPADWTVTYMAEDRPFLLLSAVACVASRFSN LQQALAEELKGTLSHRVVIAGEKGLDLLQGLLVHLAWFHFYLDPRSRQTYQYLQLAIS MVVELDLEQKIADLIEGSTAPGDLCSREACRAYLGCYYLSSLIATATSKPDNFHYSEL LLRCTRMLQHEQESPTDELIYPLIKLQQLARETFDAQARPRSMLTSGYHAVKIRIFDM GLVYKYGQRKRPPKSLSGDSMTSASFKVVLNLTKCLIGAKELFDVFMVIPEGEHDKLP LSIWYQLILAIMVLYRLSVGLPETSDWDREIAHDAVNLPESLDKLIDRLRSAESKRRA ESQPSNDKCLFTIFPDMLRQQQFRALYSKTSMSCNAQSTEAGR ANIA_06760 MAPIHVGALVFNYQAVDVIGPIDLLNSAGKALLTSLTQYTTLSP KTIAKAPEFVFHHIGETLDPVALTAGVTLVPTTTINDAPELDILLIGGDSPIRSNIPE SYKEFIRRHVAGGKLLFTNCTGAAVVAQTGVLDGKAATVNKIEFNWIKQRYPAVKWTK AKNWIVDGNIWTGSGAVAGMDMVAHWIKENYGLDVLTEAARGLDFEPRDVNGLFTVLP PRFDADGKRIASHVFP ANIA_06761 MLRLSRLSHVCNRRLFSSSARRPLMDLTGFSENQLMVRDAISGI CAGFPNTYWQECDQLERDPKEFHAALAKAGWLGIALPEEHGGAGLGISEATIMMQTIT QSGAGMAGAQSIHANVYATQPLAKFGNEQQLTETIPNIINGKWRTCFGVTEPNTGLET LALQTRAELNSTGTHYSITGQKIWITCAQVARKMILLARTKPREEVSKPTQGLSMFCI DIDKNEPGLELKKIKKMGGRAVDANEVFFDKYQVPASTLIGRENEGFRMILHGMNAER CLLAGEALGLGYVALERAAEYANTRHVFGRAIGKNQAIAHPLADVYMKLEAAKLATYH AARLYDASRTDESIPAHSVGVAANSAKYLAAEAAFTACERAVLTHGGMGYAMEYDVER WLRECLVPRIAPVSREMILNYVLSCNSARTSQCHL ANIA_06762 MPLRRAKQACIHCHGRRIRCNVLQQRPCSNCVAQDVPCEVGVSR RGKYPRKKTFKSGHVTQIGRAVRASEPSSSASPTTSSTLHAAKPRIHDSTVFLGESSP LTSVMDPTQPPRLHYPLPDRLAPTSTRDEAVRLHQVQQAAQLESNGALSFPPEATVDA LLQAYFRWFHPCFPIVDRAAICRARTQPGPGAGLSNIPPLLLQSMLFIGVSLCDDETF AKTEFPVRYRAKFLFYSRAKAIYEADAEASPIVKLQALFMLSFWRGGPSEERDVPILG SIEGEEVMETNLTRDQQSAAALGLPPRIRDEDGDVAMLEPDDVVEGETAEGDIFGRQT PQDLVYPVEMAKLARLLRMIVSTQYLHHQRPDLATRNALNEQLYLWNCQLPVELRLDN VSPDSLFLTGLLHMTYHDGWLRITHAFSTLCIHTIHHRRNCGTMRTLAEHRARLCLLS LEELQKSWDLENWVLHLFFRGLDDRTAESLRRTRENQVEGESVEGNDVDSATTMSTVT GSSSHVSGPGTGTSTGTGTGDMLMPMPAPQDDWYGWVPFEDEADALNLQNLEFLYRFL ANIA_06763 MEAAAFAQAAAALASMFLEIVSTTKQVIETMKGARAALVELFTR AERIRLNLELFRSLTYRLSDPMERTAAISFNESSYRQTANEVLELVHKVADSGKRSDL MMRVNWLFYRSDVAALVKKLEDRERDLGLVLTFIAAQSSVVTEGEVHALRTKVDERTR ISSASDTSPYGETTQPVDRVNEDPPEYEEFVQDTRPRAGWPEIVSPRPKQPPTLWPGV LLRESYPPEYLQKRDQLANAAYVGDWASVLDTLEKAKMLFQEPWANAVRLRTLPTGQT WGEFQYADLTPAEIAHVLGYDDIYGILAPVVRHVVPVRTQTYLQAKFNELLLSELEDC AQLPAGCIRFPPLSVLTELEHPEIWFPLGFLQKGFLFRLDGREVVVLSIGRRRGQPRQ AFRVTTEGWTALEDVVVMS ANIA_06764 MAVSADDRLEWKLTQPGLWERDIDEVEEFYASLAKAYERTGRVF FAMTGFISFSVPVQPGTALQKVEKRAEEALKSAWIRLRYNHPTIASRVLYDPAQRRYK KVYETIIDDASQHQWVDETFQVVSERMSGLDWCNADPPVPALPTLFLIRAPATDDRVF RADLVLRAHHDVIDGVGTLLLFHNLFTLAAEAYGQVSYELPQFGDEWLNLSPPLRRAA EIPDVLSPEHEEVLREILEYNSGVKGEVEIASPPFQRHIALPGKHQRVAITLSESNTA RLLTKCRTLGLSITHAYHASIALTVGALTVGALQERKETERTLRYISYCLINERHHCK DPYSTSAHPASVYHSVSGRCLAIDLTGPALGAPSTTPKIEDIFLPIAEHVRDYYLEIR NNEEHIKLVPAYWAMSILPYPESEPPAIPPRNETPSASISSMGVLDKVIRHQYGSFSV ENPWVTGEELGTGLGLFLAYNEAWHGREEVLDVLNRCNKIVLQGLGL ANIA_06765 MPDFTDSLLPAEPSGASVLASERARSNLSIDELSTHLHSHDGFL ALQDKVLPILQSEPLLNKSTQHNLSRPDRFKLALARAKLVRRLADKHGWTPAEHEMAE YLVDEVSPYMLHMGMFITTIQEQGSDEQRQEWLPKIEKWEIIGAYAQTELGHGSNVRG LETQARWDGTRKGFVLHSPTLTASKWWNGTLGRTANHAVVVAQLMLPDPVQTSEGDSG DQRGTKYISYGPHPFIVQVRDMKTHQPFEGIVIGDIGPKYGIRIPHSALLSRYCSVNP ETGRYSKPSQPTLVYGTLTYMRSKIVQHARLVLARAVTVAVRYTAIRRQFKDRDDASK NSPELPVLDYPTVQIRILPLLATAFALHYTGLAMQNVYSRTRRQIESGDFSSLAYMHT DGIETCRRALGGHGYGGGSGLVQLNADYLSKVTVEGDNWMITQQTAAYLIKKMGSVVS ASVAKVEKDEIDVQFTTYFPKIQGGETDRGDRQCDYDILRNDGDLVQAFQHRATALAY DVYRERVVRKRGWTSIMTQLHRLSKGTESLLVEQELTSSAQSQSILVTQFYNALSSDT ELSAAVKDVLWDLYRLFSLSTLETEKYEFFSHNAASKHDLDAVLERINELTSRIRPHA VRLVDAWQIPDYLLDSALGRYDGRVYEDLFHRAHRVNPLNKTTVNPNYWEDEIVKNQG PGGEDMWSILAKL ANIA_06766 MAGKLATPGDRTLTVPLHKGDTVLPNLPFFEKLLRYAHRRPPTI SIRDVHAGVEKGYTQLLTDALALRRALRGRLRPETLRDLNNDHEVFVAVIAPGGYEYT MAFTAILALGAAVVPITPALPVQEAKSLVTRAQCVAVISTSEVVPLAHGIIQSIVASN PATELPHLVTSQYLQSDSLPPSSITISSDPVPNMNMAALVIFTSGTTGPPKGAVQRRS YITSAAEDVADHYRLTESDTVLHMLPVHHATGIGVTFLPFLVVGGCIEFRSGGFDPEW TWERFRQNANPTGAKALSVFSGVPTIYTRLKRYFEIHISPLPSIEQEQYIAGVRRIRV FLCGTSALPRPIQEFWTRILDGKMILTRYGGTEFHTTLKADLDGSSPANSVGRVSPGV DLRLSDEGEILVKGPNMFSKYLHDTNATSKAHDKDGYFKTGDIASCMGESYFIQGRAS LDIIKSGGYKISALDIEREILGLDYVSEVMIVGVEDEEFGQRVAAVVTLKDEHRASGL SLEKLRGDLRGVLAGYKMPTVLRVAGGEIPKGATGKVQKKVLGPRYFPDGWRGLEEVQ VWDPQKMQPKSKL ANIA_06767 MSDLPTFRNLTLSRYGNVFIITMQKPPENRLNTWYCQELIRAFR TVEKILGPDSEGAVITRGSDAKFWCTGLELDESDTNPFANSDGFYPLVHTVLDFPFPT IALLTGHTFGGACPFALAHDYRIMNSKRGFFSMPPVNLGLHFDGIGSLPRLKLRPDIA AKMLLEAHRWTGEEALRDGIVHAIADPDEMLDVALEMGRKWAPKAKMGVYAALRAELW GEAIRKFQQISYVHGRLTSTPAKAKI ANIA_06768 MERIDQICEDFSNQLLRFRERNYEARPFYPNGTARDVFWVNQQG LEELFRIIIPTGGLSSDRDLVPELVRRVLRSLSKVLAVVVRIRVSGDVDILRQFLDLI IHDNDFRHSPKLTDESLPISLTDAESFFHGFANEFFDTQFQFCAITLRREGDIVYQDY RSQCPLPYKKQERIGAGAFGEVYKVKIERRHLRLTGDRTGNIEPEWIARKDFRRHQSF TVELDALKEIMEQPQKHDHLVMVLAILQYHDINSLFFPLATCDLQQYLSGKHDGDRPG PITLEEKAIVYKRGVALAGALAFLHGGSGGKVCLHLDLKPSNVLVFDAFNPEKEIWKI TDFGLTRVRNNEYSSLAPGVEGIYLPPECATPHDRVTTHSDVWSFGCIFSLVITYILH GTRGVTKFTLKRGEREEGDYFYIKPRNSTPRVAPAVISWFDYLRRSAAGDEKESQMIR ESLDYLQSKVLHPIRRQRASAKDVELSLKRIHSTFTQEATPRSPTFPQDHPGHASFPD RVLSWLKHRTSESTRSRLQSFHYNLGTNGFGFRFSPADGDYLVFFSPQRILVWTVSRI MAALDHGSGIPEPQLLRIQDGTIRSYAVSSNAICNSLEGDSFQCVIYDVETPSSSSRV GDGVRVSYDHMGSIKRVAMSSDGALTAFIITDRPRGSESECRIYLAYTQHLMDTAEEG NTYSMPRTSRSNSVSDSSLMSITTAANLIFDRVSVGSAAQMRFLNFTPDGKFLVMVKQ EGSRFSIRAWETYSGRCNKDFSVEIAEPWAFRNLFTTCCLFVTKATEPCLAMVSDHRR ILHVNLARHTYNDRRLNVDVDSMFVCDDGHTLVLIGKNNGLRAYLLPLQALDKSKFIG IAKINRLSYTPALDDAAVRRDANGHLKLLIASSSGAFFDMDVSAEG ANIA_06769 MTPSYAPAIEGFPDNLLRSDIIDLYSLVPYTEDCQSRAEARRCF SLSESLCQVTWTDVDSGDLVTERTLSSLAELRGYRSLHSTQRGGRALRFLSINQRNSW RPLNVTRMMFDEIVDIVGASSNLFELPLSFFQKTIAVEEGFTSAPVLRLSAESIEIIY IIKYAFDKSPVDKGRDPWVLRQTGVYHKYEFATKNSTWLFLNPTTECKFQDRLKRCLL SPAERSTLQRNPLLIHSMLFGTFFPAWREYLRHLEGRMLPIANITVTAEIDKPLRVNH ESLTAVRNTENRCLAMQPIFRSLDKTFDVLHQANAALGECGEIEKHDLQMMKQLLNNY SATVNSYGQAAWSLQSRTSRIAAHITDTLSFKDAYISKRQTEFMLRDSTTVRVITVVT LVYLPATFMATLLGMNSFFEMDESRHIVVSPQFWIYIVCSVPLTAATLCYWWYFQKAK QRTERSTTEAMMV ANIA_06770 MASNNTHGNDEYALNKLDDLTWLPRDWQPSAGLGDTLRVPETSS AQPDSMRSSSPGLSDSVPGAQGEQDDNNMEDIIITNHERDRVKRRLRGIHVFMITLSG VLGAGLYIRSGTVLRIGGPGAVLIAFTAMGLLAWTVMQCLGELLALWPISGALVEFVA KFVDEDLGTAVGIAYWFTYSINFAALIVAAAGVLDFWDPGKAIQGTIMFFVVPLFLVL LNSFGVQVMQLILQFVDVWLMSQIYGLTEVIGGSIKILGVLVVISCMITINVGGIAGE GGHIGTFTPELVQEVLQINGNSYAFSIAAFAYVGVDITAATALEARPDKRHSRDTAAD VLKGRWPYISVRFVATWTSFLVWIIYFIAGFLMSLNVEWNDENLPRLSWLGSPSDKGG KFKTDSGFVISAVMSGIPGLADMITAIIFVTAVFSANTNLYVASRTLFGLTRRIQGDG WRFFAFFGKTNNYQVPVRAMLLSLVFMWVPFLYLSPHNSADTTISSLLEVLSQMGSVS CIIVWACECWAFIRFYNCLYRHRDELNASPQFARLRRFPSQGSEDLYPWRSHGQPVTM YLALFGCVFILAVADGAALWHNWVTPRFLSAYLSILCFIPLWLGIKYYNNWGSVQWRL EDLSNFALVRRKLEELDEIHDLATSKDDEVQEKGWGNLWGFM ANIA_06771 MAHLIKLTFFSLWLITAAVGTFAPYYGNLTFQPPRTLSSWSNLT VETQTGTFIGALNDTYPNVRQFLRVPFAKPPVGDLRWLPPQRLEKSSRRYDSTRHGPA CPQYVSSSVTNYNHYAPPNLLVNLGETLDEGAIAWSTSEDCLSLAVWTPSYADKTSKL PVALFVTGGHGLTGGIDIPSQVPEQWVSRSQEHIVVTINYRVNIFGNPKSRALKETSL SLLDVRAAVEWVSENIEAFGGDPQNIMLWGQSQGAALTHMYTLAFPSDPLVSKFGVLS QPPSVTVDLTTAATTDPYAGFDIVAKALGCNYGDDADAELECMRQVSWVQIEEFINRY TGTPELDFSNYIPRYLAGKVATGPEIRSNTASEMPTTNRTSTAETQRQWLCKGVEEAM LRNKYGLDTYRYLWAGNFSNISPEPWLGAFHWSDLLMIFGTYEKDVGDVPKLEVDTSA AIQDFFLAFLKDPGSLQRRGWPLYEPDAANGGFIMEFGKKTAARNITGAYLDAGCYNS SVPMRLSG ANIA_06772 MVALNLLLAATPLAAAQSLSDLYLDSAPSSPRPYIIPHYANSHA VTIGAQLYRFPVTGPASDYAFTLMSTNAPASGSLGVLPHIHQRHYENFFNFKGRFQLW AQKGDGEQEARLLTQGDYGSVPRNTTHTFQILDPDTEMVGVISPGGFEDLFYALGTNY SDATNTPYVPAASNGTSSPGADVISSLQKYDVYAQLDFEPTRDLVNGSSSTTSSWHAI DSSATPLGEPGQPYFIANNYGPKYLNSRHGNYQIVQPLVTNAQSQDTNFTLSTIVMSR QHSEMVDTWKANGACAFEVLEGSVLIKIGAYPAARLETGDVAFVPKNTAFRYWTTGSY AKVLYVSAGQEGLDKRLIERGENWSYPTFPKSWKKTEL ANIA_06773 MYSPLWHLLWSLAVSLSLDVAQSIPAAQDPRTDPFYQPPPGFER APPGTIFRKRLVTTSFFGLVPDPVEAHQLLYRTTAINGSAIASITTVFKPLHAITDRY VSFHTAYDSASVTCDPSYQYQLGVPQTDLISTFEFLFLQRHLLHGYIVASPDHEGPDA ALGSGRLAGMTVLDGMRAVNNFNETLGFSTSRPMIAATGYSGGAIATGWAASLHYVYA PELNVKGWAMGGTPANLTGTFYYLDGKLYSGFIPAAVNGLSKPSAYGTQLLPIIDRIV TEKGRALLDYANSHCVPADLANWPNQSMLSPDIQTLGPTLLLEPTIHSVLLENLLGGR PEEAPMAPVLVYHSVEDEIIPYHDIPLMVDSWCSAGATVQFRTYVTGGHARTLLGSLT DSAGYIDDAFAGTLEHGCSWQFRTISPHPVIGKVDHEHIFSQLSENLNQLFWAEAV ANIA_06774 MSLAQPEKNNGADKERGAEAPVDEFGRFSRSRKMVMTIVISWTG LLSPMASTSVLSAIPNVAATYKTTGSIIGLSNALYLVFMALSPCFWGPWCQTIGRRMS CLASTLIFLGASIGTALSPNIAAFMVFRMLTAFVGTAILVIGPAVIRDLYPPLERATG IAWFYTGTLVGPTVGPLLAGAIVTYTTWRVIFWLQTGLAAISLLGIFFLLPETLSETA PRPLKGLMRGQKVKVFFTMTNPWRVISPFKRPSLVVTAIASGSLVWNQYGLLTPIRYV LNPRFHLETPLQSGLLYLAPGCGYILGTFFGGRWADYVATRWYRHRGQVFVPEDRLYA AVPFLGIVIPACMLIYGWSVDKEFGGLPVPVIFMFFQGVSQLFCFPSLNTYCLDVVPD RSAELIAGNFFIRYIFGAVASATAIPAAESIGVGWFSTISALLLVVGGAGIFIVARWG KAKSVET ANIA_06775 MAVKQIVCSGTPYEIGHKHGSQASEEICRAIAFYAKMFAKHSNL DWPQVQELARDFDGLIKHRWPRYYEELKGIADGAKTDLIDIIALNVRTEIVFGRFSDG CTSLYYQNSEHSFQGQNWDWDTEQAVNLIQLTVIQQDLPTIKMITEAGIIGKIGLNST GVGVCFNAIRAKGLDKTRIPVHLGLRIALESSSALQAVENLEKIGMASSAHILIGDAT TAIGLEFTSSTFARIPVNQKGFIVHSNHMLLPHSGIDEPAWLEDSPVRVQTMSENIEK LQGTLSWASFGGLFKDESNYPCSINRAAGGGSDLATLFNIIMDLRTKRVEVIMGRPSD AAAERVILAFE ANIA_06776 MSVSKCCISGFTWSGTPTGRTGKVANNDVYIAGDGTDVAILFIA GLFGWTFPNVRLLADHFAREIGATIFVPDYFGGEVLDFDLLAAEKFDQVDLKGFIARN GREQREGEIFETARALKRDLGFKKVGAVGYCYGGWASLRLGAKEHAEQGKPLIDCISI GHPSLLTKKDIDEVSVPVQVLAPEIDPMELWAHE ANIA_06777 MSKMDPIQKLNFDCASLILQHLSIYELARCQLVSRRWRQTALEW IAATGLGWHFPRACKRFMSKFHDTSPQAYQVWEEFQECAYEKARRDVWLSGRAFSSME CHKFTHFARSDKFIAWHHEGSIYWRCAGYQEHPDRPPYIMHKLNYKLPSGQLRYLAVC SEAQTVFLIIRCPEHLAKLRKMLPNSTRLKALHEEPEHLDIMVDIHTGQELWSAPRRY ARSDWERWKEALKLGWKKIYRYGSTKRELEVHDIRTGEKLYSLPFASDVPFPALKDVR VVRLGGREVIMTLNEHADDPQHDCEIRFIDGEYGRLLQSIKARTCGGFSHIVPSERRN ELAFALVTYGVKNRPYVALIHRYGFDANQKLFVSQGLDLFDLERLRGAYEFYPVIDPF RNFIVGVQKDTRFAVVLPVVRLKLPWTWHDPKTGSSYKVTLGAGTGRVISIPHMNMYK IPKGYEPDGPVKIQGSCLLIGMPPDQVNNDRPAFRFFEFGFRKHYNRTVSLDDTLFEQ DHSY ANIA_06778 MVNDIEKTSGGQISTENVEHIESSADVKRMVDIDDDEEFTYGEQ RKIIHRVDRRLVTITGAAYCISLMDRTNSTMVLVFFVTYIVCQPIATAMIRKIGPRIF ISVIVMSWGACLIGFAYSPNWQTLTGLRAVLGILEAGFFPGAVYLLSCWYSRCSSTDP DYYEVQKRYSFFYLIGCFASALSGILAYGFSQMAPLESLSGWQWIFIMQGVLTFIVGI LCMIFVVDFPDKGYNTWGFLTQRECAFILRRLDRDRSDANPEPFNLVKFLRPALDLKI WGFAFIFFSITTVTYGIAYFLPIILRDNMGFNVAEAQCLTAPPYALAGILMVSTSWVA DRYRMRAPILVFNSVLALIGLPIMGFAKSAAVRYFGVFLTTAGANANIPASMAYQANN IRGQWTRAFASATLVAFGGIGGIAGSLVFRSQDAPEYIPGIWAVIACQLCLLIVVGAL SLYFWICNRKADRGEKIIEGSPDFRYTL ANIA_06779 MSSRLVLGVSAAACAIAVILSIPHGYSLITQSRREKRYAIIEPG CDEATTTGKHPRSLWQRVCLVVVGVVAATAALWSWKSRTGSADAQFLLCIAWGIVLAL SRHPTEIYSLGIYSALAAIPTSISISLPYALALQRGEHLVLSGNRHALNAVQLVAALV LLVVNLSLPRGPLKYRDGQVIDARDSISFIDRYTFSWAYRTLSLAAKNGRLNPEDLPL VADDVRAQTLSDRFFSTDPTSVQWRKWLKLYWRSVALQVTVQLCNAVAGFLPNLLLLT ILRLFEARDAGASNQLQLWLAALALGMSMVATAWLVALRDFIADLKISLPVNQQLFAV ITKKALTLRDTAVAERASSGSDEETDDEGDGNDDERPPQTKHSILNLLGVDVERISDF IAYSHLLLDCVVESVLTTAFLVYLMGWKATSAGCAIPILLTPLYFSVTKQYSEKEQAL MDRRDEKSASLTEMIQGIRQIKFSALEAEWYEKILKLRTKELDEQRAVFWLNISLNGM YTLVPIFMSCFSITAYVYLNGSISPSIAFTALSLFETLQNTICMLPEVITQFLDARVS LGRVAKFLGLEEHADGRSPGNGDGISLQNTTIAWPSSGVEAEDEVRFQLKDLNLYFPA HGLSIISGRSGCGKSLLLQALIGEADIVEGAVYIPRPESDPGPPTPQNWIAKGMVAYV SQDPWIENATIRDSILFGLPFNPARYEDVLYSCALLQDLKVLPDGDKTDVGANGINLS GGQKWRLALARALYSRASILVLDDIFSAVDAHVGRHLYEHALTGPLGEGRTRILATHH VKTCIDGAAYLVRLDNGHVHARRLDISKEPTPGTTDSSGPSTRPSSPNPHIVEARRRS NSVVESKRFYEEEKRETGVIKARVYKAYIHASGGYTPWLITAVFLIVLLLLNLAIPYW VSIWTRSYETEENGRDALFAQLGDRAAVGDGTLAPRPHLDNRLVFYGSIYLAISLGSW LLEIIRIGIILYGSLKASKTMFEQFTTAILHAPLRFLDTTPAGQILNRFTSDFGTLDS DLAIDLSYTLHDAITVLGVIVAAVVTSPVVVGLGILSLGASWTVGYFYVTAAREAKRL QSTARSPIFELVGSLLTGLPTVRAFGREQAYLTRMYDLIDSYCQALWHRKLFASWRAI WLSSVGAVFVAAVTMIFVSVRTLDAPLAGFALSFALDMSSNVTWLLSQYASLEINSNA AERIAEYTQLDQELQSGVDVPATWPSKGEVEISSLTVAYAPDLAPVLRNLNFCIRAGE RVGVVGRTGAGKSSFAMTLLRCLDVREGSIHIDGIDIEHVKLQDLRERVGLISQDPIM FAGTVREVLDPFKQYDDTELLAALERVGLFSLSPTQHPISNEDHDSTSSNAAFSPALT ILQLSLSTPIAAGGKNLSQGQRQLLCLARALVSRPKILIMDEATASIDVESDQRIQRV LREDICGAGWGCTLIVIAHRLSTIADFDRVVVLERGEVVEMDSPRLLMGIEDGVFHGM VEGSGERKIVEGMILGKERG ANIA_06780 MSAGSVQQEPELPPLSPKDFRVYNQLADKMDYFHTMLRHGWDIQ WKAATTGRRPQETHHAIEEQHIFPHLALKMPEFRVGKGKHNAELLRQHKQIHAGLDGF RDYLEKCLSGEEDLQLDVLKVKMESWKDVLWTHLEQEVKTLGADNMRKYWNKEEIGRI PF ANIA_06781 MCSDSKSALLLRLRTHQYPSMRYQVGRACAAAGYFDLYKELDLL PDVSIAEEAPGDGETYVRWKLEWRHALSEDATEDFASEEIDIEEDRCIGLEPGEPDVS HYNELNPQEAALLWYNLGDDIFRDTERIEQGYSGNDKVDKNGSQHTDDEVPTQGSGVE LELRMDLTSSTAGANALNCEASEAQTISMGWIKGYRHGGLQACRGGHI ANIA_06782 MENKSGITAHSGTLDDPQEYAIRPQSHSAQGEEHPKKPWWYSIR EPGSALQIVSAAVVAIAIGLAVSSTVDDIPYAAPTLIEIFGALWLRALRAAVLPMIVT SMILAVQRLRELSNGGHILARWTISYYVLTTLLAIVHSIILTSLVWRRLMTQASSESL NVAEEDEETFAEREETNIPDVVVQMFESLVPLNIVDALATDSLLAVLVTAVVVGYMID RERSYILKAVEEIETIIMKVIMILIKLAPIGVFFLILPNMFRLDIRDIGQNLGVLIGG ALCNLAIHLFIVLPALYFIITRRMPYTFWLRCSPSWTTAWGTASSAATLPLSLRVVRQ NGVSNTVSRFTVPLGCLVNMDGTAIYFPLCVVFLAETQGHSLSPTDYVIICLLSTLSS IGTTPIPSSSLVLTVMIAGSVDVEITGMYAVIIAIDWFIDRFRTMTNVSGDLYAAVII EKLSGFHDEDEEDRAMDREVV ANIA_06783 MGNRGRCMTDSPFAMVTEMSAAEGSAAAESQSRPKGSNSSFHFH QVPDKWLSRIANWGVELRGVTPVPIEERTDTRFINVFFVWFTLSTNLLPIITGMVGTF VMGLSLRDASLIILFFNMLCTIPPAYFSTFGSRTGLRQMLHARFTFGYYLVSIIVALN LCTIAGFGVVSSVLGGSTLAAVSGGSIDDTAGIVVIGICGMVVSFGGYKFLHQYERYC WLFALVAIVIATGVGGSKLSMQAPTEPPSAATVLSFGGVIAGFLIPWAGMAADFSVYC TPSVSSTRIFAYTYLGLFLPTVPLMALGAAIGSSTNSISSWSTGYTLYGVGGVLDAML SPAGGFGKFVSVLLSFSLLGNLAASMYSISLNFQLLLPWKLWRRVPRFVWSIIYTGVL IPVSVVAAKSFFTNLENFLYVIAYWSAGFFSVVTVEHFVFRQGAFDTYTADPSGDGKT TWDNQSKLPTGLAAIGAMALSFGLVIPCMGQVWFTGPLAKTTGDIGFEIALVLAGALP YLRGVD ANIA_06784 MLKHSSAATKENDSPKLKVLDIVSKLEPSLDHSHTHWWKLTSPQ LALMLEAADYSIEKQFETLLFHYHWVVPYLGPKPDADGNFKWRSLVSDVGIPLEYSWK WDTATSGPDVRLTIEPINELSGTRVDPLNQAPSLELLHRLAEILPRLDVSWASHFLST FYDHDKLKYIKESESETGMPLRSTMLVCFEFGRNGITTKTYMSPRKLGQQGFAPLSDY HSAIAALGPSCALDAVTEFLNNSPEGPHLSPFMLAVDNIIPCSSRLKLYFATPRTSYN SIREVLTLGGRLSTVTLESKLRAIHELVKAIMPFPPDLPDDADIPFPEQVLSPTVQDL AESSDMANQRPAFVAGYQYYFDIAPGASLPDIKFYIPIRKAQMNDQAVATGLTNWMRA QGRGAFCNAYTRVLEGLAGGRDLSKCHGLHTHICVMLKGNGEFDVTSYLAPGCK ANIA_06785 MNGSSTGTLTPAQAARLHQLWMLLLHLAEASSLGALEQFVRVNS LDPTGAISTPSLSRRNSLFARSETARSRRSYEKRASVASTSLPYHHVRLLQTFGDAGF TAAQIRNVRHVLKLMSPEDVRFGILTAAKHENPDTFVLRFLRAAKWDVNQAVVQLLGA IVWRLKEMQVDNVLLPRGEAFAAASETDVSNPARAEDARAFMKQLRIGKGFVHGVDRS SRPVLIIRIRLHRPGDQSEAALSQFITHLIESARLVLSPPVETAVGPSPLFQFRLTRP DSDFRPDGVLARKHGAGAWKIIKPWIDPRLVERIHFTRSVEDLEKFMDRDQIITELGG DEDWEYEYIEPEPDENQAMDDLAARDTLLAERQSLGEDFIAATSRWVSAAQTGDPVQI DEATAHREEIIEQIRLNYWNLDPYVRARNNLDRTGVIQEGGFVEMYPISQPQTPLAVI QTAKVLQVEHVRGRVKVVNV ANIA_11527 MRFDISSVVTSVLVLATCSLAYPSQPSPSDAAITVNGALVTLPV EAVNALQQAFASAYAPRSDPGLAKRQNLVNPAPATTETRDESDP ANIA_06786 MQRIPDRLSDSTRITRPHRSLCCNTGGASSSCPGYHNCACGCGN KIGTYDWSYGIANKVYTAAANQALFDSGPNDATHWCGNGCGKCYRLTSTGVSTCETCG AGGEQGKSIVVMVTNLCPFKGNERWCPNPGQLNPHGYAYHFDIMGGAGVFGDNVVVEF EEVPCPGDAAFKWAACECHPNLRNKDLTLNAGAHAAGSKIVGPAQAAIISVNGLPAPA AMAVQPPPPPPPPAPARPAIEIPPPPAPV ANIA_06787 MELLRLLLDHPYAVAGAAVSVYIASIVIYRLYLSPIAHFPGPRL AALTVMYEFYWEAIRHGKFTFHIGELHKKYGTVASFPSLCFPPSSLFSRALRGARVCA DRTGPIVRISPTELHVNDPEYYEVIYSRDSPRNKYPYYQRTFNAPYALITAEDHYRHR LLRSQLNPFFSIQRIRQLEPTLKALVDKLCRRLEELKGTGQPIDIEYPLTCYTTDVIT DYTMGEGGYHYLDEPDFIPQWQHMLCGTAKTLVFIRPIAFLLPVLVAMPEALTAWLNP GMELFFAFQHRCRKRIAEITKRHRENGPLETKDGRQNLFDNVLNSNLPEQEKSEARLA QDMQVFVSAGAETTAKAMSYIMFYLHNEPALLQRLKDELAPLGNDPSLVQLEQLPYLT SVMLEGLRLSYGVTARLPRIAPYNALKYKDWTIPPGTPISMSCLLMHHDESIFPDSYR FNPDRWMDPTERKHLEKYMVAFSRGSRMCIGMHLARSEILLVISSLLRRLNFELYETT VEDVRVAHDIFIPFVKLDSKGVRFLIK ANIA_06788 MPQKAQPQPRESPFKPARQQPGLACEECRKRKARCDRAKPQCGS CMMTGRVCVVNHNRPRRGPKKGQIESLYSRLGRFIHGSLCISCWKAGMLTVTEVLEEQ VIEQMEHIYHPDFEPNSHPRHSQSHDRRQQSGPDSSPDTQHELPFLQSPPAARDADSA ERALLPSPVSPVRAMTAQELSYARTGSNPTQNPGLDLILADLDQLYFDRVHPIAPFLH QQRHLSQREAESSVLARACLRSAMRTVAAAMSAQYRRFADSLYIETSRVVQELDTIER TPSLKQIQAVLLLAHYELLRMEENRALATVGRCFRLIQLARLQDTDPSTDAGAGRDFV KEEETRRTFWVAYCFDRFLTSRNEWPFTLQEEAIWIRLPVSESAFQIPRPPEQPMDYL HEAIASSGQKTLPPFAEYIVLATLHGRTMNLRRSALLLSTSTEASMFWERHRALSDIV EKRSALWSYSPSATTPLVTADPLTAFTCLLEKTLIIYLGKVGQTAREQLGGMSERRLI ENANSAVQNLATSEQETLMNCHSHSRSFDIGTGGRGGHASGGLQRSAQTAAEFVALAK FMCPVNCFRICSDKSVRRLTLSFQMQYPAQQSFLLRMEMPPRLEKYGMNVGERGTGRI RAIDHASRLKVDERRAVRAYL ANIA_06789 MLGTLYLWPTPAPSYNIHEYSWTKPSPPSAPRHLQASIPPSFQS ISRSTTVPTSPQKATDTTLHLPRILCLHGGGTNARIFHSQCRVLRARLSSHFRLVFAE APFPSAPGPDVVSVYSNWGPFKAWFPPGSAAQRAGASLAAAYAHAQGQSGDAVRIDDD LDIHDFAVQSIRKAIDDTMNQDDELGATGDWVGVLGFSQGAKMAASLLLQQQREHEAE MESRNWGREWAPLTKSGRNVDYRFAVLLAGRAPMISLSLSEEDETDSLVTDTSYGTAF SFGFEPALYLPTIHVHGLKDPGLPLHRDLLDHGCEYGSTKLIEWEGGHRVPIKSQDVV LVVHAMLEIARKTGVIP ANIA_06790 MDGRGPYGLACQNCAKGKVKCIAMPDGDGCQRCHRLKKPCHPSH GVRKRESQHFQSPLIEEMDAKLDSVLSLLQSVYLQPSSPNGQAQQYKRKASTPELSSP TQPARTSVSESTYILPPGPLTSPDIVDAEGALNRFREEVLRFCPFLYLPPGLTARQLQ QERPFLFETILSVMTRSVQEKMNWSKRIKSTIAHSMVMENESNIDLLLGLLVYACWSQ DHYLKRMPTLTRIVELAVSVVMDLNLNKPPPPDAHRLTELGGGNDPNWTRKGPKIRTA EEKRAVLGCFFVSSIISSYFYQMDAMRWTLQMEEDLGSLAASPEWPGDAALAVHVRLQ LLAENARKLRDEHGTKPVHIPFFLKSFRFELEAIRNSIPPDLQKDDTILSQLHYVELS IHETAFTANVIPHSINTNNPIGPDAVDCMWHSLLAIKSWDTIYRRMPPDDHTRWSIIQ WIHLSRAVVTLYRLSTHPAPDWNRDAVYKTLNIVDVFTHIRASFDQLVPNPGGPFPND FWAHGWLLGRAIATWLHAPGRLHPPNTDEPTAWAQTSSSHNGEQVLPTWNPPAPALQP QPIQESLQFTNPAWMDGLGLPPGDPTGGMHQAAGLHPTGQMHF ANIA_06791 MQSAGMHRATAEPIAIVGLSCKFAGEASTPDRLWEMLAAGRSAW SEIPPSRFNLKGAYHPSADRTNTVCFNSLCRGNPTEEKKVHVRGGHFLEQDLGLFDAQ FFSFSAETAASMDPQIRLQLESVYEALENAGITLPDVAGSNTAVYAAVFSRDYRDGII RDEDRLPRFLPTGTGDAMFSNRVSHFFDLRGPSITLDTGCSGGLVALHEGVKSLRTGE SDMALISGEGLTDEGRFFSPDGKSYAFDSRANGYGRGEGIATIVIKRLSDAIIAGDPI RAIVRESGLNQDGKTETITTPSEEAQVALMRDCYRRAGLDYADTQYLEAHGTGTSTGD PIECRAIATVFKDSRSSEQPLRIGSVKTNVGHTEAASGLASLIKVVMALEKGKIPPSI NFEKPNPKIALDEWNLRVVTTLEDWPAGPGGVRRASINNFGFGGTNAHLIVESQAAQP LPWQADGYGASATNLDSQIFVFSARDKQACVNMVNNLKKYLRQNAATDSPDFLLQRVA YTLGQRRTRFPWVTARPVPVQNGFREAIQALEVNMPVPRRTTGIPRIGMVFTGQGAQW YAMGRELIAAYPVFKASLKETDRHLAALGARWSVIEELNQDIPASRVHDVEYSTPLCV AVQISLVRLLRSWGVKPVAVTSHSSGEIAAAYAVGALGCQDAMAVAYHRALLATRSSL GSKQGAMLVVGMSLEETETYLARIDAQIGIATVACVNSPSSITVSGDQDAVNALEALA RNDGIFTHRLKIHTAFHSHHMNPIADLYRSALQGALSPNHDKVESDITFSSPVTGRRI TNLSQLSEPDHWVDSLLKPVQFVDAFTDMVLGASGASSANVDLILEVGPHTALGAPIK QILAEPKFAGLDISCLGSLVREVSAVRSMHSLAASLVAEGLPLDLDAVNFPHGRPPSV RALSDLPSYPWNHQTRHWYESRFNKGLRERAQPPHDLLGSLVLGTDPNSPTWRHILKL KDAPWLREHVVQEDILFPGAGFICLAIEAIKMLPELQSKAPQAAAGYRLRDVDLLQGL VIPDNDDGVEIQTSLSEVSDKEMGNRGWKRFEVSSVSADNQWSTHARGLITMEPEDAP DKTITKSRPADLSGYTRRFGPSDLYDMMRERGIYHGPAFQIITDIEQAGNNQRADSSL FIPDTAIPADSPYQTLIHPITLDAAFQTVYAPLLGGKEWDDAIVPRTLGSLWISNSIS QAAGHRFKAFAILHHSDARTMRSDIYMADNNSDASPVLMVRNAVFQSVGRSAAPQQVK AQWESEPCTNVVWGPDMSLLSTPMRAQLKQQLSHPPEAEEARLLADLRRACLYFIYDA LSSLRPFELRKLPSHHAKYHSWMQVQVSLAAECRLAEDSARWSSDTQEQRQSLIERVG RSSVNGEMVCKLGPHLAAIIRQEKMPLELMMENNMLNHYYQNALKCDRILSQAAHILQ NLIHKNPRARILEIGAGTGSFTRYALPKIGTAATGGPLAELYHFTDISPAFFEAAREE FAAWDDIMVFEKLDIEQDPASQGYDMESYDIVVAVQVLHATTSMSKTMSHVRKLMKPG GHLLLVETTHDQLDTEFAFGLLPGWWLSEEPQRALSPSMSVPLWDETLKAAALSGVDF EVRDCESDEWYMMSVITSTAVPAHQLTLNPDSIVFVERKDAPCRQRWLEILRSNFAAA GQSPPVVEFETATAESYKGKWTVFLGEVDKPLLYDLDATGLKNIQTMIKHSRSLLWVT RGGAVKCERPELSLATGFLRSIRHEYAGRRFVTFDLDPHESLWSDTSTADIAKVMTTS FGSAADNAQTPPPYDFEYAVREGVILVPRLFRDSARNQAINPASVCWASPEALPTESF FQSNRTLALKVGVPGLLDTIAFDDDPAALADCTQLPPDLVEIKPRAYGVNFRDVLVAM GQLEERVMGVDCAGVITRVGCQAAAHGYAPGDNVFALVRSGYSSRPRVEWTNAMHIPQ GLSFEQAASVPAIFTTVYLCFYKIARLQRGQTVLIHAGAGGVGQTAIQFARHIGAEVY TTVGSAEKRELLIQRYGIPADHIFSSRDASFADGILEATNGRGVDVVLNSLAGPLLQA SLNILAPFGHFVEVGKRDIEQNSHLEMRPFSRHITFSSFDLLALSQHDKRSIHSSLIE IRRLLEEGAISPVYPVSTYPLGDIGKVFRLLQVGKHSGKVVLSISPDEQVRVVPQART AKLRSDASYLLVGGAGGIGRSMAHWLAAHGAKNIIVLSRSAGTSPAVAELVAELQPLG CHVKPISCDASVKADLAAALSSCSAELPPIRGVIQAAMVLQDSVLERMTFEDWQTSLN PKVRASWNVHTQLRDADLDFFVFLSSMSGIYGYTTQSNYSAGNTYEDALAHWRVSQGL PAVSMDLGPVKSVGYVAGVAGVADRMTKLGHFPVTEEQVLRVLETAVLSPFDKQVAMG INQGPGSHWHPVGPSPLGRDARFRSLQYQKSTQRQATNGYSNASTSLASRLSDAKTRQ QAEKLVVEAIASKLADIFMIPVAHVDAAKHLSEYGLDSLSAVELRNMLALQAAADVSI FSIMQSESLAALASEVTRKSTHVPASLSVM ANIA_06792 MAPTKKHQVSVIGSGNWGSTIGKILAENTSEHTDTFETPVRMWV FEEEITVPSDSPHHSKYGDKPQKLTQIINETHENVKYLPGIKLPDNLIATPDIKEAVK DASILVFNLPHQFIGKTLDQIKGHHLPYARGVSCIKGVDVSDGKVTLFSELIMQKLGI YCGALSGANIAPEVAAERLCETTIGYDPPPMDLTSSDGSPEDNLPHVDGQRQKDLSST DIKLERVPQDYPHVNDELLEKMFERPYFLVHVIKDVAGVALGGALKNIVALAAGFVAG KQWGENTKAAIIRQGVGEMIRFGRTWFPSSVNEKTFTEESAGIADLVASCYGGRNVRS ATHAVEKGVSVAEIEKTEMNGQKLQGVSTAQTVYGFLEKHGKTDEFPLFCAVYNIIEG KAQVDDLPALLNRKK ANIA_06793 MRIRPSPPKKPTPGLLHEYADRLVAFEYSASKGLKPHTLLFISG LGDGLGTVAYLEDIVAALEGSQWSVFSPVISSSYGGWGTSGLGRDTDEMARCIEYIQK YKEGSGVHETERKIVIMGHSTGSQDVLTYISSPNPRHPQPGLDPGHGHRHKRMPPLRP QVDGAIMQAPVSDRQAIQTVLEEGNERHSAKYMRKVVNDAIAYAKKHTYEDYDSLDTI IPLPITAAIGYPASTAVSSRRFLSLTSPDSPDSPGEDDLFSSDLTDERLRKTFGMVRH RGVLKDQKGLLVLYSGNDPSVPAFVDKEGLLRRWRWATDADEKRAYWHGESGIIPGAT HTLEGPGQVEQRKELVRRVWMFLADVEGDPRTSCHSARPLS ANIA_11528 MGRIYVQSRCQTGDLSDYHSSARCRMESLLTCSTREEYFHLIEL LEAHETPKIAESDLEDSFLHADLVPVVKVQDLDHGEAVYDDSNF ANIA_06794 MAKNHGYLIPHVGEIYCRAPACTNSFQTQFLNTNNLKKHIRKAH VDKFDLLEKEGGGRPTAKEEDDVIVFYKAVLEAYDARQEDGVGKPEIPCRRDGKINQS AVKKYVREQGYSVPCDACKEADKAKDCCREANLDVCDHFELFEPYEDEEEAESNEVF ANIA_06795 MRFHIPFLLAIFAIAQASPVAAPHELVRRDGAAVIDAVNIVTES MIALNNTVTAYKGGFLGTFTALKIEFQSIALSNDLRDAISTTKSSANFTEEESLNVSG AFLELQPNIDSTLDNIVSKKPQFDNGLLGIGSLAFLVRSNLEKQKELAGELGDAVVLK LTKTYAVIAPLLNAQIQAKFEEAIAAFE ANIA_06796 MAPKVLVVLTSFDKIEANNHPTGWYLPEFAHPWEVLHSKTELTI ASPKGGAAPLDPSSVKMFENDPVSARFLKEQESLWKNTLKLEEVLPRAEKGEFDAIFY VGGHGPMFDLVTDKTSIALIQSFAKAKKPVSAVCHGPCVFVNVTTPSGKPLVADAEVT GFSNVEEDQVDLSKVMPFMLEDELNKKSGGKYVKADQPWGEKVVVSQVKELGGPLITG QNPASATGVGKALLEALGA ANIA_06797 MSGPHDPYKKYVSGAHHAADLAGAASGVSNPMGSIQNTQAAYHT HKTMKAQLKGMRKAVANNDRANQARREMNSAQVQASSLVYGQNGITRENGWVKDADGN INSK ANIA_06798 MAAYSIQAEAARVLEEHLLNNKALDIPASFREAAKRVKFVGDST PFIPTPLKITESSSALNALVGVIASAVSKDRYGVDHQEITVDTDVASLFLMSIILPSV GGTSALAHPVIAKELAKADLYDMQKPIHRQCTNVYKTKDGRWFHLHGSMNAGPTMRMV GVPEQEVSHDEAQKIYMERVAQWDSEEIDRVANEEYRQAGVICNTPEEFFASEHGQIM GKEPLYTLKPRSAPRKSWPETRDPSRPLAGIRVIDSSRVIAAPVISKMLAVLGAEVLK VTWENLPDVAATWVELSTGKRDTNLDLKSEAGKKKLSELVESADILIDGYRPGVLAKL GFDAESLRKINPSLIYVRENCYGWKGPLSYRSGWQQVSDCLVGISWLQGKFLGLSEPV VPLLPNSDYQMGLVGAAAVSHALLLRTQSDTTFDIDVSLTQYNIWYYRLGEQTPEIQK ALRAQHKELHLRHYDEMNSLITKTLAAVKQARPDLFQRPEYFDKMSGKGWGIDEDISI LTTPFKLDKSVLKYDTPSGRRGRSEARWISA ANIA_10846 MEEESVTLLLQQLQELRTEMRTQKQQLQEENNSLRAELQAVRNS QLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNLRTKFAIDA ACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDRQ RKALVRVNTIKQGRRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAINVELLKAMV GIRQEDSYDNYCNQLREINHNLQRVARLTRKGSHAAVPMHVARTRPAGGSDRTGTPDQ MDWEATHAQIAALQKEVAALRMKGTRTPRKASQAPAEEKQKRLSEGKCLRCGDPDHFV QLDIGAHTEKGAYFYVIPDNLGYDLILGLPWLEQHDGRLEAKRGRLYLRTTGVRLWST TKRPLPKLDIAQISAATMGGFIQRKRCHGQDIEIFAVSLADIQKALAPKRHIDPRTKL PRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVREESGKDPEVPWGPLYNMTQEELI VLRKTLSELLQKGFIRVSHSPAAAPVLFVRKPGGGLRFCVDYRALNAITKKDRYPLPL IHETLNQIGQARWFTKLDVSAAFHKIRIAKGQEMDDCLPTFQKYINWTLREYLDEFCS AYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKCEFECKETKYLGFIIQAGK GIKMDPEKVKAIKEWETPTTIKGVRGFLGFANFYRRFIPNFSGIVRPLNNLTKKGTPF LWTKECQDSFDLLKEKFITGPVLATFNPSYRTVVETDSSGYNTGGVLSQYNEKGELHP CAYFSKRNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGEFQVITDHKNLEYFFSPR KLTERHLKVGTSECQLDAQGYILFRGRRWVPGSEQLRTNIIQAAHDSMLTGHPGREQT YMLVSREYFWPNMSQDIRRFVRNCDVCGRTKSWRDQRKGLLKPLPVPDRPWQEVSMDF ITDLPESEGCTNIMVITDRLTKGVILEGMSEIDSESVAWALVRVLISKHGIPKAITSD RGSQFTSNTWARICTLTGINRRLSTAHHPQTDGSTERMNSTVETYLRIYTCYDQRDWN RLLPLAELAINGRTSTATGKGEAIVRKVKEALDWAQASMAYSQQNAENQANKHRSPAT NYQVGDKVWLSLKNICTDRPSKKLDWKNAKYEVIGLVGSHAVRLNTPPGIHPVFHVDL LRLASSDPLPSQKNDDTQPPGIIVNGEKEYMVEKILDERPRRYGRGHRLEYLVKWSGY ARPTWEAATALEEAQALDEWLDRTKQYRLQDGSLNRDAYIKAKAT ANIA_06801 MDTKMSYNGYSANPPPTWAQEPQYGQPHQAYQQPYQPYQEQYPA QPPAQYPPPHPGYSQSSTPAWGLNPKSYPRRLEVSFTSWSGRHMRVTEGTHEGPLVYA ADLKTRRPHMLFQATGTACLPATVVFHNFSRTVDITINGEELPMRPTSHWKHSKGWTG MNLECVDENGVVFAKWMAHTGWSVKKSGRLEIYEPAAVGGKEMADELVVTGLANVYLL QIQTNSANAAAGSAAAVSA ANIA_11529 MTALEVEAETSWVMPGEKYSPPRWVDTDAAASQQDPRKASIQVV ANIA_06802 MSERKALTDSRKRLPRSSIDSLASLSRSTSSGRKSRSQTRLLLG DFQEPIAWLPSPGTAITLDFKEHVSFKYALKLAFQSLLRLLDWNLFDTQCIKPLAHSI QMAASKQIRVTEPPFPVTDQLSADLDAGCLTKQYLQSYPLVQLQDQCGLLDFLEREYC STELDQVADKLWWMSKQDCGNISPLHRQYVKGRTITVTEDPKLHLVWIHNRIFMKPLP RYITSHVFWRDYLRDDVKGLARDRYRRVRRAALGFLRTYLYLVRSESDFYIAQEPSLH LIAKDVTWEQFCNFARHLTKISNKDVSGRYAYGEIRLTRLNFYAPLLLRKWNFQRVQY QYGEYFARFYGPILFVAGTVSILLSGLQVTIAIQGMEPALYDRASLAVTFWFSAVVML CFCSILVVLFFTLVYKVVKEWNYAIRDRLRLLEEGRTESSK ANIA_06803 MLMIGIVKELLKLGSSKLPAYFFCQGTDLKLNNATAVLRGLIYM LIIQQPHLILYLRQKYNTEGQSLFEGPNAFYSLFAIFETMIEQVQQYPVHLLVDALDE CQVNLENLLKFITKTVSMSPARVKWIISSRSMGHFERILDSYHGAKLLNLELNAGHIS HAIETYINHEIEGLRILADEEILKHVKDQLNRKSDGTFLWVALVVEGLRKFLSMAVLA YRPLHISEMRHLTGRHKEKDVERAVGLCGSFLTVRNGYIYLIHQSAKDFLDSEYTTSI LPKHSEIHHQMYSQSREALSNKLKRDIYYLNNPGLLVPEIAAHRPDPDPLFDLRYSCT YWLDHFLKLRPLGLPEALDCQVSGFFERHLLHWLESLGLTGELRHGIISLKKLSACQS ENQAIFKEAERFATANAVIIQETPLQIYSAALIFCPQESLGKRIYWNQRSDFIEKAYI MQESWDPCIQTLEGHKHSVNSVVFSPDGQIVASASDDGTIRLWDAATGAEKYTLEGHR DWVNSVAFSPDGQVVASASDDRTTRLWDAATGAEKHILKGHKDWVNAVAFSPDGQRVA SASDDWTIRLWDVATSAEKHILEGHKDWVNAVAFSPDGQIVASASNDWTVRLWDTATG AEKQTLEGHKGNVKAVAFSPDGQIVASASNDKTIRLWDATTGAGKQIHYLNVIPKAMW FSADGCCLNSDRGLLLLGVQASYFPNKSIFVHEKWIERNGQRLVWLPPRYRASCTGIV EAFFFTKIKILAIPVTNQIQLNMLIWLRVWKCYYTQEAAEDDIFSNEIPA ANIA_06804 MDKKVASDASATHEEVPYVVPATKALQADSARRGSTAVNIIQNP LQNVSREQAVYDARVFAQTHGMDEHADVFGRAALVARDPDNFLTIPELSEEERTALQY EKDHKWHGPRMLWYSIGLCAIGAATQGWDQTGSNGANLQFHDEFNIAGEGRDEWIVGL VNSIIFLTAGLIGAFIVDPLNHYLGRRGEIFVTALCLTATPIGSAFTHSWQALFAARF IMGIGIGAKNATVPIFSAEMAPHRIRGALVMFWQLWVVAGIFLGLIANVAVKDTGRIA WRLQLGSAFIPSFILGAGIYFCPESPRWLMKHGRYAEGFRSMCRLRAHPIIGARDYYY SYVIYQEEIKEARGAGYFRRMWDCFSIPRIRRANYGASTVMIAQQMCGINIISFYSSS IFKDAGYTDSEALYASLGYGAVQVLATIPTLFLIDTKGRRTLCLITFPGMCIFLLAAG LSLLVPDSASTSAQLGPVVLFVYLFTIMYSLGEGPVAFQYSAEVFPTVQREQGMAWAV CINNTFAGILSLTFPRMRTVMTPTGAFGFYAGLNLIAWVMIFCFVRETKQLTLEELDQ VFSVPTKEFLKHETTVWLPYFIRRHIFRQDIPKPPAIIATADEKRGSSNSVDA ANIA_11530 MAQPAGIEKLHRRISPQHHWRYIERTVSTPVGPAIFTAYVGPGK GRIKAPEPELMLWWFDE ANIA_06805 MGGVLHLVEDRPTPKSVYNWRIYVLALIASCGSNMIGYTSAFIG TTITLSSFEHEFGFDEKTDSQVDLISENIVSLFIAGAFFGAILTYGLGHLIGRKWNLV VASAIFTLGAGLTCGATGSTGLGILYAGRVLSGLGTGVASNIIPIYISELAPPAIRGR LVGFYELGWQIGGLVGFWINYGVEMHMPSTHQQWIIPFAIQIIPAGLLFIGSLWIRES PRWLFLKGRRAQAMANLCWIRQLDETDIYITEEVAAIDQALEEQAVTVGIGFWKPFQA VARRKAILYRLFLGCMLFFWQNGSGINAINYYSPTIFQSIGVSESDVGWMTGIFGVIK AVMTFVWLLFLVDQLGRRNLLLGGAIIGSVCMWVIGAYIYVVQPEENPTDHLNGGGIA AIFFFYLWTAVYTPTWNGTPWVCNSEFFDPNLRSLAQAATTASNWLFNFLISRFTKQM FATMHYGVYFFFAALSFCAFFFAFFLIPETSGIPLEAVDRLFEIKPVWRAHAKLKEQL WEDEEQFRFEVKEGVFGKDGNEDPAHGHVEDRSQTEAEERG ANIA_06806 MTSVPPPSKKIRRFHHKSRPVLLSQVYARPGALLPLPCLPRLQS IWREALPELAGSFAFISHGLLSVAYIHMASLSPETSRSLLGESAFHVDQALPQYLEVI KNINKDNTAALFGFAMFINVITVESVSEECGALLRVARNVPSKRPETIKRLATHAVRV IHGQQGIFSIFSRFQRWTSNILLYPAIERRGAPVLREPTTSWVSVEDGRLARLSLLWE RNPAVPATESQALCAALNSLRAAFVVVTQLTVPALAQAGHEDPCQIEPAAVELEEIHR RLSAVRLDDVLNVFTWLILIPRDFDRMIKEGNMYAMVMLAYYAILLDRTRSGLWWIHQ LPHRYVLMAELVLGKERRE ANIA_06807 MFRQVSSACALLGLILGASATKATGPGPEACGNLTQLLGSKTVV SNTLSINYIDSTQSYYNTEQSKYKPSCIVYPVSTDDVSIAIKAIRRSDSRFAIKAGGH NPNDFYSSVDKGVLIDLSRMAERFYDEESTLATYQPGGDFGDIYDYFSQWNRTVVGAR LAGVGTGLALSGGLSYLSSQYGLACDSFRELEVVLPSGEIVTASESTNPDLFYGLRGG GGNAYGVVTKYTVQSYPANTFYAGNIIYLFQQNTAVLDAITNFIQYNDDPKAAIIGTY EKLPTPGFEHNLDEAIIMFLVYDGPDAGDVFKNFTDIPHLANTLKQTDYNGVVNLPIP GSAELIKGRNTFRVSVHSGDEKGKESLNKLYEKWVAWGNENKGKYLLTSMDIQPIPRS LTDASNSNFGGNAMQMPDGPWFWVNFLLTASSLLSEEELEEANQSYKEMVESVPPTEG LPLFLNDASHDQDPLTTFAGYQKLKEIKAKYDPDGFFKTAVSLSRFPTCDTLWTAYSV TMTSQRTMSLYRTLLYLLSLSAGSRAIEQDELQDFGRYSSDVSRILLTKTVSYTGPES APIPTTYGNLVDTSQRIRSNNHSDRTDAFSGPPQRKLSCPPQRKQCGDTCIPSTQDCC AASEHCLPGDYCYRHSGSVRCCPEGLACFQISGDVCFQQTVVWYEEIHIIDLNEEEII TSWDIVESVYRTSSRITITASYPSEGRASFTSLSEGIVEAAATPVTLALDEIPTRTVA LGAHTTEAMLSDPWSGVHGSLIWGSPSSSHTWLELISDIEFTPVPLEDENGRKEPHEP KRRVYGRVVIRTPNSSRFANHIHSRIVQNFPFLVELFYWVLNYAFYSCTKAISHALSP AGTDVVQLAQDHGIAILDLEHRSIFSIFFPIGESDFQAYFPNGHPGWMTFNRTYSLVH IPGTVVFLSWWYWAAPDHERFAIARRTMTLGNFVAFVVFCFFPCMPPCLLPESFNFHN TVRQGNAESV ANIA_06808 MAVKTQALVSREVNVPPKLEEITLDDIRADEVLVEIHATGICHT DFSCMNGTLPAAFPSVLGHEGAGVVLEVGEKVKHVRKNDKVLLSFDHCGACSQCDKGH PAYCSEWVTRNFGQKRSDGSLTLADANGAKVHGNFFGQSSFARHTIVSSASVVKVPSD TRLDLFSPLGCGIQTGAGAILNTLDLRNAKTIIAIDLQPQRLELAKKLGATHAVLGSD TDVVAQIQKISGSNGVDNSVDCATVGAPTPGVRAGVDVFSHLVMGRQYLGCCEGDSDT QKFLPYLIEQHARGQFPLDQMVTYYRVNEFERTFKDVKEGKALKAVLLRT ANIA_06809 MTANSNEASATTAFTSLCAEKGLLKRPQGLKDEDVADGFTDEVT LLARRFLQANKLDPSKALEQFQQALDFHNDNDAIRLYDLLSVAEFEETRAVYPHWTGR CDRSGRPLLMFDISAIDKEGLAHWRKTRDMPKAIIPGDPADADSTASVSISAAASPPQ SPNMAQRALTYFNYYPRFVLPLCSAAHRKPVTNCVYLVDAGPLRMRQAWDLREFARDI SWILATCFPETIYRCYCCNVPSFLARFWSIIKSFIDPATASKIQFLPSSDVYDTLKAD IEHDDIPTCLGGGFQFQTGMLPDLDDGIRRALEWSGTQVDLPPGPIKWIQTLALAESG TGTRKAVATGTADMVQRAVEVATLRAPVSSSDA ANIA_06810 MAQPRTSILTNKDVTSYAVPRYAPDMAGFCHGYELRRHRYEHKA NEGSLRCRADWETFIGPIERWGSCNPWDGHFGAVVLPFCRLERLAVLNMETDNVGLDE TQYRTVRSILGTKQIQSKMLLELLSIDAPCAEVVIDSWKTMVSTTAKQDKKRVFGNLD EYVDFRIVDTGAPFVDMLMRFGMGILLSEDEQRMVEPLVKPCYAALGLANDYFSFDIE WEEFLQEGDKTTMTNAAKERVREVTNKYEQQYQQNVEEFAAGPGKERPHLLEYLKAEG YQIPGNVAWSLRCPRYHPELCETAGALLREDQTISTALEDPAFADERPGASSSGRSNS RSRSASDSDLSDASPTFWSEYSRSSHLLGPAEYISSLPSKGVREAFIEGLNVWLVLPD QRVNELKSIAQSLHNASLMLDDIEDHSPLRRGRPATHTIFGAEQTINSANYLLIDAMQ KVRALDDPVCLDIYLEEMHNLFVGQSFDLYWTRQGQCPSEDEYLAMVRLKTSGLFRLL TRLMAQIAPVQRGLDRRLSNLSDSLGEFFQIRDDYKNLSSEYTGQKGFCEDLDECKFS FPLIHALNTQPKNVQLRGILQQSRSPGGLDIPLKETVLQHLRDAGSLEYTDKKMQELM ERITGGIVDIEKASGVSNWMVRLLIHRLKVIYQKSKSTSTNTHIRNTYTTLTKMPSKR ILIVLSDANYFPLKKPAGSGEGSSSNSKIVDQPSGFFLMELAKPLQKLLDAGHEVTFA SPEGREPQPDPNSESLLAFAGNFYERRRENELLERMKKENGFTKPRKLNSISDDELKN FAGVFIPGGHAPLADLGDNKDLGRILEYFHKENKPTAAICHGPYALLSTKVSGGEFAY KGYKITSWSNAEEKVMESMLGGEVEKVETALMNAGAEMVEGAKEKVGQTTLHRELLTG GNPMAADELGNRFVKMISV ANIA_06811 MASSPPKEPHLEQLEVGRRAETEPSLRDTLRYYQPAVIWSVLIS LTTVMESYDMQIVHSFYAFPHFQREYGVQLKNGEYSIPAKWQLALNCASLLGLMSGTF LNGWASERFGARKVIMISLIALTAFVAITFVSPSVEVLFVGELLYSIPWGFSPLPPRR MRQICPLVRRGYVTAFVNPCWVMGRLISTGVLTGTLNIPSQGPYRLPFALQWIFPLPL FIVAWFCPESPWTNLRRSEISIISWGCQLLPCWAIQNYITYFFSLAGLSPGNLFKITL GIV ANIA_06812 MAVRIAACHASPIFLSASKTTSKTVSLIHAAARNKAQIIVFPET FIPAFPIWSALRPPTDNHELFQRMVAESVFADGNEIAAIRTAARETNTIVSIGISEKS RFSTATLYNSNLLIDTNGAVLNHHRKLMPTFFEKLTWAAGDGHGLRVSKTAHGNIGNL ICGENTNPLARYTLMAQAEQIHISTWPAIWPTRAPTDSGGANYDNVAANRTRAAAHCF EAKCFGVLCAGFLAPDTDSAAVKMIAEGASAPQAVKHVLQNSSRGASMFLDPTGAVHP AFVFSEDGKKEKREFLQDEEGIIYADFELDRDRALSTFSRLKFMHQSQLSHAAGTVSE ISKRATGMRNGTVGYIIS ANIA_06813 MATPQADKQAVSKAAGQSLVIEEGPLMAPGPNEILVKVEACGVC FSDVFAQNNVMGGGFPIAPGHEIVGRVADRKGSCKACRKDWYQMCDSQVINGVTKDGG YAEYCLLNPEAAVRVPAHLSAAKYVPIPCAGVSVSNSIHHMKVPVGETVAIQGLGGLG HLAIQYTNKMGFRPLGGGDEAALGGFGSPWKVVDSICLNTALRFSSGHAATPQTQKRR LPLRSCKDRLSGGRVPSSQSKRGIRINVEEDSQVPGCHYDGMIQHYGHGNEEV ANIA_06815 MASVLVFEGAVIHSTDPEHLEILNNATLVVTDGRITAFSKSSET HDAIPRNAQIYRLPPGDFVIPGFVDTHNHAPQWPMRGLGQGLHILDWLNEITFPVEAR FADPAYAAMMYEHTVDDFLRQGITTASYYSSRHAEGTKILADICHKKGQRAFVGKCNM DRNAPDYICEKSASESLRETEDCIQHIRGLPGCADADGALVKPVVTPRFAISCSPELL QGLGDIVKRDSTLAVQTHFNEAQQEIDATTELFPQFNGRESDLYESYGLLTSRSILAH CTIMTDYEKERISSLQCGVAHCPIANMTVGGGFMVAPVRDFLRRGIKVGLGTDSGGGW ASQMLAVIRQAMIASNAREVSEGMDKALAFEEAFYLATLGGARVLCLDDRIGNFQVGK EFDAVWVTTTTGLKSAMTPREEGDSLRRLFEKYIMTGDDRNVAHVFVKGRRVAGSR ANIA_06816 MQSTSSVTDPLSILPPELVLRVLEFATLSTVASLTAVSKAWHQF IDFIHQDAIYSVLSETSHPPEATFSQLFDGVPSYKSLCERQTLLARNWAAQQPVSREC ILQIGNDPVWRFRADLKRRFIISTSHGGGLNVTDIDSGQLLWHLPSTLDTDNEHAVRP YAHLEYQDGMVVFDREGDAVEVWQTDVEGAPRGEFRRIAVLDHDCQTRGFQLSYWTLC VVSTQGQGFVYDMTQRPPSLTTHLQIENDAVGHLDQSHDAVIYSMGPRGYHVYNKASG EYLGALNPSQSTEKYHILPPKDDSRSATERLIHSLAGDNSLGPYSGLGPPSTEHLLPI KLEKGPLPPPDDPGHVRNGEDEWGAGMLDGDLFVGVSRAGRVFVCSNWRNAIQSQESL ASCSAIIECESDGSTHDLGGWLSVRNHRLMFEVQERVYVVALDDNNRIQDIDHPTRAS YSLITCSTPQLTVPVSYMALFDDAIMSIYTVSLGPLA ANIA_06817 MSNKQIILHERPTDAIDPSLTSGTFRLRTVPRPDNVPADKVLVR VHYLSLDPAMRQWLSASRSYIAPVKIGAVMRGVSMSRVVAVGKDLASKFQVGDWVSAY TGWQEYAVLGKDDVEKIAIPVGGRPTDVLSVLGLTGLTAYFGMIEIGQPRPGDTVVVS GAAGATGMVAGQIAKIKGAKRVIGLAGTQDKCDFLVKELGFDVAINYKSPNWRKQLKA ATPDYINVYFDNVGGEILDACLARAAPFSRFVMCGAISQYNTSKPKGPASYMNVISQR VTMKGFIVFDFAEKYAAAREELSSWLAQGKLARKDHILTGGLESAPKALVDLYAGANT GKMMVEVAPVNETEPRAKL ANIA_06818 MAIPMQSPPAEIVAAAKASLEENGFAVVPNILDRPAIKNIYERL WAAADENQRRGVDLFMPAIDPNSSNVRVFYLLELDAAFRELIQHPAALEIVKMVLGDD ILVSNFTANIAKPGSGSMALHSDQSLVVPEPWGAPWAVNIIWCLSDVYFENGATLYIP GSHRWKRKNEVPSNAVEMLKPFVAKAGSIIAMDARVWHTSGANITKDQDRALLFAFYS APFLRQQVNWTAVFSKETRDSFSPTFRNLLGLDIMANAGKTSDMGYETKPLFV ANIA_06819 MSSLLKISIILAGMAAAQNTECGCYSTDGVTAATYTNRIYHDFR SLDETGTLYTGEPANVTNDDESAAAPVQSGYLTSDGFVNDFGIQTWGSPASEDTPLRK QYSNANVYIEHDGSSSSTHLTLRSYRNADFVSTAEIDSKLQNIFHASITVRARVRGAA GACAGIFTYLDDKTESDIEILTRDPTNHIRYTNQPGLDSDGNEIPGASTDAVLPNGAV WTDWVDHRLDWTPELSAFYANGELVETKTYGIPDAPSSFIVNLWGDGGSWSGTPDIES AAYLDIQWIEVLFNTSDASA ANIA_06820 MDSPTNSTTSEKSRDGPRKYAYNHEGALSEKYAQESVGNNPNAS RDNVLTVFAQLAAVRMNAQRAMISLFDRKQQYVIAEATPRCCLRGESGRDQADGLWLG VGQFPRQRIPMCYHAMKSFIDDESDFFVVNDLTKDERFCDHSCVTGHPHNRFYVSVPI QSPDDYIIGAVAVLDNKPRDGISGEQERFLSELAATVMDHLLSQRAMREEYREEKMVR ALGLFVKGKSHLNEWFDSGENSNSRQRDQMGRINRKLEQMQVSEYSSGEKGNEQGKKA SRPPRDEKSKHESPVQKFINDDNERRDSGIGTQDVQALKKRPKLSPTTSHLQDTLAPT NVRSVVNRAASMLYQALDVEGVMFIDASVYARRKAVGSNHGKRRDAYNVEHQERHGGS DEDIPSATKDDSRLNSPASEDDEDAHSLVLGHYTTSTSELGINLSDSHYVSLSGSFIS HLIDQYPRGKIFHIEEDGSISLSYEGLADEMQHPGEGGRGAPTSDPDVINVKQETSDI QQLMKVLPDARCVAIYPIWDFQRGRYFTINLVWANDPGRVLSEPKDLTYLAAFSNTVM AEVSRLDLEAADRAKGDFISSISHELRSPLHGLLGTVELLQEMVSGYAQHSLIETVYS CGRTLLDTLNHLLDYAKINTLSQPKQLENLGQKALSEARPAVPGSLQDEDLGVLVQEV VEGILAGVEYQRRGVDGGSGGRRGPYGNANSRLITIVDIEWNDSWRYSVYAGAWRRVV MNLFGNALKYTQTGYIRLFMRNDTLKRDGQNADPAIRMTFSDSGRGMSKDFIANHLYT AFHQEDTTSPGLGVGLHLVHQIVKSLNGTIDFASELGKGTDVDVVLPINPPEDPAPAA PLYDSLKEKLQGKTISLFTQSSKLGNLGMDTRVFNNMLISLGRMTTGWFGLRVLTLEE YDRGEADFAIVTEHEYKTYYHKGSSMPKEQGSGEIQPMYPLIVLSERASSWRTIGESM DEVIFLTQPVSPKTLATAFEHCLAASTTALDRAEDSDLMPMPEKRKQSSPVVDGDSPN KKTVTETMVDGETDIKTGPATDGKIAGATAGAVNGASCANDNPARHRILLVEDNQVNL KVIEMCVKTAGFTYETATNGLEALERFKDTQFDVVIMDVSMPVMDGLTATREMRKFER RCRSNCEQNQDRKRATIIALTAVLSASTQHEATVSGVDLFLTKPAPLKQLKEILEDLR EGKEIGQE ANIA_06821 MTGMNTSVSAGWYSFTNLGPITTTFTPAPACTASNQVSIGYVDN FFTGVNVYAAYGVQCTSTVDYFDCIPTMTPEPTSTTAVPDDDIIWVDYGVYYSPGLYC PKGWKTVGMAGRDASSVLTSSGVLAPTATRSSRTRAATPTPYGDDYYDYYYDEYNLDP ASVMKSMLEPKQTMALCCPDFMTVDSNGACYSIVSSYTATTGCHVVTGFNYEYSETTT TYTMTYTYSDDEGSETDVITRVGTYDVPTATETTVRTYTTNLDGEEKESMTAMYIMPV VTLLYHESDLENAAKETAAAKAKAEAEASETATNAANSLIGRNGNSVWEGVGSVVGIW MVAMALGGALVLPW ANIA_10859 MQKYLTRPRKLPRQYQRASQGHSYHPDPNHRANTLPHRIPVPPD QAVGSIGRRLASLSLSLGFGRRRLLCRILKITLMIQESDNRHYIHRRHRLLFLAV ANIA_06822 MAKVIFTPWKRHSDLLAVRKQFYPPPEYDGPDLRSQACATVSAW KLRGNLPHPVEATALLTDAILHDDASKNSLYCDSGDVRSGVLSQAGAFLGSGNAINDG RSGDGGADGPPKKKRKVQRELAGVAARAVGILKEHRGGEAGEQGTASKPGWVLARIML REGFLVPLEQRLNDTQSLTTSISKWDPFLQMVAEGDSSFLVTLAEAMVDELAFPASSL KSDPKSDPTLKGIYTWLDHILHSPQWESHRRLISLSYIRAICENSSSRNHWMTTLKER IVQVENGKKKGKGKGKRESNAGDLPVVAPAGEASTAGDSSGLLNINQEDIETLRRFGW EPAETSGWDSRALGVVG ANIA_06823 MVPLCQSQPQAELELLCICRSGHPWLRSVFDIHTYISPLLPFLP FLVLTVATPSRTLLLCYNRHSANNTSQHSNSTPAQHCHIFTTSPMTYYPQPEAFAGSQ WPLNNQSTALQQFLYAFPKPRLSGRISKPRSAGNSPSASRRRTTTVHSSPMYRPPTQE QQIDMNAALLAAINRRRARPMSWHPVLNQPEYGTPAQFYPTTSLDSYNLPVTQVTQPS QTMTGAFDETMLQPFPAADVSNMQQDLAFPQLPQEPYLHMNQPQVDGTFWDGSEMGVP SFAQPLNDWPLDMTSINQDLPSMGAPASNYGSVSSPGPATPDFLPIQKFGDDAESVPV LEKPEPGDELVGMGLYSEPDTSTDGLVYGMNGKGLKLEETFTPFAEKDDEEEEEEDQQ EPDSESDNQQQSAQANKQAESMVNKTFFFESDNGSEQPTMARPSFNFPTTSCMNYGYG WI ANIA_06824 MDQRPSIDTGHDHKQENDPPKQFEVLFPRRTCSHRRKASLVMAD EPRIDHTDEATACFVHSLIAGEGPMPAKIANFRISKDRSKLEADIVGKSAAGAHDVAI EEEDEDEDDENQKPDAHGRAKSPSPDEVQSRHLTKKQLSDMAWNVRNLSKKLDSIRLK LNVKSIFLVTKAGDESVVDATRQVARWLLSKDRGTQYVVYIENKLRIDPEFDYESLVK EDSSVGERLKFWDAKLASEQAHLFDLVIALGGDGTVLYTSWLFQHIVPPVLSFSLGSL GFLTKFDFNDYQNILSSAIQDGVLVSLRLRFECTIMRSNPHDKETPATKKHRDLVDEL IGDETEGTLTHRPDGVVHILNDIVVDRGPNPTMSSIELFGGDEHFTTLQADGVCISTP TGSTAYNMAAGGSLTHPDNPVILITAICAHTLSFRPIILPDTVVLRVGVPYDARTSSW ASFDGRQRVELLPGDYVTVSASRYPFANVLPHGGKGDDWMRSLSKTLNWNTRQRQKAM T ANIA_06825 MSHPPRRSSMLSMSSSVQTATPTEKQLAQQPQIQTPTQTNPGLR VPSNRKTIYDRHLNRSRNAESSRASFAFLFGEMVTLNEQGYPLGLRLLDLLFYRTMSS SSSSALSSSSTSASPPNRPLRILPLLHLIHGPLWRLLFNRPADALEHSVSPDTPNEYM ITDNDPLVNTYISVPKEMNQLNCAAFVAGIIEGVCDGCGFEAKVTAHNQPTEMWPSRT IFLLRFGESVMEREKVLERAGVK ANIA_06826 MPPPFPTSHRGMTANPQRPARYRPGKPIAEEPSSEEEEEEEVNE EIQGEQERKRLEQQRQKQAAPKATSFPGTRTVTKDIKDVKVQQEDDDDEEGFVTEEED EGVAIARDIVAAQVAKPAEKEQEEEEEEEEESEEEESSDEESSSEDEAPRRVLLRPTF IKKDKRTNGATDHQGAAAADSIAEAEARKAQRQEKADALVREQIEKDAIARSSANKAW DDDEAMANEEAAIDDTDGKDPEAEYAAWKLRELKRIKREREAIEAAEKEREEVERRRN LTAEEREREDQEFLAKQKEEREASRGQTGYMQRYFHKGAFFRPDLEKEGLDKRNVMGA RFADDVARETLPQYMQIRDMTKLGKKGRTRYKDLRTEDTGRFGEGFGNRRRQEAPVGV TDERFLPDRGFDKKGPTGANASVWTTLVRLKSIGSLACSLLILIYAG ANIA_06827 MSLQTPRVLPSHLHAFNPSTSGPRSAPPVRLLGTVTALHGDTAT ITCGTHGDVTLILKPDSHLQMGKLVEVVGKVAEIDGGLGIRVLATTDWGNPADCDYKI YEKVVDVTHRLKPIFYESPE ANIA_06828 MLCHFSMRTNVNLDSSQGALYFRQRYPELVAQLGQDYTVYAYDY SEYETPLVGQGMLSWVLASSSPTPNAPAHRSKTMVTGRVCKNVLGLFSKGAQETLEVK LRLVPVPTALQREYLDSMQKYRELSNVIPHDFDAQAWTDFLRQNPGLMAPSSQQAAQA SSPVDHSGIERFHQILSAGSTPREIVSIPTHQSYRPTSPAQSALSTVSRVHTPGSQFP IQQQEQSAPRPQHLERSQSDVIRPSSSASMRDADFHSYAKSNRRDSIHSGYGSCEESS EQQPRKRAKLYRADAPGKADLNIERQPSSLRVAASTAASVRIHRPTPINPLISAAQNS NEEPVRPPTPISDMNHLPRRARPLPSLLRESSTQSTSQSNQYNSPYAMSDDHPSVEPT TTSPEESRYQGLFEPSFSMPSSPPILDNALPTRSSPVLPPMRADLDSGFMSTGIDDLL DDELGPPLDNARPASKNSTKPTRTVRSAAQASSPASVAGMLEDRVIEIPDNQPENEPV NSMELPSSAAQNASVSGAEVSAENFPVSGTPFEQQHQQQVKDAPVTLPRASRATSSRP SSRASVRHTPKPLAPAPISQSELEQLLSAIPESDPVLPSDGAAAETPAPNSVNEEGKP RSGAGARRLKQVKQVQLRLERCIREGQAPPYCENCGAIETPTWRRAHSKVFEGSEEDA NEHTKDPLVFFWQPVETNEQGKVTKYKVYKKKLTDDENDFALVLLCNPCGIWLQKCKS MRPENRWNKASYEKRKRPRRTRKDAGPLSTNKRPGGRSTSEPSKPDASSPGVSDASSP AADDDTTPGPDNDAGKAGETEGQKNDDAQEPPAKRRRANSAEPRKSSDRAGSRWHEGD AREALRRAIQSSPARNLAGGNTSAPGERNLPPKSVRRVLFPNSQNEGGPLRALGDSVL NSPKRGSRVPGVQEANKEPGEKENQAVNDLERLFESPSFEFELCASPTPKRRNQRLTT SDKRHSLPYISPVKSRRDGAIDITPTRTPARRLQRVQSSPGSVPHQNRTPGRSRSLIP DLPPMSDDIFGTAAFQGMDEMIVDIFSDNANSALHTDPLFALDSRNVSNNNWADWLPS DLSPSKSDRNQNNDDADIINALLSDADIQKDMFQYPDPRTLDSGFFSSDAVQADGMAL EKTNVSKSQATNTEQV ANIA_06829 MDVHDVFSIEQYFHLLKDQERTLRNALGHRGQSWKATKPRDELI KSFWEHHIIGRQDTFALPDTFKIKTSFLPPAYPPCTAPMADLKKVAISDLRLETHHRG LYVLLRAVTPAASMTGIMVIVEDEARDVLLLQLYNQEERLEKDGRLVEGKVVLVKEPY LKVLADKSYGIRVDHLSDVKFLSEHDEQIPPAWRQKDTEFDISANDWKLKGNKWFEKE SYHLAIDCYSRALESSPSAEEAITIRLNRALSSLKAHEFEAALRDLDLQPTDPKSLEK ALFRKAQALYHLGRFRESCETHEILAKQFPENTIAKTEFSRANARLAEQQKGQYQFKR LQREAAKRVPPQLDHATYIGPVAVKMTDSRGRGLFTTAAVKAGDLLLCEKAFAHTFHT AKNPKRNLSLLLNLEAKAMTIGTQAQLMSLLVQKLSKNPKLGEVFRDLYHGGFQPVDV SEVDGLPVVDTFLVERAMSLNCFGCPASSRESHIESIRVRGPENGEKVFESCGIWPLA SYINHSCDSNARRSFIGDMMVIRATRDLPDNTELTFWYESPLIGGSAAKAMDLQHWGF KCSCIICTDIAQTNRNELKRRRTFLGTFKKLFDSPSRVPDPVRLEALFSAIEDTYSRP VSEIPRIALWGPALSLAKLYTARRNSRKAIDFGLKSLESLGYVIEGGHIVNQNRNNST DAELVVKKWGLLPDTVIMCWMNLSSLVP ANIA_06830 MVLLRHLHSYTTTVPETGVIREYHFNLERITAFSDGRERFALVI NDSIPGPTIKAVGVIPWSSMSQIVCRTASKMAPVCIFTEWRAMQYGTTWYHSHIGLQA WEGLFGGIVIHGPASENYDKDLGVMFLNYWDISTVDELRDYAQSEGPPTLDNVLINGT NVYGSDEGNKTGRRWNTTFVKSTSYRLRLVNEAVDTHSKFMVDNYTLTVIANDLVPTQ PYETTVLDIAMGQRYDVIITADQASVADSFWLRAIPQEACSENESSDNIRDVGLRLHG LLLRRRPLKPHTDGEPAKRLKQRLLYKSESVTLGTNTENLFRWKLNSTSMHVDWTDPI LLEIYRNHSVSTFANTSGVVELPKANEWVYVVIETELTVPYPIHLHGHVSVIIAQGTG TYNSSSDNLIDTLGAANLPRRDTAMLKETVYLIVGFYTDNPGAWLMHCHIGWHTEEGL RDAVY ANIA_06831 MKGEKKTAEGVAAPASEQVENTTVHTVTGSEAFNQALIQEKPHL LSPTNLLLCACLMVGFCCQTMNGYDGSLFSGLLANTIFLDHFNGRDAGIWAGLVSAMY QIGGVSALPFVGPAIDTWGRRFGMFLGSFMIVLGAVVSGTTIANASVGQFMGGRFLLG FGVSIAAAAGPIYVVETTHPAWRGMVTGYCNTFWFIGSILASGAVRGSITLDNNQSWL IPLWLQLVFSGIIICTCWMIPESPRWLYVHGKQEKAVEVLTKWHGLGNRDSLWVKLQI SEYDAHLNMDGSDKKFWDYRSLFNRRSSIYRLCCNCFFAIFAQWAGNGVLTYYLVPAL RGAGFTSDVTQANINLGYACFQFFWALVGAAFVDSLGRRPMMLLGMAGCCVVWIAILS ASSQVNNSDGTLNSAASNATLGFIFIFGAAFSFFITPLQALYPVEVLSYEMRAKGMAF SSLAVNAAGLLNQFAWPVSLDNIGWKTYIVFVVWDAIQTVIMYFFFPETKDRTLEELD QIFEARNPVKASTRKTAIAVDAENNVKF ANIA_06832 MFIAFEATKDANASKDLESGPRNPKSVTGRTSTGRRGVVPRACS SCRRRKVKCNGEKPCEACRWYKRPEECTYPEYERLRNMKSSPSPDYRSTLTRLFPGTA PEQLVNLPREKLLELMTGSASQMQDSPSTTASVTTGVSVMPIERPSLESLHSIPRDEL GEIPNVPSASSSGQISDDVNALSLLARPPTSYLGISSVQAALKAIAWNLPGYNFSISP TVPRQQPEEPLSPLSTTLATLQFTETQLVDAYFANFHSFAPLLDEDAFRKTHRAGYRQ DARWLALRDTVLALGSIIAAVDVNDRTHCTYFESAMSRLNLGSLGNPSLEVVQTLGLM GGWYCHYTSQPNLAYSLMGASLRMALTLGLHRELCDGRSVHDPAQAAHEEFRRRVWWS LCCLEIWGQETLGRPGMDFFTASITVNTPRLIDKDNYIEIVPLIENVEFVKVASKIQE SLATPPALTHTEMCDLDTQLLQWWNNLPPILRDSEPYPESIYSVRTVMRWRLYTQQML LYRPRLLNQTMRRIPFLAVRADERTAIRRCRELAETVILDIAQTTSMNRMIGWNAVWF IFQATMVPLICLSGIPVDNDLEASADSCKRQVETAILALQRMRPYSPTAGRTFEVISN LFGAILQGTGDPPPDADSDAPMDMAMPERVPVANDYNSEQAPRSRPVDEAAGFFDNLP PEYGYTSFGTPVTRCLDMSIIP ANIA_06833 MKGLQILVSSILALGALADPSAQMDKRADRGSYTVSGLGQRKQA ILDAGGNTLDLAIAMLETSPGIDQFSEGMTTDYVYGDAKTRDAANFGLFKQNWGLLRV CADRAGFVGQSEDDFFGLASKIYPLTQVSVPSSDVYADVASRWDCQEHYGEQKWFAGH RNGESGLNNPNTQDINNYKNAVYWIKEQIDSNPAHKSDDTRFWVDVVAI ANIA_06834 MTGIRPKEVEASILPTVRQLPGEQGAVNSDQDIFYELSASIPSL QRLSSSARAATQAEQRLSFLEGCRLYPKAMMWSIVLSTTIVMAAYDTALIASFFTFPA FRAKYGAPVPSKPGTHEISSTWQASLTAGAVVGEILGLLVNGYLADYFGYRRTILGAL AVLSVFIFLEFFAVRIPWGIFQTLSTTYAADVIPVALRAYFLSSVNMCWLLGQLSAVG VARLLVTSKSEWAYRGPFAIQWVLAVLVFIGVYFAPESPWWLIRKERPHEARKVLLQL TESRHGGVNVDGTVSMMQHTNEAEKFLGTSRMAYLDCFRGIDLRRTEITCMIWITQQA SFSSSATYAAYFYEQAGLASQDALSLAVGMYGLAIVGGVLSWFLMKRVGRRRLYLLGL ATSMTLLAITGGISFMPQTNAQAWTVGSMILVLTFVYDLSIGPLCYVLIAEIPSTRLR VKTVVLARIAYNVAALVTNIITPRMLNPTAWNWKGKSCFFFLGFSAICFVWCYWRLPE TFGLSYLEIDILFEKRAKTSKFRELQRRLMTRGYFNTFSEPGDEAVW ANIA_06835 MAEIPEPKGLPLIGNIGTIDQEFPLGSMVALAEEHGEIYRLRFP GRTVVVVSTHALVNETCDEKRFRKSVNSALAHVREGVHDGLFTAKMGEVNWEIAHRVL MPAFGPLSIRGMFDEMHDIASQLALKWARYGPDCPIMVTDDFTRLTLDTLALCSMGYR FNSYYSPVLHPFIEAMGDFLTEAGEKPRRPPLPAVFFRNRDQKFQDDIAVLRDTAQGV LQARKEGKSDRNDLLSAMLRGVDSQTGQKMTDESIMDNLITFLIAGHETTSGLLSFVF YQLLKHPETYRTAQQEVDNVVGQGVIEVSHLSKLPYINSVLRETLRLNATIPLFTVEA FEDTLLAGKYPVKAGETIVNLLAKSHLDPEVYGEDALEFKPERMSDELFNARLKQFPS AWKPFGNGMRACIGRPFAWQEALLVMAMLLQNFDFSLADPNYDLKFKQTLTIKPKDMF MKARLRHGLTPTTLERRLAGLAVESATQDKIVTNPADNSVTGTRLTILYGSNSGTCET LARRIAADAPSKGFHVMRFDGLDSGRSALPTDHPVVIVTSSYEGQPPENAKQFVSWLE ELEQQNESLQLKGVDFAVFGCFKEWAQTFHRIPKLVDSLLEKLGGSRLTDLGLADVST DELFSTFETWADDVLWPRLVAQYGADGKTQAHGSSAGHEAASNAAVEVTVSNSRTQAL RQDVGQAMVVETRLLTAESEKERRKKHLEIRLPDGVSYTAGDYLAVLPINPPETVRRA MRQFKLSWDAQITIAPSGPTTALPTDGPIAANDIFSTYVELSQPATRKDLRIMADATT DPDVQKILRTYANETYTAEILTKSISVLDILEQHPAIDLPLGTFLLMLPSMRMRQYSI SSSPLLTPTTATITISVLDAPSRSRSNGSRHLGVATSYLDSLSVGDHLQVTVRKNPSS GFRLPSEPETTPMICIAAGSGIAPFRAFLQERAVMMEQDKDRKLAPALLFFGCRAPGI DDLYREQLEEWQARGVVDARWAFSRQSDDTKGCRHVDDRILADREDVVKLWRDGARVY VCGSGALAQSVRSAMVTVLRDEMETTGDGSDNGKAEKWFDEQRNVRYVMDVFD ANIA_11531 MAVKRFLQTGEDHFHRCIGPGSSVLQSLAFLTCCNLAEYRKAQL LRSRFVMSLHNSLKLQ ANIA_06836 MITNINEEDNIACMERGELYYAFTPRLVEARKRCARVVSRINNA GELSRREMAEFWREITNDPTPLPPPAKLSETATAKELEDSEDTLLKAYPWIERPIAID YGYNVKVGQDVFINFNCVILDTCKITIGSRTLIGPNVSLFSGTHPVDPNLRNGTQGPE YGGPINIGSDCWIAGNVVILPGVSIGDGCTVGAGSVVTKDIPAYHVAAGNPARILRKI ERGGSGATGTAGKGTEDEGEASKSEA ANIA_06837 MTDASALKKNEYTPQRHMSKRSKTGCLTCRRRKKKCDEVHPTCR GCARNHLPCQWAEDVYSRSRRRRRRICRNRLWPSGLGIPRELDGMVTIFAVPSRPIMY RLLAHFTESSPVWMSISPGRRRDQFLRHVIPTALGHSLTLDCLLALSAGDLMKYELDE PELRMISLELYGRAVAGLRTAVDRELYRSTEAYVSDDLVLAVLLLCVHETHNFSDTGR LLPHLNAAAFLLQQRISSTPADPSLRAFLLEVFCYFFSLTSFTHGSSLLLDRASEIFD SIDYHTGQSLLLGPSQDLIVTIFRITRLTLHTPNMSEIVRSELASIEFQLEARSMIGM NPPVVHDPGEQMFETVNHISFSYDRVVFELYRLACLIFVKQAIDPLISPRAPELQKVV GCFLTELETLPHDSPSNGLLAWPLVITGFCAVAHAHQRIILARLRIIHKTWRTDIFPQ TVDFLRRLWGLDTDEGATPNEDTQIPNLNSHSSSLFSGFMLQNLGLPTVLV ANIA_06838 MREIVHLQTGQCGNQVGSAFWQTISGEHGLDASGIYTGDSDLQL ERMNVYFNEAGGNKYVPRAVLIDLEPGTMDALRSGPNGALYRPDNFIYGQSSAGNNWA KGHYTEGAELVDQVIDVVRREAESCDCLQGFQVTHSLGGGTGSGMGTLLISKIREEFP DRMMATFSVMPSPKVSDTVVEPYNATLSVHQLVEHSDETFCLDNDALYDICIRTLKLS SPSYGDLNHLVSAVMSGITVSLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR SSSSFRTISVPELTQQMFDSRNMMTAANYQNGRFLTCSTLFRGKVAMKEVEDQMRNMQ NKYSSYFVEWIPNNVQTALCSMPPKGLKMAATFVGNSTSVQELFNRVSNQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLMSEYQQYQEATVSDGEGAYDAEEGEAYEQEE ANIA_06839 MQVPETGPNTSPSRSFTLFPLLPSELRLQIWTLILVSSLSECRI VSITCNREVHPVNRRRYAKSFSTSTSIPALLHVNREARSEALRVYRPSFAGIPTLPLE PQARSETSDPQHEIRPQSEVEAENVPTENVQVHTADPRPIYIAFNHETLQLREDVLSY IPEPELRLIERMIVGIADLQYFGHFYLDVIRRMSRLRKLELIVGVTRSEHLLAGTGRS TDVGEAENIANVWETMRRFEWEVELLKEVFRDAREEHRSWRCPDHTNIWCFVENLEIM QSSKFAASIPLSRV ANIA_06840 MHVQSLPMWTGKGNNYAYLVTDEPTKQSVIIDPANPPEVVPELE AQTKAGKINLTAIVNTHHHWDHAGGNNEVLKTFKVPVIGGKDCQSVTKTPAHGEVFKI GDRISVTALHTPCHTQDSICYFMQDGEQKAVFTGDTLFIGGCGRFFEGTAPEMHKALN ETLASLPEDTKVYPGHEYTKGNVKFCLAVSQSEPVKKLQAFAEANQQTQGMFTIGDEK LHNVFMRVTDPEIQKKTGKTDPVEVMAALREMKNAM ANIA_06841 MPSKAESLFRSLLRHSTPRHVLRSLYFGTGAFCAAALFWENVLM FQLAEGPSMYPTFNPRGDYLLVSRLHKHGRGIEVGDVVRFYHPSFLGMHGAKRVIGLP GDFVCRDHPLSTDVGGSGEMIRVPEGHVYVCGDNLPWSRDSRTFGPLPMGLINGKVIA RIWPLSKVQWVTNTLKPVEAAE ANIA_06842 MAHCERASRPIIQCLSKSSIRGISSVQLQTRAFQTTAAVQEEAQ TEAKSQPFYKAPDPALVSSPRLERRLMRQGILPIGSRRRRAALQTTDSLPFEQLPYQC FQEARKVLIADREEKLKEIATTSEKIAKIQALPEEEQTKHSTYARLRALEVHLDRLKV LADINDPLVKKKFEDGQGDMSKPIYRYLADQKWREYRRKILVQRITQMKVIPDVLPHC DPIVDTKLYFGRKAVPPGEFVNSQVSSVPPKLDIQVFDGENRLVTIAVVDSDVPNVEK DSFDYMMHYLAVNIPISALNTKVDLSQLSTESQVVLPWLPPVAQKGSPYHRLSLFVME QKDNKPLDFAAVKAKETDRLNKLLRTLQARYHLKPIGAHLFRTIWDDDTRDVMKEIGF PLADMELRRKRSEALPYKRRNPSTFR ANIA_06843 MAGSSAFGTFRWLSRSSRGLLSGTEATTQRCLSRSLATITEAPA VNVPPSREELLAKWAPKPVLATTYSFPTMEPVQFVEYARNHLMMPLRKDILHRAVVYE GDMTRQGTASTKWRGDVHGSNRKLYAQKGTGRARVGDKKSPIRRGGGVAFGPHPRDFS TELPRKIYDQAWRIALSYRYRRGQLIIIDNEIGIPEDATPYFIKEIFKFNRWGREFGR STLITDRVHEELFETVRSVGEDAKILDRGDVDVKDLLETGRLIVEKRALDRILKEHSR DLNNKPAKATY ANIA_06844 MLLRRSLPRSTPSSWFSRISPASTMPLRAKVTNPGFAATSNMST ASNPDIPKAQHGDEPDDVLFNSLFGVRLVELNRPKKLNSLNGSMVRKILPRLKEWEKS QLANIIMVAGAGTKALCAGGDVAALALQNEKGPEGQQASTDFFGLEYKLDHVIATYSK PFISVMDGITMGGGVGLSVHAPFRIATERTVFAMPETTIGFFPDVGGSFFLPRLDGEI GTYLALTSARLTGVQALYAGIATHYFDSSVLGNLTQRLSELVFRDSATLQERLDLINR TMAEFATGLPEEPQLAGQLRSAIDRCFRHDTVEQIMKALEREKKCKKWAQETLETMSQ RSPTSLKVALRQMRVGQAWGIRETFQREYEIAARFMQHPDFVEGVKARLMSKPPRQAS WQPATLAEVSEKDVDEFFKIPQGKERIQLLSQENWRSYPHSYGLPSEKAIEKFIREAD PKSRASKGEVIEHFVKEFEHKEGVKEKVAEVLARKTTKSAEGLIWQGEGAETDGQ ANIA_06845 MESEPLVPHEAPSSSPASNRVLLRLYTSHFLSTWNSRMFEFGAV VFLASIFPGTLLYASVYALVRSLFAVLFSSWLGSLVDRTDRLSAIRHSIIWQRIPVAV SCVCLGLLLRSPPGHLSRLLFIALVLLAGVEKLAATVNTVSVERDWAVVISETLLVSR KDLNASMRRIDLFCKLVAPVVVSLMDGLLSTKVAIWAVLGVNVTVVLVEYFAIAGVYH SVPQLDRSHERQAADDEEDEQQPLEEHLAQNIFAQYIRRTVAPWREYVGQSVFLASFA LSLLYLTVLSFGPTMVTFLLHSGFSSLDVSAMRIGAVLTEISGTWMAPLLMDRIGPIR SGLWFLNWQFGTLAAAVAAFAFASDRPRLVAGCLITGVALSRLGLWGFDLSVQFLVQE NIDPSSRARFSATEMALQSVFEMISFATTIFFADPDQFKYPVYISYGAIALAAVCFAA YVRRERGHLIHTSKCMGDSRL ANIA_06846 MEAPPKARGPGRSGPRRRTGCLTCRARKVRCDETKPTCANCTRL RLQCVYKSVIIPGMRIPRAQSARARSASSTTAAEASPESNDGVIGTGVRASENRHRRP QQVTPFPTFSPSGPSPDFNPPFDMLGFIGEITSDFQQKHHDLTNGETIVASSAAMQEI DGGHLFDQRHVAWDSGEVEMGSPGIGVVPDPTSPQGTIGIGVWEEQLLQHFRENEAPP TIFAPVDLEWRYVRDTIFAESNRSAPGCHAILLAVYCYSDMHLAWVDRKQPKMGPTYH AQASSEIQAFLLGDPNEVLLKRVLMSVLLLMLAEVCPSFSTPYSKRTKQDICAYIDRK QLISPETWRPAVPYLHTSYLLLRRFQPQIESWTGLAHFIASCVSLLDVKALIAGRDGE PLAELGDLTSENNTISTPTTSPPDSAEDDLFATTPPPAYIVTRTITSPAFTFFLATQQ VTRRIIMIDLHHRSRGTVSDEFEVLQIAHAISADLESLWNKRPRILDLYELSSSQLTS PSKDGKASLLEVLAPPLAVRIVSTFRSYVANFLALFIYLHRVAFAIYPRTDRVYAAVD QIIRLAKEESSSLTSSSTNSNSNSHEPPSESGTEPIPISFLWPLFIAALEGSFEQRSW IANEIQRMASLAPPAHPNAGKALLLLKEMMRRQDASRTWADSKCVRRELFTDFFVMI ANIA_06847 MAPVKRPNFLVIVADDLGFSDIGCYGSEIRTPNIDKLAQKGVRF TDFHAAAACSPTRAMIMTGTDHHIAGLGNLIEWTNISGQNGPKGSSTDTAPQRGMPGY EGYLNERVVALPEILRDAGYHTLMSGKWHLGLTPERSPYKRGFDRSLAHLPACSNHYA YEPQLRDQDETPTFLEASYIALHMEDDKYVRSLPEGWYSSNGYGDKMREYLVDWHKNK KEDEDKPFFAYLPFTAPHWPLQAPREYIDHYRGVYDDGPDALRLKRLASLKKLGMIRE DVQPHPVVVDEGEGKPWEELTPEEKKLSCRAMEVFAGMVECIDANVGKIVDYLDSIGE LDNTFVCFMSDNGAEGAAYEAYPLVQSGVLPHLLKYYNNSYENLGNGDSFIWYGPRWA QAATAPSRLYKAYTTEGGVRVPFLARFPSSTKTAPQASNGAITDQFATVMDLAPSILS MAGVAHPAPTYQGREVVSMRGKSFHAWATGASERIHEKDFIQGWETCGRAALRFGDWK IVYIPKPKGPERWQLYNLVEDPGEINDLAEKYPERLQKLLKLWDQYVLETGVIPLNPD LGDFLEATEAQMTENAWMEYDYWKAGARDDPGREKFMRKPPRFQRVVKQF ANIA_06848 MASYNHISQEPDSNTLSDSRPSNSSQSLRIHLDYLRIYYLAAVV CAGGLLFGYDSGGVLTFPSFADFFYPSASTNQSETDISALAVATQQAGALLGCLVIWP VTNSVGRRKALALCSLTFCIGVLFEILTLHSLALFYTGRIIAGLGVGGSTTVAPIYLA EMSPPHLRGRLGSGYQFTFTIGIFASYWIDYAFRLLVDDANSAQWRVPLALQLVPGVL MGAGVLSLPESVRWLLGRGETHTHEAWNSLVWVRGSDQGGRVGDEFADMKRAVHRDTE ESADFHPRELLLRPNRHRIFLAVSLFIAQQATGATAMAYFGPQFFSILVNPNPQPSEA ASASSNSLTLLLTGIFGALKVLSCLSFILFIADRFGRRPLLIFGALGMAFCMIATSVL VHSMPIQDQNPSSTISAKSLTTILLIYLFIVIYNTSWGPLPWPLVAELFPTRTRSSGV ALAVASQWASNLVWSFATPFILRDVGANTFLLFGGVCVGAAGFVRLCVPETRGLSLEE VQGLFEEVGGGVEVAHRDGGRDDGAEWERLVGGSEGGGTDYHGEGSLGVNDEDGK ANIA_06849 MVLSPSILLHISSQLVSLVTMSRTDSFLQQGVSYGNAGIISASE LQRFQTSMYPSDIPNLAGNPFSLSEDNMWAPHPTTHPTINPSCIAAGGASDVHSYLTN HPFDELNHKQGPSNRRRSSAETELDQGSYRVRHGQVTPPSDHSPSTVYSVPSHDSKSA YLPSTIEGSSESPPKRRRSGSSNMSGSRGSTRASTSVEPTSPGDDKQEKTRARNRLAA SKCRQKKKEQNHMLETRYEQEKMKNEELTRTVNSLRDAIVVAKDQLLAHSECGHESIK AYIQNMAKNISIQHEQFDFGTAPAQYGCHSERKPSGFGFDVHPPTA ANIA_06850 MTQAQEKGRCDGYSPCRAQFTMLRIDVVTSTGKPADKAIVISTL VFTFRLDSRMGRLSIFSWSSVKGSNSGSDISRSWTLMSRPQSTTTQPGQQKIDSAGDT DPDGLKESKTGCTHSDEGATSRYSSQKENRANPYGLTPQTDPDVTNRDVLEPITLGPN TGSFSRRLAGFKTLFRHRHRRRRLDFFITKDEAVEVARNYPCRSSRASEEVGDDGCED DEVAAAAQPSSSPKGPIPNDDTRKVSDTTEKSVNSNATNVTVCHHPSKRLSMPIIVVD RDFAHSNPFDDIWEASRSETHLSNPFKEQARTTESTERSSSGYSGSENPFSSLQGHVL ELSNSSRSSWGCPSGLNGWPTPSDRRSATQAFNRLASELFLDPLGDNSCRTDKAATPV QNLFADPGNLETATQAYHYFAQQVLSAEKERTKIHMTMRSSKMPDFLDDVPTSEETQN SHVLSVAFTFRALLAGLPGGVLGSVQLWRVLVNIYHGRISPQSVQRTGSCLAGLSAED YAKVRAISLAIVALTSSMQLNLICGVFGLCSLLLHETERMLEIERCQRRTNRRIASGA DKLSLERFALTLGPLLIDPRGQGGDAGAFHMGPDEIESQRVVTLLIGNWRSISRQLRI WERRGLEELEGRAQARTRARATSGESEKAVERCQKECI ANIA_10854 MASTSEGNLHRQQHQHQHRGRIPTGRISGSGSGLPPPANDTTTT TTTATTASATLPSATDLPSSTFRTVSTIPSAPVPVPPFSVCGHEGGFVQPSSYLRSRP LSYPMPPSQPERAIDREERQGLRAIRNFLKVRTSYDVLPLSFRLIVFDTALTVKESLN ILTQNGIVSAPLWDSKSSTFAGLLTTSDYINVIQYYFQNPAALDKIDQLRLDSLREVE RALDVAPPETISIDPERPLYEACRRMLESRARRIPLVTNDSQTDRHLVLSVITQYRIL KFVAVNVSDTQKLRKPLGEIRLGSYHDIATASMDTPVIDVIHILVQRSISSVPIVNSE GVVYNVFESVDVVTLIKGGVYDDLSLTVGEALKKRSPDFPGIYTCSLNDGLDTIFDTI RKSRVHRLVVVDDNFRLKGVLTLSDILQYILLEGEGDEC ANIA_10860 MASLRFQYTKANGTLRSVIWKPRLYPMCEDQGMAIVPWAALGGS LLLSCQQRQEREKKQAGQKSFYELGPHELTVSGALEKVAVAKKTTVQAIALAYLFHPS TYVVPIVGVQTIDHVKGMNDAISVKLSPEEIQSIQDAAPFNPLFPMNFLNQLQGWQGV QDSVDAGGPCAV ANIA_06852 MSSTTSSSSSTAEIPPAVLVAEWHWAAKYPKPSAAQAALLAMPA VCEPQIRQKHIRDGKTFSYVRNSNSEALLGKGKQCDFHIKNPATTTPKHARKRAAALA ALVAGEEEEEDEEAEEEEDKEEEENARRRKGKAPATSARKGVHFASHDTREHADRVPR RAQCLHTMLAVAARQASAAAAA ANIA_06853 MSTTPSDAPTPTPATSQPAPPVDGNTVAPDVEKLHVSPEGESTP TPAPASESASAPPKESLVQEEPAATPAPQQEIETIDSLNLPSSAADGLIQKPFVRPVN TAKPPPPAKLTPEQQAKYESVLKSVSGWTTVPTTAAKNAPTAPITDDERMFLTRECLL RYLRATKWNAPEAVARLQRTLTWRREYGIEKLTADYISIENETGKQVLLGYDIHGRPC LYLLPSNQNTEKSDRQVQHLVFMLERAIELMPADQETLALIVDYSQTKSGQNASIGQA KDTVHFLQNHYPERLGRALVINMPFIIMGFFKIITPFLDPVTREKLKFNENLTNHVPP SQLMKSVGGDVEFKYDHAAYWPALNKLTELKQKEYRERWIKGGKRIGEYEHYLKTGAS PSISQREAEANGSAGPAADKAS ANIA_06854 MSKDSSPTHIHMHHPRLADYFEDFTRPHTSHTASLASSSSQHIY HNHTVTYGSSSPALIPSFLPIEEIYLPQQYQPPNPEDEDDVVPDQHAAFGITRAMDRR REPVWRDLGLEGLVASGGVGAVGKGNGTARVRIKDSGRLMGGKRIVCLR ANIA_10855 MGSILSDDPGAAADATKDELTELFAPSAPSGLPADILMELQSIM RVHSITAQELFYRWESYCLRMGSEETKLDLETVRLFKRDVQENLERQARGRQQVEKRG GAAATPKARDMPVGGGTVAADVFGMLDGLTPARTPGAGSAKRKAEFSSPSKTNSPLAS KTNVANGNGEGLQYVLRVFASSTSCACIMSDLYRSVPFSERQNPGQTIETINEHLSMP ETPMAPPSEPRIRPAANTDLKKFGYKPMAMRLSEASEILDDRIDEFMTIFEKMYEKED VTFGSAAVQSTNEIVAVGRIASDSPEGKLNPASLVLETSRRSGAGRRVPLNVDSIPSV NFFPGQIVALLGINASGNYFSVKEVLPPPLLPPAVSSVPTIESTNERLSEGGSSPLNV MIACGPYTADDNLDFEPLKEICHKAAEGYADGLILLGPFLDLEHPLLASGDFELPESI DPDTATLTTVFKHYISTPLQQLAAAVPSITIALVPSVRDAVSKHVSWPQEQLPKKELG LPKQARMVSNPVTFSLNETVIGMCSHDVLYELRREEALHGKPKEGNLLTRLVKYLIEQ RHFLPIFPPSSRDALPRPGFEGGLATGAALDVSYSKLGEWWNVRPDILIVPSMLPPFV KVVDSILTINPGTLSKRRAAGTFAQMAIHPRVVSEEEREQKNISHKLYERTRVDIIRI ANIA_10857 MDIPSIDPSYLKLFQAVESRFAQATSIPADKWAILATTTLAAGP DPERADQLYLYLTSQPAYSTSDARKQLVRRLREALLKSVIIVGVCKPIEAILAISKVE KEEDKDYSSATREGWACDEANHERGVTWFNKLYARNGNATLDLFAAHKDFSWLSTEIT YGLFLSDRQVLDDVDTQMVVLPGIMSQNLPNETHWHIRGTRRLGVPIEDVKIVTECVK IVADFYGNKLDKVPSVDDVEKDV ANIA_06856 MSSSSQSNVGRGAFYEAGDQRTAPQSEINQHERYEAGEKASHKN IDSKDQRTIANRLAAQSKEDDPSSHHNRDIQPEAELSKKDPLKPAKLHGNEPSKGAKI DKQLQEDDEQRLREKGIK ANIA_06857 MPKLRRSRLRTVLSIVAALFLVIGVFKINWTPAPASVVVPNTAF EVPLTERQNVFWKGFKPILEANSPNCPPPAHEQNADSIHFNATTTEVRPDLTFMDESS VSAMQDAHARFLHDIEETKRLKPVHAPGTRGIVSTAGGQYFPVFLATLRMLRRTGSKL PVEVYMKDADEYEENICEDVLPKLNARCLILSDVVGKDPIEHFQLKVFAVLLSSFEEV VWMDSDCFPLHEPDLLFDSDMFKSMGMITWPDFWQSSVSPVYYRISNQPEIPMNARQT TEAGVFLISKKSHLRTLLLSAYYNYYGPSHYFRLLSQGGPGEGDKETFLQAASAVQEP FYAVSERVQAVGHPAPNGLSGSAMAQSDPIEDQALVSQGLLRVLDPSVAQAPRVFFIH ANYPKFNPGGNVWGTEFETAPTVRPDGSDGRAWIVPEDVIQRFGYDAEKAYWEELKFI SCAPDIEFQTWTSKGKICHKVGQYWDNVFAEPHDDDFQWG ANIA_06858 MALRATVDARIAPSAWKMGSSVRESPQSQAIWSQPSPTINLSNS SIHTLTDSPTDTESVTASGSWRGSWSPCRLPSSAWSCPSTEDSETDEATAELQRRHSY GYSFAGNHSPSLSQLLLARSVGRTPRLRYLISYYAEVIAPVIVAFDSPTNPFRTSILR MAQDSISLQEAIATLSTSNLRQRRDRGIFSTCRTESARMSSLAHQALTDRSVLESFDP SIPEGFALEETYHRGVAVKELNKELADPQRRLSDSVLATLLILCLFHVCDTGVGQFKT QFAGVTKLLAIRLRASPYVSDELKWFIRMFTWYDTMTATTNDRESQLHSSEWGLENLA GCDARLFKIISHLGRLNLLSQSQEHTGPSPHVFVPTSIPPPSMTYHTPDLLFSGLPTQ IDPYLFPLPTPPRHGDQTKQPSSPSFWAEWYSIKQQLEAWRFPQERLNQGPAESARSM HAYISPPSSPVYESGVAPQNIEDVFHISESFRHSALLYSERLAYPDLPSSHPRIQQIV QRSMAHILAVKSDVYLLWPLFITGSECVFEEHRIIIEERCKDISKDSGFYNNLSCLEL LQKIWAENSSVDVDETGYGGLQACQFDWSASDGVMPSNSLYKGQGFRWQQAMQAKRAE GEYMVV ANIA_06859 MYHYSPPPTGWSSFDYMNSPPTSPHYAYYATQFTNPFGSPRGPT KRHGRKASYAAPPSKDAGAWHSGYGHGFYGPVPEYGIPSKHPENVPVFDEADAAKRSR ARRQSTSTRNVPKPKPATASKPPPKATEEDAIRAKIPPGYSLKNWDPTEAPIILLGSV FDANSLGKWIYDWTVFHHGASTPMADVAGELWLLLIKLAGKIKRAAECVDRIKDAESQ ETVEDFLESGKRLWKRFKKLLQTCEQFMWKAAKREDGKSVSMGRNAGCEFVESIFGRD RELENTEKLMNSIRVWNMRFDANCDDILRKRTA ANIA_06860 MHSRCYYHGFDVENSVDGSSGLSIKERINHQI ANIA_06861 MAPDIEADTNNVAKARKWHWTQSVSNRWADLLCLVLCFITGLCD SAAYNAWSCFLAMQTGNTIFLGLGASNQPSNKPWGWLKSLVSIVAFFIGAALFSSYGR HVGSKKRITLFSSFLFQAILVFIAVALLEADLIPHTPEESEGLTGGRLFLELIPIALL AFQSAGSMTAARGLGFNEIPTVVLTSVYFDVASDPKLVRDVRGNVKRNRRIGAVVFLL LGAIVGGWLSKSKGGMESALWRWRHLSLATIYQWPDPF ANIA_06862 MEVHLHANIISTMSSKTNFMSAGNQTQQSGNRSQTGASSKSQKD PTSGWSVEEMLETGLDQNGNIVSDDYSWIDGRRLNKGQHGGQEADDVAASLDEHFA ANIA_06863 MYHHFIRRQREIVAQLEQTLSDHGEEMALDPRFYHHLGPGIGSG SQSSASLSGLSSATGITSPSIQSSAASSAGLRSTASSPSLRSRQGVVARPSDGVPGLG PGGNVRVVVRVRKFLPRELERKAPCLISMDPDTQTTRLKAPSSHYDEGKPKSQARGKV LDDKEFVFDNSFWSHNEADEHYAHQEDIYNCLGEEFLDHNFEGYHTCIFAYGQTGSGK SYTMMGTPEQPGLIPRTCEDLFQRIEHAESPDVSYNVRVSYFEVYNEHVRDLLVPRTD PPHYLRIRESPTEGPYVKDLTEVTVRNYAEIMKYMRKGDISRTVASTKMNDTSSRSHA VFTITLKQIHHDLSTDETTERTARIRLVDLAGSERAKSTEATGQRLREGSNINKSLTT LGRVIAALADPKAGRTGKRKGKEVVPYRDSILTWLLKDSLGGNSKTAMIACISPTDYE ETLSTLRYADQAKHIRTRARVNQDHLSAAERDRQIEEMAETIRTLQLSVSQAAQNRRE TEVQNERLEEYQQQVEKLQRLMEENKMVSECKIRQLQTENEALRNHLKLALDSLKNPI PPVTIEKALSDVDIPDEEQPIDEQQPGSPTSEAETEPDLIWEDENLPPDTSELEAQEM QATMENLLGDLDVFKRKLATDHERFGASRKHEGRKRRRALGDILGN ANIA_06864 MTTPADSTLNNASSHSASPTPAPASQPSAAMEQPSQPQGQQKAP KPAKPAKQPPKDKTATSASTDGQGDEKLTPAELKKKAKAEKASRRAREKAEREQGGAP GGQNTPAGKKGGDAGAPAAGSAAKGQKSIPRRGSAQTVPQAEEVKKKKENKNVAVFGH LYGQQRRNTVAGAGKEVHPAVLALGLQMRDYVVCGSSARCVATLLAFKRVIEAYTTPK GTSLARHLTTHLSHQITYLSTCRPLSISQGNAIRALKLAISSIDPSVPEAQAKTTLCE FIDSFIREKITVADQVIAGSAAQKIKDGDVVVTFAGSSIVKQTLLAAFKQGKKFRVSI IDSRPLFEGKNLARTLANAGLEVQYSLISGLSHAVKEATKVFLGAHAMTSNGRLYSRV GTALVAMSAKERAGGVEIPVIVCCETVKFTDRVALDSIVVNEIADADELVPTHPPHQL TDLPDPAAAAQPEPKKGGKSVPSNPSPSESTAPQTNRSPLADWRDTPNLQLLNLLYDA TPAEYVDMVVTEMGSLPPSAVPIVHRMSTNL ANIA_06865 MGKRKQIKDGDVSMGGTDPATGDSSDEDIDMVNVDFEWFDPQEI DFHGIKHLIRQLFDVDAQDLDLSGLTDMILAQPLLGSTVKTDGKDSDPYAFLTVLNLQ EHADKPPIKSLTTYIKRKASGTPSLSPLAQLFSQTPIPPIGLILTERLINMPSEVVPP MYAMLQEEITWAIEEKEPYNFSHYLIVSKTYEEVESKLDAEDSRPQKKKKKAGQEKGE RFFFHPEDEVLERHALCKGGYEYEHKHDEGHSDSKRAFQELGIRTAGSLILIEAGRFE GAVKEMAEYLSPQQ ANIA_06866 MDTAIDLSDASKALDLANIRFQLIRLEDTITFHLIERVQFPLNK TIYIPGGVKIPNEQISLMDYLLRETERLQSRVRRYQSPDEYPFFPSALEKPILQPLDY PKILHDNDVNVNETIKTRYVQDILPAICPQFGGREDRGETQENYGSAATCDVSCLQAL SRRIHFGKFVAESKFQKETEKFVALIKAGDRKEIDEAITDAKVEQKVLERLALKAKTY GTDPGFPEQSGPKIDVQAVQDMYKEYVIPLTKVVEVEYLMQRLKGTQWE ANIA_06867 MDKHRRDDSPSGLSDIVERDGLLGTGITSRHIEAFGRKVTSTAG HLMGPSGDPSTSTHYQNAMVDIHRELRRPSTQRKVFALAQTTPTDLVRSKLSTSEIQS RAISSLPDELLLNIPDDTSSYSLFEGFQATQNDHEYRKAHRRGRSKGKKLLKDKDGEQ ADPPSTLTELKKERDLLSRRMELMGVRKNMCSSEIVDIDNKIANFHRMRQIVLDRLAG LEMEEAELEHEVNEIDNKLDDMAEEEAAQAAQAAAAAAAATTAGSETHEEPASEDPAM DASFMSESIYQKLPSPRSLKHRSIRKRSMPVLHEHFAPGSEIKEFQAHTDVVTALDLD YPFGTMITAALDDTVRVWDLNIGRCTGFLEGHNASVRCLQIEDNVVATGSMDATVKLW DLSRARTTHRDNRVNKDEDDDAVSVAYSTSLEDCHVYSLDAHVGEVTALHFKGNTLVS GSADKTLRHWDLVKGRCVQTLDVLWAAAQASSLGNESQWRPSGRLPDASADFVGAVQC FDAALACGTADGMVRLWDLRSGQVHRSLVGHTGPVSCLQFDDVHLVTGSLDRSIRIWD LRMGSIYDAYGYDKPVTSMMFDTKRIVAAAGENVVKVYDKADGHHWDCGAGVGADETG PDPAIVERVRLKDGFLIEGRRDGIVAAWTC ANIA_06868 MPPKKAATKRTRAANSASPVPASRRSARMSPGLGGSNLPNIPTK TSFAYGSSQTPILPHMLAARPQMNLAEMADSIEEAVQTAKERENSDSPHNMPALSTSG TSGTSTRKSAETSPRRTRRQPTPDQVQLLTSLHEASSATPSTPTRHSFSSGSSVREVA EKQLYPSYMDQLPDQAEVPADADLQGLGLDNMSVISYNVERDVHDDDLKRTRSNITAP PRRVSGLDLKHSTILEEDESYIPSPSVDSFSAPAKTIISDHDPRTPLSPHSDDSTSQW EKPKDGWIPWLLRALIATLVIFGIYSLLGSASSFDAKPIRFNNSDLNALSSQVVNLGA QVSSLSRDMRSVRAEVSNIPAPTTILQYPSKHGQEIIKTNFLTRGNGVIVDPFLTSPS ASRKVTWTQRLYFWLSGDKHMRPQPPLAAMTPWSDFGDCWCSAPKKGVTQLAVLLGQR IVPEDIVVEHLPKEATIRPQVAPQEMELWARYRYVGNGRPYKNTWFAFFRRYPKNIAG QDPLPSDQTLVRPSVIEALRLAWRGESDDEFSDDKQLGPDFFRIAKWMYDINDTNNIQ RFPVNAYIDSPDLRVDKVVFRVKSNWGANETCIYRLKLHGKL ANIA_06869 MLVPVSLLALSLSSVAAAHSSHQTPLTGPLQKLWYNTLPGDGGT QADSVFSGISTFGRLPYFPCLASEEERFDIAFIGAPFDTGTSYRPGARFGPSGIRQGS RRLNLYGGYNVPLQANPFSNDLKVLDCGDIPVTSYASLSPMSIPEDVCADCRRYDNAW AIQQIEEGHNSLLMRKPYTSANEYGLSRAGKTLPRIITLGGDHTITLPLLRSINKAYG PVTVIHFDSHLDTWKPKVFGGSPSEVASINHGTYFYHAAMEGLLRNDTNIHAGIRTTL SGPSDYENDGYVGFEIVEAREIDTIGTEGIIKKIRDRVGNDPSTPIYLSIDIDTIDPA FAPATGTPETGGWSTRELRTLIRGLDGLNLIGADIVEVAPAYDTNAELTTMAAADVLY EVLTIMVKKGPLTVDERSDL ANIA_06870 MYSSIHTTAPGPEYDSPTPTEYTSLLPKPTDDLFPDSLSSRDAE VTDHDSRKAILAEFLLLLKSSVPVILAYALQNSLQTVSVLIVGRISPEHLATSAFSLM FAMITAWMIALGGTTALDTLASSSFTGSDKRNLGILLQRGFFVLGLFYLPVAVLWIFS EKVFLLLGQDADLSKDSAQFLTALIPGGLGYVYFELMKKYLQAQGIMRPGTYVLLLTS PLNAALTYTFCYKLGLDLLGAPLALGISYWLSFALLVLYAILVRGSECWGGWSREALT NLGTFSRLAILGVVHVGTEWWAFEIVALAAGRLGTIPLAAQSVIMTADQVLNTIPFGV GVATSARVGNLLGAKDAKGARRAANVAAWLSMILGLVVLVAFMGTKDHFAKIFNSDPR VVSLTSAVLPFVALFQIADGLNGSCGGSLRGMGRQHVGAAVNIVSYYCGALPLGVYLA FHGWGLKGLWVGQCVALYLVGALEWVIVAASDFDKEVRKAFKRMDEAAYAEEEEGRL ANIA_06871 MADMHEKHPGIEAAPAENNEPLPVEQNGIRVHPQPTCDPLDPLN WSWLQKHTILAIVMLKYFPNCPHCPGTYRVQKTKQSQAGSSQTDARVVIALASTIGAA ATDSYGGYMAARFFQGFGVSPGSSVGMAVVNDLFFDYERGQKLGLWVLAIDSGLLVGP IFGGVLNLVSAAWINWFNAILFATLLVLELFFMPETLYPRALMLTRMPVTITTTDSDI EQPPALVSFEKPAPYENSTAVTERDIDIPRTKTLPFLNLRPLPALPTVPFYTPLVRFM YLFTLPTIPLAVLGYSFLWYWWVLSVITMLPAAYPSYSPSIQGLLFIGLLLGTVVSEV LCSGRLSDALVARLAKKNGGVRVAEMRIWGVYPAILITAGMPTLQLSTLVKNQLADWV RGEVGLILFGVSIDKSYHWILGQIAFFLFAAGIQIGNTITSSYIVDSYPLQSSSVIIF YAVFLNLSAFVNPVRTLLFPSSLTISTTNADNVKFFITPWQESVGWTWTFTTQALIVV GPAALLFVALQRYGAKIRGLVGMPSWVNPEYDSS ANIA_06872 MKLNLNSLLALTPLLGLSQAADCIVPNQQVFSQAAVEMMWSIRA WLCPNAWNQWIFAYPDSAWCDAGGGIVSAFYGSWEILGMQSEQQCWLANEGRISLRKS STNACGTALRSTSYNGGTWSYGDIWAGGWFWADNSRSCVHPVKRDALPVASVPVGDIN AEMNGTTLADGMSQVGSRLWLDFSPTRWLLLRRRSFSSRKE ANIA_06873 MSLALLWNRILIFILLLSTLPRNILARVDTYSDSSSSAKTYFTN PPSNPDLEAIPTFELGSVQNIAWTTNLDFYNISIWQRTTGNVSSHEGGNDGESESLNI NIQGGNIFAQTTADERVNTFAWVVQTYSLDLALSSIFYLSIEGDATSTSRDFNITTSP SSSSSNSSNTASSAPNPASTETSSSLTSTGKIALGLGVGVGAPLITLLAILAYFQYRS GRRAYMLTESQSQLYSHPPSGLGLGLGLSGMGYPSPSAPAPAPAIDQPAVPSSIPTLY RNPNLNSVQYPAELMPRLTRPVQYKVCIKFNVEVGFLVS ANIA_06874 MAEKLLPKPASIIIIHPDLGIGGAERLIIDVALALQNSGHKVTV YTSHRDKSHCFEEARDGTLEVRVRGDSFFPPSIRGRFVVLLAILRQLCLTFELLREVA QTENENADQVFIVDQVPACVPLLKMLGPRWFASKGKQRILFYCHFPDQLLARRDGGSA LLQLLKGLYRYPFDWFEGWAMSASDRVVANSTFTKSVVRGVFGAEKLGDVRVVYPCVD TAAKEKSEKDVGTIWEGKKILLSVNRFEKKKDLALAIRAYHGLGEKRKGVRLVIAGGY DPRITENVQYHKELDALATSLGLQTATSKTVPSALSIPSSIDVLFLPSVSSAFRDSLL AKSSLLLYTPVNEHFGIVPIEAMRAGIPVLASNTGGPLETIVEGKTGWLRDVDDVPAW TGVIEKVLYQLGADELRQMSVAAKERVEAEFSLHAMGDRLEGEIGKMLSTERRQFNGA QQALLLLGMLGVVFAVLVGLVLAWVGFV ANIA_06875 MAGAGLLMRTGKPQSKLVRYLGLPRDAAPRLFYSNIDPIAAVRV RPPLKPTDPGYELIPQRFQRSMVHVTSPTSLAVDVPQGRKLFVFDRVFAETVDQAGIW DYLSDSVGSFLQGYNVSILAYGQSGAGKSYTMGTAGPNEQDVESSGIIPRAAQLLFEK LEGPKHSRTSSTGLRTPSRYSISSTSSFGKSTVDKNWQLKATYVEIYNEQLRDLLLPD SVSAADRSTVTIREDTKGRIILTGLHQVNINSFEDLIGALNFGSSIRQTDSTAINAKS SRSHAVFSLNLVQRKSANGVTTPREKRMSMPTDLSGGDQSITVDSKLHFVDLAGSERL KNTGASGERAREGISINAGLAALGKVISQLSSRQAGSHVSYRDSKLTRLLQDSLGGNA YTYMIACVTPAEFHLSETLNTVQYAQRARAIQSKPRIQQVADESDKHAVIERLKAEVA FLRQQLRNAEDSDRRTVAPQERTERQNEREIELQNQLLDVQEGYNALSQRHAKLISEL ARDSRPADAESESIVGDSVERLKRSHSFAESVEQVVLEYEKTIQSLESSLSSTRASLA STESTLLERETKCTYVETVNAQLQARIQKLMDREASTETYLHELESKIDGQASGEEQH AAMVSELRKELARARENEASCEEYISTLEERLAEADQDMELMQREIDRLEHVVDRQRS LGKLDNLLYELDHIQQNGKKDDQTPEQPERTSTPPGAYQPRKRGLSLDVLTEAAETAI PDSDEGLSDPIPEEDEDQATPSKPVSKQGDSGLKILESATSRLKSVHDAEPLSPTQMR VVSDKLETVTQELFDLRMQHENTLNDYEALEAKYEEAMRVMAELRQDAADEARHSASP QNLTASRPISFLEDPKAPASKTGTQHSFSQSLSSELSLAGEPATSRDSYNVNTPQTTV GSQDGSVPNGTHDDEDMRKLLLEHQESVNAMKQKYDELQAEHEDTLSLIESLKAELQR SRSSSPPATPGFNVIRRKTSQSIMSNLDRAHRSLNGMRTIAAEEFASRPDTMQNFELH LEGAMHELHVRMERIQQLEAENQSVKKEMEMKSTIISGLTRERSSLQGASPVDRGLVN QLRDQVVQQENTLMQMKEAHDQREKALIQEIEELKAILKTQEEAAKAQDAHVEEQEKK ITDLEGELTEWKSKHQTAIESLQSSENQLKSTLEELNSALATIDSMGSANPARDATDK EAAATELESERARQKQVVDELTRKIEEHESTAATYLEKIASLEKLHDAQKQASDSAST SAEVESRQARIAELEQEINSHRSLVESYKKDLESLQESHKRELEELESRAKAAADAEH ELRLAEQNKQHEEAMKALRSEVSESRDELVKLLGMVSNLIKSDVTADNLADQIQDILM QKQHFSDKYAELMDTNEDLRKQIEARQNDDSRVEELNKAISVKDGKVNELALLVATLE DTLLQRDEQIKKKDALVAEAIAEKQKSARLVEELEDQITNSFDQHNNRLSVIQQERDQ ALEDAKVKIANYEKDIETYRMRIEQLELQIKNQDPSHDRSSSLTSNLRKSSSAASLPS PPPAIPLPPLPTIAAGANGASSPPSSRHTSKELVGSHVVEEQEARIRTIEKHLNAEKQ LTATLEEALGDLEAQSNKVKSDCEAWKKKAWQLEEELATLRKERNSQRLSLQAVEEER NARREAEAARAQLEERMAALNKKKKKSTLNCF ANIA_06876 MDQSPAVGFEALSSFQPSPAVGACCLALSSLLGPKVSFPHTKPY IDSMNSYFSQQNAALRPLCVVSPTDADDVSTTIVSIAQASSLLSITDKSQCHFAIRSG GHTSLISEASNIQDGITIDLSGLNTIRLSDDQTTASVGVGATWGEVYSYLDSLNLSVA GGRAAQVGVGGLTLGGGISYHSPRYGWTCDTVSEFEIVLPNGTIVHATEEEHPDLTMA LRGGSANFGIVTEVKLRAFKQGSVWGGHVYYPLETINDQLRAFESFNTARGYDDSNLL ISDCTHRCGDRQLNVVITHGTTVDMLNATYLRWKSSLPEIEDVRGIVWSVSLEPLPPA IYARAPRKNALGLSNTTGSQVVTLLSATWEDETDDERIYNTARALFEGIEEDARRLNN YESFLYLNYAADWQDPIASYGESSIEMLKRVSRDVDPEGLFRKDRD ANIA_06877 MYRERIFIGPNTLWDGFEHKQTWEHPICVPALCSRGLRWHDPPW RARDCKDATFSCKKSQEGKVCVQGVRTLSRPEDKVALEPYYNDDEYTNPCSVMAIYHA EHVSISSMSATDVISDQARKLWNCPELCSQALRSVGVEDKLLQRVNQLESQLQRLLAI NNQSGSLKPPSRPGFVCGAETSSILSSHNQPTTPPDDTAHFVGEISMVHTLNQVENHF QRVSTTNHSSSSVQSIQPTQSPHLRIKDGPTRLMQSEYLKCVLKAHSVIPDRAHWNGY LQAYVDDVHVL ANIA_06878 MPEVISLISSTPPPPAEPREASSIRGLHCTPPAPTELSSFPFSD DIDFARVDFDNDVDLGKPIKRRRLTPDIENSTKPPGSRRNALPSQSQDPLFLFSDDIL LSDGPSNGQTHSKPPVITLDSDPIVFTSSAPEPSRRSPSPRNKQQATRALIDVDVDNG ITSHKGRELRTLRKDIIENFSDPLVSSDPSELFDFQETEFRAPSLRSEFSNKTASLLA SLNRPNADITSTLPGKKKQSRSEIIDEDPDELPESRPSRRPKKAIANKQSSADKEAKV KGREAAKAQRDLEKQLEKERKQKQKEEKAKDKQLAADIAQANRLKVEKKNSTPEMILD LASSFKDTSVGNQAVEYMRMLGVEQTFFDSSIRNVVKWRRKMKAIYNSSLGYWEPCEL HLREEEHVLCLVTAQEFVDMVTSSLDSDNLNASSELELHILRLKRAYPHCTVIYLIEG LSAWMRKNANSRNRVYQAEFRRNLDEVQGLSQPTTSTSTTRRKRTNKPETTPIDDDTI EDALLNLQVNHNCLIHQTTAPPESAEWIKIFTEHISTVPYRRERMNDNDSAFCMDSGQ VKPGENASDTYIRMLQEISRVTASMAYGVVNQYPTVVDLVKGMRRSGPGMLEDVKLSI GVAEQIYIKLVLPLIVGSLRLLDDTTAETAHPTMGVHGQCDFKEGCARS ANIA_11532 MATQTLNVHPIDQNPKHFQPWHCPSSVVCAEVLNAPDDGDYYWD EGEDATVPEADKSGGQMGELGVVSGEWRREKEEA ANIA_06879 MTGSIGQAPAIDKRDFDINRRSSTPHETAAQEDEALLHSRPRSR LSVGNRFSDNDDGLLSDVVEEIVERDRQRMRREVVRVGSFVWGVITCLGAGSITAFSL YGPLLLTRLNYTQLRVNEVSIAAGISMYLPVSLAGYLCDRYSPSPLTLFAGIAFGLGY SLAAFVYKSGPPPDAGGNGWPFWVMVVAFIAIGVATCSMYLAAVTTCAKNFGRGKHKG IILAVPIAAFGLSGMWQSQVGTYFLCERLKDSNCGDVDVYKYFLFLAILLLTIGVIGT FALRIVDDEEEKYIDEAVEELERSGLLAESEFFRPRSEVQAAYGTFSDDHEDNGSVDD QSVTISEELREAARREKEREEEERRKKNWLLNYETRIFLADHTMWWLALGFFLVTGPG EAYLNNVVTPQVLT ANIA_06880 MSRPPSASETTPSTPPVTPAASAQHDCSGRPSSLHSKQRRHRDV RDQLVHIDPQHVPSPSRAESPTARQWANPSSNSTLKRAKTTASDTLSRPGLSYTGYNS PQLSERDSIFATHYLPSDNEAASSPQLPPVEAAYHDVPSKLNSDNASPSSFTPKALSY QHRGDDAHMEVDVRRTQPLRSAPLLPSDTPFTISPSDSDVGLDEDLDSSTRRPQKHTL DNIAPYRKSSLHLPGAGAAASAPPHGLHPSPVIDGTSRPQELMVTSDKRATNASPGGS SHQKQGQSPWDFAKGPKVERSSSRSRRGRVENSIEADITNAEHAPYVRSRKSSHYLGL FKENTATSPDRKRRDDRSARHDNSSESRGREQHGPHAEHRHSRDDQYEADSFKRPEVQ GSKRLSQLSLANIPMIKPFAGGDSQEDYTAEHVPAKSEQKRLPRSLLEEIRNFHLTPG GARGTSFSRSIPTQYSERSKAFHPRSPFSDTEEPHVGSGPLDDEEDEQISSAVYFPHE RVTVSGEVDPLQSIEVHPRDLHSFDESATEGSLVLKPERLTAPDEPETNHVDISLRSK NDSRILHGDLQDRKTPIEELNEKSIDSMLQRQTEYSTEESEAFSADDSGTSVRDEESS VTDEAEVTPTATPTQRPHIPRPRRKQGAVTPVGAVELKPYRHQVGGHTTVFRFSRRAV CKQLNNRENEFYERIERRHPEMLMFLPRYIGVLNVTFSKKRSKKSSEAQLEQSDVDAT DDPQNKNTVATTGHSLHEAANSVEKERIFSQKQVTGIVPKVILENNRHIIPVDLFSHK RPRTATAALSDMRPSSNGAGRTTNQRNHEHGSLDEKLSHSPAKKTWGATTVNRKLQEQ VLREVFSPPAIHHHRRHARGLLNLPRTTSDGHRRSANLSEGLITRQPRIMEQMEPPRS PAIDIVKKGSDGPGLSSSASTALEGGQQHLESVRSEEAVPRSSSVSRTRRVRRRHSGS GLQRRGTMDSDKGGGELIFFEDEGYGGDKEDEIFSMESDAPTSSTTPGEARLSSSSPS KGSDLIPQDRWTSGGPVVESSKSPAFPGFNDNILSQPPANPKEAQTRKDDRVQFFLLL EDLTAGMNKPCVLDLKMGTRQYGVEADDKKRKSQRRKCQNTTSQQLGVRLCGMQTWNV KKQEYIFEDKYFGRDLKSGREFQDALTRFLYDGVSYLSVAKKIPIILDKLAKLENMIR KLKRYRLYASSLLILYDGEQNPQHKASQHEAASNDNARNHLQRRTSEDGHNNTDVSLK IVDFANCVTGEDELSPNARCPPQHPDDIDRGYLRGLRTLRMYFQRIMKEVSQDEYLER GEGEGIALNPQSSSNNPTSDRYWDESVMDQDPGEVSF ANIA_06881 MNSVAQSQPQSQQRAAPAEAGPSTPSHTEEQKRHFYGILPEQER KGKSYAQWVREAYAEQYEKWMPWLEDQYLRWFGKGDNKASYVTKENLSKTKITGNEQI NRLQDDANNLVGNQLGENGLLAPVGNLVSQEGINRAERGGKDENGSYGGPLGFVTDPV IKEGTSVGASVTDGVRGVGNSIGSVLRGGK ANIA_06882 MPVPYPFKFTTPDQPTSSCNGEITSLTIQLENVRGRIPSAQASR LRTMMLEAHNDPSKIIAHACSYDGLSSRLVEEAGFPIVFLAGYTVASSFGLPDTGYIA MEDQCKRIQEVVRLVKVPVMADGDTGYGGPMNVKRTVESFAAAGAAGIMIEDQTWPKR CGHTKGKSVVTRGEAYARIQAAVDARNEGQDIFILARTDALIHGWDEALTRAKEFKRI GVDAVFVEALPDRESMRRCVQDVGIPTFANIIEGGKTENISAKNLAELGFCAVAYPWT LVAARLKSIRETLDALKKSMTEGAPPMILSYAEVCEGVGFNKYWVELEKQQEAGDLIR ANIA_06883 MAALKEEDSLHLETVHSEKVGDVERSERPIHVSATEEALIRKKS SNSAGNIGNAKTAGLDKDLGISDSQWAWVLNAFYISYVLFEWTTVFWKLFPAHIYVTC LCICWGAAAMCAGAVDNMAELIVCRCLLGVFEAAFGAGAPYFLSLFYQRRELGFRVSI LLGMSPIANCFASSLAYGVTHIRHSTLESWRFLFIIVVFFFLPDSPGTAKFLSESEQT TAAERLHTVDRTAKNKLDRHQVFAGLTDYKNYVHTLIHFCCNFSFAGLSNFLPTIIQH MGYTSINAQGLSAPPYFVSFLFCVVAALLSDRYGRRGLVIVFFSTIGMIGYLILAAVQ DENKVWPRYLGVWLATCGVFPALCINITWLLNNQGGDSKKGAGMALLAVFGQCSSFVS STVFPDSEGPIYIRGCAIGCALTGCIALMAGGLYLKLSYENKIRDRLYGPVTDDVRID VTAEGDANPRFRYLV ANIA_06884 MQRPPRSRASTQYTFQRAYKACIPCRRRKVKCEPEPELHQPCKR CRKMDLECRFSSKQPWSRKKHPGKKGTRGARHASSEYEPRGMERLKGAATATGMTAID DVHEPSPSNSASASASAGLEDGECISATVLQKQVASGNDAMDILFDAAAHAGSSARVG NGQATALDDSNTPFDIGSEDNVLRVWEGCRFVKMGWFTAEEALSYTDWLWQHCQHLIL RIMLGQEKLSKAKTRHISTIEALLLLSEWYPLALHFPLEADGWDSDWMLTTFTLRDPP STAQECPMQDRWKEDVVEPTRRSDRMSWMLVSSALALAHELGVFNPRDHITMLKRQGT IAGPDVENYLQDLELRRQRLPSLLFVTTNLLASRIGCTSIMPDYGKEPLESLKTVDPD WARFMISWVNLTGLTRNLRETFFTAAKSAGSQHMNFASLEQWKAQLAAWKDDIHDIQS SRYNDILRIEYQYLRVFANSLGVQGIVARVLSDTPSRGIIDTTFVSHARQLNMSRNEY EFIEEVIDGACTILSHVVALANLRCLPMRVLSRMISSSIFLLKALALGVRKTKLEESL HLLDAAVASLLCKQLDDIHLASRYATLLKTHVSRLRQTFTSSGRVDCQNTEQTRGDNG ESEQSLGTAFGLDNNMAEWSTQTDLDNWLSLPLDPLMAPFGYWDGAPADLGLDSDPLD LDFIWNLPP ANIA_06885 MGANNRYSYVETPLEMTPPSQRRPRLLPAQTDRDTHPTDDTPLA IEKTQHALQDTPCPDIRNHPANHAPLAHDIPEHASVQMHAEQNPDPPSSPGPLPVKTN PYTQNNEPNSQNISVAPDANPLQSPRLPYFPPPARAPTAPTPAPTDSVGYHQPGQISH PNQQIKGGGWSHNLCDCSSIGTCLLGIACPCILYGRTQHRLSRRSRKEDPTNMLGYET CNGSCTAMALLCGCQWLLAAIQHSRTRKAYAIQGSIASDCVRATCCTCCTLIQDEKEI RKREEERANASRAAGAALVSPYLAPGPMSYGPPQK ANIA_06886 MEDDGRLAPRQIWARPTSTTTRSYVPGCTPFLLPSDGYVYLNRT YSISLGENAIYDPACTSTPTTTEHAGAALDIHDPFYASVTPLLYAMGCATVVSYLLVI ILLITPRTFYVGGPGGGANFLGRHGMVSGSYSNNSSVVGVGGRPWLQKVAALLVAISL TIATADSFRVAEKQYDHGYSDAEALTSEVIDGTEIKVVRIISSTFLWLAQVQTLIRLF PRHKEKVMIKWAGFALIVLDTIFAILDKFLVKTNTTRPRLYEDAIPALSYLFELALNL LYAAWVIFYSLSKHRFAFFHPKMRNICLVALLSLCAVLIPVVFFVLDIAKPEIAGWGT YIRWVGSAAASVVVWEWVERIEALERDERKDGILGREVFDGDEMIEVTPSEEVDWPRQ QFHGHDRGGGTGMSSAWGGVMGLAHRPLRPRGGRITQTQREAEGATAAKSRKRRSARP TPPPAAVTPVSRADTTSAASTVYNVHYYPVSSPTPPVAMPFMEEEDEGSDGDGEKELA VVQNQQSSLPTQDTYTEPRRQNSPQIVNVDNRWRFILNPFKNRHAALPREVASAQAEE EGFLSPDDQAPRQGDEENPHYTPRHRGLFSFHPMSDGASRRQSGADQPLPVTVIPARR RGQDTWSPQWFTNSNLVDRSSSRRTASRPRDQRMKVIQPQVQSAAPWTAADMEASFSS MDYELRYDPEAAALVSEDIPDHHSQPTQADSPAHPTMSGGNGPSGGETQSALDTGPGI EGSVNEGSVIEGPEESHSQR ANIA_06887 MAAVNQNMFDACVAIEQPQGPTLLVLPLNLIAQIVACLDDPSDL ARLCRTCRVLNYMALPQLYQNLVLTSYDKIRYVGDQPEGMGSASPFTMGLNAVITRPY ATLVRSLTLRGDWREQELEEHARVGRVPDSSMMLNITVRAAVDRMRDLEDFRWELSTK MLETVYLGLAQLPKLTSLTIRFPSSRHPRPTTVIPALPHLRYLKITNIDPICYPDDIS TMLYKARKLRELKMHWSPRMRNMQEPSVMLHDYFRKCISAKQPLALRSLGLSNLYARH SEDFNAAFDNGTVEEVTMLQEVRSELANLNTFVDSTWPAAPPNKPMRLKSLRANVFNQ RQAEFLGSFTGLERIYLVSSSTVSDSLNSPREPPVTCPSAATLTPPASENTNLNGCSR DLIMSADSPSNLPALQARVRDTSINSIVLNHAATLRHLLLPARWALSSSIIARLVHAS PQLEQLAFAAEMSSMDTLGLLLPFLRNLQALRLLVPTASLSTGTDEARISQPKPTTSK PSKDTDGLDLGARSFADFVELDDEILIERLGEALADQQLFQRLKVVGFGRKGFVLGEY YTVSVDRPQPQPEPPQGVQSRTPAASRAPSAEDKSPSSYVDFEQTQMNGINASPPSPG SNQNHTLPVTTSSANLPRPQQKKSTLPPSTLGKRTREEDPSVVPVDQPPDPGPCPESC SGSSSGSCSGPSSTWNMNEGLNFDMNECLLPGPRNRALRRKIQRVGWDVLKQWEIWGL DTQEL ANIA_06888 MVVFSKVAAAAFGLSAVASAMPAAPPRQGFTINQLTRAIPKRTI NLPAIYANALSKYGGNVPPHIQDAMAHGSAVTTPEQYDVEYLTPVAVGGTTMNLDFDT GSADLWVFSNELPSSQTTGHSVYKPSDNGTRMSGYSWEISYGDGSSAGGDVYRDTVTV GGVTAPGQAVEAASHISEQFTRDQNNDGLLGLAFSSINTVQPKSQTTFFDSVKSQLES PLFAVTLKHQAPGSYDFGYIDQSKYTGELTYTDVDNSQGFWMFSATAGETDFDAIADT GTTLIMIDQSIAEDYYSQVPLAFNNFFYGGWTFPCSAELPSFTVTINGYDAVVPGEHI KYAPVTDGSSTCFGGIQDNQGLPFSILGDVFLKSQYVVFDSEGPQLGFAPQA ANIA_06889 MATHDGQQDNGLYSRETSARRSPSPTGPQHRRGYQACDPCRKRK VKCDLGSVDNPRPPPCVRCRRESKRCEFSATRRKRKTSDVEGSAVDDVLRRDKRMMVG DAAAKIEASPSERSASYPQVEPSFDTPNLSQQKWLNKSPSNGHLPAPSNGSQHYTANP STSTAQFSDVRNTRHPAYSAGDRTSVSRFSLEGNQPMMNRTAVELLSPAISNSHDALH LLSEAAGRTEDLRYAATRQSASSFASPISSMTQAGTPRSTGGSFSRQHRSGTAQTGNY YQSNALLSGESLADNRTSSTGRPSDSGYLDAVKAWSRLRFVRAGWLSVEESMAYVAYY YEHLAPLSPIVIPDFSHPSTHRTLLTDEPVLAVTILTTASRHMKPSGDGANSRAFYIH DRLWSYLRGMIERLFWGQEKFGGNGIGINKPRSFDLAPSSAKVNHKGNLRSLGTIEAL LILTDWHPRNLHFPPGDDENALLDLDAQAGRYDKELDNDGETTAQRSSSGAPEGRLAF QTWLEPAWRSDRMSWMLLSTAQALAFELGVFDQKNDTKLSAEPPAEQTRKRRLRRLIL VYITQSSGRLGIPSMLPLPQWTDDIQPTPLTGVKGNEVDKMHDCWLGISKIMYQSNQL LFASNEQTSDLIRSGRYRDQIDRFQPFLREWRQNIDSTELHPAMRHILMIEYEYTRLY VNSLALQAVVDRWTTMSNEAAQAQNKPSASNNASFHVLMELYRVNEPFIQEVVDASRR ILTTVLEGLVPGDHLKHAPVRTCFRILSGMIFILKTFTLGAKEDDVRVSLDLQDRTVE ALRTCVVDDIHLSHAIARLLELLTTNIRTRFLRFAPLDRSGDNDSTSAGQDRASAPTS RAHSPRSREGPLGRRDGLNNSHTWPSAQSTHNNQIGGYADAHPPSSTPLTSVHDPLAG IPAQPINSSNINVNFMPPPPSVYYNFYQPRSPPPSGEMNPSNPNSGSASSNLPSHSMN EQPGVSDWFALPLDQFFNSSTAVVDQGLGGTGPMVGEFDMLEVLLNEQYDGGTSGDGT GLDSAGGGLPSQFIQP ANIA_06890 MATADITDGLINDDAGSRRDRPFHFPASRKLRHLTGVSVRNLVV NPPSRARGKTIDDEDIPNSLQSPSKILAQEANRTLNYSRSFSNLKSSPASSNENGRTQ QRLPLRQARRQSTLPWSDPNPQMRQVKLEDITRGRIANTWFSIHCDGIHEPVYVSEVV ENAMNPNFRAFDLNSCGPSVSRLDYLTLKLWVKTATMDDYMMLVELQLHLQSLQFLGR SLDNFHQPLPANSVLFHFPDGVYTNLTDIPPMWTPLPTVSSKPSDTAVPTSSYDALMR LANLDECIQDALITRERLESQISSILEKNQDAVRTTSKASRAKDKLNLTRNAVSSQRK QLRLATKRKEELIASINARKDAMENGTRSWEIVRSHLPDARTKLGSSMRLLDQNTEET KGQIRRISEDILDIYPIEPIRDKPLAFTIAGIALPNSNFVDVDRDAVAAALGYTAHLV YLLSFYLSIPVPYPITPHLSNSLIHDPVSTSLPQRTYPLHPVNVQYRFEYGVFLLNKD IEFLLNKQGLRVLDIRHTLPNLKYLLYVLTARSSEIPARKAGGIRGLLPGRLTPSLSR RGSEDSAVYGETGNHRRGVSPISTVNGDMALAKGKKPFAPVPSGSASASFGVA ANIA_06891 MASQPVQKRTLKGTFEKILDPTKIGTWNIVRRPPIENHSIIQGK AREQNSNAFKTHQQKEGVRLRKAINALTHGKNIFVYHNIRTNQVVYSLTRYLEKNNVL RQLLYHGKKTVPATLRKDMWVPYFSVHFNDSKVGLRAYHLLREFSMQRQLDPPREMIT ITEEFLSRKRPRSPEEAKEFDDKYNDKIGWLMEKKDRARAVMDQKATSVADISAVLAI QEQEIKDGFADGKRGYLSRTARRRRREARKKEEAKRIEHAERIAQFESTLSTNQVTYK IQEAADSTEGATAPSPGDAVKILWSDLHYARYAESWPERVRHGELDLSRDHVMPGAKP VYGVEILADRQFRERKTEEETTA ANIA_06892 MFSGSSSPPKDKSDTIPRSGPINTPSLSIQTEGTVGSQAKSPPP TSFFGRRASEEQSPGGDKKRRSSTVSKAASFFANAKNSLSLSSPRTESALSSSLPESS LHRYGNMDPALNVPQGSLNNSAGESSPTPRSSFRVGVTEDRNKKCRRTMEDTHAYLYN FLGNPVPSAQNDDSDQSSHPSDASPKTGELTPIVETDNGYFAIFDGHAGTFAAQWCGK KLHLILEDVMRKNINAPVPELLDQTFTSVDQQLEKLPVKNSGCTAVVALLRWEDRIPT PSSVTGSSAIGPAAVAATKAGADSKADDTPTQEAAATPVPAIPPKLREKAIRQRVLYT ANVGDARVILCRNGKALRLSYDHKGSDENEGRRIANAGGLILNNRVNGVLAVTRALGD AYIKDLVTGHPYTTETVIQPDLDEFIILACDGLWDVCSDQEAVDLIRNVSDAQEASKI LVDHALARFSTDNLSCMVIRLYADRHREVASQAVDLAGWESRSSRGLSETDKIVEGAR KSMANTGIADESETLKKVHDEAEECTPEEVPESILSASPNAPAATLNQPNKPGSSDST ANIA_06893 MASEFIGYNVLVTLRAPPDATVQGVVADVIGQRLMLRDVTLSWI GYRLPTYSIEAPDIADLSLGPSDRPSAQASHVLQEKQSLGTPYAVQQPFVDPAILSFS KPSSEAHASVQPQAGFTESSGARQLPTSQDTSQVTSQATPATLAEPFSNLELNVGNRS TPQAEELQGPLSRVVEESPVSASRFGTTRGRRGGKQKDPSVYNEHDGALNTNPKSKGW RQTAFVEPSNPSLLDSPQSYKETGTLNGKRRKKKSRGYPAQVSGWATEEATDIQEMGD FDFASNLSKFDKRRVFEEIRNDDTTADEERLVSFNRRVPKPGTNGGRNLHWSENVLDD SLEESDNEATNHEPSDAKLSSGTISGRDASKLSTRGRGSRKGSAIFGQPLIPTQLNTI GRSQLSNSRTKSSSPLPTKTHVSASPVTGISASSATLRLATTNRSCPAVSPLQILEVE QLAIGEVGLTEDMITENAGRSIAEAAVGLLSSDAAAPTILALVGNHRTGARVISSARH LRNRGHRVTVCMLGVEQEVELLESCRKQVDIFKKIGGRFLKWEELSSRLSTSEFAPDL VLDALLGIHLPFNDLRTDDQATAFEMISWINRSGLDTLSVDVPSGLSATTGEVTVVES GQLRVNSKSIVSLGAPKTGLVNALLAGEGASWNLTVADIGIPQIAWRKFGTRRRHGID FGNRWVVSLRYQPSVV ANIA_06894 MGKGTDKLYITHSEWASEDAYSASAGAGVGKARRGVGEASFKRL PFRFCSLSLQPFEHPVCTQSGTIFDLTSILPWIKKHGTNPVDGTPLKGSDLIKLTIAK NDAGEYIDPVTYKVLTDNTHVVALRNTGNVFAWDTIERLNIKGKMWRDLVSDEEFTRK DIITLQDPQNIESRDLSRFNHIKEGESGLSDEQLREREDPSRNVNVNALGSSAKILKA REAVAKARQERAQKAGNAASTPVAKTDAPVKSAQKTASYQSGKPVPYNAARYTTGLAA ASFTSTGMTPHTSAELALLSDEDYMLKRGRVKQKGYARISTTSGDINLELHTEYAPKA VWNFIKLAKKGYYKDVTFHRNIKGFMIQGGDPTGTGRGGESIWGKYFNDEFEGPLKHD SRGTLSMANKGKNTNSSQFFIAYRALPHLNLKHTIFGHVIDDPTPSSPTLNKLETHPV NPTTNRPTPDIRIVDVTIFVDPFEEFLKQKKQSEQSAENEANRTAENDEEGSRRAEDD QITWTGKRVRGPGASKEESSTTVGKYLKAALSERAAHDEDEIVEFVDEEPTSEPAKKK FKGIGGFGDFSSWD ANIA_11533 MPKGPISSPPLQPKKVHGVVDDDAPIDDYENAVHEREHEDKHIL ADEPNVAAARRANKPPVANTETERHRGGTGIITP ANIA_06895 MFKKAVKDHSAAAARPLQSTIPNSSGVASTKLPPPQSIGVKRKI ELTSTKSTLGSLHSAVYFDENDFDDDDDLDFESPDPYIPPARIEKDSFREESFHAAKS NSTLSHKADSQSAVTVSKTNYESDIKYPDLPPVPPDDNPGPSSSALPWSSSPPSHFQK PAAPRTVPWRKQENNIITIDDEAKPETPARPAATAPWNKTASAIKEEQKELRRQNKKA QAQKTQSSTKHHMPRAQVAPIFLSIKKNQKARNRWLRTKVLVIDEVSMVDGDLFDKLE EIARLIRNNGRPFGGIQLVVTGDFFQLPPVPEGHNREAKFSFAAASWNTSIQHTILLT HVFRQRDPEFADMLNEMRLGKLSPRTIQAFKELSRPLDFHDALEATELFPTRAEVDNA NSARMARLSGETMTFNAVDSGTIQDIQFREKLLSNCMAPQTIHLKKGSQVMLIKNMED TLVNGSIGRVVAFMDEATFDFYVENENDFASGKFDEGDEDRAARARKKIKGMGHRDGG VTVTRKWPLVCFIQPDGTERHLLCQPESWKIELPNGEVQAQRQQVPLILAWALSIHKA QGQTLQRVKVDLGKVFEKGQAYVALSRATSKAGLQVLRFDPRKVMVHPKVIEFYRNLV HASDLIKSKQPPKPQRTISNGDEDVYIDELIAEAEAY ANIA_06896 MKHGRAGCLELTDASADKERPLAGAVLCFTSIVPEQRTELASIA SQMGASHNYDLTSDVTHLIVGEISTEKYKFVARERSDIVVLRPEWIEAVRQSWMQGGD TDIRALEEQYRLPTFSGTAICITGFEDTSFVVNLRNYIRNKAQLNGADFRKDLTKQVT HLIARTAGGEKYKYATQWNVKVVTLKWFTDSMERGMILEETLYHPLLPEEQQGIGAWK RSLPAVKPKPQETESSSGARPRKLRRIASVKLGDQNENIWGDIIGTGFETAEPRPSRE ERQAANEQKQRNASAVQIFKSFASETTFAPLSQTREPTAEPVADRRNGFLDRCFFLIH GFTSKQTNVLRNHLSFNGAQLVDSLSEFSRPDIPKKGHGLYIIVPYKTPRSNVPSTDD LAFECEVVTDMWLERFCRHLTRQGLSGMNICSTGFSRIDLLHLSKLVNLVGATYNEYL KPTASVLICNTSISLNQEKLRHTNEWGVPAVTAEWLWTSIRQERKQPLEPYLVRKPST QSSKDLELRAGSRPEQKRPSQQLPDDYLVTNGVKSPATGRVAKEMKQTILESPQRKLS GEPNLGLKPPHNSVSPEKLHPIEALNGVKSPAKRKYSDRETASSTKSAIDLAVNGLLK NARTAPSRSASDSTTDYDRPRSRRARPLLGRAQSNSSIRATDQMAFSRASSIDTLHED GAGSAVDSMSINTDGGIPSLGSRLDFEYLNAERERRLEEINEETPPMTQLDYEDPDAV AMREKFLRYAGKVVEKPVGKQDIVVEELKDLEKAGWGTGRRTRNATRKTAADDDDF ANIA_06897 MSQEYFPGKGGSSAGEGSSRNPLDEKPEESPFAPRLPPSYMTVG NGSTSESATALMNSLHADSGYGGSVADGESGASWRSDLMVDRPTPAHTPTHPGQWNPA AEHEKQIVASHVHQLLYNSNRTKLARAISRTIETLKELQDMNRQWPAHYPSVQNLPDS PSDRPSLQQSQSFFGDAAAHNDGLARPGSLRRAATMPTNEELAESSATAERRAPPEPR LMSPQIAQEFSILKLDLKLGALSQAELVHSLEKSSIASLLDGKIGQSIKHLLSLRDRI EDTSSKVLITGDLNAGKSTFCNALLRRKVLPEDQQPCTSIFCEVLDARENGGLEEVHA VHKDRQYNRNDETTYDVYALSELENIVIDNTKYMQCKVYVKDVRTIDESLLNNGVVDI ALIDAPGLNSDSLKTTAVFARQEEIDVVVFVVSAANHFTLSAQDFIWNAAHEKAYMFM VVNGFDQIRDKQRCQRMILDQIKKLSPRTYKEAAELVHFVSSNAVPVAPQIPVAGPGG GGGGGGGGSDPNGNDWPSGDDDEDKPEDKKGKGKEKEKEKIQDFENLESSLRRFVLEK RSRSKLAPARTYLLNLLADINALAAVNRDVADKELQNVMKELADLEPAYENGKKKKIQ LQEEVDKCIDDSCEDVYKHTRTTLTSAIANVSEANLGVEYPGIFSAFQYAEDLKFAML EHISASVAGCEDYARTKTAQGVGFIQNLGLLHIGEDKFTAPTFRADLMFRRGRRHALA RQVDTEVELLDFFDITGLWERQEKLAGTGMAMTAVTVLGGRAFGGISWLDSAFSAAKF LGPNHMRRLLLPGIVAAAAITVAYVLSSIPQTLPPRLSRKIAATLSEMDYVHSNSTRI SSEVRRILRLPANNLQNSLAQDVEDLGRRKQEVSKTKQESEVASKYFANLFRESTDNR TSVENIDLDGPLPGGLGAYEA ANIA_06898 MNIVEWAFGKRMTPAERLRKHQRALDRTQRELDRERTKLENQEK KLIQDIKKSAKNGQIGACKIQAKDLVRTRRYIQKFYQMRTQLQAISLRIQTVRSNEQM MQSMKGATMLLGSMNRQMNLPALQRIAMEFERENDIMDQRQEMMDDAIDEATGIEGEE EEGEDIVKEVLDEIGVDLSQALGETPSGIQKESVSEGRVAQAIGAGGAGGASDDDDLQ ARLDSLRR ANIA_06899 MNPPLPHPHQAAAHPGERPHFSFNPSSSVNLDYLANQMNNVDIQ QERFSPSTESRSRDFTANADTGEHTGAYYVGYTFFKAPAAPGYQPSWKRVEKTKMNLR QNDLADLVRKGSKKRSVAEQYQSLSNMKRPHVDRLVESLQQSNPHLQWICVYVKEDTR DLKGKNFRRREYETTSMHVILMGKPTNRPTPTSQVPKQLRDRGPLQGQRPLSESQHRP FVGHGLYNDVRGMSGYPQMPMPPQMHSMQTGPTQIHQQGPVSFHPPPENVMREPSYPE EAERTHNAPRNQPTPAPVVHNHKHPAPAPAIKPNPPEHGAPRVTVQPDRKDHKKESAH QNMSRDRKTQAKVKHDQLPKQRPEPEPDLVYDSASSDELPTQENDYDISGEEFSSRET KALKIPEPWRGSLYRGHSSSQSRRNYRTHYRKDPSYRRESHRAGNNGYRGYRDESVID IVPADSKHTTKHAIRRYDGSRQWAAQPSIVHQQPSKDEVELLMSQIRERAQNDIRSRM LGDWEADLIDREHLFEYQKQLFRDTLRTERMDDVGLMNRARSLREHPTNTRGYLPRAL HYY ANIA_06900 MPRKFFVGGNFKMNGNAESTTSIIKNLNSANLDKSVEVVVSPPA LYLLQAREVANKEIGVAAQNVFDKPNGAFTGEISVQQLREANIDWTILGHSERRVILK ETDEFIARKTKAAIEGGLQVIFCIGETLEEREANKTIDVVTRQLNAAAKELSKEQWAK VVIAYEPVWAIGTGKVATTEQAQEVHSAIRKWLKDAISAEAAENTRIIYGGSVSEKNC KDLAKEADIDGFLVGGASLKPAFVDIVNARL ANIA_06901 MLDFMDYIQLAFAEGTQRNCDNSYSSLTATTQNLLDFTTPERVR IHLSSLSTPNFATSYTLGTVGLIEGSISYLYSNISFDNTPSKSALIPLRKLAPGYRQV QAPIAPPSSKGQKATLLHATLHLPPPTTLNALFLRRISPTMQLSLAVSSTRGPPLSKS APQATLLTQLTHDTGKYSNEYLFSTDNSLFGWRGLWNFGPDPRFNNNAQRLSLLSAGA EAYYSPVSSLIGMSTGLRFCTLPAATSSTPNPNTPISTFPYTLTLTLTPLTGSLSTSY SVRASPNLSFSSRFGFNVYSWESEMVAGFELWRQSRKAAIVDNDGLEWARNKARIWDI PASSQVPEPITPSEEETQESVLKVRVDQSWNVRLLWEGRVKELLVSAGVGLGPSSFSP SSYANSQATAGAQGSSGGPPTSYWRGVGVSVSYSS ANIA_06902 MPRSKRARIVHESKTAKKSHKEQTRRLYANIRECVEKYDHLFVF SVDNMRNTYLKDVRTEFADSRLFFGKTKVMAVALGHNPETEAAENLHLLTPYLTGAVG LLFTSRDPASVTDYFDAFRPLDFARAGTEATRSFSIPAGLVYSRAGEIPTSEDEPVSH TIEPELRKLGVPTRLVKGKVMLELTDGQEGYPVCKKGEILDSRQTTLLKMFGVQTSEF RVGLKAHWARATGKVEILEKNENEMEVE ANIA_06903 MTDKLPPPLLALFQPRPPLRWVTPIDRAPDDIKKSKLSGVAQFL PEVKKTAEETEYNPAETWLQRKLRQKAEKKEQLHKHLTEGLAAYDPNSDPQARGDPFK TLFVSRLSYEATEQDLEREFGRFGPIERIRIVKDTVTPKGAKKPHRGYAFIVYEREKD MKDLIHKLAAYKETDGIRIKDRRVLVDVERGRTVKGWKPRRFGGGLGGRGYTKALPSR PIGPGGFGPPSGPGGYGGGFRGGFRGGSRGGFRNDRGFGPRGGIGYQGGRSGFGGQAP PNAPSGPGGGRSGGYGGRSDRGGTGSNREPIRPRDSYGDRDRRDRDRDGDRRDRDRDS YRYRDRDRERERYGSREDQGRKRYHEDDSYDDSRAKRRY ANIA_06904 MPVQGVRAVSTARNGVGAFILQCKRLDFHYCDWAGSSRGMNAFL KHALPAFAKENPQIEIRVSPRPHKHPIIKGHYINGREKAICVRNLEPEQITQKANLLK QASGEKLKRTKKPVTSINESVRGIWSPYHGGLKSV ANIA_06905 MSSDSGVQFIARRRKRPRREPSGSPNAQSSNTTPGPAHVLNMPS TRFPRDGFDYRRPVTSSAPPDDDSEVIDLTNEPDSPELPRRHLPESSSRRHRPRPPRF GRNIMTEFVDLAEEEDDPDPPSSPEVQFVSSTTRQPPPPQTQRPASLLASNFWSILPL PHTLFRPTNEGGYRRSWQTRTHFSPEINLWLGDGPGADLMTTPEVNWDLAVPPGFERQ RERPRDAYKPPSPPPEGFTRSATEEDVAVCPNCDEELGVGDTLKQQIWISKQCGH ANIA_06906 MLCAISGEAPQEPVVSPKSGSVFEKRLVEAYIAENGKDPVNGEE LSTEDLIEVKTQRVVRPRPPTLTSIPSLLSVFQEEWDALALETYTLRQTLAQTRQELS AALYQHDAAVRVIARLTKERDEARDALSKVTVGARSSGAAADAMQVDSAGLPDEVLAR VENTQASLSKTRRKRPVPEGWATGEAISAYKPTESTDAFYPGGKSLSVNVSGDLVLVE TAEGTVGVFSLSQKQIIHTLQTDGHVTNVLWAGEKAVVASSTGSVKVFESGKEIANFN SHAGAATGLALHATGDIVASVGEDKSYVLYDLTTNGVILQNFSNTSLLSVQFHPDGHL LAAGGADGQIKIYDIKTGALAATYDLAGAVKRVFFSENGTYLAAVTENSTMVSIWDLR SSKEIKVLETGSQVDSIHWDYTGQFLLTGGPSGLTVQQYSKASKVWTEPLRSAVPAVA VAWGSAAQSIMALDNDGRITVLTAQ ANIA_10858 MSFNAKNLAYDSKEPAFLQRLRSQFGNSSGGLERPSLRPRRVHD DKDDDAPTYVDAESNEVISKEDYEAMVKCGDSETKQPKDGEEDEDAVANQDGDSQKAE TAIMKQNLAEIGGPRKRKQAKVVGDEVEGDDVEGVEKEEVLPKELGLRNPKQKKKKKK IKLSFEE ANIA_11250 MASALPSLAIPGQRLGPAGTYSAGPGTHVYHSHIYASIAGPVSV DSAQVNSPAKSIISVSRTMKKAIAAASTSTSSTTTVPKPKYNTLPAVDSVVLARVTRV QKRQATVSILVVLDESASSQALNPSQSASDNDNIESILASAANPENHSSSDELRFQAL IRKEDVRAVEKDRVVLDEMFRVGDIVRGTVISLGDQSYYYITTARNDLGVVMARSEAG NMMFPVSWKEMRDPVTGAAEQRKVARPF ANIA_12408 MAAIVNACLSCLSTADRWCHITACLGPLSGRSREGIYDTTLADN EREAVSDLLGYLENRAETDFFSGEPLRALSTLVYSDNVDLQRSASLTFAEITERDVRE VDRDTLEPILFLLQSSDIEVQRAASAALGNLAVNADNKVLIVALGGLAPLIKQMMSPN VEVQCNAVGCITNLATHEDNKAKIARSGALGPLIRLAKSKDMRVQRNATGALLNMTHS DDNRQQLVNAGAIPVLVQLLSSSDVDVQYYCTTALSNIAVDASNRKRLAQTESRLVQS LVHLMDSSTPKVQCQAALALRNLASDEKYQLEIVRAKGLPPLLRLLQSSYLPLILSAV ACIRNISIHPLNESPIIDAGFLKPLVDLLGSTDNEEIQCHAISTLRNLAASSDRNKEL VLQAGAVQKCKDLVLRVPVTVQSEMTAAIAVLALSDELKPHLLSLGVFDVLIPLTESD SIEVQGNSAAALGNLSSKVGDYSIFVRDWADPNGGIHGYLKRFLASGDPTFQHIAIWT LLQLLESEDKRLVGYIGKSDDIVQMVRSISDKNIESDEEDTEDGGEAEVIALARRCLE LLGGGPKQTLVEG ANIA_06908 MMAASVSTSTSISNPLHQADSRLGPFRRLSQLRAYTQTHFSAPH SSSTSSNPSTSNPQQRPRRHSISGRRLSWFSPHNTTRTDSAQPTAADPSPCPDPRAEP SRPNRYSAILFPSSRGLDIDSRSDTQPSDSSISNSSSESSGRPTTEAMGRLRTASESH QNSRSDQSSSAPASTSIHNSALDSPDPVITSNSASSGSSGSKSKRGATIRFFPHQDPS QSSRPSLPFIPISRTLPSDTCNIRVGRYSERDGLPQPNPSEPSDAPVGFKSKVVSRKH CEFIYQNGQWHIKDVGSSSGTFLNHMRLSQPGMPSRLYTIKDGDIVQLGIDFRGGEEM IFRCVRIRVECNRSWQQQPNEFNKNTESLIRNLGKGDTADYSGCRECSICLGSVLCPN CRAYTDLSAEVDDSNDYEAESSNQRTSDSKEGAANEVRSTTCSPQPGTSRTSSASPAS EDGQTPELPADNLAANVESMHLTDEHPPRPAPETITSAPNSNINVSDLQPLTQSPTAV PSRHEELRVDTPMRSESSDENPLTPRNDSGPLAFDGRASMSESRPL ANIA_06909 MSVITLAQAPTRISYRPGASPEVFRFIKGAIHGAILVQVVLHAI FTAFVVWLDRYVFDTVGLPNSIIPSLSIVVGLMLVFRNQTSYNRFWDGRNGMTTIHTT IRNLVRTILSNAYSTARPPTPAEKHDIERTVRILTAIPFAVKNHLRAEWGAAFETDFG GASASNFAGLGSGLPGLLGSDLRENGTAVYNPVYAGLLPPDLQGYEGEGLGLPFQLSF FVDGFIKRGVERGWFNAPGASQMQAQLNVLMDAFGKMETIKLTPIPVAHLIHQKQVLA LYGCVLPFAMVDDMGWWTIPIVSLVIFTLYGIEGIGSQLEDPFGYDRNDIKMDALVGD ARMEIEAVLEEWRRVMAVVERERASYGDEGEKEEGFMMPDMFLRPRFSGPSVGHGE ANIA_06910 MDNLQNISVLAHELSDLELALLICLGGREHCLIEATEGNIHDVA AELALICSHTYGLRYAVVEFSGTTSLEDFHDQVCTHSRSEPGTVADVVIAKNFDYASE RIQLEAVELMRSRKLTTSSGVREAPTHFLFVPVIVHDLSQVRPKLNVHLVSLLWQLVM LSNAPRTTLSSYPTFTTLKAALRSTPRREQNGYYNRRACSVPTRHCGLSPPEPRCRRR YICAIQHSVRKTSKTARCYSRH ANIA_06911 MAPSNPLANWERLGSSFYRKVPLYHSVFGEDVELENYIVAGAPY GGAIALYRDESKPLRFRDAQTGKSNIDIYSRSGKLINRLNWEHGTIRGLGWSDQEELL VITEDGTVRRYFGLYGDFTSFSLGNGAEEYGVRACRFWNSGFVALLANNQLIAVSSYN EPRPRLLAQCPEGEVASWSLIPPAYTLSRSVEVLLAVDKTIYLVDPTEAEDKVLQNGP FKHASVSPTGQFVALLTAEGKVWVVSSDFQSKYSEYDPDSRVTPRAVEWCGNDAVVIA WEDEVHLIGPNGAAARYWYDGTVHVLPEFDGVGLLTNDTYEFLHKVSDVTETIFRLGS TSPASVLLDSIDLLEKKSPKADDNIQRIKPSLPEAVDMCVKASGLEFDAYWQKRLLKA ASFGKSVLDLYNSDEFVEMTEKLRVLQAVRDFQIGLAVSYDQYMRLTSEKLIERLVNR RNYLLAIRISEYLQLPADKIYVHWGSSKVKVSTVDDEAVCKLIVQRLDGKPGISFEAI AQAAYDEGRSHLATQLLNHEPRAGKQVPLLLNMEEDEIALDKALQSGDDDLVNYVLLH LKSKLPIASFFRMINTRPMASALVETTAREEDPELLKDLFYQDDRPLDGSNVLLSDAL KESDLPRKQEKLLLASRLLSDSKDPTLVLHRNLLNESSQLLKTQEALDKDLAERSEFL GLSLNETVYRLIRSGYGRRAQKIQSDFKMPERTYWWLRLRALVAKRDWGELEEIGKIK KSPIGWEPFYNEILGAGNTKLASVFVPKCTNLPAEERIEMWVKCGMIVKAGEEAFKAK DINTLELLQAKASGPSAVEIGRMINQLRPKK ANIA_06912 MAKARPPSKHSRAARRAASPSLDLDKSLTNLPRAENTPLQRESI LADRANAGVSKKQSKPKAKSRAQRLRQQKGMERAEAVMDRHEIKVAKSTKRAKTVKNR RADWSDLNGKVSKFEALAGVDTGDDDAMVDVTAASSKPKTRTAQVTQDLPVDAHAGVD EDDEIT ANIA_06913 MNARREGIDFLTQSYYSDRNSHNDSFWHHLQDNASLTYVLVTTV SVLFFLNHFRSIPASFARASWDIAVYLTPSRIIAILDSKSTNSSDEPSAEMTLQAKSE AMQRILGLNHATFPSFFPRARALSGLSNALLGSKDTIPPGLGNWDNSCYQNSIIQGLA SLPSLAEFLERNISSLGGKISLSTHEALKEIIDRLNSADNHGQRLWAPPDLKSMSSWQ QQDAQEYFSKVVDQLDHEVQQATRRRTRNLGLKMAGPQEHVIGTTAAQSDGPMHPGRL ENQSFRNPLEGLLAQRVGCAGYEYDVRDCLDHYMNLEQIEGVECAKCTLLRARDQLRN LMQQIEDDEKLVEAKERTKVSDALKSSAEQRLQAVEEALEEADFTEKTLSKKCHIPSK NRVTTTKSRQAVIARPPGCLAIHINRSVFDEHTGLLRKNYAAVKFPRVLDLGEWCLGG SYDEAIKQKVENWGTDPRVSMLHPPGAARDGDRRYELRAVVTHYGRHENGHYICYRKH PVEVFPAQVPEAVLEADGEKERSERWYRLSDEDVQMVSEENVMTQGGAFMLFYEATET PAPGARLDTNGRNPISSFSPSQEDMSSNMSTTTDRSTMYDTSRATSVSAEGEQASEKG QMLEVD ANIA_06914 MPPKKRSAPTGSSSGPKKARQSKLAKENNISGEEENEIKEVFNI FSEANEEFPDEKDGVIAREDVRKALVYVAPYSTNVKVGGQGWLTRMVGRALGLAPSSS EELQSILSAVDPTFTGYVPYAPFLSVAAAKLRSRSDDAMSAEVDSAFQLFTQGTDGPI TMGHLRRIARELKEDLDDALLRDMILEANGGVGVDAGVSLEQFHEIMQRAGVF ANIA_06915 MDIELYVYDLSQGLARMYSMALTGVQIDAIYHTSLVFNNTEYYF GQGIQTAQPSSTHHGQPMEKIHIGTSELPLEVVEEYLQSLSSIYTPESYDLFLHNCNN FTQDLAMFLVGKSIPEHIRNLPTTFLSTPFGQMLKPQIESALRGVTQAPGQGLPAPRS GPAGSHTQAQAQSQGKVRVVGSLNELEQHLSSASKSCAVIFFTSATCPSCKMMYPVYD ELAAEAGSKAVLLKVDTSMAHDVAVRYGVHATPTFMTFLRGEKLDTWAGADAGKLRGN VRLLLEMAYPTHPHRELRLPSFQRTITNYVTYNKTPPLEKLMQKLKPHDQDPTLLAII DFIKHRTTSSSADTPLPSDLPTFATYLAQTFHSTPQENRFALVDLVRVLFVDPRVAAY FGEDTSHTLLLTFFSTSEDEATSYSLRIVTLQLACNLFKTPVYTNHLSSPSNPLRPAL LSLVTSSLLNAQSNLRVVAASLAYNLAALNHNARFANTGSEPLTEEEQVALTASVAEA LAQESSSTEALHGLLFSLGLLIYEVPMDGAVIDLCRALNLKEAVEGKKELITNGDQGK DKGDSLFREVGRELLAKGL ANIA_11668 MQLQLRLQQRLQNPSPAGRTSAGPLIRDSYGTYSVATMDVRLDR PEIAPRYSVLYGDN ANIA_09451 MVYPFQPWSRDSSSVRSSLSEASPSNTHAYFDLSFSSSYLPVGS LSNNIPPPPPPSPNDDLLDITPQKCSFSSNSTNNACAFPSWPNRPSLISCDSGESTAS AYLSDEDLFPDGPMTPEPASSIDEESAAMDPRMGGTSLTTEQQIQMLRVLAEEEAQRA RFLAQVQAHAKAQQAIRVAQLAATEKETNKRTKKRKPVVAEKKRRTTSSSKVVYRS ANIA_11667 MTRKTGASSKRDPKGERKGGLILNLARLALLCLELGIRQCKTYQ LVSENKTEGMTLGYANNNDDQENAGSDERELLWHARV ANIA_06917 MGNDFVFIHVREPKDALKLAKDSHIRSHVTRRQWKLFEERSQAA FQRDLKKAKTETQGNDDKQDNQHLVSGEVVRHSQSTGAAQPVYFGLPIPRPFGGLRGD PFRSYPVAWRPILPQLVDHYLMSMAVDIPELDQPGNRGLLRTDWFPLVMTEPSLFLVI VLLAASNYASVQTEPTGMKLHLLGLRYEAVQAVNKSLELQSSFVSDALIGAIAKMASY EAMYGNPENYAVHMRGLQRAVELRGGLDALGLGGLLRRIVIWIDRNGAFLNGSTLYFP GESFAPGQPLPDPNPGHFLGAQ ANIA_06918 MALDGKVALITGGVKNLGAQIARELAASGANLALHYHSSSSQSD AAKVEAELQQSVKVVTYQGNLTSAAAVTKLFEDVNRDFGKIDIVVNTVGKVLKKPITE ITEEEYDTMFAINSKTAFFVLKEAAKHVSDDGKIITIVTALLGAFTGYYTSYAGSKAP VEHFTRGVCKELQSRRISVNNIAPGPMDTPFFYPQESPEAVEFHKANGMGGRLTLVQD IAPIVRFLCTEGTWITGQTIFANGGYTTR ANIA_06919 MSTKLDKSLDEILVNRQPRNRRRTARRKAANAAAPVGGVQKITK GAKPAGKAVQNGHPAPTESKIMVSGLPADVNEANIKEYFSKSAGPVKRVMLTYNQNGT SRGIASIVFREPDTAAKAAKELNGLLVDGRPMKIEVVVDASHAPTVPAPKPLSDRVAQ SKPQPKPATNAKNANAARSGRGGRGRGRGGKARGNRPKPKTAEELDAEMVDYFNASNE NGASAEVNAAAGSAPQQPAAGEDLGMAEISVIPKKPSATWWHSEYRVDFARGQDTLFV AALQVNASFKYGVGLCVNGVFKDLNDLHIASARRATVTYPSAAQDCLCYLTDVMEEAP LSQPNSSPYMDAVGRCLFPPHFHLRVSHPNQNSSQVQVEDTMEKNQDGRAKDKNHHTG KDTEQFCCNDKFEVEDEGDEDEPAAQDAKTQFTHAITLVIASSYTLTVPWPSRVLLLP LKGGKSMF ANIA_06920 MESLKAVFFGPDPQTQMRKCNQLIRANTRQLDRDIAQLKALDGK TRQTIVNASKRAQRNPARAKQANLEAKMLARELVRVRRQTTRLTTSRAQLQSVQMQVN EAFAVRKIQGSLQKSTGIMKDVNTLIRLPQLSATMHQLATELVRAGIIEEMVDDAIPN NELLEDEEAEADEEVDKILQEILQGKLSQVDEVKPERPLEEPQQAEEEFEDQEAALAQ MRGRLEALKS ANIA_06921 MPELPQHTPEVTWAQRSSGTDAERNYLYVSIKAADVAKSDAKLD ITPSNVTFTGPSKKGVTYHVSLDLYADIEPENSKVNHSDREVELVLRKKELKEEYWPR LLKESKKVHFLKTDFDKWVDEDEQDEAPEDDYGNNFGGFDPSDQGGLGNIDFSKLGGL SGMGGAPGDLGAEGEDEDDEDMPELEESDKKAEDKAEDKAEDKAKIQELS ANIA_06922 MPPKKPDQPKKKKATVDDKTFGMKNKKGGSAKKQIAQLQAQAAH SKTADEKRKAAEKERREAEKRAAEQAKKEALELFKPVQVQKVPFGVDPKTVLCQFYKQ GHCEKGRKCKFSHDLNVDRKAAKKDLYTDSREAEDEKKKADTMEQWDEAKLRSVVLSK HGNPRTTTDKVCKYFIEAVENQKYGWFWVCPNGGDKCMYKHSLPPGFVLKTKEQRAAE KAMMDKSPLNTLTLEDWLESERHKLTGELTPVTPETFAKWKKERMDKKAAEEQARKAK EATGRTLFESGNWRTEESEEESDEDDDGAWNLAALRKETERIREQKEEERLAKLHGEQ QPGGED ANIA_06923 MAFKKSYNVYFLCGFMTLGGGLFGFDISSMSGVLGTAAYNNYFQ VGGGNYKQGGITCAMPFGSLVGALASSFLADKYSRVTAIQFSSTLWIIGSVFQCAANG IPLLVVGRVIAGLCVGIASAMVPVYIAEVSPKHIRGRMISLQQWAITWGILIQYFIQY GASNVDGGPDNETQSTAAFRIPWGIQIVPGVIYFVGLFLYPKSPRWLASKDRWDECMH VLARLHGNGDMNHPLVLAQYKEIQDALALEREQASTSYQELIKPRIAKRVFLGMSLQM WSQLSGMNVMMYYIVYIMQSTGAGSPLLTASIQYILNTALTLPAILWLDRFGRRPAIL IGFTMQAIFLYIEGGLQAGYGRSTRPSDDLNAISWTVADHPGVGKAIIAMSYLFVCSF ATTIGPTSWTYPAEIYPAKVRAKAVSLATASNWTWNCLLALFVPPLLWNINWKMYMIF AAFNTVAALHMFLTAPETKGYTLEEMDEVFESGIAPWKKRKISSRLEQIEREIAEGNL KVTDRTGPVDPVQENVGEPEKAV ANIA_06924 MGYSSTTELFVEWAVGLVIIAVRLYARWTLGKQNFYWDDLCLGF VTIFWTFHTVFLYLCTDVYGSNIGLNEQTALEVPDDQVEDLREGSIYAFIAWLSYIFL VWSFKGVLIFLYSRLTMGLWHHRLLIGVGIGSIATFFASLFFHLFICLPVSRSWQVKP YAGDTNIQHQQGPNPQSTPSGPGNSLIFANRTDIAIMAVPIPLVLAARIALSQKIVLC ALFSSGIFVMIAAILRAYYSVTDIDELSIALGWASREALVSAIIVCAPGIKPLLTRIG FFRSYGSSNGLSNYNYGDTPGSKSRSKSKSRNTGTMFTSRSKKNDSVLQTVTDYTERD KDGRAHPYELSRLERRKRKGSSGESQEYIIESGEGNTNPPSTTPGRSERDGSPDQGIL VTTDVMLAREEA ANIA_10861 MASIRTKVAIWLWGSDGKDRALLAKLDTTLLPYFSLIWFLFGVT RASYSSAYISGMKEALNFQGKDYNYMRTAYLVTYAVCQIPGTSLLTLVRPKHVFVPAN VTWSVLTMVTFRTTHAWQVILLNAIEGAFSAIAYVGALFILGSWYKRSELGTRNAIFC VFGHLGSMAGGWIQAGLLKSLAGKGGLPAWKWIFIIVSVMTLPVALFGTPSLKINNAG WFFIPDLPVHRTAWYLNAEEKEHAAARLGSPSKQSWDLTVFKRVLWSWQFYLLPLIFM LYSLCVQSLSNNVMPLWMASRGYTTVQQNNYPTAIYATAIVGTVLYAVVSDKLRSRWE VSIAIGLTFVIGSAILFSDPPTAGYFFAFYLLGTTYAPQAVWYGWLADVTGHDVQLRA IATGFMNSFDFAFVTWWPLIFYPVTDAPDYRRGYFASLVTGALTLPFIGLIAYLERRD RKRGVIGRGAVDEDRLTDGDIDVFVSQSGHTFQTEPSKISPAQGAVTV ANIA_10866 MLGVAGYSYHVFYKWLVLRKIDNAFSPGFSSLELAALAQHGYTL GEGIDSDDETKFRVTRPEQALIDNIVSGKVKGHYYLLFGEKGTGKTSMLLESMQKVHG DGVGMLEAHGDIEVFRLRLGKAIDYEYHEDYIGGMFSIRGPRDSTPLLDIERALNKLE KVALRRKESDRPLILMINNLHYLPDSPEGQQLIDLLQQRAEMWAASGLVTVVFTSDQY RTTEMLRLHATRMRVLNIQDIPKDLAVKSLRAFRRNAFREDVPASVLDQVYSLVGGRL IHLDQVARSQDMLKTCHAICEKEKRWLLCKCWILGAEMDDKAEDQQDLSSGAMLLGKT LVELEKAKDRTHYVSGLPGIALHKAQELMTRADLIKKLDEMNIIAIDADAIVRADSVP MQNAFRSVCSDPEFEEHLAATLERLDELEGLGRTTELRLKDFMDDEYEIKVQRRGEET FLSVQKKQKEPKTKE ANIA_10867 MQQVTPNEQRIINTLQSNWIWVPNWIDSPPPNVNTAGRIVCFRR RLSLASPPTRALLHISADTRYKLFVNGERVAVGPTRSSPWIWYYDTIDIASYLRQGNN TVQLDVLRYFFVSRAAMPFQRTALPGLTVVGVIETENGAVELDTAKTEAWEARVDESR LFPMGLVDDPFLHINERVAPVELSAPITPILHGIKTLNGELAPWRLRPRAIPMYEESS VAVDTIRSCSSIRTKEEWLAGLSQSEKPLLLPAGSSHALDLQAAVHSTAFLRWTFKST GRASSIRFKVTYSEGYELNPRAYPFFRTKNDRLDAENGHLIGPYDEIQLDIPAFGETI AYEPFWFRTFRFFRVEITVGSEPVALEALIARQVNYPLAIKANFANPSNPDSARIWDV SIRTMRNCMFDGYADCPFYEQLQYSGDSRSVGLFHYYLSGDDRLMRQAITNYAASITS EGLTQSRFPSHVPQIIAGFSLYWILQVADHFLFFGDRAYTRSFLPRIDGVLEFFESNV DQLGLVSGLPEDVWQYVDWVTTWGATDEHPDKGVPTSGRRSNRHTYFSLLYAYVLQRT AKLVRDLGRPGYAAEYEARAVSLQTAVRRHCYDGHYFTDSTADLSGDDAYSQHTQVFA ILSGTALPDECSRLLKQSFADERFSRCSYMMRFYALRAFSAAGDEMYESFWRNEAWNP YRKMLAENLTTWEEDDVRQRSDCHAWGSVPIYEYCAELVGLTPVKPGCAKIRFKPRLR LSESVSAKVALGKDNLASVQWEAAGDQETRVHLKLESPVEVLSQLPGGEEVDHGAVDS LNLVWRG ANIA_10868 MASRFSVSWFLSHTASGVLALTFNVPSTPPANASNQLSAAPVGV SLEFFTFPGYFNDVPATTTCLENLKELTGTWPPMRIGGTTQYVLPRLNSSTWQSLIRA RDRATYDAASSEAVTYSVDDPADAPLSLTYGPSFITLASEYSGSVVLGLNRRLNDIEN TISAASRAVSEMGDLQAIELGNEPNFFADDDPIANGASWTASADYASQISWQDAVCGN LSVTDLISAGVYFGTSPMSIQALSQEEGEANVYVKEYSSHNYPQSQSTANLAALMSHS GIAAQIAGFRGEVEAANAVGKAHVFGETNSVYSASATQGGGGISPTFGAALWIVDYVM QLVTMGTEALYFHQGTIGNCQYCWWGRYTTGAPYYGAYFATMALAQADHIAPLDDQST SYAAYAIYKYGAPVKVLLYNSEYYTSGTRPSQTYTLTGLTSAAVTAKRLTAPYSTSRV DRGESPTVAGQTFGNGDCVVEGDEVLETATVQGGQASFTVSASEALLVYLQP ANIA_06926 MVEKPETERVEETTTVDDKDEANSKGQPLMRSELDNLSIWESLR RYKVVTTIAMVAAFKINLNGGLVSNKGFIRQMTDPETSIIEGNRSIRTQGRSLYHLPR LCDRLKLTGWSWFVIGQLFASVALDRLNASDPYNFRTPIYTQGIMTATIATERSIAAR DKQQGRWAVFQGRNLLRFIIAGWPKITQQFVGLSVFNSNVTYFYLLRLSRYLFDNRFA ATGSISVVIAWFILPEVTRRTPAEIDELFEKKVKLRKFDKYVTDVQINAAGQEKGDGT A ANIA_06927 MKLTSALLAVLPCAFFASASQESYVGCFSTPGSFENLGTYVFQS IGHCLNQCTKAGYNIGDYAAVKETDCYCGDVDPAKDDLVDDDQCTTQCPGYAPDVCGG SEAWSVYVVGEARPDFWSSETTPSGRSDLWSHGTTSSTTSVSEGQPTAASSATSTPTG TSTSEAASASSSSPASVSSTSASASTSIEPTPTGNSASRRYSFFF ANIA_06928 MDIKGQIKVLEQDVSHTPGTQVWFSSVQTGTRWSLFQRTSDVEL IPAPAPTVTNNSSSPNDPLNWPSWRRGALLYAVGINSFLAAALAPILATGFPSISSSF GVDLQKVSFTIGIYMLGLGFGALIGSPTAMLFGRRLVYVSGSALLIVSSAWAAASPSY TSIILARFVQGFAAAPGEFLVSVSISEIYTPQERGFRLGIYMLLLAGGKSLSPLIGAG VIQRLGWRWVLWILTIASGACFTCLFLFARETYWARDYKEDAITPQMPGELYTENLRI SPPLRFSHTLSIWNGRLSSAGWVSLTLRPLALLNSPPLLWSATVYALSLGWLAVLAET IAHLFQSVGGYGFTPIQAGLLYLSPLIGTILGSVIGGKVSDILAKVKAYRNNGVYEPE SRLLMMIPAILVSTLGLAGYGWSIQLRTHWFIPTLCFGAIYFGCILGSTIAVTYCLDC HKADAIGTQVVLSLMKNWVKACGPRNTFLIIAGIHSAFLSATIFMYIHGKQFRIRMGK RSS ANIA_06929 MSMINISHVNRLWKRRDAMEGAPSSAHISGVFPTAHRTRPFRLS LEGIIPGSRRSEDVSSLPFRGHFTGRVYRGCRWMEAKYSEARSGLDEPLADGPWTFSN GLANSFRVETFNITRPGHVEPFFQQDGQRWQRLRLLNNDTTVRVCGVGIRSLNEWTEV EQLPGYFASSNPLYNEIWALGAGTLQQACIEKGTALTTWEVAPDGVLLRGQQSAQSAL GTSLANCTMTFQAKVLRGGIGWSSVCLDGAKGDRLVWSGDFAHTQRIISASTNRSDLI KRTLEFILDRQEESGPSTGLLAMSPGMGLAAKLASLYNSYRLLDYQMLYLNSLARYYL DSADIKFIREYWEKIEAGLEAMIPHAWAILSNTASTSQADSSIAALSTLRLGLDTKRP LILPQTRQRTSLRMVSGFLLEALFKRARGQPHHAAIVETIDVLLSGLWAAMTDQDEYY TGTSWEYLYPDGRPGLDLFTSPHSWGEAPTYVFTEYLLGIQPTSPGFMEWAFRPVITG MGLSWVEGRVPTPRGSINAGWAVENVTEIRLHVCAPTGTTGIFVSQCQ ANIA_06930 MPHSVLYTKIDTRPPEVIHSRGNYLHTSDGRTIFDASGGAAVAC LGHNEPQVKQAIMAQLDKVAYIYSPFFTVPAAEEIATFLTESTGGAMSKVFIVSSGTE AIEAALKMTRQYFTELSKPQLQRTKFIARRQSYHGNTLGSLAAGGHKARRAIFEPILA ASTSHVSPCYPYREMKKGESNEEYVSRLAEELENEFQRVGPDTVCAFIAETMSGTTLG CIPAVPGYLKAMKQVCDRHGALFVLDEVMSGMGRTGTLHAWQQEGVVPDLQTVAKGLG AGYAPVGALLVGNRVADVLSKGTGSFTHSQTYQGHPIACAAACAVQKIIQKENLLDNV RRQGEYLGRLLNERLGGHRNVGDVRGRGLFWALEFVRDKETKEPFPAEAGIAQKVHLT GLQKEHSISVIPGAGVADGRNGDIIQIAPAYNVSKEDIELIVERVEGVVHAAFGA ANIA_06931 MPAQHRPLWWYGWNALRGGNPTFLTPDQVPVPDLSGKWIIITGS NSGIGLEAAKAFASAGANLILGCREPAAWETHPAAAAEECQTLARVNGHTESVIEWWK IDMADLSAVDAFAQRWLDTGRALDILCNNAGMGPTGSRKPILTKDGLEILHQVNFTSH VLLTLRVLDSLAKAPQPRIVCTTSCFHFRGYFDLDHFNGELGMAGDPYPNNKLYFQVW LTELHSRLLASPNYRHVTVNGINPGYVNSGIWNNPLSETKNTWIDTAYKFWKFVASIL AISPQQGSLAIVYAATSAEFGPDPVTQGVGEIGGKGGGHYINRIWEGEAMPHCSDEEC RKEVWEKVGKELGLEERGLGHWV ANIA_11534 MPTSRPTTIAWIARVTAEVGPTPATYANQHAKCLLDQPLPGICL ILASRDGRCLSIAQCYS ANIA_06932 MHDRAGLDHSPAPAVGPDPYSSDDSMDNSIHSTDGPDSVIPNSN PKKTVRQRVRLLARHLTTREGLIGDYDYGFLFRPELPFMKKDPRAPPFFGLNEKIPVL LAFILGLQHALAMLAGVVTPPLIISSSLSLPSDLQQYLVSTSLIVCGLLSMVQITRFH IYKTPYYIGSGVLSVMGVSFSIISVASGAFNQMYSNGFCQLDEAGNRLPCPEAYGALI GTSACCALVEILLAFVPPKVIQKIFPPIVTGPTVMLIGISLIGTGFKDWAGGSACMDD GMLCPSATAPRPLPWGSPEFIGLGFLVFVSIILCERFGAPIMKSCSVVIGLLVGCIVA AACGYFSHADIDAAPAASFIWVKTFPLSVYGPMVLPIIAVFIICACECIGDVTATCDV SRLEVRGGTFESRIQGAVLADGINSVVAALATMTPMTTFAQNNGVIALTRCANRWAGY CCCLILIVAGIFAKFAAAIVAIPNSVMGGMKTFLFASVVISGQAIVAKAPFTRRNRFI LTASMALGYGATLVPTWFGNVFPQTENRDLEGFENAIELVLETGFAVTAFVAMLLNAI MPAEVEEIGAVTPMPVSAHDNRDGEAEYQSKQA ANIA_06933 MSLARFKHLPRATQGPIKCPYKGAALLGNANYNKGSAHSERERR EFNLHGLLPPNIQTLDEQVERAYQQYKSRPDDLAKNTFMASMKSQNQVLYYRLLQTHL KEMFSVIYTPTEADAIQNYSRLFRKPEGCYLSIRDHGEKEIDECFANFSGGDDVDYIV VSDGEQWQILGIGDQGVGAILISVAKLVITTACAGIHPSRQLPVVLDCGTNNEELLND KLYLGLRQRRAQGEEYDKFVDKFVRMARKRFPNAYIHLCSEDFGLQNAKRILDRYRSQ LPCFNDDIQGTGCVTLAALMAGLHVSNVKLKDVRVVCYGSGSAGTGIADQISDAIATE AGFSKSDALKQIWCIDKQGLLLKSQGDALTASQKYFAKEDNEWPEGQDIDLYSVIKHV KPHVLIGTSTKPGSFTEETIREMAKHVDRPIIFPLSNPTRLHEAQPQDITKWTDGKAL IATGSPFPPVEYNGTKTEIVSAECNNSTAFPGIGLGAVLSRASRLSEKMVVAASKALA AKAPALEDPNKPLLPDVENVRELSLNVAKAVIQTAVKEGLAQEEGIPEDEKDLEDWIR AQMWEATYRDLEKAD ANIA_06934 MHSPVMSAETQGPLAPRAVDEKAIAINGIDALGEEARPIDPEVE RRVLRKIDLFLMPAMVIGYGLVYYDKAILGSAALFGMTTDLQLSVTDTSVSPPTTDTS RLSWATSIFYFGQLAGSYPMTYTLQHFQTKHVLGPVVMLWAIICAATAGVTTWQGLYA QRFFLGFTESIIPTGFMVTVSGYYTQREQSSRQSWWFSGTGWFTIIGGAFNYGFAQID GGALKPWQYIYVFAGVLTFLFGIWCFFLPNDPLNAWFLTPEERLVAVERLRASQTGVK NQTVKKGQLREAILDIKIWLVALTMAAAYGPLFFAILTPFYFLYTVNGAVSGFGPLIV STFGYSSLESILFQFPLGGLSAFGIIGTGWLCSRYRNIRVLSLVLCSLPVIAGFVMIW KSSWGHKPVTPVAGYSLIGFFGPVVGLTISLGASNVAGETKKSFMAAAVFVAYCVGNI VGPQLIHSQQKAAHYPDLWTGLIICYCITILSASVLCVLWFRENRRREALNLDESEAD RLAFKDLTDKENLHFRYVY ANIA_06935 MGIVIIDGKSEERKACPSQVARRLSYSHNNQNANYSLRNPGYRY VQVPETASSLDWAGLKTLDLAKYDLLGGKQELAVELTKAIEDGFFYVENYGLSKEEVD AQFGLAKRVLPLPNDEKQKYRAALEQGDYNGWKPAEHAGRPHPEVVRALWHTIERFSK HAHYHIFRKLPVIFAVALGLKDEEWLVKRHHYDRMLGDHLRYMKYYARSEEEN ANIA_06936 MAARPVIEYYFSFISLWSYIGSRRFQRLVQETNAHVIHKPIDLM YIFSISGGLPVKQRSAQRQAYRLLEMERWRRIHGIPIVQNPKYYPADPSLAHRVLLAA IEESGNDSLAVQEFARLGLEAVWARELDIANEATIVQLAKEAGLSGDRLLQRAKTEPL LADQETALTKEAEIRQYFGAPLYSFRGEPFWGQDRLDMLDDAIKSGRDPIVVPSLP ANIA_06937 MHILQYLTGILVVSTTGLASVSMPAFADLDTCSPDLGQEEVSLI EIAGVAQEDIHLLVARQLDLDNIADSITGLLQPLLQLISSESLANINTIITQAASLLG DGGAEDVRNLVNVIAGLLDSDTVKDLIDQLEPLLPTLLDLLNSDLIDKLKTLLDNASL LLTEKFATNVRDLVNSIAPILDYLMQLISYFIDLIFGGGGGTGGGSNTSTDTSPTATQ TRGSGSGAASEATSTGSSGSGLDFGLGLGSSGSSDGDGSGSDESTGSSGSGSSSSSSS DGSSSSSSSDDNSGSGLSWGSSSDSSDGSDSASDSSDSGDSGSGSSSDSNTDSGTATG PDDPGFTGAASRPGQLGVTGAIVRHENSLQQTQRQNQAQQDLTPAAYIQFQDHSDTDD DQDQICKGVECYKIIERKRIGNAVVARYPILLNEQTHVLRGNAISQDPVSDGIASMDA NRLYTGFILMQEPGQSRPGLELALEELAPENLDYCCAQHCIRSELECIAPSPGLNLLG IGAGICTDTVDRWMDTCAVRVCALRLSNTAPIIGKKTDPPEMAAKRKHPPISMTLPRE GENASMQSKDENAQSDRVQVVLVHSRNGEDDAKRQDLAKSYLTLRQTLMK ANIA_10862 MSQTATTLQELAHHDHSDHDHDDQANSPQAVAKPLSRAQAITAV IALSGVSFLNTTGSGILTVSLPAISTSLSLPANLLLWPAAVYALAAGCTLLTFGSLAD VVGDKRVWLTGSILFAAFTLACGLAQTGTQLIVFRTLLGIAVAMCLPCSVSLMTRTFP SGRARNLGFATMGVGQPLGYSVGLILGGIFADSIGWRYGYYISAIISVLLCVLAFWSL PDEVPKGEPWMRGLKGIDWIGAVIIGVSLALLSFVLASVLSWTWLYPQITESYHNLGE TYIITLFVVSVVLLPTFVLWVGWQERNGRPALIPNGLWKNTLFSATCIIVFFAWAVLN ALQYFTSLYFQEIQHHSAFKSSLMFLPMVVAGAATNIFTGYTVDKIPVGVLVFASAVI STVSPLIMALVNPSWGYWRGPFVAMLLSPIQSDVLFTVSNLIISRAYPGHNQALAGAV FNSVSQVGNSVGLAVSAAIAASVTEHSQQDTLKGFQAAYWLMFTAMVVVCFVSYFGLR GGGYVGKKSE ANIA_10864 MALSYNLQDLIATDIATALPKDAVTEVISQAPFASVPGIFNLRD ISGADSPLYPFSVNLRTGLVYRAGAPASTFTEHGRAALNTLGIKKIFDLRRVDERIKN PSPVIDGVDVVWIPYAEGGARPATVRNLEGSMEQVVEMYMGYLETHAPIYKAVFEHIR DEPETPFLFHCSAGKDRTGVLAALIHRLAGSSNEALILDFTLTRVGLEPGRAALLKMM QSVYGESVLDNPVLPVVWGVHGTGMVAFLEALDEDYGGVTGYLKNALGFSDNDLEVMK ANLLLNPESGSRL ANIA_10865 MGIAKKTKKFAQMKRTIKARDERLKKPEPPKKKPDEIVRHVQTA PTNLFFAANTALGPPYRVLVDTNFVSHAIRAKLDLLPAMMDLLYAKCIPTFTDCTIAE LEKLGDKYRLALRVAKDPRWERVKCSHKGTYADDCLVDRVTKHRIYLVATNDKDLCRR LRKIPGVPIMKVARGKFTIEKLPDALD ANIA_10863 MSEKETIVVIGAGVIGLSTALHLQQFISPSQQILIVARDWPSTT SVNYASPWAGAHYRPVPGSSPQALREEKQARRTYDHFKHEAKVPGSGVEWTEGIEHLE SPPPEYLTENALENYTHLDGFRQLQPSELPEGVKWGVRYNTFTINSPVYCAYMLRRFV LKGGLVKEYSLANVQEAFYLAENVRTVVNCSGLGFGDPKSFIIRGQTCLVRNSCSVTL TRQNSDGSWSFCVPRPLEGGTIIGGTKQPHDWDPNPSLETRETLLRNASKWFPFTPAS GGKFDVIRDIVGRRPARQGGMRIEVEKVGDGKTIVHGYGAAGRGYEISWGVAEDVVKL IREEGLVPERASL ANIA_06940 MAAKKVSRFTHFSCQTQQIKQASQWEELAPEFDSINESSAAGTW WLDVHDATETDVAAVARRFSIHPLTVEDIALQEPRQKIETFPGYYLISLRTLTSPLDD DNAEPKQQEHLPPTPSGMTMLYILVFSEGTVTFSAGGCEHASRVRSRISRLHDPSVLS SDWICYAMFDDVIDSFAPYMDTISRQSESIQDEIFTARDDDMAPLMLRIYSIRKRINH LTHSLSGKSDVLNGFLKRCQTKALQHTFPEGDLLVYLSDVQDHLVTILGELAHLDEIT SRLQSNFLAQTGVNNMRLSVRLNNGLSKVTVLATIFVPLQMITGLFGMNVTVPGQEVE SLAWFFGIVGVFASVILIACCVAVRLRLL ANIA_06941 MDRTTIALERSRRGRFRVVGHPALKNNLLAGVGYLELANAGDFA ANVWNEIPVPRHAMILMAIGGPIALLVSLVAARDLYLSWRNVSLLYSERRALQSITFR NEKTSAILGVNARELGTEAIDRMLMDLFLGVGALLVGTGTIMAIWGANHRVFQASNLL SGFIGNGCAALFGVLNAFWSAYLVYRFQLRYVACERDPTVQSIRAKLRHRVRRFQWHA AVNGMTGLVSGMASMVTARMWWGYVVLIPCVIVMIASNLFWRMKLGYDRPVLLNLQDR KAAEEKHQGEDDGAVEILASLAAVEGVRSRLQHLADAGSLKTNLTFISENQMLQSFSM SLLQSWSDYRLFAAPGRLTVSVEDLTVGSDAERARMEQETRRFLHKEAQTLLDHRERY LLELIGEVVWGEA ANIA_06942 MTYLYLTPSRENVSSLQESEQRSVAPTEGSFLIPLFADEPRLAH ERIKVTWSSPHDPENPLNWSHGRKWSATLLVSCFTFISPVSSTMVAPALPEIADEFNI RSDIERYLVMSIFLLAYAVGPFILAPLSEMYGRVVILQSANMVYLIFNTVCGFATSRE QMLAFRFLSGLGGSAPQAIGGGVLSDCWRKNERGAASAVYAVMPFIGPAVGPIAGGYL TQYMSWRWIFWVVSMADALVQILAFLFLRETYAPKILMTRKKRLERETGNSLLYTEYD EPDRTFPQLLRKNLIRPFRMLFTQPAIQAIALYRGYQYGLMYLVLASFPTVWEGRYDQ EKGIASLNYLSLGVGFVLGLQFCGRLIDYVYERLSKYYGDTGRPEYRVPLMIPGGLIV PIGLFVYGWTAEYKTHWIVPNIGAALFAIGLIVCFQCCQTYVIDAYTRYAASATGVTA FVRTMAGFGFPLFADGLYRALGLGWGNSLLGFVSLGMGLVAPVLLWFWGEWMRAKSPY CAGDETSRL ANIA_06943 MKVLVCPSGFKGSINPGTAADCIEAGILQAMPQATVHKVPLADG GEGFAHALVAATSGAIRNLRVTGPVREPVQSFYGILGNTPVKTAVIEMAAVAGLSLVP PDRRNPCATTTYGVGQLIAAALDDGAQRIIVGCGDSGTSDGGAGMLQALGARLLDYDG RELPQAGGGQSLVSLAGVDFSKMHPRLKYVNIEAVCNWKNVLCGPQGVARVYGPQKGA SAEQTQLLEAALQIYAVVIHRTLGIDISNAPGSGASGGLGAGLLLVGAELRPRYEALM EYFHLRDIFSDCDLVITAEGAIDDQTPRGKIPAEVALRARERNIPVIVLAAALDPGRS DGGH ANIA_06944 MIALRDRCCILRLPNELLESILRYAYPHVDGGMHSPIVQERRKE RYRTLASWCLICRRLYPLVLSHLYGDLIVSCNPPEVQHESVSKLLHRSCRQNPALRGL CKRLTVYYHDYAASYQEELGNPLYYIANDFTTWFTGVRSLTLFELRDNERVWQLLRQG LCKFRSLTELSLRQTYRYDIDLWRVVDMINELAYPQLRTLALYGVTIRDSSAREKTQR KPRTSRIRSLKLQCFMGTPDDLTELFQWPEVLEEFHLQYMFGDNYSDGGAYDSWSLAT LRSILIIHKSTLRSIKIRALNIRGLAGFDVREFERLEELSLSSSIICRLYKAWQHDDH TLSALVGPRLRSFELVLTLEDQQHCESLGDFGETEENWLRALAHFAIRKQYPLREIRI QFAPYKYETLDFDCRYPWDRMDDLDRELQLHGIRVHYSPPTVSRAEFEELLKDYFAK ANIA_06945 MPHTENTTNACANGHGNGYNENGITVNVEELAVSPNLPDQVPGL LDKIAAFSKQYLGAEPQARLKLLETARSLVYALETPREAIIRHCWAESTSYAALETAV ALNLFTALGTHESKTVAELAEATGAEPALLSQLETGRLMKHLAAMGVITETGCDEYRP TSFSKVLTVEKYSDAFPLMTSRFTMGILALPAFLEKTKYRNPTSATDTAFQLGYNTDK GFFGLLQQEPITAKRFNNHMGVYAQGRARWMDPGFYPVRERLIDGVAINQEDVLLVDV GGSFGHDLLDFRRKWPDIPGRLVLQDLPEVISAVKDLHPSIDITAHDFFTEQPVKAAR AYYLHSVLHDWPDDLCSKILANLAAAMKPRYSKLLVNENVIPDKGAYWETTSLDLIMM QLGSGERTERHWRSLLESAGLRIVGFWTAHRSVESLIECELA ANIA_06946 MDLVNLTQTKGPMILGVFWAFFAVSVIMVSARLYIRARWLRNIG LDDYIITVSMIMVTSYTVITTVNVAFGFGKHTPAITAEGGTDLLTRVLLINYIDFAFG ILSFTMPKLAISALLNRVMNPGRFHRIWLWVLTALVFVSSSICIVVLFTMCDPPEAMW KPQLVAGGAGTCRPNRILVGYAIFTGDATADLVIWTSIESNIIVIASCIPTLGPLYEL ILGRRSWSSQERYHYKDKGSNNQRPSFSAERHKRSNLGSYKDADLFITNNISTTPEGS QESILRLDKQAKGGRPAGGIQRTDQVVVEYEMRPLEVGRAV ANIA_06947 MARVSVAATYPPSSSSAFKLFLPFQGNPRMSFTGGSSASGIVGL PRGFDPAVLTWERLPETGEACIGIAINEENDTLSAPYAGALRGVFTCPFMIWVKPNPG EEDSFVPCTVKDLEHIKSWTLVREPGDQAFGWWIKDKQYNKGTGVIENVGVDVRMLVV QPFPREDKRHIDRWMPGALHRLFISVMERQKKNDGKSVKMLAEARVALAKVEAEQTKL VEEKLSLVKETVDALSKPPGHNRRRPTSYLEDMICRQGPEAQ ANIA_06948 MTLILPLWILLTLALVAIADEQTDCNPLNSTCPADPALGTEHTW WFNSTLDDALWNMTTGTPDYTSEGAEFSIKTENASTLLQSNFYIFFGVVEAHVKMAKG AGIISSVVLQSDDLDEIDWEWVGYNTSEVQSNFFGKGNTTTSDRGGFHPAADADTEFH NYTTYWDEKRLEWWIDGELMRTVNYSEPLTVYGKNYPQTPCRVKISVWPAGLPTQSIG NIEWAGGLVDWSDLPFTMTVQRVRVKDFQSAKEYTYSGHSGSYDSINIVSGNSTAKIE INKAPSKSLSEKWDELPTAAHIGVYCGAAVAGALAIAGFVLFCIRKRRQGRLERALAE GSQTTSATEMDTLKKQWRQSDWTASYRPLNQRP ANIA_06949 MFLNRIARFYRSCLFQIIIVGLVAFCEPGIWTALNNLGAGGNAS PFLNNAANALTYGLMSVGCFLAGGVSNKITAKWTLFIGAAFYTPYAAGLYCHNRYGNE WFLLLGASLCGIGASLLWASEAAIAVGYPEEDKRGRYVAIWMGIRQMGPLVGGAISLA LNVNTAHVGKVTYTTYLGLVAISSLGAPFALLLSQPQEVFRSDGTKIPYMKKTSLAIE ARAIWKQLRNKYMLLLIPVFLAGQFGATYQGNYLTSHLTTGTILDLKYFSRETRSKAV YIIVLVFVTASWTWNAVMQTKLSRMAEPPAFDLGDGPFFNSAFTVYMFFRFFYEVLQT YIYWLMAEIKGAQADGDVARTTGILRSWESIGSTIAYAVGATHWPNLNQMILGFALWG FTIPFTLLAVFGSWNQSQIAEADGESSGDDFETQRRRNTRHWLQRIILWGIRLVKALP RGGNGSGSTQQGRIEMAEFPPFSLQPLAQEVVELLKARRETVSVAETAAGGLISACLL SVPGASAVYKGGLTV ANIA_06950 MFMILVSICLGAIILSGCQRLLHPSTVLSTFIHSPQSAMSSNLI VLPRPGQITTGQAIPSPSEAAFVRTFGQLLPAASYLYTQNGRIAYYSQSPETNDPTAT IRRVLFIHGVQTPAIGLQPLSKELFSRFPSAQGVLVDLWGHGLTDTPFAPHEPALFHQ LIEDLMTHLGWRDAHMIGYSFGGSTTATFAAARPDRVSSMVLVAPAGLLRDAHFTDEE RSYLRGGDDGLEEAAKDWILEFLEGGRLTVPSNWEERVARGEVVAEAVRDWELKNHPG HQASVIGVFRDGGVLDRHAEFLRAAKGGVPVLCVLGETDDICKAEDLYEVGLKNVAVV PGVGHGVVRERVPEVVHLIDTFWRAHLDTRLCNVVPSSSILLLSLTLNSVAVRRSRVT PTSVVLFDIQQKKQLAELTMSGIKYVEPIALKLWSSWGPGCLPVQFTHDINEDTLNVL GASYVGHERKD ANIA_06951 MQLLSILSALSLTPGVLSSSSTVYKGLVARDGSRGNDTITGLGA RKQAVLDAGGNTRDLAIAMLETIVRCRFLTIIPTGDGKTGDSTNFGIFKQNWYMLRHS ASDFLGQTVDQVDNGAILNSNLGKDVKARHEGEEKYGYETWFAGHRNGESGVQNPGTD DIKAYIDAVAWIQEQIESDKKYQSDDTRFWVDVHAI ANIA_06952 MSHYIETEIAALTQRVQSDPESLDAESRAKAVKAARDLLNALTP PPEIVIQDVVLNPPLLMALRVGVDLDIFQIICEDEGEGVTTQSIAEKSGASLMVVDQI LRLLATKGYILEAGVQTYKPSQLTKTMAAPPFTAMTRACFDIGNYSTTYAPEYFRQNK HAFPSSTTDTPFQLAKNTSLDYFAWLAENQALATDFQAWMTVKQQAAPNWVDWFDVKG VILDGFRGHIAQPGDSKGDGNAEILIVDIGGGEGAYLHAFNHKFPDIPGRRILQDLPH VLDTVTDIPEKTELMAHDFFTAQPVKGARTYYLHWILHDWSDSQACQILSNIAAAMEP GYSVLIINETIIPDEGCDSLAAAISAMMMLQVGAAERTERQWRELLATVGLTDVRCYQ SPAGGAGEGIIVVRNDVTDAKYLVATFACGHAKTPPYFRRGTLKRVSLGRFPGRECTF GSSSEDSWALRVVSSRGSAFKGIYDTVGSRHLIQRPSLYTNATR ANIA_06953 MDALTQVLESTHLDSSPSQHPEQLLFNPSPDSNLAQHALDNIPR YLFRIVSPKSDGQTDAEWVRSKAATLKTYSSRKDIFANLNPENRKVVACTLNRHLRWW PKDDFHDNFVSWTSSLLFALQFIYYRHQSTKDGSALHDIRLYVVDTTRFPRGTFLRDL DLIDAFCGFDVSEPPGKNLRDFRKLRNGHTWYFGEYLSQGSLRIAGKHQCISASSLFQ DRLLYRLQPLFPDIQIRADDGPRWAREVVRFREQFMCASLSGDEIRNRFAALGDIMRL IDRKWKFPLAVHFAGLIGPRAEDEGAATLGDFFRCEDIQAEIERVTLSELHLIAPDTM PELTRAKEIVHDVYIDFLARRADEFARQAEMLVCHLRTEHVAELEHAFPVAGSKQIMY TLLAKTLLSQFGQLRAACESVVDAYAFGRVIGHSLQSVMGSIRP ANIA_06954 MPSLRAIVFNLTLLASAASAERLRAVWSSGSFSTIGDNSGKYDG FAIVRENGEAIYNTETPNGKTPCANTGGGRIYQIEGDCWNSGRQFHCESDFGGHPETC DVRDADGNTLGEATAQTDTTWIGISIGMDATCVVEFDSDDASHCPVDDGNGPLHVVKD NHGDSL ANIA_06955 MAPLTPEDYKIAWICALPLEAAAARAMLDKIHHPPQPITDQNAY EFGELNGHYIVIAHLPDGVYGTISATAIVSRMRLTFTRLQFGLMVEIGGGVPSKNNDI CLGMWCNSRLRVMIQIFYSVPRTAMPTWRLIARRVTESSDKGCKKARFIGPSARDTLL RDGDGRHYGRTSDSCERGICDYCDSHKQKQWQGYASLTAAAFAKLLLSMVPVYPAAVN FVKGTRERHWMVPLARNPRFVSRQEEIMELGKMIAMQDGPRRIALTGLGGVGKTQAAL ELAYRIRDKDKELSVFWLPCTSHAMVEQSFLKMRQMVGLSNVKPAEIKEQIKSYFSSE HGGKWLLIFDNADDTEMWLGPNDTAPGFEQFLPQSENGHILFTTRNRELAVDLTFSNN ISIPDEDQETAQSIPESLLLRKHLVEDHGMMVALLEKLAYLPLAIAQASACINKKCIS LPAYFKILQEEEQVAVELLSEDFRDPGRYKDIESSVITTWWISFKQIQHQAPLAADYL SFMACISPRNIPQSLLPS ANIA_06956 MANLASTYWDQGRWAEAENLDVQAIGIRKRVLGTEHPDTLTSLD NLASTYCNKGHWAEAKKLHIQVLEIRKRMLGAEQSDTLTRMNNLALTYCKQGSLTEAE KLHVQITEILKTMLGAEHPDTLTSLDNLALTYRNKGCLTEAGKLHMQVMVIRKRVLGA EHPDTLTSMANLAYVWESQGRLLEALTLLEESCLLRHKVLGSAHPITKDAVDALDRWK GKHDLFLNERLPPPPPQIEQSEHLQVVMQHTAAPILAHPHRVGHIRLPHTQGQLALGF LSGGHPLIIASRAPSPTSRNRVTQEEVE ANIA_06957 MVSIVGSRKLQVDFSWKKFEGLITDANDPSNHPLYIVHWNAIKS PHITFKRPSDDHIVGTGTLHLVRINADFEVHGRSRTLVAQKRFKTLYTHETHAYADPG DREAGYLDLDKRFRVHIMGLRLRGRAPAACCQVLGEDLGDQEDWQYNQKFLRGFANKT YLGFDYPHQQAAEASYGSLKLGFEV ANIA_09439 MRVSAFALLAAAATAAATSVQGAAEGFAKGVTGGGSATPVYPTT TDELVSYLGDDEARVIVLTKTFDFRGTEGTTTAKGCSPWGTASGCQLAINKDGWCDNY QPDAPQTTITYDTAGMLGITVKSNKSLIGQGTAGVIKGKGIRIVNGAKNVIVQNIAIT DINPQYVWGGDAITLNDVDMVWIDHVTTARIARQHIVLGTNACNRVTISNNYFNGVSD YSATCDGYHYWGLYLDGSNDMVTLQGNYIHHFSGRSPKVGGNTLLHAVNNYWYDSTGH AFEIAAGSSVLAEGNVFQNINAPVESSSLAGNLFTSPDSNTNKVCSSYLGHTCQLNAF GSSGSFNQADEGFLVNFKGKNVASADAYSAAQSVPNNAGQGKL ANIA_09440 MLKGPGKTYTLCKSSIFHSELWLLSNISVTSKGVSGGVLGLEIA IIAIAHHHESQGDCYIRALTSFKPKLNQENGAIKALPLHWNWSHCSNILTRVYLPSGR VSAAYGMYSVLQKWGLGPGGQNVLASYSDELNLTEETVPKYSQWRFP ANIA_09441 MSSAKDQVQVDQVRLEAALGHKQELVRGFGLFSLTSLGIIIAKH VTYLNVVNNSSWAATGGTIVTALYNGGPMAVLYGLIVVSIFYAFISASLSELASAIPS AGGVYHWSSVVAGRYGRAAGFFTGYLNACAWLLSAASMSSILGNEAVAMYLLRNPDVE WHSWQPFIVFQIVLWMCCGIVCCGNRFLPLLNRIALISSMGGLFITIIVLAAMPRGRW ASNQQVWRTYYNETGGWSDGICFLSGLLNAAFAVGTPDCISHLSEEGWHPVPQPERKV PQGIMLQLLTAFSTAFIYLIALFYSINDIDAVFNSALNFPTAEIYLQATGSTAGAVGL VALMFLATFPTLIGTLTTGGRMWWSLARDNATPFAPFLAKVHPTLDAPVNATVAMTTM VTCLGCIYGGSTTAFQALISSFIVLSTLSYAGAILPHLLSGRGRVIFGPFRMTRSWGF IVNVLAVVYIAVTVVFFCFPFTLPVTVQNMNYTSVITVGLMTIVLAWWTVRGMREYQG PVYSIEAAEKIAHEETERVAEEVGVLGEGVGTRE ANIA_06958 MYYYLPTLPISLFRLTNRAARLLRLANLSRILLHGNGCSARGEV GMRRADVVYNSCAISVLFVIMILLQFSEMGGETGSRFWVASFAAYADQDGGGCGGDDL KFRIVWKIFNTAFIPVIYLFFAEISNRTLEDLNAYYQPDPTLLAIRNTDAISVKQPLK YIQHEDEEMRKNAKAGGANIREERFAVGRTCGVSRSTERRDSAFP ANIA_11535 MTLYEGESGSYFLKSKSPIVHPVPFHRPGSLEAPMEQLQSVRPL RAINYFGDCSNLYGHCMVVYQVS ANIA_10870 MNRHMLEKVNRHTTKLFQVTENRPQLELLVIDKVNQGLGKWTLE SRPRVIINSETELEKLARAETSSEGTYIYTIRRERSWMPLDISRSLFDLLLKLHNVFP EIWKVILTFGWKRFENEYSFPTLQVCESRSESADTQVTYVLRRAEVNGRGPLECPWSF RQTGVYQKFVFGRGKAKGPASMLLLLTPSREAENEFLQSLAGKTSDNADIGTLAFSAH ECLVAESLAGWVDYMCWLEEQLKMKSARIMAIPLNVTQESRPLNFNAEDRQSLKRIEF QITDMLVILHTKVGTIRQIKRLSVLAGEWQIGWKISRWRLKTTKNGPKLYRAEYSLSK ILWLTSLYQMRTVQDATAVKLLILIGLIFLPTTMVERVGTSRSPTPFCRSHQAATEEA AQKAQSQSRGGYCDRLQHRGFCDELSMTMTATYLAAGLVGAEHKGGLGEGSFFLFSLT YSYFSHLSPNTVRKALITLGS ANIA_10869 MKLRKALQRGLLPLTRFFRRLSNYVFRDRRRDRLGLPDTQAATT PLNASDLPPPNDDGRNSDFIQENNDSPGALKRLQQGLKDSRIYTSDRGGRFIPMPIMQ RLVADNIKGILMEKNILDVEYISWYAENILQTAAKLSAILAYINSDKEKYIIQLLREK IGDDHLPFRQKSGLRRSGVYLVTRQGTMIEALKGWDSKSFENFENKQYRFLSPVFRRG EHYDLDDMHVLPFTKKDTASSSKTVTAGGYGEVFQAHIHPDHHKLGDKSGEESLAIAV KRMSNYEHFISERTVYRDLGPSNHPHLIDLLFTYRHDGRYQLVFPWANSSLKEYWENN PCPADALSTSTLKWSLSQMIGLASGLTHFHEFTNRFTGETRFGRHGDIKAANILYFQP SEGDAILKIADLGLASIRSRNSRSNVDPRSIKFSPTYAPPDVERGCHISRKFDIWSLG CLFLEFVTYLVLGGNAINEFSEERQEITTEFPELAADFFYSKNKNLVKPCVFSWVDRL KKNSRCSHMLSDILDLVMAEMIIIEPGNRSSSLDICKKLRELMSQVEEDEGYLLKPPP NPGTTSAQTAADQPNRNALPTETIVLQTRRARGVSTRSKRHSWAHYMQGRRQTN ANIA_06960 MTSDSYDQLLRQLREKEEMWEFCRGVLSSVTLAYRRPLHLAELR VLSGLSEDILRRDILPLCGSLLTIQDDLIDIDVSAKDYLNNQARSDIFPSDLTDVHHT MFLRSLQEMSLTLQRNMYNLHHPGVSVDGDMFLLPEPDPLAAVRYSCAYWINHFCDIY ESDACPKYRIDPDDGKLVGSFLRRTILYWLGALGLIQETASALSSMQRLERFIRKRSS DIDLLDVVEDSYRFALWNISGIKCAPLQVYASALLFSPAQFKGHDRAVGSVSFSHDSR LLASASGDGTVKIWDTATSFLQNTLEGHNEWVKSVVFSHDSRLLASASDDGTVKIWDT ATGTLQRMLKGHNDSVRSVVFSHDSRLIASGSNDRTVRIWETTTGLLRHTFEDHEDSV MAVSFAHDSRRLASASDGGNVKIWDTRTGSLQNVLEGHDDCVNSVSFSPDSRLLASAS DDRTVKIWHAATGSLQRTLEGHNDWVRSVVFSHDSRLIASASDDMTVKIWDTATVPLQ NNLESHDNWVRSVVFSHDSRLLASASDDMTVKIWDTATGSLENTLEGHDDRVNSVSFS PDSRLLASASDDGTVKIWYAATGTVQHTFDGSGRVAISLAFSHTSNLLASAMDDGTVK IWDMEIYSLRHTFDLDHEIQRIQFDKSMSSLITNVGRIQLDARSKATEGFASSQVLDR SQAQGHHPRRQGYGLSDDFSWITWNGDNVLWLPPGYRPCISTVSVPPKESGTSVIGLG TKSGRVVSIRLSGLGPSPDAPLIVAKKCIEYLRCEKKFEESPLLIDPTEDRETIDLKV NTYVSRKPSISPPQKFPSILDVALYLKLERLVLHLLERSDLRNQDNIWETMAHEAAVN GYELLLDKLVKQPNWDLVVNKRSKGKPTPLYEAAKNGHRRIVSTLLEKGAKVNEKDKS ERVPLHEAAANGHDDVVHFLLENGAKVDEGDKAGRVPLHEAAAHGRNAVIEILLGKSS FKVAQRDKEGRNDAGAKNMGSPVIVSQGQDFASSTLPKELDDPRYPRLRGGADIMVKD RDQRTPLHHAAKEGHLLSVSLLLSRGALVDIPDINGQTPLYLAAINGRLEAAQKLLSE GANFRTTDQAGRAISVKLKEELDRSGDDVKERLIQVQRLFEGLSRSPELYTNPIHGSE NIDNQFSCAIIDFASGIPQRHGKSREPSIDKIISGQKNIFKQGMEENSVQFRWLHLPV NNLLMLQYSKQYKSPTRDLVLRDELWMERLHKGAEKSPHARFMRPVCQEIGSWSVQSK QTDMHGPESMATIGERKGGFVVLVSISSAKWCRKTLILQQMPYVHWEYEDTFQKMHDY AQKVAHASRSSAPAPNGKHQHLMRVYMTQPDANDSEQSEIKVERRLVDQLHIRRSLDQ YYYHALESTKDRDRDQLVSRMFQDNMLDGEPVLIVVDQLWLWVLEKNIVVTSFPERWS KGKGKEVPSALDTTDVLENIIQDRSQIKEPHQLAESIICKCLTSCLDPIINTRPELQF QEFYEGAIGQTNDETQRFRQFCDQVAEDESIMKGPRKYDPLDILTDVKLLEKIKDIRD ELHTLMVLFRDQEKVIRDFEKILRLDNSRPKRILEQYMADVKKMDEHAKDTYNALNHL LDLKQKHANVQEARSSRRQADDTAKQGDTLLVFTLVTIIFLPLSFMAAFFAIDIVEFP KQPDGLHLNFVSSIMSIMAFNVNKVAAVWGRFAKWITENTVHAISSMKVADNMVDDDK KFEAIGSCAELSLGIPSSAGAPLSTKTANKKPPNLSLLIVGYFFVILPIQEFRFAFDL FLGRWQSKSLATKHLPERLRRNVKYMVYSIITIFRLCALPLWLAVSSVDVTFFIIPYG MAYLLFKDMSVRELRKFLRRLWESQSNMDSGYESDG ANIA_06961 MTPNGKLDRKALRTLPLPAAQPIRENRMKTKHPPLNVAEGELSR LWRQILGDVVGGASIQAETDFFAIGGSSLQLVRLQNALRERMGVEASLHDIYRLSSLG KMAALMCDERGRLESDAIDWSAETDIPHVQTVIETAAVSNVSDHQFEITGTLRQKKEV VLTGATGFLGSEILKGAIA ANIA_06962 MEIRPLLITRLRRIGQDYSMLAVEFRTWLIQDLHQDLHINIPVM GTTGLDSIASLCVVASRQRLPDVQDETKHETHLETGSLDPIQTVCRSPAAHSRFTHMP IASHDDVSATFMDLARRNWRLVEGSTFEPVLLTCTPDHHTLVVGWHHIIMDVMSWNVF LTDLNNVYMMRPLASCAVSYLDFLQEQNRVIQSGEMEGAIQYYLQEMQSIPEAIPLLP IATSPPASP ANIA_06963 MALRTLLTFCIILTCSMAQFNTQYDVLIIGGGPSGLSAASGLAR VLRKIALFDSGEYRNKPTRHMHDVIGSDHVDPAEFRAAARAQISFYNVTTFIDTKVVS INATGTANRTTSFTATLANGTTYTGRKVILGSGVKDALPDQVPGLREAFGKGLYWCPW CDGFEHRDQPVGVIGNFSDSYDSVRELYPTLNRQIRVLSNGTANDTAQLERIDKKDSN WRAVFEAYNVTINDRTIQNITRVQDGGEVQEEVIRKELDIFRVYMDDGTFEECGAIMA NYGTYQASTLPAQMGLRILGGKIDTTAPGLRTSMKGVWGVGDANSDNSTNVPHAMASG KRAAVYCHVEMAREELALITSNSTKRRAVPEELVVDREMGTVIGDIYDALRMK ANIA_06964 MGNSEFYLFPLLPPEIRLQIWHYALPATLPMGSAVFTYKKGCWG PRRLLPGNPEYDPMNDDLNLNLEYDHTLLDPLEIDLPLSQVNYKARSAALEWTQKQNL QVRFNKHNRQLLFLRPFDANSDTIYVSQSQWHDFICEPMERPFEPDLIQRLLGCPGVP FSRLALDDWILRHEPDCLAELFYYYFTIDTVFAVTGFCPVDYAGDDEKNSNSGKANT ANIA_06965 MHLANIVAAGLAPAMATALSPSLSYFVVECVYSTLPDPGATCSS LASSWDLTVDALQQLNPDISCPGLDLSRSYCIIGTVTEDTYEPSTTLTTTTSTSTSIR TTTTSTTAATTTITSPSNSPTMPGIAENCDGFYQISAGDQCLTIAAKHSITMDQLKSW NSAVNNDCTNLWLDYYICVHVPSASTTEPTGPGTTDESEVPTGPTPQMPGIVYNCKSY YLIESRESCWSIYTGAGITFEQFRLWNTHVDAACSNLWLGYYPSSQ ANIA_06966 MVITDRLTKGVILEGMSETDSESVAWALVRVLISKHGIPKAITL DRGSQFTSDTWARICTLTGINRRLSTAYHPQTDGSTERMNSTVETYLRMYTCYDQKDW NRLLPLAELAINSRTSTATGVSPFYLSHGYNLSPFTPTEEVEHLAEEPTKSPIQKGEA IIRKVKEALDWAQASMAYSQQNTENQANKHRSPATNYQVGDKVWLSLKNIRTDQPSKK LDWKNAKYEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQPPSIM VNGEEEYMVEKILDERRRRYRRGHRLEYLVKWSGYAQPTWEAATALEEVQALDEWLDH TKQYRLQDGSLNRDAYIKAKAT ANIA_06967 MDPEKVKAIKEWETPTIIKGVRGFLGFANFYRRFIPNFSGIQPS TLPTVR ANIA_06968 MFATPDGSDRSRGSTVENDPNVQYLSDSAKACAVLKAVSHLQDF LATTILLSHFFFSDSFFMRRPFTMTTEEESVALLLQQLQELRTEMRTQKQQLQEENNS LRAELQALPLQLHPQTPTPYERSYPRPCHPDVEPFTGEDPKDYPPFQMNLCTKFAIDA ACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDRQ RKALVRVNTMKQGKRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAINVELLKAMV GIRQEDSYDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVACTRPAGGSDRTGTPDQ MDWEATHAQIAALQKEVAALRTKGTRTPRKASQAPAEEKQKRLSEGKCLRCGCLTYGV ISDKFVKIHQIPTIPIHPKPFKGVTGNIEEINKIVRVQLDIGAHTEKGAYFYVIPDNL GYDLILGLPWLEQHDGRLEAKRGRLYLCTTGVRLWSTTKRPLPKLNIAQISAATMGGF IQRKRCRGQDIEIFAVLLADIQKALAPKRHIDPCTKLPRQYWKYLRLFEQDKAEELPP HQGDGIDHKIELVQEESRKDPEVPWGPLYNMTQEELIVLRKTLSELLQKGFIRVSHSP AAAPVLFVQKPGGGLRFCVDYRALNAITKKDRYPLPLIHETLNQIGQARWFTKLDVSA AFHKIRIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTF ANIA_06969 MRYQGTWLLTIGTLGATLATSSSSSTEKNILEVDLVFPQNKTYK PTEWFPIVFGFQNPQRAQYLNIDLTYSFHPHETNTQNDTITLFHDLRWENWSSHDPYF AHNFLDNFNSPGRWNLAWTVAWQSCDEEGFENRLMTSDMLTNQTDFSIWFTIAAKDAE NEGIDADLVSATSGETSCPDLGFETAIAINVTEKTMSVPDFVDWSAADWTNHTCSVVA PTLVIPDPCRVKLDQTVVESIQASLTARRCQGLNPPDDCPEKEDNESAGVALPGSGLL MLALSGALGLFASM ANIA_11537 MLRFLKVCQIHVICYYEGLIRENTSSNVKGSQIYIGIP ANIA_11538 MPQQSKQSKNQQHPNIIKTLKKIQDLAVFINASLQCWKLYNSVY AITTILILSKKLWYFDNAD ANIA_06970 MGLLAPSMGLLPMLLHLLNIYTDIVVIVQIMLTLHVIGIKRDNR QQVLELLQDVDGIRVLDQSYNASCADHDLQLRDWLKEWPFADLPSNLVSNPNQVWLNT TAAFMPGMLPDHAHL ANIA_06971 MTLLETACLDFCIELLNQKTKVHKYKSLLVYAMAVLGCGKQGWR DADSYPPILSCVLKVARFLVVQKIWAAAAKQGLWVGKAADQELAWLFEDKGDSWSMAS MALLKCCLTGARWSFLQDACMLWPVAGKTWLVDQISTELAVARAFITQGTVSANKVQK YFQQVAQFKEKLAVAVHLTSRAPARVPELLSIQHINTNNNWRRNIFIEDSLVVFVTAY HKGFYASNNVKIIH ANIA_06972 MATSAPQTPIRRRSTDLTSPPSPPGLTPTIVNAKSKRRHHRNQR RERERVLQASLMTALPQRPAPSDGVDELAIAKPAADTDNSHNNNNNNNNNNNNNNNNN NNNNNNNNNNTDTNSNNSQDADSDADSDHNSNGDQNQQKPIIETTDDHNADDQHIDTV SDSDEPSSPIMGIGLRPISKPVSVVVDNTRRKRRARSLVASEDDDVAAPSTRQKRARA NKYLTRKVNPEGYPGCSPSLRPRVRDPDPVVADRPCVRCAKNLFVLDTATGKVVGPAV CVLKRRRAKRCTRCAEGNKKCIPLPASLVRRALSLARMPWTKEAAHQTLEFHFDIDAE LQKANKQKARVIAGGGCGAGAVLEIHQFWRQVQLSVLYHTFQLRNEIRSLKNFAPVPA SEMLVGLDKFDNALAAGADNDLDFLEEDDDDNHNVEEDDDDDNDNDNDGYSNDDDE ANIA_07849 MLPSKAPKPLNGRYHSELVHNAGYRRGDTAFYGFAFRLQQDWEF TSQLYNLAQFIANFNDSGCDDWMPSTMIWLQGNQLYSRMKTGTVCAQQTDMFPNIVSV SAGEWHRIILQVKWESDLTGYFKVWFDGVKAKEVYNIRTMIVHNRQFQFRVGLYANAW HDQGYLEGSQDNYVPG ANIA_07850 MSLIPIICTHEVSPILLSTILSIAYKASSKINSPPTLLLLTTAD GDDLRKYKKESVTKPPIDTFQSPFLGWDVNKVAQFIQENASKTVVDRTVFLVADDQTT ADEGTLLLVHNVEDSLETIRVSAEFVNSQAVSVAVATTDIGELRSLADGDGVYRGDIT SLGGELVALSQYMFSFVTASYHTETYMLPCLEYTFTLALILPVKSAVLLTKSQRHYPC SLPANTACHTRESVSSHPYLPAYQWISTKTEKLSSFHQG ANIA_07851 MRVFLPFAIAAMTVNAATFDWDCTNSLGACQNYCFYAQCRGGAG QQLTYDADTSNRAPRRRASGCSKTPCSDSSLSYSSFGNSCDEFPFASEGGQLSSFYGT INDGDTFGITIENWRGASYCEDNPTCSNDGGEFFLDPTGNFVDGKRSITGRGLTLDPG YSTPAAKLRTIKTEDGTEHLVIAEDSGNPLKAGDEIWSARRNATLKIVD ANIA_07852 MSTLSPLETLAETISATAKIVSGYCTLDRIPHPSSGPDSPSVAL PQTAPAYVREARQQLLSAAKEVLQIAAEPSEYLPMLAFKPNADIKRVTLASICAVPER QLQSVTRMAITSSCLCKPELGKVAHNRASRQFVTTLAYLGWVRFITDFYMPVSSERAE ATEKWGESEQPTETVVNLAMKTSISAFAFITRSREFNRLFAAYIKGVSASEGTAVRHL LTDYDSARLDQGLLVHTALRRARLSRANQPEPPVLKSQLESVRSAIELGIYNHCDEQP IQNTDIFLLRMVLHNHTNANAIRILAPLVRPLQTNPAVWLLIVDAVLPEPGDDGCLDE ALQRYRDLTMQEVFNTRERSLTEFQRLLDVISDSARQLVVKNLRRSPASALSVIDVSI IPTRTDTSRLVIDNTGGRIASLPIPDDVYFCQDKGCMGMAEYYQEESRTGMATKQKQT RELPQNLSQKPGNWKGVRQQVWLLLLGLFICPTSMLLAVVIVV ANIA_07853 MGNPNCCSVAASLILDHQNEQPQCPLPFCALIFICGGLPLPILA SWGLPISAAAWEVHERTAHELWEQAGAVESILTACQARLDAVQAWPTANRLFADPESK NLCTPAAIDPHNVFRLGLT ANIA_07854 MSRGLQSTECITNLIQVSNLSPVTDAVMAYQANALFEDVPIPAQ EEMNVNHPIIHVASSVLECPCLQTNHQLCYLLVFAAMDILARHVTVAASRPAEGEDRL SRASLVFGELHRVLYSIESLSQHSRRHLPPRRSSSPPSSFSSPRHSPAPLPPNFGDLL LSMDPVSVGTAMSINLSTTDLTSKVHEDENHFQLEENSDLSDFTFWSHKAKLQREFER VRDEIKSIIRTE ANIA_07855 MASLDVGIIVAWEALLRSLLSPGLGGDLSRLLHISNTFEPVPGM EPLRVGDLLKTTLRITAVTIKPLGKLVEVTVVIKREEASIMKITSEFLIQGQFPHHHQ SFPSSEANEWALPLDSPKAVVLLRSRPWFKPDAKCPELLDKTLLFRITSQSSKMFTLN TSGFAGLSTTIVHGMNTSAVVRSMIEDHLAKTGCAKFCRWSTTFEDVVRGGDRLRIEL QHQGMISGNMALEVQAPTAYPFCGQGSQKKEMGMAIYETDGSAKSAWHRGHRYLFELY DPALISPQGFSLLNIVRNNPKSLTVYFGTTKGRQIRANYLALARTEVINGREITVPVV DGLSESSSSITFEEPNGLLFSTQFAQLAISLMNIAESSALKARGLFQQGAAFAGHSLG EYSALLACADFMSLENLLSVLPFGFFIFFHSTPTAPSRLIDAALLRHQVSKRRCPSMD DTLWTDARDFELKKTYAKPVEKLVAHPLRSGRVQVEEQTEPRAYRHDDRTGYKEGEAH AMGKDDKEGDVAAIRPGASCTTPRKREEEADNGRNEDDGADGIKAPEYLPPGLLALPL WLQPEKQQTDGRYAAQQ ANIA_07856 MNENWAKCYGPRFITDESGRTRLITRMCSTLGAPPVMVAEMTPT TSAPNFVACIMNAGYHVELATAGSPAGHEELVASMPKARGITCNVIYANPRAISWQIP LLRRLQAEGYPIGGLCIGAGVLSPRAGGHHSYDDLHEPLVKTYGSIRKYTNVALIIGS GFGDGQGMMIYLTGECAETWGYPRMPVDEVLLGSRMMVAKEAHTSNAVKALIAQMQGV TDVEWHKTYSGPAGGMITVRSLFNVKDGEQYMQILKKCRTKIISRLNSDYAKPWFAVN SLGSTVQLKDMTYAECLQRVIALMYMHPQKRWVHGSYRAFFVDLMDRIRERFNVVDEH CLDGSLNPSDFPEKLFTVCPAMITDILYPEDVAFFMSLFKRRGQKPVNFIPVLDANFE TWFKKDSLWQMKKLESVIDQDPERVRIIHGPVVARLSTAVDESAADILNRIHDEIVDA MRPIVSISCNFELDGESSHLDIPGSGLSTRLDFADISSHATELGIQVDYHFTKPLPNG GSRLLLDVLGIGRSLAERLLQ ANIA_07857 MFFLPLFLSFAARTLAQCETECNPLTSLLSDCFLPQLPTTESGT DVPPSKYRNITGLEFYTKPYVLPGPHTSFLETATQARCFCIEGVHILPECNNCLSGYR FSNSLPMLQDDRRAMDRYKSDCTEWGYFANETLAYPSTTRSAMPSSATGPADPGPGDK VSSSCASVCGVIRGQIDDCGLTPLDIDEDDIPWARADPAYSGSVLLNRTAGECMCSLP VLRRLRGCWICVDAEKELGVPDLVRYYREECNELGYWTDSAVVEPSREELEESEESGE GEEVIMTDGAKTAMYISTGKVALIMGLLLVLSGSC ANIA_07858 MYPVLAQPPCTEECQQNLQFGSFDPSRSSSYKSLEDGPDAWGSE MYWYQEGLETLTIDRKQAEGLRFRLVPLADEFSAVSSSFSAWNTPATSDAGILLGGIN TAKYHGPLHCFPMGLRPAGLGPQVILPVSGIEVQLGNGPSVSDTPLSYDFPEGPFSVR TADKRNTVTSVPRDVIMQLYQDLEIEWDSFYSRTLIPCSRRTENHILTFAIGNTTIST PWAAFISDADFSSDPSDMCSFDIHPMDEENSDNLTGELGLNIVQHMYLVVDHDTEMAC VAPLNPNPGPDEILEIGPQLRVPDAVGEFPSTVTRYAPPTPLVTTVDSMQGAVRTAVP AVAVAGIAGLAFVL ANIA_07859 MAIDLTVGQVSGIIAAGAVVAKLVLPNLYVFLFIGTLQEQNNVA TTTAISWSSISRLLHSSHWPTIIGSDSAALSGVPFRVLFFRYTGLLSTILISIAAIVT PLGLYEIVVPGDPVAEQFHHIPDGGIFGAGTSRRNDSVSWSRVCGGLREPFTCPDLTR APPASNRSIDRRIPQGTVDLFTGGLSADSSSISSIFDIQWRSWSWMRLLPSDQGNASN SSLPRDRSYNEQYPIGTYRQVSTLILEDSYQVIEGLIVDTKNGGVGFRNHSAPSLTTY GSTWTEDLLFIQPVSACIDTNLTLDYRIPENDRRSLNSRTPITVTDRGGFSELNTTYP EWNLADVQSNPQLWERAYQAAWLSNVFAMGKFNISNVTLGSTPDQPLVLHMGISVEGN RTYQLSQTDPNDCWIDRQNPATIAPNSFGCYAVNSTGGLPDEDTFEAAPRLIKTLCEG GDFESSRGTIGNIATYCTLVLGSSLRSDGAEENWPFHTADSAWSKPMYSCAMAVEATI KTATFSYNTTDDLAGLRVVNITDKFYQSETDYPVWGVENLSEIGDFWMMPLWGIMSSD SAANYSPNDLKTVHKPSLYLPRIGSFPRSSGVTTESHQNLPGAEFADNGLSAVSSMAS STLGLMDYTGEGNLALAQLWSRLSESADSMARVLNLVWTDYAANAVVGTKGSPGQGPG NDILRPVTTYRVGIRYHLEYAIPGIIVLGLLILTSVLDLVAIVLGRATLSKMKRYLNA TSVGRVMVSALRPTHATTRNVDNDTPTIEWLETEGRMIISTGKAMQMSRLEPGFGEVE SQNPL ANIA_07860 MQFFSLVAFAGLFVASSAQLPTAPELIPIPSYSGCPPDGPLLPR PTDLANSKHIRSAATSLSNILDSAVQGKIKAGWVVENVSFSLALVSPYSASGTEDNVR PFWEYHHRAEKNTIGTAEIDGNTQYLIGSVSKVFSDLMLLKSGVDLETPVTQFLPQLR SNKSKIQWENITLGMLADHLAGIPPNAFYEFYFLNSFHEGLGLPHLSDSEYPECGVLG LNSDCSREQIINSFLTKEPVAPINSRPIYSQLSFTLFTLCLEAHTGKNYSQLLDEAVY KPLNLVNSGVSPGTTERAAVPPGISGWGSDYGFNAPGGGLYSSTNDLSIFLSAILNHS ILDTPGDVRRWLKPLSTTSSVNTLVGRPWEIFRATDLLPPKYSHTVDIYAKSGGAMGY MAQVAAIDQYGVGLIVLTAGPVDAMNILYRALLGTFIPAIEEEARFQSRRFAGTWTSK TSPPFNKSQTHNDEKIKLTLEIDDGTGLSLASFTRGNASIVDAIKNIWDAEYLALGFG ILSDTLRLYPTDLNIPVPASEVESLLAQSNTNSHLRHSMSHIDKDKVRVERQEWRINL DIVPLNGAAMSDLPGQTTATQYCGSWQTVDWMTYGGISLEKVVFVVDKVNVDMPSRKD VASGKRIDLTAHTFSIHLSTNFKDRVNIPEDP ANIA_07861 MRFLTFTVLLAISSLVASAVSTDKHDSEGHSKGYRHIQDASTGR INHTVSPASNPHIEPRENHDIKCDLGSSGNRIGSQKNLKKAMKWLRKKRTKEVVPSLD AHKCETAVCAYGTRITWCNDNSNEKMLPSWINVAEGAQVILDLCKKKNNGVQGELNHN DHWRVIVTGGHECYLQAGPEQPV ANIA_07862 MCGFVEAIRPLANAPVNLARHAQPRSDLEGQSLHCQPLLAQSII YMDIAIDQPTNCQLESARVLITLDDPAETRTRREGGKPLQMTDYYGPKQLSREVTKVT VARSVHLVPQVNVMGSGGGGIGVEKERSASYLTRWSFTSGLLTREHLSQCYRTLKWE ANIA_07863 MSGQLWFRLTAIAVLTSYAVAKPIGHWNVQENASPNTKCLLEQG TELFETVDNSYIKRNPAASDFCSAGIGTEYQLGNVNSKAYVPGGSSTAANGQQIALAS YIHPLADPAAWNRIIGYPSNIVSVLIANVLNGPDTTVNEAWADVINRASASGKRIIGY VRTGYLGQSQQRFETRLGSTEIADWVAQIEADVDLWYALYPGKIGGIFFDEALNICGS NNEYADLYRFLSDNTKRKYSNAFTVLNPGATIPQCFENSADTLMTFEQSFDVYINSYV PNPGWTPTDPRKLWHIIYGVPQAEAARVANLAHERGAGFIHITDDTLPNPYDTLPNEA YMSALMNAVQGGTLAIASPSPFDNNARAAFPLNALTVTDSDYSSVKLRWNISSRRRPY AYAVFCDGREVARLPGTMDHVTIGNISPGSRSSFTVRVIGENGIMTGNSPSVSAQTDS LPGDQAVTNIRATTLAGSTTISADFLVPHALMHVYLTDPDTNCIMPAWPINFNIDDFV CAHYMVENQVLYRYSGPEPAEGEVNYPWAWTAIGAAPATRNGYTWTWTLPVSTDMTDS SYFVVQSEGLGAADDWDHTQENTYDFVRWYTLK ANIA_11580 MARFCCLESEDAVPSGPFKLANGFRSHTEYRLSGR ANIA_07864 MPPLCNPILPGFNADPSIVRVGSDYYVATSTFEWYPGVQIHHST DLANWDLVVRPLTRASQLDMRGAPDSCGIWAPCLTHDGDKFWLVYTYVTRKDGSFKDA HNYIVTAPAIEGPWSDPVHVNSSGFDPSLFHDDDGKKWFVNMLWDHRRRPRAFAGIAL QEFDPVSGKLVGPMKNIFRGTELDLVEGPHLYKRNGWYYLLTAEGGTAYEHACTLARS RSIWGPYETHPQTHILSSKDAPFAALQRAGHGDLVDTPDGRTYLVHLTGRPVGQSRRC VLGRETAIQEAYWDNNDWLWVKNGPVPSLYVDVPGTRVEKQYWVERRYTFGDGTLHKD FQWLRTPEPQRIFSIENGALSLIGRESIGSWFEQALVARRQTHFSYDAETVLADYTPI NERQFAGLVAYYCRYNFFYLAVTADEYGQREINIFRSEASYPEGKLDTPAPDPVRLPN EGRVRLALSIRGGLTLQFFYALEDSDLQPFGPVFDASILSDECGGHQAHGSFTGAFVG MACSDVNGTALPAKFESFVYKPIKDVADRYEV ANIA_07865 MYRQEATTVTILAQAPPNWPAPAFDISGFTSAFRPSRRAQRSNT TMGSIDTNSTDAWHTFAIPRLGIPAMRITDGPNGARGTRYFNGVPSACLPCGTALGAT FDTDLLFKLGRLLAAECKAKGAHVLLGPTINIQRGPLGGRGFESFSEDPVLSGNSAAS YCAGVKDLGIVPTLKHLVCNDQEHERIAVSAMVTERALREIYLMPFQLAIKNARPGAL MTSYNKVNGLHASEDPGLLNHIIRKEWGFEGLIMSDWFGTYSVASAVNAGLDLEMPGP TRFRGPALMHALTSNKVSEKTLDDRVRKVLELVQLTSRAGIPEYAPAQKLDRVEDRVL LRQAAADSIVLLKNANEVLPLDPRKKTLVIGPNADIAAYCGGGSASLLAYYTVTPRQG IAEKCEEVVFSQGCYGHKELPLLGDHLKTETGERGYTFRVYTEPATYEDRQPVDVLHM TNSCAFLMDYSHPKISGDTYYATLEGRFEPTESGVYELGLTVAGTGLLYIDGELVIDN KTKQRHGTSFFGIGTVEERGERYLEAGRQHHVFVEYSTAPTSNLKHHGVVSFGPGGVR LGGCRKLDAETAIKQAVQLAAETEQVVVCVGMNGNWESEGFDRPHMDLPPGTDNLVRA VIEAQPNAVIVVQSGTPVTMPWADQAKALVQAWYGGSEGGNGIADVLFGDVNPSAKLP LTFPRDIAHNPSYLSYRSERGRVLYSEDVYVGYRYYDKVKQAPLFHFGHGLSYTTFKL SGLNVQETDPQASDISAEVVQIYVRPPTTASVGRPVRELKGYEKTMLHPGETKEISVT VPMGVATSFWDEGRSAWLSEKGTYAIEVVGTGERNMLSAPLCVQVSRYWNGL ANIA_07866 MAVEKPAEHANIEALTRADSADAPVDSRAKSALASDNIFEHEQT VLQVLRGHPVLIWWAFFFSVSAIGWGFDAQVNGAVLSIPSFRRDFGEHFEGDFVVPAP WLSAFNSISSVGQFFGGFLCSAVADRVGRRLALAVGVMISCGGIFGELFSTARVAFLI SKLILGVGLGFYLTIGPLYSSEVSPVVLRGITTAGVNLGIVIGQLLSNAAIKGFGERG DRWAYRGPFAIQFFFVVFLGLGLPFSVESPWYLVRHNRIDDARNALQRLYGAGTNVDT KLVAIRMTVAQDLAARESKWSYAIRGPNLLRTTISCGVFVCQHLVGIIFVLGFSAYFF QLAGLPTERSFDLGVGVTACGVVGTIISWLIVNRLGRRLIFNSGMAILSTINLLIGIL DVVPTSGASWTQAALTVVWAFFYQVSIGAVAFVLLGETSSPSLRAKTTAMATATQAVF GIVMNIVIPYMVNPDEGNMQGKVGFVFGGLGVVATVLCYLYIPDLKDRTFEEIDLMFE TRVAPRNMGRYVIER ANIA_07867 MEYPSSGGLDPLVLDAECRLASPHTRIQLPPLLPSNDSTAAKQP NRRAGAYPRRRAVRACQVCRARRTKCDNKKPSCSFCEKIGAKCVVNDPADLSGETDCD RRFDTASLVIIQRLDQIESLIQQQQQQQSQQIQHGRTVVDHTNGMQAATRVSAVADSE SPTLHGSPLYQAHCADLSRLTIETVLSWNVFNGRYDAGPSLYDLVSSQTTLSQEPFLA NNDPRLERLDLDLKTCTRLLHTFLEEVHIANPILDVHLITDYLYQACVHGIGWDAPSC LVLLICAIGAISESFQEHHESSSMTARRSPSFHLGQRYFEAAQMRLGVVFRTHGVLET QCFFYSGVYLMAVFQPVRAWRCFVQTAAVAEMMVFSSNGSSTTASLQRDLRCLETTHW ACLKSELELRLELGLNQPDPLRFTYPTFFPSLPMERLNRDESRVWYFYLAETAIRRLA MRVIQFFFWHQTQGRFPDAHNMREASLDFEIQASEWTSSLPPVLSLSTPEMEDDVLKF VLRGHLLDCYEWIYFPYMLEAIAHGNRDPETEEFVIRGLKMSVERIHKNRKGFRHRHH GVWLMLRSCTRSALILLAASRSSATHDLLPLGWKGAVMNATDMLAYWKDEAEDARDRL RILQEFMEEWTSEEEQELGSFGVGCGG ANIA_07868 MAAVILANMKGHILLHKLIFVELLLAIPHGTFIFNKPPVYGWYL SVSAVTLNISWSLHNVISWMKNRPFFSRKVSIAYIATVLLVQPYWVLEIYANFTYFNN INRIFEVTRPLEPLFRDPWWIYTACSLFYAIKCSYNFGIVELVKVSPRLGIMLASMCL SIVFIIVDTFSVLGVFNSASLPIGVQPFWKLSLIFKCLCNTIVLDDFKTALDSIRSYH QQIQARTHPSNSHIYGTNRRPQGSVSACQLTLEEVEEGVYGNEGLRVTRHLA ANIA_07869 MLVRGLLPTSLVFGLLPNAVAISTGQSAGSGIHYRHGQQSRQAE LVSRASFPKLRRQSSNTSIEVGEQPEFWLESIAYQGISPLGPSGYTVFRNVKDFGAKV AGAAARAAFRAPRRPIVYFPAGTYVISASIFDYYNTIITGDPNALPALKASARFEGGY LIEGTPYFGPTLNWPATTVFWRQLRNLILDTTDVAAETQISGSAGFAGNIVFNGGKIA AALGNQQFTMRNLTFNHAKTAINHSWNWGWTYQDLSTNDCEVGIDISTGGSDKQALAP SRFSTAPSEHTRRAVRVVHAAHFKQHHTGKQGPAGMVLGGSSGTSVIAGWGQGNQYVP AGPTRFAGDITAVSRPAGLLDGGKYYQRSKPQYESLSVSPFSSVREGGATGDGTTDDT DALQNVIDAAAVSDKIVLIDAGVYKVTKALRIPANSRIVGDAFPVILSSGEFSKPGAA QACGAGRAARVPADKQGVCQNTGHGDYESQQGLHCSLYAHPRHPLSFQSVHGEYLALG FLTKRGRQSQITLYSGRGLNIESTTGNIWLSGTSVEHSVLYKYQFVSTKNVHMGQIQT ETAYYQSLPNALIPFAPNPSIHDPDITSSCDGRTGNCAIGWGLRIVDSRDLAVYGAGL YSFFDNYDTECSAYGGYQDCQNSIFSIEGEVTILVSSPTTSRILRAKLDRLVLERYVA PLDASDLEDNPSAPLAAWPYV ANIA_07870 MPFFKRASVVYLLCSLTPSLAFFKVPCSTPLVIQRADPIVQPGV ASGHVHTIMGGSGFGFTMDYNMTQTSQCNSCSAVEDKSNYWISSLYYHAENGSFIPVP QNGGALIYYLQRPDPTTDGTIVAPPAGFRMVAGNPFDRRNKGNIAAQARSFACLDYDG PGTPQTHGFPTTNCPNGLRAQVFFPSCWDGVNLDSPDHRSHVAYPTQEYDSGPCPASH PVRIISIFIEVTWHTEQFADMWYGDKQPFVFSYGDPTGYGLHADFINGWDIDVLQDAI NTCHDEGGDIRQCEPITLQEDWVTDGCILERSIHEQIDGWLDALPGCNPIQPGPEDAK PVTGCGAPTAIGEPLHYYTDLTSSHGWEWVGCTQDNVGGERILTGSSAGTSDMTPATC VEKCLADGYSFAGVENSNECFCGDSVGEDKMPKVTPMGKCLQPCAGDGLQNCGGYGFI GLYRKCEGECGNLQYPVVPH ANIA_07871 MAFKTASFVLYLLGPTLTFAQEKRGLAYNDGALANLFSGHSQVS WGYNWGSDGNGLDTALNFTPMLWGLASRLSPEWTAAVEGEGVEAILGFNEPDLDAHSN ITPSDASRRIPVTNGSPPNMGIGWMDQFFEHCTTCTIDFVTIHWYANNDPQGFKSHVQ QFYDKYRLPIWITEFAASGSEEEQISFLQAVLPWLDSQPYVERYAYFGVFPGFLVNEN GDGLSRLGQVYATCSR ANIA_11581 MPAITVKPLTPPAGSAIDFGAVITDVDLECHRLWPGFWLSAMPS TWF ANIA_07872 MAPKDSQVSASNEMTGNPPSSVQGRSRNGCITCRIRRVKCDEER PHCRRCQSTGRKCDGYTPLTGQQPKQQPPQQAAKAGSSELRIIQHTPQVTQPTQLCMF PGVDTLLTEDEYRALEFFNVQTVSCFGPRAGGWLLNAACQDSAIRRAAMALGTMHRVV LYHSRTPPHDRRRGMQLALQQYNSAIRQGLKLFAGSNDSSADGILSMCVLFFCLDSLQ GHFRSALRHVGSGLRILAQRQLRGQRAENTLLPPDVIQSLFAALEAQMLEIDGQSPLL DENGLPVRGAGRPAPLWTLEEAQDTFRSIYNDFLRLLSFSARLEEPVDELEMVQIVEQ VMARKQQVQTDLDAWSLEFDHFLAHIFHWGNQASQQSVRMLQLWRTMLTMVLHMGWPP QDTAWGSHLSELNIILDLAEQIIVMSPPLELESSAGSTFSLQGHSRAGSPSGSRSRSM STSSSASRDDSPTTTTTTTTTPTPRLKKETDPQSTYTPILPRPFHSSPSRFTLALGIL PALWTIATQCRDSSVRYRAIDLIGRSKRREGVWDSDLHFRLALQLARHEEQAAGLDAG AEYTHARIPPEARVTLNGRFDEGRKAKISYIRENVRVGEEIFHW ANIA_11582 MPIKSLTRHQKQPWKASTAYYINNFRYPWDNLTSPCYYQRGDFN MTFTGLVYQLPLFEPPNSTSQRARIDRADEPESTEPTSQYCRAVRA ANIA_07873 MFNFPHPAIDLASRMKSSPLMAGGSSSASSEDLFSPPMMEDLDT PMTEYPMGSPPRMPYRGEDIEIAFLRSEASIKKSSLFNDKFAATLDDLSARPIDSASL IGKLQSMTRSVREILDSGDQLVHEDGPQEILKQFVRVVNKHLCQDEDIHTVLAPLALE PEEKFHIIQTYYQAISMTQFVSPKWTSSLLSDALCRRANIVTVFNGQGVEGYFSELQH LYDTYGGLLAEPLYALSKQLKGLASDVRAQDMYPHGLDVIGWLENPEARPSTDYLLSA PVSQPLIGLVQLLNYAITCKILNKSPGEFARHLSGSAGHSQGIVVAAMLATVVSWPTF FDAASTALQVLFWIGCRSQQCYPSHSIPPSLVDQSERLSPMLSVKGASRESLLKYLDE HNRHLPPAQQGSLALINGRQQFVVAGNPLSLYAFANKLRAASNNSSTTNTARVPFSQR PLLITARFLPISVPFHTSLLEDAEAQILEDLRSVHVPGNSLLFPVLRTDNGADLREFD NLVPELVHMVVCGVVDWDRATRFPTATHLLDFGPGRETGIGALLASTKAGTAARVILS TTLTGPSKKTLGYMPELLSRRRPVVYNTSWEQDFAPRLVRVGDDILLDTKFSRALGLP PVMVAGMTPTTVSPDFVAEVINANYHIEIAGGGYHDAAGMRSALTRLVNLIPAGRGIT VNLIYANPRAMGWQIPLLVQLRQEGLPITGLTIGAGVPSPDIASEYIRDLGLSHISFK PGSKEAIDNVLRIAESNPGFPIILQWTGGRAGGHHSYEDFHEPILDRYAQIRAYPNLI LVAGSGFGGSDDTIPYITGSWSKKLGYAAMPFDGVLVGSRVMTAKEARTSPAVKQAIV DTPGVPDSQWEGTYKKATGGIITVKSEMGEPIHKLATRGVLLWAELDKEVFSLPAAQQ VQELQRRKGSLMKRLNADFQKVWFGIDQQGNPVEISEMTYAEVLTRAVDLLYLKDQQA WIDPSYRSFVADFIRCVENRLSARQPRPRAVFQSALQLDRPQVFLSEFLQAYPAALED VIVREDEDQLVKLYKQPGRKPLPFIVALDESFEYWFKKDSLWQSERLEAVTNQDVGRI CILHGPVAAQYTKVANEPVKQILDNIHKPHVQAILKQQYAGDTSRVPTLDYLYSAGAV SPMLSPQDELLLPHVKHSRSYDPPTLAYDLEGPEENLPTEKQWLALIGGTKPSWRKAL LTLNEIVQGKMLVENPIKGLFAPRAGLSVRIIQAGRPQKTVILMRQKSSQGQEKDEAT VEIRALSTSEIMLTLRAPMTGGSAGNPPVDLVLYFTYKPTYGNNPIHEVMGTRNQRIS RFYEQLWVGNAGDEGTSLQKSADDVQVLTREAILNFTKAIDNRNSAYNGKKNSKLLAP LDMAIVVAWKPLMRCLFTDAVNGDILKLLHLKNDFRVIDNASPMREGDVLSSTAAIES IRIRPDSGKVVRAVATIFKKGTPIITVASEFILQGRYEDYHNTFETKEEQVYKLPLKS KRDVVLLASKPWFRIAAADLSLDDHLDDELTFRLKSSYHFRDANTYSQIETCGTVSCA VGNKDMTIGTVMFKSNSHFLKNPVIDFLTRRGFAYDEVKQLPNAVPLAADVRIEMPTS SDQYAAASGDSNPIHLSRAFARYAGHNEGRVIHGMQTSGLVRGVVELHAAGNDPRRMK AWSASFKGKVSPGETLLVDISHTGMNNGRLIVVATARSESSSVEVFRATAEVAQKPGA YLFTGQGSQKPAMGMDLYETSQAARDVWHTAEQFFVNTYGISILEIVRNNPKEYTVHF GGSRGKAIRENYISLDFEVVNEQGEIESVRAFQEITPSSRSFTYTSSGGLLHETIFTQ PALVVMELARFHDMRARGLINEDSCYAGHSLGEYAALAAMGEVFTVEGVTAAVFYRGL TMQKSIELDRSGRDYSMVAANPSRVSKNLSESDLCAIVDSIEAATGGLCEIVNFNVES TQYVCAGDLRSLDCLAGVLDSLVAHPEHLTSLETLNASVPAIVASCLAQTDKKPTPLV LQRGKATIPLKVNVPFHSSLLRPGADTFRRALRKAIPEHMVRPEKLIGRYIPNLTAMP FELSKGYFENVLAISESPFVREILERWDDNNVAVAVC ANIA_07874 MALPSSVESLLSTVWDFITINRLLTGAGIYFLLLNAKGLPGVWH YRLFKGLFIELVWKRSTTPAPLLDSQGRPRLYSYFVTECSNPVIECDYNLHKSNSTFF SDLDINRTQLMMTHFKHVLSTASMPRKTKTANGNGDDQKRKRPLMMAMGGVSCLFHRE IKPLQRYEVWSRVLAWDEKWVYVVSYFVKKGSLSRDGNFKGDLDLDPKVNAKVVLASC MARYVFKEGRITVKPERVLQECGLFPLAEEAVAGEKAEKASVDSWGKEQFEEARQKGL VTAGKFSGLEVLPLMTGFGESGVLGRYNDF ANIA_07875 MINQTAMLLTRSLRPRSAFVGAGLGYPRRFLTTVESPLHEFFVV IFNKRNAKESDLAPAPQTIKFKEQDVILTFAGDMMASAAPATDSAPERTLGACFRVPG TQRAESVAGDSRPREHRQGAVGY ANIA_07876 MSTFPAEPRSDIVNGHVESDFNYQTGTWSEPVFVQDHYLKVHGL APGLNYGQQVFEGMKAYRDPNGQIQIFRPTDHALRMQRSCDAVSIPSIPESLFWASVN LAVAKNSEFVPPHASEAAMYIRPLAFGSGGWMPVAAGPQYKFVVYALPFCAYHGTLPV DAVVLEELDRAAPLGVGNVKVGGNYAPVLKWSDKARKEGFGITLHLDSKTRGEIDEFS TSGFVGIKYTESGGEKGYTLVVPNSQCIIKSVTSTSVVEVARSLGWRVEVRPIPYDEL EFFDEVLAVGTAAMITSIRSITHRSKDQVFRYKTSDEPGSACEKLSRHLKGIQKGDEK DTFGWLKRVEEVTV ANIA_07877 MSATTTSASETSTPTCLPIPPKENGYVPPGGCGNIHMYEASFAA PVLFSVLFGLTTIIHIVQAIMFKKRYAWVVIMSSLWELIAFIMRSLFAKNQSSDAYNT PFTIFFLLAPIWVNAFLYMTLGRLIYFFLPSGRLGGIGAKRFGHIFVWLEILAFIIQL VGAAFTTDTEASQETIMRGVHIYMGGIGVQELFILIFTGLFIHLQRKMGEMERHGTLD AEKVGRGSMPWRWLFYAIYASLFLITVRIIFRLAQYADGTNIDNPALRNEWFEYVWDA APIFICLAILNVAHPGRVLVGPDSEFPRVSRKEKKQRKREKKEAKIAEKEAKKERKRR RKHGSIGVDLLDAQERGSAPTSRPAPGGHGYQGENQRTWYDNRGNEVRP ANIA_07878 MARLLNRWHLPALVRRHYSSRFQSLRIEKTQSPKPLPDSTELQF GRSFTGKYPSQTRNHILKLEWTTTQGWSDAQITPYDNLRLDPASCVLHYAFTCFEGMK AYKDPHGNARLFRPEENLARLNRSAARLALPTFEESGVLEFLAKYVDLEKRFIPHLPG HSLYLRPTLLGTDASISVSRPRSALLFVIASPMGDYFANGMKAVTLQATRSPVRAWPG GVGEFKVGGNYAPSIVPQEEAAEAGSQQNLWLLADRESGEEFVTEAGTMNLFVVWVSS STGKKELVTPPLDGTILPGVTRMSILELARERLEGDRSGIEVVERRITMRELAAASKE GRLLEVFGAGTAVVVSPVRSIRWGDQCISCGLRDGEEAGPMSLQMKTWLEEVQYGLVE HPWR ANIA_07879 MAGSCTIDEHNHFGPIVGDACYGGLDFTLYFEEAFLSIFPAATL ILAATVRCFLVRSASLKVRGGWLHTLKLLLLAPYSISQLLLLAFWMRSGTPKTDLTIA STVLRFIATLPCGYLIHLQHHRSLRPSKIISIYFLLTLLFDIPLARTIWTIQGLRTVS AIFIAGTVVKALLLILETWEKRRLVRPMYASPAPEDWTGVINRSLFWWINPLLFRGAR TSLSVGDLFHLESCMLPDPDGKHRVVMHWENVTNKDKAGAMVVPIAKAFKWDLLAGVF PRLCQSGFIISQPFLVRATVELFVYRDRPDSRSKATLLIGAYALVYGGIAIATATAQH KTYRVITMMRAALVDMIFEKSTAINAHKNDDSAALTLMSTDIERITHCGRYIHDTWAS LIEIGIALYLLYNELDTAGIAPIIIAFGCTVTAMKIAMMAGERQNLWIEAIQKRVAIT AEMLGSMKGVKISGLTDLLFNKIQALREHEILASQKFRSLLIAVVGLSNFNTLMTPIV SFTIYAMGSGDAPNEILGSARALTSLTLFNLFAVFIGTLVESISETAMALECLDRIRN YLAQEAHQDPREVNSSPVTEKTPCIEAFEVDVGWKNGDESILHRLSYRIERHSLTMIV GAVGCGKTTLVKAMLGEVNCLTGKMKVNCDRMAYCGQDAWLTNGSIRENILGGSPYDP PWYSTVVAACGLEKDFTELTAGDQTAVGSKGVSLSGGQKQRLGSLDVLTRAQALARAL YSGVETILLDDALSGLDPVTDEHIFTQVLGPNGLARKQHLTVVMVTHAVHRLPYADHI IALNTDGTILVQGTFDDCCKRLDYIQGFAIAQPPAIQMKSAMPKAVEVTKAAPYSDEA ISDARRSSDYQTYLYYLTTVPWHNWLVYFGLMAIFVFLQAFPTVWVTWWARDNDAQPN KNRSMRIGVYWMFGVLGACFLLATACFYMLKIVAKTASVIHSRLLRTVVNAPMSFFAS TDSGTTLNRFSQDLELIDMELPLAVLQTCLALFLCVAQLIIIAVSARYITATIPLCVL VYCIIGTFYMRTSRQLRIMEIEAKSPLFSNFMELLNGLITIRAFNWAEQYKLRNRALL AESQRPYYLLYAVQRWLSLVLDMTVAGFVLVLMGIAVGTMHSTNASSLGLALVNVVSL SASVKALITDWTVLETSLGAVTRVKHFAESTESEDMVQERDLPPEDWTSRGTVEYKNV SAFYRDPSKPVLKNLSFRVHKGEKVAIVGRSGSGKSTLVSALFRMIELCEGTISVDGI DITTLRRQAIRSAIIGLPQDPLLLEGSTIRENVDPFDYCPDEAVINTLKRVGLWEILE SKDGLETIASPELFSHGQKQLLCMAKAMLRHGNIIVFDEATSGVDPETDEMMQELIRS CFAQHTVLTVTHRLDTIIDYDRVLVMDNGILLESDPPRTLLSRPSVFRELYKSSRGWE EYERQERAEAEARRRERVEKERAEEELRGRRGLISEKEEPETVSAIREHWNVVNQLFG GIIPRAVPRTRSRSRDHSAERRESKRYSGGDWTGEGDGDGGDGGLGRRDTRRHLTGLA ARGLH ANIA_07880 MVAAQDDGAQRKLAHTLLLELMSYQFASPVRWIETQDVVLGQYR AERIIEIGPAATLTNMIKQTVQSKFLHSDRASLLQRQLLASEKQGKDIYYEDDGVGIG TGAEAPAPSAKTQAQASGGAGTIAGAGSSTAPVTAPPAPAAAKAVPAGGMQAIEDRQA QAFEIVRTLLSRILKIALTDVVGTQSIKSLSGGRSTLENEIIGDLASEFGSLPDRAED LTVNDLSAALQKTFTGQMRKVILKMLHAMFASKMPGQFTVATARTYLHSRWGLGSGRQ DSVLLLAIAQQPGSRLKEEAEARSFFDGLVQFYADEHGLTLGANSGAADETSGLGGGL VMDQKTLAALTGGQQELSKALLKIYAKHLDIDLDGDRRALHDLQATVEKDLRLALDQI HQELGEDFTDGVQPVFSARKARRFDSAWSWALQDLLQLYYEVSRTGGETEVDLAAKRC KHIEDAADPRLLDVLQRIVGRFEQQPVLSSMFTQLAQRCRDSLLHGPRYLARPDQQGP RTTISAEGDITYTEQERAEPVPLADLVYLPKSTEAAPLEPFLHLKQRTGGSSAWTYSH DLTEQYRAVLEQATTVGESFAGRSVLITGAGVGSIGAEVLKGLLAGGARVIVTTSRFS SSVVRKYQDLYTQVGSRGSELVVVPFNQASVQDVTALVNYIYDAQGGLHWDLDHILPF AAMPENGRTIEKIDPHSELAHRTMMVNTLRLLGAVKARKEAQGSRTRPTQVILPLSPN HGVFGGDGLYSESKLGLEALFNRWHSEDWSDYLSVCGAVIGWTRGTGLMSGNNLVAEG IEELGCRTFSQQEMAQCLLCLMFNTMCSLCEEAPLYADLSGRMGAVQNLRQKVQELRT EINETANTRRALLEELAMEARCSEGPTPTIDSEPAKATATPTLTAHVRLDFPPTVDYN QEIKPLTADLQGMVDLDRVVVVTGFGEIGPWGNARTRWEMEAYGEFSLEGCVEMAWLM GLIRYENSSSPGWVDAKTNERVHDHEVKHKYEEHILSHTGIRLIEPDRFGANYHPEHK QLLHEVLIQEDFPELEVPEATAQQMKLEHGNKVDIIPDPEGGDQCRVVLKKGAKLMVP KALRLDRMVIGQIPTGWDARKYGIPEDVISQVDPVTLYMLACSIEALLSSGITDPYEI YRYIHVSEAGNCVGSSLGGFNSLQQMYRGRYMEKEVQKDILQETFVNTIGAWMNMLLM SSAGPIRTPVGACATAIESVELGYDTLISGKAKFCFVGGGDDFGEEVLYEFANMKATA NTVDEFEQGREASEMSRPAASTRNGFMESHGCGVQILTTARLAIEMGLPVRGVIAFVE TSSDKASRSVPAPGRGILSKAREVRSSSPSSSLISSPLLNISNRRKRLDFRKKQIEFA RETALEELQLEIAHVEESEVEDYIRERTAQINAEAQKDLRNAQYHLGNAFWQNDPSIA PLRGALAVWGLTIDDLDVASFHGTSTKLNEKNECSLIQAQLSHLGRAKGNVILGVFQK YLTGHPKGAAGAWMLNGALQILDTGIVPGNRNLDNVEAELQKNEQIAFLNRSLDTGRG SMRAVSVTSFGFGQKGAQTIVVHPKYLFATLEEQEYEEYLDKRAKRQKKADSFFYRGL ASNRLFELKTAPPWAPQKELETLLDPTPPQTNVDDRVARSIVQQESAEP ANIA_07881 MLPTYFGTSNFLAAFAVWMGVVVLAFAIFCVRRLYFHPYSKYPG PLLGKLTNYYAVYHSWKGDQHIDMWRCHEKYGPYVRYGPNELSINTAAGLKEIYSHGR NFKKSVKYNAMVHQAANTLTTIDKRKHGKKRRLISQAFSDAAFRSYEETIQQKIAQLC TALRRRDDDSNEIVPDGNWGPAKNMSHWCDWFTFDVMCSVIFGVPWSSLTEKTYRNVP HLIEVSNVRVGCLIEAGGSKNMKIDKYLFPAAIAARNQFVKFVNDIIRQGMAMSAKGS LKGAFALLRDATDPETQEPLSFKELCGESATLVVAGTDTTSTALAASIYYLCNHPKVY ERAVQEVRSTFQSRAEIGLGPKVNSCTYLRAVIEESMRLSPSAPGPLWRQADAGGATV DGQYIPQGLEAGTCVYAIQHHPEIYPQPFKFVPERWLGPEAVPEQYRSDYSPFAGFTP FSIGPRGCIGKPLAYIELTLTLCHILYAFDMRLPQGVNVNEDAEYQLALHITAAKEGP LVEFRPRTVV ANIA_11028 MANPERSYLSRFFSSQFSKLPYPSQSFKDAVILVTGGNTGLGLE AARHFVRLQAATVILAVRDLKKGEQAKLSIEESTKVQGVVEVWQVDLEDVRSVQSLAA KASSLPRLDVVVANAGISTNKWALVGDMERTIQVNVLSTFLLILALLPKMQEQDIEGQ IRARPRVVVVSSEGHETTAFAERKAARIFDALRDQRQANMDERYDTSKLIQLYLVRAL AERLSRSDKPPVTLNAVSPGLCKTGLLRETPLVARLLTGPVMAILARNAEEGSRTLVH AAAANDGETNGKYLRDYLQRLYAAKKATPRRRDCCRSF ANIA_11031 MRYEELDEACRSLEHLASDAEAEGEDAPLIPDPPGAVSPPTSLS GKAVLQVILLCASATLTLDIGLTVVRAPKIRLFESILCQAYYRTHGNPLPVPMQNIPE SQCKTKEIQSGVARLIGWQTVFDGIPAIFLAIPYGALSDSKGRRPVLLLCFLGLALST AWALLVCWMQWPLELTWISSLFQCLGGGPAVATAVLEATIADVVPDDKRSTIYFQLQA TVLISDILANPLSSVLMAHNAWTPCFLGVGIQALATVLLIALPETLDFAKGSRPSDAS IYGCKEEEPTLRGCLAKNFRSIVSDRNVAGLVFSLLILTVSAESLDFLLQYVSQRYGW SIAQSAMLLSLRAVVEFGLLLVVGSLLLFTQSSGLRNPRQRDLLIARLSLGLIVAGLL ILSLSPTVAPAILVYTLGAGFQPAIMSLLASLWKASNPSNLGSLYSTVAIILAVGGVI SGPLISLMYRIGLSLGHGWVGLPYFVASGLCAGIAGVLLSVKLPEQEQKPRRRET ANIA_07883 MRMANRIGAGRKSALQLSHLRTRLTSSAAAVATAPTLDPAPVPA PAAAPREWLVLFPDMPNVLDRRLEIRPRHSPNFVRLHKEQWVTWAGPIFEKHTFPGNP RRPFKGSVMVVNDVSKEQIWERLKSDPYIQERIWDLDNARVIPFVTNMRRTPKK ANIA_07884 MPSISAAADSSAEFWKRNTAGMEISRLSHLTRSYEGQAQWRSYP LNPSIELQRLGAFCEAHRTSLLVILQAAWATVLGRFLATDTATFASCLENGDEAKHGV CSASWSQGATTLHELLEQLQQWHETSFTHQDIPLAELEQLLHVVPDTGLRVKHISSPS TSLSLSGPRHNRAIEVVAQVSPISVRISLEYNASALSSVYAQSIAGSLERSLQAVVNR GSTPVTQIDLCSKEDRESIFDWNAYVPVTISDCVHTRIERKALEQPHALAVAGSGGDM TYQQLNMQADNLAAYLQELGVGADSYVALCFEKSTLPIVAMLAVFKAGGAYVALNPAH PVKRQAVILSKINAQVILTGPGYAGTFPGLVKHSVEVTQDLLDQLAAERRATRLVRAA RPETPAVVVFTSGSTGEPKGIVVEHRALVSSMIGHGTIMRLDSSTRALQFATYTFDLS VGEIFNTLMHGGCVCVPSEEERLDDLEGFIRRLEVNWALLTPTVLNMMTPANVPSVRT ISTGGEPMKQDIIQAWADHVQLNNMYGPAETTILCAGRAALSPATPASNIGHALGARQ WITNPMNPNQLCPIGAVGEVLIEGPGLARGYLHDEEKTNAAFVGNPDWLPKASPARRF YRSADLGFLSPDGTFNIVGRKDTQVKINGQRIELEEVEFNIKSLLNAGWQAVVAEVIK PKGYNDQSILGAFIQFEDDENDETELLGYISEGRRQQLRQLKEDLGLHLPAYMTPSVF VPMAHMPTTAHGKLDRRRLKDLAAGFSTEDLVSFSLAGSTNTAKREPVTETEKAVASL WSQVLKIPYESFGLNDNFFRLGGDSISAMKLSAAARSTGMSLTVAKIFGHPTLEAMSQ IAVELSHTEIGSIKPFSLIDVENAFEFIDQLTLKWGLDRSSIEDAYPATALQEGLMAI TQGEPGTYIYQNVYELPADIDLAKFCAAWESVVNTTEILRTTLLPTDTASTYQVVIKP SSIDWKYPASVEEYLKSDAQQTMLYGEPLARYALVPGTPGGSLSTFIWTAHHALYDGW SLPLLWKRVEEAYKGSGLSHQPHVAPFNRFIAHLRDMDAEATYAFWESYLSSSNPPKF PQLPYQTYKPRVNNIHQHEFSLTATSQSSGITTSTLIRAAWSLLMSQYTDAGDDIIIG VTVAGRNVDVPGISEMAAPMITTVPVRVQIDRDETVTELLTRVQTQTVEMMPFEHAGL QNIAKINRECRLACGFQNLLVVQPEEDESTSGSLGIKKIQSPEVGIYTYALVIQCLLR GDKVGVQVDFDDQVLSSWQVERICCQLEHLMGVLRASPNVKIGDLSLVSQKDYTQIMN WNHKLPVVEERCVHEIIRGQVLATPDAPAICSWDGDFTYAEVDRLSSRFARHLVSMGV GPETLVPHCFSKSAWTVIAMLAIIKAGGACVALDPGHPVDRLQAIINDAEAALVVTMP EHSHLFNGLVNKVVALSPQFFGSDDDLQSSETLPPRAGHKNPVFVLFTSGSTGKPKGI VIEHGMFASSAAAHSKAFGITAQSRVFQFAAHTFDVSVGDIFTSLMKGACICIPSDLE RMNNVASAINRMKANYAFLTPTVANLLRPEQVPTLRTLTLGGEAPTRENIRTWADSLN LILCYGPAECSVYCSANPPATQQSNPAVLGHAIGGLIWLVDPVNHDKLTPVGCVGELV VQGAIVARGYLNEPEKTQSAFIQDPAWMPQTFPREYRRIYKTGDLARFNPDGSLSFVA RKDTQAKVRGQRVELAEIEVHLSESPEIQHAMVAVPAAGPYKSRLVCILSLQELAQRS DGISRDSSRVALIQGSSDRSHAAQTASVVENRLAEKLPPYMIPAVWIPLKKMPLNLSG KIDRKLIKGWLEDVDEATYQSVAAMAAAEGTSLQQPTSDIEKKVQAAFSATLNIPVES VGLNTSFLSVGGDSISAMQVMSRLRSQNLRITVQDILKLRTVAALAGRAQYIEQSTTE SSAPEAEVIDEWFELAPIQQLFFRMQPKGQNHFNQSFVLKLAQDVLQTELQRALEIVV QHHSMLRARFEQVDGSWSQKITNDVSGSFFMFPREEGSRERMMARFREAETLFDIKRG PMLTAQIWVAPESQYLFLAAHHLVIDLVSWRIILQDLEDVLRTGRINSTPTISFQNWA KLQREYVSQHLSKPDTWDLEAPTGDLAYWNMQGEANNWGDIVTESFTIDSQRTALLLG DCNIPLRTEPTDIMVAALLHSFRHAFSDRNVPAVYLEGHGREPWTSAIDVSRTVGWFT TMYPVSYETPEDNWLDAVKRLKDSRRKIAHNGWRYFTARSLLAGGMQDMEVVFNYLGL YQQLQRVDALFQESSITGTDCVEISPQMQRYSLFEIAAGVSNGRMEFTFEYNKKMSHR DTISSWINHYRQVLETGIDELMRQSELIPTLSDFPLLNLSYKDLDNLATSILPEAGVK SIDEIESLSPCSPMQLGLLMSQLKSEGAYEFFTIMEATAREGVDSAQLVAAWQQVIDR HPMLRTVFIKSAVPDRPYDQLVLKELRAQVVELRSDDPVHALRTLPQTAKLHQLAICH CENGRMFCKLEINHALIDGTSMAIIERDLKRAYSKKLSSVPPLAYVDYVSFLQEAKRA ESVNFWNDYLQDAQPCQFPILNDGRDQSQALESINVELPGLTKETLSAFSERWGFTVA NVVQTAWALVLRAFIGTDSVCYGYLTSGRDAPLDGIEDSVGPFINMLVCRLKFDPHEP ALVALKNTQDGFLKAMSHQYVSLAEMQHALGVAAQGLFNTAMSFQRYSPEESMDLNLR TVYDYDPTEFNITVNVATREEGLQIDLTYWTSKLSSGQAVHLANTYSTVIMELLSNPE TVLADVNMLSPLDRASLRDWNKELPFAVDRCMHEVIHQNARKRPHALALESWEAAYTY RDLDRASSRLARHLIKQGVSPDDCIPLCFEKSLYTIIALVAVLKAGGGFVLLDPKHPD DRLKGLLEDSKAKFLIVSPQTQDRCKDLISSLVVVSPKILDELPHADEDDIPPSTAVT PGDIMYVQFTSGSTGKPKGAVVHHRAACSSIEHHGKVMNYGPHSRIFQFSSYTFDAII LEAFTTLYHGGCVCIPSEEDRMSSMVQSMREMKVNNMFMTPTLARLFGPADVPSLTTL MLGGEPIPQDSINTWKDHVDLIGGYGPAECCVYCCYNPLSSSGFKPDVIGYPVGAVLW IVEADNHDRLVPVGAIGEIVVHGHTVGRGYLNDPTRTAASYISAPSWVADYGYPGEQT LYKTGDLGRYNSDGTLTIVGRKDTQVKVNGQRIELGEVEHCIKTEYPQVLQVAVDALK PEHANGRQILSAFLEFEAVEGSEEFQNKNSFLRAMNDKLRETMFEIEAILAQRLPPYM VPHLWFPLVTMPKSASGKTDRKVLKQLCNGLSKTELQQYSLASGSRKALETPMEETIA GLWKDLFGVSDIGSNDNFFRVGGDSIEAMKLAAAARAQGLSLSVADIFNYPKLDDMAR IVVAAYGASAVAHKYDAPFSLVGGEESARSIVQKHIPHVQIDLVEDVYPSTSLQEGLL ALTSSHSSAYVLQAPFLLPPNIDLDRFRDAWAKVVEANAILRTVIISTETQGTCQVTL RQSIEWSQASTLEEYLAQDRERPMGYGTALSRYGLTLDGYFVWTAHHSIYDGWSFALM LDEVEKRYKDESVVSRPLFAEYIRFLQRQQGKTDATAFWKSQLQDASSSSVFPQLPSS LYEVDVDRTFKYSFPFSTKSTVTASTLLSAAWGLTIGRLTNSSEVIYGSTRSGRNIDL AQATELMGPTIATVPIRISIDTSMSIEDFLAAVQNQATAAIPYEHLGLQNISKISPAC KAACDFQNLFVVQPAVISDSTILGMKRVEIPTKGLHTYALNVECILTEEGTATLNFEY DGKVMQDYQIQRLAGQFHHVVCQLCENEDGRLKVGDVDAFSLDDEKQLRQWNARLKSF PEITRCAHDLVSERARLHPDLLAVTQSDGTSLTYDELEELSTLFARHLSTLKIGPGRI VPICLKKAVWVVVSILGVLKTGAAFVCLDPSSPSSRMHSIIEEVESEIVIVDPETKPI FNNHLQTLEIGAKSLDWIRSANASDMIFEVHRNPRDLMYVIFTSGSTGKPKGVMIEHA SACSSFTYQGQEFGYDHESRVLQFSALTFDASLMEIFTTLCAGGCVCFPTEEEKQGDI VRAINNLRVNSVMLTPTVLRMIQPEDIPMVKHVVTGGEAVSHDIVQTWSSKVILKGVY GPTETSMICITADLVPGSSPANIGVPLGCRSWITLPDDHNHLAPIGSVGELLIQGPIV GRGYYKNQKQTQDVFIENPLWLQKRFGETGGGRLYKTGDLVYYAQNGDLMIVGRKDSQ VKLHGQRIELGEIDHKMWSHPAVRQSSVVLPSQGPLKNRLVAVLTLDGTEERITTPHV LCPLSEEWKQYANSRIASIRQALRESLPSYMVPTVFVAVEKMPRQTSGKTDIKRVKKW VNELDEQTAEQALDIETTAPGLTVPGSEAEKVIQGAVSKVLNIPAEKIALNRSFISLG GDSITAIKLMNQLRDAGVNFSIKELLRAGSIGELAGRVTSISEGENVNPLLSLVSQKK EKKYSLLRLGDAEIEALLAQRLATIGLTDLTRVEDVYPCSPLQEGLLVAQTKGVGSYD VYNIYEVTTSKNASVSVNPHVLAKAWKEVVRRHQILRTIFIQGLEESTAFNQVVLREV HNAPFVIEDVKSNDAKALLQNLATPEYPAFEPWHSVTICSDANGTVCCGIRMHHGLFD ASSMDIILREVAQAYNQRLSTPAPLYRDYISYLQGLQQGGNDGLAYWQEYLKDLEPCY FPSINEEALGTRTPQSLQFNVPGLSRILLFSARKNVTVSTILQTAWALVLRHYTSTEE ICFGYLSHGRDIPLDGIDNIVGPMINMMVLRVILSGDRTLTDILEGTRDDVLNSLPHQ HTSLAEIHHALDLQGRSAFNTTLSFASAAADAENYDGIAFKNLSGSGSTEYDIAVNAS VVGEDLQIHFSYWSSALSPQQATAVAQAFTNFMDILTDSSDLPLRDIDFTSAAMRAQL LRWNATPYAPVHTTVHELFHRTALRYPENQAICSTDGSFTYSELDNLTTRFASFLREK GVGPEVLVPVCFNKSCWTIVSMLSILKAGGACVPLDPSHPPARIQEVSSRCEAKLILA APHLVDRLPDCNATVISVTDGLMQGLPNLPSNFQIDLAKPANAAFVPFTSGSTGLPKG IILDHMGLCTMFEANASVVGIDHNTRTFQYAAYTFDVSIAETYITLTQGGCVCVPTDA ERMNDIAGAITRLQANWTFLTPSVASLLNPIDVPTLKTLTLGGEAISRDLHSTWADKV RLINSYGPAECSIWTSNQRLFPDSSCADIGAGITCHLWVTEPDNHDRLVPIGCVGELV VQGPNLARGYLKDEEKTAATYIDTPAWLRNDTRSIAKRVYKTGDLVRHCADGHLEFVG RKDTQIKFHGQRVEIGEVEYQLRARLPKNTQVAVEMIKPLSQDGRQTLAGFITTEGGS GHENKGSPSLKGSSGDPVSLLRDPDETFKNIVRKLEHQLAETLPSYMIPSVFISMLNI PRNTSMKIDRKALRTLGANLTREQIATYSFVQGDKRAPRTAMEKRLQECWASVLKISP ESIGADDSFFRIGGDSIGAMQLVSAARKTGLSITVGDIFQHQKLSQMANIVARNAAAT TEEISIKPFSLLPKQRPDEDLVELAAFKVGIDRTLLQDVYPCTPLQEGLISLTARDHG LYTLQAVYRLPEMINIQEFQLAWLAVTEELDILRTRIVDLGHLGSYQVVISPVISQMR WVYGNSLSTYLREDKEIPVGYGKPLARYAIIEEEEEGEQKKYFVWTAHHSIYDGWSLG LMMDLVEKKYLKTSTIPSPPFNKFIHWLTNLDKAATRQYWKSTFEACSAPQFPSVPQH YRTKAKAAMTYSIRLPQKIDSEITVPTILRTAWALNISQYTRSDDVVFGMTQTGRNAP IPGVTEIVAPLITTVPVRVVFNRSQTVGNVLQEVQNQMVAMIPHEHVGLQNISKFSAE CQAASKFENLLLIQTQQDQMVSPIGLERIPVTDLDIPAFGIVAECEVADGQVLVSVGY DSTVVSEKQMTNILRQFDFLVNQIGSESARNTPLVEMHLLGDNEIKMLEALNQSPDDR VSRLAHELIHERAVLQPEAIAIDSQEVQLSYGELDDLSTRLAYFLIDLGTGPDKVIPL FFRRSPWAMVAMLGVIKSGSAFVFLDPGHPIDRLEFVVQQIDAKLVLTSPDLESTWRE KLAVFCVSPSALQSLPRLHDGNLPVTAVTPQNILYCIFTSGSTGRPRGCVIEHSNFLS GAVHHARRSRISESTRIMQIAPYTFDVSILEMLTGLIGGGCICLPRDYHQGARVADII NDLNINWTFLTPSVARTIVPSEVPSLQTLILGGEALAKVDIQTWAGKLHLHNGYGPSE CSVAVASNEVRDPTIDPANIGSKMGCNIWVVDAENHDILLPIGAVGELLVEGAIVGRG YLQEPEKTAAAFIQDPAWVHYLPNTKSSERRRFYKTGDLVRLNADGTIHFIGRKDTQI KLRGLRIEMGEIEHHASTYRAIRHAVVAVPRAGRMKESIVVVYTLNAYDDSNEQQSDL RPLSNTDLETSQMSPAQLRKHLATHLPPYMVPQTYIGVARLPLLASGKIDRPKLQRWL ENMDDATSELIAAQVGKTATHEAGPIDPADKLALALSEPISRLLAGDDEAYLETLKGR NIVLSQSGLNSITVVSMRAMIRDKFNADVSIDRLMESTVTIQDVARMIEHGNTAAGTD KQESAPQLDLLAEVDRMMNSLITEASPDVQTLSQPTPRAERILLTGATGFLGTEILRQ LLSNPASTRTVVAIVRARDQDHAMERIVSSAKAAQWWQEEYRSRITPWVGDLAAPRLG LSESQWSTVEGRDHPGSESGSTAGPAEPRIDAIIHNGALVHWGADYHRLRDVNVSSVV SLLAALTRSQAPPTLTFVSGGHVQLDDNETTDEEMAAVLAHSTGYGQSKFVADLVVKR FAARYSTSAVSIVKPGLILGTAQSGVSNTDDFFWRVVATAVEIGGFNAEEPENVILLA GAQQVASIVTDKLQLNLNPARSRSGIPSVETKVRLAITTQELWHMLSDEFGYPMRGMG PAEWLDAMRAAVHAQGESHRLWPVLHFLEAGGGYMGLPVGGCQLQGATGADQESEKEE LLASLRKSISYMRQIGYLQSDAPHAVDKVVFGRRNV ANIA_07885 MGNLYAGYSRCCKLDYAWKEKQDTHLRMALVRAAKNRREGLVRV LLRNGAEGYGKDRDGRTALWEGASNGHGNIIKLLLENRLKLSQRVMMAGHYYQWQN ANIA_07886 MGHVAHPSFVRHENEAETCRKGYLPVACFVSWAIYGHRYDPRDL PVDNLTYVLYAFANVRPEAGEVYLSDPYADIRRHYATDPWSDTGNNVDGCIKQFYLLK QRNHNLEVLLSIGGWKYSTNYAQSASRIVDGNNLRRQLSSCPRPRAGRLGQRLEGIAI IRLQKR ANIA_07887 MRLSSILKCAVLAQFAVSSALPSKSQGNDYTRLSSAFGCQLYGF PDNEDGSANNAIPPNLRSGSRFKYCPAGGAQLTSPSLRDARGTRGSLDAVHYPGDAGD EGDWVHFDDFMGQGIAFIKNNNMQDGLELDLWNGPDSTLFWNTDYSQYLETWTRMCKR FNLTNTGAVP ANIA_07888 MLAYEFLAFFLIIGCLAQPPISSLKGRGTSGIEPSTVSQFPLGT WAENIAVRQNGNLLVTLLTAPEVHEIIPSNSFSSARLAFAFEGHSNVTGITEVKADVF VVCVDGGSLWELNFREGDTPHGSLLTRIPEAQLNGLATLNPANGIVIAADSTNGCIWR VDVKTRAYESVLKDETMQPVPVNDVQLGINGLQVFGNTAYYTNTPKNLFCRVQLHPVS GRPTSPVDIISDSVEADDFAITPAGIAYLANTYQNKITKFSWRNSEVIAGSLNSSSIP NPTSAIFGRTAKDRNVLYVTTGGGEAGPINGTFVEGGAVLSLRLW ANIA_07889 MAQHRHQSSLETVLDFSPAFLLTPQQRQKAYALLHLFIQDYGLG QSVQRGYKPAKLIEEMSKRVTSTDTFLRFLFLYIYEILNADGEAGDTDMGSVLSYLES FAGNRSMDPSRRMHIKGALENFAEYIVDNFLLPLRASSVKTPQITPTALSLSQTPTQV GTKQRISILRKACLVRDHHRCVISRKFDIVEARKRFQENGDNSKDDDGNLLSNESRGG FQHLEVAHILPHSLTTLAQGESELSESKMNVFRILDMFDPGLSHRLDGPNIDSSVNAL TLTLDYHRLFGEFQIYFEPTGRPLEYKIDSLENSPFLRDPLFPVTRTLTLSPNLTIDP PDPRLLRVHSAIAHIMKLSGAGDYIERVLRDMQEVDVQADGSTNLGYMMGLRLNGWLN TLSVF ANIA_07890 MVIDIQPSLLSNPHDVAGKIRLIIVGGGLTVFTAAAKLTEDPKV KVLIIEKGFYESSDGPIIEDPTKYSKIFRTSADQNFFTVPLINNRTELIKSEKGPGGS TLVFGMDGWNWDSLFQYMNKGERSRPPIEAQIATGHSFNSSCHGLNGTIHTGYRDTGE PWSPLMNALMTTVSEQGIHTQIDFHCDRPRGVSMIHNNVLENQVRADAAREWLLPNYQ RPNLKILTGQVVGKVLFDEESKASSLTGECSAFDADIDQWAEYVTEYF ANIA_07891 MSLFKFAAFVLGTAGSVAGHGYVTKIDVDGTTYGGYLVDTYSYE PDPPKLIAWSTTATDTGYVSPSAYGTSDIVCHRGAEPGALSAETLPGGSVTLYWNTWP TDHHGPVITYLANCNGDCASVDKSTLKFFKIDAGGLVDNSAVPGTWATDELIAADFSR TVTIPSDIASGNYVLRHEIIALHSAGNKDGAQNYPQCINLKITGSGTAAPTGTLGTEL YKNTDAGIGVNIWNALSSYAIPGPALYTSGSDSNTATSGASPPSTNFSPTTTAAAATT TLSTVVTSAQSTSATVVAEQTSVSYSQTPWPSSTATEATSASSSAGGSNNGHTGTHDE AGHCPAHTGKKRSRLNRRRMASCSSRTQS ANIA_07892 MSLFTTTPSVSSFAPLFNLLDDYDNHLASRNWGHHTSVRSFSPR FDVRETSDTYHLDGEVPGVAQKDIDIEFTDPQTLVIKGRVERQYHSGNTDDTGKQRQV EDENESSSNEVAKTSEKQMTKSASSEKPRYWVSERSVGEFQRTFSFPSRVDQDRVRAS LRDGILSVVVPKEAPPNAKKITIQ ANIA_07893 MGSVETIPTVDISAWLDPSSAEEDRQNVVNAMRHACTTYGFLSL VGHGVSLEEQKQAMDCAKLFFTLSEEEKMDVWIGKSKGRSFRGYEPPGIQVHQEGLLP DTKECFIIGHEVPEDHPDSGTFSTGPNLWPKSLPEDQFRIPIMKYQARMVQLVKVLLK ILARGLPSEWNCPPDALDALAENEPSIPMRLLHYAPQPVRDERQFGVGDHTDFGCITI LLQEMGTEGLEVWYPPTETWIPVPPQENAYVINIGDMIQKFTGGYYRSARHRVITFTE KHRYSVPFFLNGQLKLKCTALDGSGVETIVGEHIRGRLIETMGKTGEKLK ANIA_07894 MSEQNDWLVRLPDHPNVLATRIENRPLHLSHNKPHFESGKIAFG GPIYSSQPKDLEDSFKKIIGSIHLCKAATEEEVWDMVRDDPYAKLGVWNLDEVVITPM KVFVSQPL ANIA_07895 MSHCLRSSFISILSRPDFRFSVHRKPFLRSISTTSVRMAANINN AAAWLTEAKAHPFQVKEAPSYTPEENEILVKNHAVAINPVDGSLQSKAWWPMNYPTIL GQDVAGEVVQVGPNVARFQPGDRVVGHAVGMATKRLQDNAFQAYTILQTNMASQLPSE ISYEDAAVLPLGLSTAASGLFQDDVGSNAIQLGVAAGYEVFTTASPKNFDYVKELGAS QVFDYHSATVAQDLVAALQGKTVAGAMDCIGFAATPLTVEVVSKSQGVKFVSTVKGGF QAPEGVTVKSVFGTTIKDNQVGKAIYEDYLPKALKAGSFIPAPVALVAGKGLESVQAA VDLQAQGTSAQKVVVSL ANIA_11583 MLSGVHHCPGSRFNVYRYRRGMSLPPAMARRTQSIKLFATMSGL FFHAAASRPTY ANIA_07896 MSQHVKSPEPTESPKPRQKPGAACEECRRRKLRCDRRQPQCRLC EASGVECQVITARPSRGPKPALEGALLQQSTTNFSGPTDDHPLDTPMLDDQIDLSGWQ LPVMDDESSLNGVYAASKANSSSGTIMTNAGSGVNSISPPHRSSSIPVELTMPDVGSH IKGMENVEDICEPKISDLIQADLDQLYFDRIHHFMPIQHQSCYFSWRRQPIKTEAQSC LQYAIWTLGASVSALHENIGHSFYQYARRGLEALDSKNMSLASTDLEQVQAWLLLAIH EFMSVDFRRGWISAGRAFRLIQLNWLHGTDGWDLTRAQTDWIETEQKRRTFWMAYCLD RFMSMRTGSPPTFSERVAIRLPCPEANFQNDQPILMGFLSDALAADTSITSTFTECIV VATISGRALSHRNQCLAGDLYFSAVDDFWNRHQWINAILTQRMEAFSAKYPLDMQQQT DPMLLFISLMWRTIILHLYQTMACVIPSHDEKRDLVTEYKKRSSAAAQEIVDLTNKLS HLNSLKVHPLTPIPLSLCVEFLILYHKPGDVFTKQLQDITEAMRNLKRFSNLGQEVLQ LFDDQLLTTSPFQSSTVH ANIA_07897 MTRTSPTLPVIILGAGMVGLTLAQALKKAGIPYEVYERDSAADT EKGRGWALTVHWALNALEECLPAELFNRLEEIQVDPTLDDSRRFCFLDLSTAIPKYVI PPSKRLRVNRRLLGNLLGEGLDINYNKTLSSFHVSPETPDSVTVTFTDGTSTTGCLLV GTDGRNSKTRRLLLGEEAGALNPLPVRSIGTTITMTPEQFAPIREIDPLLFQGSHPET GVYMWFSLVSSPTINGSKDTPNPFYEGQLIQSWLYKSEKDAVPETDADRLALFKNNAQ HFQRRLREAIETLPEDSKVLHIKLVDWVPVDWDNRGGRVTLAGDAAHAMTSYRGEAFN HGVADAAMLSRNIIAAWTNPGMTGGIADAPDSPIVSKRAKIAREARDARARAKLSEIA V ANIA_11584 MAQLYDWLVETPANAEDLESRINTRPAHLEHNKPLIEAGTLVWG GPSLAAHPKAAGEDLAIVGSVMCIRAGSEEEVREMIRNDPYAKLGQVSIRMSVK ANIA_07898 MTEQPPQNHSVDLNQNEDNNENDYRSSSATDAERPCEPKIEEST AKPPTGPPAPPPPPNGGLVAWLHVIGGFMLFFNTWGIMNAFGVFQTYYESGALFERSS SDISWIGSIQATMLLLVGFFTGSIYDRGYLRALLVVGSFCIVFGHMMLSLCKTYGQVL LAQGFCVGIGAGCLFVPCVSVLPTYFSSRLGTALGLAVSGSSMGGVIYPIVLNELIGP LGFGWSVRVIGFIALGTLLVPIAVMKQRVKPPRARALIDWSAFSDIPYMAFTLASLLA FMGLFALLFYISYFGAAKPITDTRMAFYIVPILNAASCFGRTIPNAMADKIGPFNLIA PCCLAVGVLILCLLAVTTEAGLIVIALLSGFFGGALIGLPPLCFVALTKDKTKIGTRI GMGFGMVGLGVLAGGPAGGAILSHSHHSNWTGLWVYGGVTSLVAGFIICIAV ANIA_07899 MTIRIPSGEEADYTLHLPRILCLHGGGTNARIFRMQCRVLERFL RSTFRFVYAEAPFAAQPGSDVTSVYKDHGPFKAWLRCTAADPDRSAQEVVKKINLSIA TAMYDDDMRGATGEWIALLGFSQGAKVAASILYAQQTIQQRLGERAATRPRFRFAVLM AGRGPLVWLLPETSSGPGSIPMGLVDAASPSMLDSEPELPTDSTEHMLRLPTLHVHGL RDPGLSLHRRLLRSYCQSDSVSLVEWEGEHRVPLKTKDVTAVVDQIYALARDTGVLDS WC ANIA_07900 MKSVLASGALTLAFSLAALAADAFQASSWDSTHIIRRDVVIVGG GAAGTYAAIRLKDHGKSVVLVERRDRLGGHAVTYKDPNTGGSVDYGVQVYDNNTVVRD FFSRLNTPLADLSFASFGKPVYADFEEGMLLNLTAGTLGQDYINELNKYPYLDNGFEL PDPVPEDLLLPWVEYIGKYNIDLSTAIATLARPAVTGNLLNILAIYVFNNLNHLLLHE MSGAVVVNANRDNSQLYRNAVSELQPDLLLRSRVVAGQRRTRKRDGVRLVVDTPTGRK LIIAKQLIVGMPPILDNMRTFGLDSHEHSVLSHIYGLPYYGGVVSDTGLAPGFSFKNY AANTSYNLAEIPSVVAFNPSSVDGLFYYWYNAPQPVSQRRIETEARDAIKTLQRLTNS TTQPEPKFLAFSDFAPYQLRVSAEAIRNGFYDDMYGLQGHRNTWYTGTLFVTGSSQVW NNTEVMLPEILAAVNSS ANIA_07901 MPEDGPPKDIPDGLIEELALRAFFHDYCVVPVNTALSRGYLGGL EPMVHRLGLQSPVANACKAVAFASHGLKLSRPFLTKKGEILYHELLGSLARSIQNPAL GAGPDIVVTAVLLGLYEMIMAGESNPGHHNAHAGGMAAILQIENSPLGLLQAARAGHP LVLNRMVQNNGMFISPSPGGGGQSLDTILVKLGSLWQKSETLLSNPQIPLFFDELYAL REETTALNRDLILWQKAQSDNFKPTKVGYLSPSPYQLSPSAGFWPGQVDTYVDLYVAG VWNVSRVARCFLINLIVRLSNILDPTSDHRQYHNDVRELVGDIFASIPFHLTEDLGAF VAKRGANPEIANPGRPVGGLILLHPVYIASQLPVVPPDMQEYMRKCLAWIGKYMGIGQ ACLLAKAPRVEGQYFACGCMLVWAGLLI ANIA_07902 MPGTVRPGEPVQVAIIGGGIVGVVLAVGLIRQNVKVRLFEQSQG FREIGAGIAFTANAIRCMEQIDPAIVTALRSSGSVPTSTGDEKDPNDYLRWIDGYNLH REDDPYYQRMLYKINAGYRGFEGCRRDQFLEALVKVIPPEVVECKKRLESIEERGLEE KLILTFVDGTTVEVDAVIGCDGIKSRVREIILGEGNPASYPHYTHKVAYRTLIPMEDA IKALGEYKAKNQHNHVGPNAHLIHYPVANKKMINATAFVSDPNEWPNDRQMVAPGCRE DMEKAFAGWSPCVRNVVNLFPKELDKWAVFDLWDYPAPFYNKGKICLAGDAAHASSPH HGAGACIGIEDALCLATLMKQVNVSVQASRVSKGKALSAAFETFNAIRRTRSQWLVNS SRRVCDFYHQPEWADPAKWVKAETCFEELKDRSYKIWHFDYDEMIKETLKSYSNREAA LLQESSEGSVEK ANIA_07903 MDPQQRHLLQIAYQAVEQSGYFHSANPDRQIGCYMGVCACDYEN NIACHAPNAFSATGNLQGFIAGKAIITGECTAALAGGTHVMTNPLWFQNLAGASFLST TGQCKPFDAKADGYCRGEGIATVFLKKLSAAVADGDQILGVITATAVQQNQNCTPIFV PNVPSLSDLFRVVVKQSRLQPSDVTVVEAHGTGTAVGDPAEYDSIRSVLGGSSREKTL ALSSVKGLVEADDPTPGKLHYH ANIA_07904 MQYVNPPPYSSYRQPESVHLAPYPASSMPQEPTPAVSGIDFYLQ RAFSLRGSSEAQSFYDEWAHAYDADINDVGYASPRRAVDAIIDNLPPSLISRPEKLQI LDAGCGTGLVGDCLAQSSLSGEFDLNGVDLSEGMLEVARGKGNYQSLETADLNEGILS PDGRYDVVVCVGTLTKGHVGAGVLEEFARLTMKDGLVVATVHDGIWESGGFKDVIGKL KEKRVVKVVSLDSFGILEDESQGGRMVILKKIYTDQLMSVEVVWTAILDIPNPSVSAS CVAGTSASVATSRMSICPVSVVGEGYRKHSVSGVLDGNSAIRPIQLAVC ANIA_07905 MSKISLFGLGSMGQALAHRYIDTGYTTTVWNRTPEKAQSSGLIQ KGAHQALTVAEGLEAADMVILCLLDNASVRETLSKAVTSLSGKTIVNLTNGTPTQARD LSEWAGAHGAEYIHGGIMAVPDMISSGSPHSILLYSGKSNEVFTRIEPDLAHLGAAKF LGTDPGSASLHDLALLSGMYGLFSGFFHATALVRSQSDTTSEGFLELLIPWLGAMTHY LGGLAKQIDSGDYTTQGSNIAMQVAGLENIIAASEEVGVTPAFILPIQRLMKRAADEG HGNTDISSLIQYLRTRE ANIA_07906 MAAPGDITVKTLKGSWTLDKSVSDSMDGILRLQGVGWLTRKGIS AASITLQFTSGVEPSPSSGEPTVHLTMRQTLTGGIGASTEERITDWVERERSNHIYGD VLSRSRLIAGVREDGSVRPDLDLQSKPSNDAIKEEVQKFLRGAVGPTDTDDLTDLFIH DFGRNEKSGWTAEQIWSIETINSEKCLVRRVAVVQEEGYEVARLVYKFNGL ANIA_07907 MATENNPPPLTHVLETCLYVRDIHASRKFYEDVLNIKPFMQSHR SACFSLGNTTLLLFQLGQTDADITTPSGVIPGHGPSAQIVAKLNAAKEGDGDIDSLKQ HFCVAVQSTEDVAKWEAYLQKKGVPIISCTNWERGGKSIYFADLDGHLAEIASRGIWP HY ANIA_07908 MRNLSTWPTFAALLWSAPRVLAQCGLPSTYSWTSTGPLAEPKDG WASLKDFTAVPYNGQYLVYATYHDTGTSWGSMNFGLFSNWSDMATASQNAMTQSTVAP TLFYFEPKDVWILAYQWGPTAFSYLTSSDPTDANGWSSPQPLFSGSISDSDTGVIDQT VIGDSTTMYLFFAGDNGRIYRASMPIDQFPGDFGTESEIILSDERNNLFEAVQVYTVS GQSKDTYLMIVEAIGAQGRYFRSFTADSLGGSWTPQAATESAPFAGKANSGATWTDDI SHGDLVRSTPDQTMSIDPCNLQLLYQGRDPSLNPGYDLLPYRPGLLTLK ANIA_07909 MAPNHVLFFPQERVTFDAVHDLNVRSKSRRRLQSLLAAASNVVQ HWTASLDGLERADIGSFEDLVELAERQTTQTRGSIVADLVLLTTVQIGQLLVLAEDDP AILSGHAGARAIPMGFGAGLVAAGVAAAATSADGIVNLGLEAVSVAFRLGVELQRRGK DIEDSNGPWAQVISSATTIADLEQALDRINASLRPINQAYIGEVMTESTVVFGPPSTL DALAKRPELAHATITSPASALAQVPLHGAHLPPISATMIAASSSQQATELWKLAVEEV ANKPIDVHQAVTALIHDLHRANITDIVLTAIGASTETSGIQSLLEKNGLAVELGQLSP TPRPYGNDLDSIPADAIAVVGMSGRFPNSDTLDEFWRLLETATTTHQVIPESRFNVDD FYDPTRAKHNALLARYGCFLKNPGDFDHRLFNISPREAMQMDPVQRMLLMTTYEALEM AGYSPPTPAAPGDSEQAPPRIATYFGQTIDDWKSINDQQGIDTHYLPGVNRGFAPGRL SHFFQWAGGFYSIDTGCSSSATALCLARDALTAGKYDAAVVGGGTLLTAPEWFAGLSQ GGFLSPTGACKTYSDSADGYCRGEGVGVVILKRLADAVRSKDNVIAVIAGASRNCNAG AGSITYPGEKAQGALYRRVMRQAAVRPEQVDVVEMHGTGTQAGDRVETHAVQSVFAPS NGNQREKPLIVGALKANIGHSEAAAGIISLMKAILILQHDKIPAQPNQPIKMNPYLEP LIGKQIQLANGQSWTRNGAEPRYIFVNNFDAAGGNVSMLLQDPPAFALPAPASGPGLR THHVVVTSGRTATAHEANRKRLHAYLSAHPDTNLADLAYTTTARRIHNVHREAYVASS TSDLVRQLEKPLADKVESAPPPAVVFTFTGQGAQSLGMGGALYSTSPTFRRLLDSLQS ICEVQGLPTKFLNAIRGSGAEGATVTEVDMQVATVALEIALARYWRSLGIRPTVLIGH SLGEYAALCVAGVLSASDALALAFRRATLIFTRCPPSEAAMLAVGLPMRTVQYRIRDS AATTGCEVCCVNGPSSTVVGGPVAAIQALDEYLKSDGKVSTTRLRVQHAFHTRQMDVL LDELEASAAQVPFHAPTLPVASTVLGRIVRPGEQGVFDANYLRRHTREPVAFLDAVRA CETEGLIPDRSFAVEIGPHPICISLMATCLQSAKINAWPSLRRGGDDWQSVSSTLAAA HSAQLPVAWSEFHKDHLDTVRLISDLPTYAFDLKTFWHSYKTPAAAVSAASATPSTTG LSRLASTTLHAVEKLQREEGKILGTFTVDLSDPKLAKAICGHVVDESAICPASIFIDM AYTAAVFLEQENGAGAALNTYELSSLEMHSPLVLREDIEVLPQVWVEAVLDIKSNAVS VHFKGQTSKGAVGYGSATMRLGQPDSAVRRDWSRIQSLVRARVQTLNRSVRPREVHAM DTALFYKVFSEIVDYSAPYHAVQEAVIAADFHDAAVTLQLTPTADLGTFTSSPFAVDA LVHVAGFLLNADVRRPKNEVHIANHIGSLRIVGDLSSPGPYHVYATIREQDQKAGTSL CDVYTTDSQDRLVAVCSDICFKKLERDFFALLTGATRGRSTKPVAAAPAKSMAKRARQ LAPSPSPSSSSGSNTPMSRSPTPSSVSDMVDLGTELLQAVAEQTGVSVAEMKSSPGTT FTEFGVDSQMAISILANFQRTTAVELPAAFFTNFPTPADAEAELGGSALDDLEEDITK PTPSPEQTQARKQGPAPSQHLLSLVAQALGLEASDLTPSTTFDSVGMDSMLSIKITAA FHAKTGIELPAAFFSANPTVGAAQEALDDDAEEESAPAQTSTNPAKETTIDSSRQHKL DAAVSRASYIHLKALPKGRRIYALESPFLEQPELFDLSIEEMATIFLRTIRRIQPHGP YLIGGWSAGSMYAYEVAHRLTREGETIQALIILDMRAPSLIPTSIVTTDFVDKLGTFE GINRARDLPEDLSVKERAHLMATCRALSRYDAPAFPSDRQPKQVAVVWALLGLDNRPD APIASMGRPGLDIGKSMYEMNLDEFERYFNSWFYGRRQQFGTNGWEDLLGDHIAVYTV NGDHFSMMCPPYASEVGDIVIETVTRAVE ANIA_07911 MTPPLLALEEHYYSTAIFNSIGETFQRTLQGVPGLADQLRSLGD GRLEAMNRGNISLQVVSHAFTPGGPSAEACRAGNDELAAEIAQISDPQRFAAFAVLPV ADPTASAAELDRSVSELGFVGALIDNHADGKHFDGHDYDVLWAKACELDVPIYLHPTW PSARMAENFMGSYPVPVGISLGGPGWGWHPDVGLHVLKLFAAGVFDRFPRLKIIVGHM GEMLPYMLERASDMSTRWGGWGPRDRPLRQVWDENIWITTSGSWSLAPLKCILHNTKV ERIMYSVDYPFESNERGLEWFKELEGSGLLTEEQLEMVAYRNAEDLLKVHMKKEQ ANIA_07912 MLAFNPLVTALAALIFLFCQANANPPLMQRLVHEYQWKTKQGLP RQGACTPHNLAVRREWSTLDVETRLEYIEAVKCLARLPSIIDPELAPGARSRFDDFQA THIRHTRTIHATGSFFAWHRHFVYLYEKALREECGYTGYQPYWEWSHWANLPITANPL YDGSNASLSGNGVYIPNRNGTLQLFPIPNPSPDTAIYTPPGTGGGYIYDGPLVDWELH LGPVLYSYDNGQYIPPNPRPDGLGYNPRPLIRDFNNTLLQQGASWDIILNMLVNVTDM HEFHPLFFQGPHLAGHIFISGVDNDIFTSPGDPLFWFHHAQVDRIWTIWQALDLETRE YALDGTLTLLNCKNLPFRRILAGELTVCDDSTAQP ANIA_07913 MPPVRFGPRKILEYDGRYGVLICHECRYAIQKSALQSHLLRHKI YRADRQQLVAMINELDLLEPDDVLLPPPESPPIDGLPVIAGYRCTAPGCANLCASLKR MKGHWRESHGIADASLARPAKLQTFFRGTKIRYFEVTPTTEDEDDEENESENDEEEGD VDLEEQEDDNGGRQSTTVTTSPGPSAPSVNVDLETLSYFHHFMSATSLTLPCPQDMQS GAQYWKEKAVPQALQQRWLMCGLLALAACHLAAFPDNAAAGQQHRKRAAEFSLEFRTG WRELADTSGEGLREVATEIECLLRCAHWALAESPCDQRIMPEPGVPEHLQSIISTIQS TVPAAAPHEAETSAYATRILRWNTSEAGNSVLAEIRNRLHDLPARMADTFGRPENIQD VLVLLSALAAMGECCDTSFASEEVGPAWWGMATWWTRVPLRFKELVARHYPASLVVVA HWAALMVNRTERCGCWLVKGLAMTILLRIAERLPEDDDGNVQRLVALTIAA ANIA_07914 MATNQAAWLTKAGNDLEVGDAPVPTAGPGEIVVKNAAVAINPLD THMQDVGVFVQQWPTIFGCDVAGTVHETGPDVERFKKGDRVIGHAINLVTGRPQDGAY ALYTVVPANKAAILPDAISFTDGVVAPFAVEAAVCVLSLKEPGVAMPGVSTPALALPY PSLDDPVKPLGKVLVIWGGSSSVGSMTTQIATAAGIQVIAISGAHNFELSKRCGATEV FDHKDPEVVDKVVAAVQKSGQEFVGIFDAVATPDTYTSDLVILEKLGGGHLAAVHPPP AEVPSNVKAGMIFAVNDIATPVWNDFVTPALESGKIQCLPPPTIVGKGLEAINEGLKR CKAGVSATKLVVEL ANIA_07915 MRVPSLSVLSFLLGTALAAASNFEAGLLSSGKVSLGDWKSAHEK ASQFVAKLNTTEKIKLITGSSVTTTNGETFTALDILDGDMGAQAYYYVSAFSLSSALA MTWDKEAMYEQGRAIAAEFYGKGIQMVAGPTSQPLGRTPWGGRLVESFGPDPYLNGIA TGLETRAYADVGVIAGAKHFILNEQETNRTGGMGGGGGAPGGGGMGRGAEFSSSVPGG MSPTSSAGAIPSSTSTPGGSGMGGGMAGSSAFSSSSSSGAPYSSNADDKTLHETYLWS FYDAVHSGLGGVMCAMTKVNGTLSCQSSSLLLDILKTELGFPGMVWPDTNGQQDALAS AANGLDYGSSSLWSESTIEGYLESNNITEARLNDMAIRNLMGYYYVNLDNGTQPSTAA QDDYVDVRANHAKLIRSHGSKSMVLLKNKNNTLPLYKPHKMAIFGSHARAAVAGPNMQ FSVEGSGPTYDGHIATDSGSGQASLPYLITPENALNIKASQDGTMLRWIANDTYSSST GSALVMQGSSSTSVTPSVSAYSENMDVCLVFINALAGEGADRTELRNTDQDNLINEVA DNCDNTVVVINTVGARILDSWIEHENVTAVLYGSLLGQESGNSIVDVLYGDVNPSGRL TYTIAKTESDYNVDICYTAQCNFTEGNYIDYRYFDAYNVTPRYEFGYGLSYTDFAYSN LHIQGPSALSTYPTGQLAVGGYEDLWDTVAKVTVTIRNAGSLDGAEVPQLYISYPDVA KQPVRQLRGFHNVYIKKGQSTKVTFELRRRDISYWDVQHQKWAVAPGTYEAWVGASSR DLRTHGSFVVKTKA ANIA_07916 MPDEKKSPVRAASQPGSDVEVGQVASTGYDESGWSRGLSPRAVI MLSLGGGIGLGLWIGTGTALSAGKTDDETAGPAGCAIGYALVALAIYIEFLSIGEMTC YKPIGGGYIRQCMEYVDPAAAFAMGMNLWFSWTMTVPAEVIACINVLQYWEAPRNFPM AAYITIFAIVTAIPNLFHVRKYGSVEVVMSALKVFSITSSMCFLFIMASGGLPSQAGP LVFHYWKTPGAFNNGIKGVCKAVLQAAFSCPSAGWVAITAGEMKDPRRTVKRSTNPLF WRMFLFYIVNIWLVGMCVPYNHPDLTASSTLSSPFIIAIRDGGSPTFAHIINGLVFVT VLSCSMTSYYVASRSFSHMADIGIIHSWFGKKDAAGRPWFALVMSGLLGGGLTYLNLN NTSTQVYNWFSNLVGISSFCNWFLIYVSHIRFRQGLKSQGIDNRTLPYRDRFAPYSQY LGMVLIILFLAAQLYFAIYPFKGNPSAENFFATYITVPLFFADYALYKIYFKTKLVAP KDMDFGPAKYFDRIDEEEREEERLNPTPKQSLLGRIWGMRTAII ANIA_07917 MISKPFPVANSITPFWRTEPSTLDNYRSTATLPPAADIVVIGAG YAGASTTYHLLDQAQPFSRPSIVILEARQLMAGGHLKPDVYNFVGTVAETHGITAAAE LAAFETAHIPAIEAVVEREKIACDLVVAQAHDVQLDEQHTEKLRKAYETLLANGSETT KTAAFTMDMEAEMVSGVKGAKSCFSYKAGRLWPYKFICGLLKKCIAKGANLQTNTPVV DVSESNNGWIVKTERGLIRAKQVVFATNAYTSGIAPEYADKIVPVRGICSRVVVPNPP HPLSPTLDSSYTVRIKEGIYEYLVPRPDGSIIIGGARSVYVHDLKNWYNVTDDSRLIE PAAHHFEGYMQKYFHGWEDTGAYTDRVWTGIMGYTTDSLPHVGRVPCKEGQFVIAGFN GHGMPQIFLSAKGIAQMMLNGVEFEETGIPSVFKTTQARLDSTQNSILSASHLKQTRT DVRGPVVDEK ANIA_07918 MTTARKHVVFDVVGTCVSFDAYFNAIDRVLGDRLRANNITPQFF GYSWMTAAELEFTFLSISERHRPYKHILEAVFYRTLHMAGVKDPRALATETERDECIQ GYWSLQLRPGISECFAKLRESGFAIWCLTTGDIARVKGYFERGGVDLPAENIISCDSK GVAKPALDAYRPVFEQFAPRDEKWFAAAHMWDVSAAVKVGFRGAYCTVYEQDPCLVIF DTKMDVIADSLVDMAEEIVKASAS ANIA_07919 METPINAPIKRRRTREDRRRTARACDRCRRLKERCEGGIPCTRC MHLRRTCEFKRLSSVDHDHSPVPVQTPAPEVNIHELLERVMYMERILKHKFEGIDLDL DSLRRMARALDEHEQNNSSAAPEEDSIEDEVCTINPVEDTTTHYSGEFSYWNFSMRVK RHIEDRMVYISCFTYAQYQKLMAAQQTQDPLQVSNYWRAEQLHSGANSIAAAVSCCPP RHIADFLINVFFKHAETYYYVLDKEWLTDKVDALYNDRGRFGNKSAAVVSIVLTVFAI ATQYAYLDSPTRKSAEFTEDALGTMFYQQAIRLLPEIIEASSLESVQACLLFAIYALP LDASGLGYIYITLTNRLGMQNGLHRRYTGTGLSAAMVEMRNRVWWTAYTLERKISIFH GRPLSTHRFDVDAPLPTHRDDLQCEIIPYMVASIQLTQRLEELCREMYVCSLSVLSLA TEQCYRFLLRTCPKHERSSILLRLVNEKNNLEAWWNTLPDEVQAQKDVPYQQTHPHYR SCIHLRLEYCLVSMFIGRPLLLNRAASRSSPASPETQNSDTRAVATSVINNHTKHRQQ LVDSCIQAAKEALRLCSILQNNGPGLARASYAEYSSCRASLLALIARSIQGQSSQFQG ELHQGLEMIREMAAAGDSARSEVALLESLERALTRLHCANEADGTGHGDTPRNKSGAD YEGFKQWETMWKSTGSTNPEKMHSQWTPGLTLFASQNHLDEHIPQSLETGVSSSMKHT EDMPNLHSPCSFDALPFDNGSLPIQPELRFLQEFLAMPGYRFDTDFGVDDSLDGLERT GSSFSGSR ANIA_07920 MSSRQVTFAAAQLGPIQRSDSRESVLSRMTSLLDEAVSQSPPAQ VVVFPELAFTTFFPRYFFPDEQELHSYFEPESSSSPIDQSPNVKPLFDHAKKLGVDVY VGYAEAWKDGETREFYNSAVYYSGRSGTVLAKYRKVHLPGVVEPFPEPGATQQLEKRY FKNGDGFQAFRVPGLVRDALKATPNAPPAPDGQGDSIFGMLICNDRRWPEAWRAYGLQ GAEVVLCGYNTTAYAPQLLGSDLYESKPLSREEAEKEVLFHNRLSLTANSYMNAYFSV NVAKAGEEDGHPLIAGTCIVDPKGYVVAEARTKGDEIVSATLDLRKCRAGKTKTFDLG RHRRLDAYGLLLERAGVEEPPLLS ANIA_07921 MATPSPIQPTFQGHASVPARSPRSRRNRPCDFCRFRKVACKISR VGEPCELCLAKQHACTFDEAPRKRRRLRVSVSPLPVNSMDAAGDALGSGDPLMGVASQ QVGPESSLDHELYDINTYSFSPSAVLQGMHSPDGRRDSKTNTSGPQDAVHCSPASIHA VNSAPTPTFASNQSRSCQERNAAASPASSEITSGRPSSPLMRFVGSSGDLDTYLLAHR HYDEQNTSLSRHTHIVYQRLQNLESSLAESMPPPLLTMCRNPSLPRGHEITDTKLVQE ARQEVQQLLNADTVQRLCALFSRFVHPYLPIIDRRTLTKESSRLLDSPALLAAIAATA SHFVAYDDVLCLESAKPPSPGRLYQLSWHLVLGELASPRLSTVQVLILLLHRHLPDEL SFEGSMDSNMRGLLVASTYTLGLNREPGRWDTLSPHQRKLRRCLWWVAWVTEKWMAFS DGMPSQYYDSEYNVSPLEESDIAALDNRPVGYSPFVYLNQLTFILDDVVRSYFSLAAS DQTSASLSVSLALAKGLRLRLRAWLDSLPSRLQPHERRTVELLDGNDDPGPSGNFSLH LAYMTTQLAIYRALIRPVSLIALQGHTWHNAPTSLDIDLDAAEAVVEGALSNTKTLLA SLMKLTTAEWDSFWPGWSRHNFASISTLLLHLYLLTSRFQAWPLSGPSSPNKAGSCFL TSQLEKLQALMPKWRWHLILASRGAGGRKGLTNLALLRLDALMNEWKEESPMPTS ANIA_07922 MPEEQLEAPSHEAAIQRNPHADFGAVERARPPFDHRSQMTFTKT PNPDWKAGSGASNEEWKEHEYVTIDPYEEGRGPWLNYKLLVSATVPRPIALASTVSAD GKTANLAPFSFCQCAAVDPPMYSISFTSRTANDTLTNLLATKEMCISMTTESIVEAAN FASVNSPRHISEWPLSGLTPKASDLVKPAHVAESPYSVESRRIPPCEHPPW ANIA_07923 MPGRRPHTKSRAGCQRCKEKHVKCDEVRPTCGACARYGVPCVPK PLATVRRGEQRGNLSSASPALPALPATPASPASLLLVPSRARAALSLWEFELVHHWIL HVAESFQVSPGFHRAWCDRGIRVATQYDFFLHMILMLSALHLALTKSPFFTEAHRTFI LEGCSDATTSFRKEAENINDSNSHAVQAFPFLMSIYALALPQFDREEKGGEAVLDEMI HILIVIKGNSLVRPTTTPWPRARVSPRWMEEKDYLDQTDEDNRDKDLRNALGTLQPWV ESSSDDPRVRSINSETIQLFRETLKIHLKRSLRPLSWPNAVQNDYIDLLRHRNPMALV VLAHYAVILGQCSDQWWCSSWGERLLSVIAAMLPEEYQGAIAYPLQMLT ANIA_07924 MKLIFVLETLLFSLAVASCPYGHDNHKWQRPRPEDSRSPCPGLN AMANHGYLPRNGKNIDLAVARAAISGAFNYEPTTIDFMFQAAIDFNLSTTGNRSTIHL ADLRKHDTIEFDGSLSRSDLYFGDNLHFNPSIWATVAEHLNLYDTGPCGNETYVTTRQ GRCRRAGLGHSLVRIFLRANGLR ANIA_07925 MASSKQAVVCVFCGATSGKDAAHLEAARALAYEFHKNNVQLVYG GGTTGLMGEVAKTLVALSGPKSVHGVIPRALVQVSGKREGAEVNGGAKAAERVLAAGT HIDEKDCIPESEYGVTTIVPDMHTRKRLMATKVMEGGPGSGFVSLAGGFGTIEELMEM TTWNQLGIHHVGVVLLNVNGYWDGVVAWLHHAVSQGFISAENGRILAETSNPTEVLPM LMRYQGSSDRMLLNWGDE ANIA_07926 MSGTVVITGANGSLALGFVESFLALYSQHTLIATVRNPSPERDP NTAKLVHLISRYPSAHVLVEGLDLGSLAAVRSFADSLAARISTKELPPILAIVCNAFT WSLESGQKFTADGFEATFQVGHLAHYLLVLKLIESMDPRAGRVVMLGSTTHYPDKPNP LSSLRPGIPQDIEELVKPRPDPPHLVHDRGFQRYGTAKLANVIFMEDLNQRLRQIPKL STITATAMDPGGLVESRAQSGQKASVQRLFAAIKLLMPILKHITTTFRTNKDAGRDLL ALSLDPAFQGKRGYYVGQKEEAAASVTGDAAVQRRLWEACWQWAGLAPEETVLCR ANIA_07927 MPSQAAKPSAAERRLARSHAARSTHARARRLRTIQYQAQKAQDA SNELQEDSGELPQYGLLHALSYYRRDPFESSARRLRPMEQMFFDHYLTVIIPLMRCNG LDVEFYRRMTLSWIPLALSDDGLLNVLFLAACRHLSECYQTRPQAEPFSRMAFQYKLR LLRSLREEISAETAHFTDASVIKAIMLAYDEEKLSRRLLINA ANIA_07929 MTSTIAAKSKPLPAGIYCPVLSLYKPTVRQEIDYDASYKYFVYL IRGGVDGLVMAGTTAEAVLLPASERQELVRVARRAASDLRLPQFPIVAGISGQSTNES IRLAEEAHEAGADFGLLLPPSYWVKAVTKEVIVDFYRDVADNSPIPIVIYSFPAMCNG VDLNSDIMSELAQHPNIVGTKLTCGNAGKVTRLTHEYAHEQFAVYAGSSDWLLPCLIG GGSGCVTGIGNVFPKSVARLYALWKDGKVQEATKLQGLVAQAEKACKEGIAPTKFAAS YFAGPGAGVTDPKAFWPRRPYRPSGKEKQDWVVQVMQHLVEIEQSIPDRV ANIA_07930 MEGKPDISIYFSRLPFGNRTWSEAIKVTHDETRSEQNPVMFQHP SGELWLLYTSQQGGNQDSAVVKRTISFDDGNAWSDPTVIFDEPGTFIRQPVIILKNGI FVIPTFKCRVELGAKWIGNDDISAIRTSDDQGQTWSEIPIAESTGCVHMEIQRLKTGE YLALYRSRWADFIYSSTSPDGLAWTAPKPTSLPNPNAGICFDVLPSGRVVVVYNHSSR KNALGRREGLYDEIIEGKDSRPNQKPRPDGKEAFWGAPRAPLSVAWSDDEGRTWQHRT LEEGDGYCLTNNSEQKLNRELSYPSMVVGEDGSIHIAFTFWRQTIKYVQIGENFFGV ANIA_11029 MAGGTSLWVSKEARTDPREIFNLRHLYLMVTLAWAGCFYGFDTG NIGGILTLPSFETAFGLIDLPQKEYDDRKGTIAAMVAAGGAGGSLLAAPTSDVLGRKW SVFLWGLVFMLGAALQMVPNYDILLAGRFIGGLGVGASSMLSPQFLAENSPKSVRGSM TATYNLMIVTSLMLAFWWRTAMSIQLIPGGLMVLMIPFVPETPRYLINHGKAEQGVKN LCQLRKLPPDHPYIQLEFHEIQAQVQFEQETFQGHSYWVVLKDIFGNRSNLQRFVLAL LLFIFHKLTGTDSLNYYAPQIFELIGVKDNSSLLTTGVYGAVKVAATIFYVTYLVDRV GRRLPLLMGATIQATAMLYLALYLRFAGTDNTDMGGTPAGGIVGIIWIYLYAFGWSFG HSVACYIVAAEIFPTRIRSACMGFCFFTNWIIDYGITRATPNMLTNMGYGAFLLYALL TYLGVVFIFFCLPELKGRSIESMDDLFQRPLWTLWRHAYPTAEETVRHGVREAKREEQ KQSHN ANIA_11030 MATDSFILNTGARIPAVGFGTWKAGPGEAAAAVQAAFDAGYRHF DCAPLYGNEAEIGQVFKNTKVPREGYFVTTKLWSSDHRRVEFALDKSLRDLNLMHWPV TLDPSPGDVNYGKEDRTVHATGWDFRDTWREMEKLLDTGKVKTIGVANFSTVNLRKLL ETSRITPAVNQTEIQPLLPQEKLHAFCKEKGIHQTAFGPLGGSVSTLHQHPVINAIAR KRGCETGNVMLSWGIQKGWSVIPKSTNPVRIKKNLSQNFVLDEQEMKDMDGLAKPKGK RFNRPNWGTVIFHDDVDVDLE ANIA_07932 MAILWSYLFSSYAVIIGLVTSVYLLGLGIYRLFLHPLAKFPGPK YAALGRWHEAYYDIYLSGKLIFWIEEQHKKYGPVVRIAPDELHILDADLWETIYTKAG RVDKYDRMSSRFGNDTSVLATIPDSLHRVRRGALNPFFSRQRILGLQDIIRQKLNIFI KRVEEYKALNAPMPINRGFLAFSEDVIMQYCFGHDYAALNKRGWAPTLHDPIVNVTLA GNTALHFPIVPKIMNVLPQSWIAKLDPVYVPIFQMQRIREIKDSLTGESPKIDKQTVF SDLIQGDLPASEKADRRLQDEAQLVIGAGLATTGWALTVGTFYLLSNPKVLARLRREL DEAIPARNPENPSGALEWAELEKLPYLTGVIKEAVRLSHSTTSRNVRRLPKPITYKDW VIPPRTPVSMTIPFLHLDEDIYPEPKSFIPERWLDNPKTKNGAPLERYFVGFGKGTRS CLGLNLAWCELYLVFAAFFRFFDFELYETDFSDIELQHDFFLPFPKWDSKGVRVFVKE RSA ANIA_07933 MAAPSPSPPFIEAESSDSDSTFGEASMTSMTSTESLRSSLLLSI REHGRGYHKYASGQYYLPEDEEEQQRLDMQHEICLISLDRKLYLSPLPDDIQNALDLG TGTGIWAIDFADQHPSVNVIGMDLSPIQPSWVPQNLKFEIDDYEKQWTWAQNFDFIHG RMLSGSIANEKNLFRQAYEFLAPGGWFELMDFSFPVRSDDGTMAGTAFETLNNKMMEG LRRFGRDGALPEQYKQLMTETGFKNVKEVKYKWPQNPWPKDPHLKHIGQWNMVNTLDG LHGFSAKLLIEVMGMTPEEHQELLAQCRKDITNPRIHAYWSIVVAYGQKPGAVKTPTE T ANIA_07934 MSPHYYLSYKADLSSLPPRSGPFNDFLARFHVIQNDVLDIPSFI QALKEKRYGDFVVTFRPHFQSGGEMGKWDDELIELLPSSVRIFASVGLDSTGRTSRPL DAEGSVSDTTLYMILSVFRNFTRTQLAARTADPEIFTASHKLIASISHNPRGHILGLV GLGNISKKVVDEEALIEALETGSPSAAGLDVHYHEPQVSPRLAAMDAVTLITHIAGGA LNTRINFELNSMENILATVGAQGELIGQPFTPVNSKQVLEYLKAQT ANIA_07935 MALQSTFRWATLTLFRRSFVPPVRTPVRIIGTHPFSSNQSSPQQ VSRPATLHKHQEQSDKSPYSRSPSRVSRSQTELFRRRPDANISTTPENERYFGNIPPA ADEKNLRNFLKHAGFSVANIRIAMDPFTGNNPGYCFVEFETRKDADRAVELLTGREFR GRYLRVEHVASKQRRMKPKGAEHVLDFWSPEKAVKHFDYARRGQRLLINGLPPRRKLK QGILNQKLVQFFQGFNVEAISKPLIPPESYDTPHPYNAPCHCYVDFATAADADKAMDT LNGQIGPWGQPLTIEKQRKNWAKPKSAPKQRPRRALFDLDYEDQ ANIA_07936 MASPQTPSKEGDTGLKGGLDVVATNTTGEVPYSVFTKAQKRYIV FFASWAGFFSPVSSQIYFPALNSIADDLGVTSALINLTLTSYMIFQGVSPMFVGDFAD KAGRRPAYMVCFLIYIAANIGLALQDNFAALFVLRCLQSAGSSTTIALSAGVVSDIAI AAERGSYMGFVTAGSLLGPAMGPVIGGLLSQYLGWRSVFWFLTIFAGAFLVPFVLLFP ETARAIVGNGSIPPPKWNIPLLTLFQARKEPQPEDFDWPKLRFPNPIRTLSIVFQKDI AIILIANAILFAGFYDVTAAIPSIYNELYGLDDLYIGLCYVPFGLGATVASIATGKLL DFNYRRLAKQLNVPLQETRARNLTHFPIEFARLQVALPLLTLGAFTIIAFGWCLNYGV HLAAPTTILFLMGLTLTGAFNTVSTLLVDFYPSNASAATASNNLVRCLLGAGATALID PMLEAMGRGWCFTFIALVMLCTMPLLCVVMRWGPRWRNERQAKIDAATTGNCQN ANIA_07937 MGWFDDDSHQARCYGEFQDLDHTNPEHRAKFSHELIAGAASFEA MKAYENHCEREGKPQSHETAKELLAGFAGAFIDREIETKGLDFVDREEAKRHARRQVE EASRQDYY ANIA_07938 MVVSQSMVGRTNAEKPHGLVGMLKNPYVFMTCAFASLGCIMYGY DQGVMSPVLVMENFQNHFPSLMGSTIQGWLVSALELGAWAGALFNGYLADRISRKYSM MVAVVVFTLGSGLQAGAQTPAYFFAGRFIGGLGIGMFSHVIPLYQAEIAPPELRGSLV SLQQLSITIGTAIAFWLDYAHGHTCLGQKSIAWRFPLALQILFAWILFFGMFLFPFSP RWLMSKHREEEAVVALSKLRRLDPNDPLIKAEVLEIKAAVMFDEESDREAVQRGGKLA PWKALFAPNMFKRLVLGCGMSSAFSLFLTDADAGREGMMICQQFTGINAVLYYAPQIF ASFGFSSSKQTLLATGVTGILQIVFTMPAVLFLDKFGRKTFLIVGAAGMFCCHIVVAT VEGLYEDDWALNEGLYKAQGWVAIAFIWLFAVNFAYSWGPVAWVLAQEIFPNSARSRG VSIVASTNWMFNFVIGLTTKDMLNSMKYGTYIFFAIFSALGGAFIWWFAPETKDKTLE ELDIYFGGTQESITEADRARMARINEQLGLSGVEKVEDLIDEKGGAHDELREM ANIA_07939 MSTKRLIICCDGTWQDSTDDASEPPSNVTRLSRALSRTAIVKEN GVLREIPQIVYYQKGVGTGLGDKYFGGVTGVGLSANVRAAYGFLSDNYADGDKIYFFG FSRGAYTARAIAGLVCQWGLLTPRGMDNFSNVYDDFYGKKIAGYTDEQRRRLGFRPPL PRFTVELIGVWDTVAFHKPWLGRWFGEQLEFRNTLLSRDVRYAYHALALDEERTAYQP TLWHQPDNAEGQEMLQVWFSGVHTDIGGGSVDPRLSNITLAWMIAQCSKHNQLAFDVE GYLFDSPPPGIVAESAPWATALGAVAHSSLTRTVERWLGGRSIRTPLAYDQPGPASEH RPTNELIHTSIKDRVLSGAPSGAVRWASPVIRGRQDQDARTWELQNGHKLVEDVPLEL EFFMRGRIRTVHVDEEDES ANIA_07940 MADMNEPGVTRQFLSWVSSLTAHDIPEKIQKRVKYLTLDELGCA MVAAHLPWTEKATGIILDMEAEGSCPRVGPLPAALLNSMQIQGFEIDDWHSLAPVHSN AIVLPALFAGAANAKAKGTVTLGDSLLLSAIPYALTGLALGRRIRPRTGCGGCGKIDQ PLRTSNLGCDWDSLHTGLWSYVCPVQQRYKAHAARIRGTKWSLCGSARSGGLPGIKNE YPDKMKDLSKIKKITFEMSESAYKHGGWPAQRPLTVTGAQMSCAYAAPVQLIDGQAEE TGNAMSQRARVKFNDGTEVSKFVAAAKGFDLPLSNREIVDKFRTFTKGLIPEEMQRKI EETVLGLEGIEDISELEDLLAGLISSPFMGVSKRSSLYGG ANIA_07941 MHFFKTISFLAALVSIATAVPAPSACTTIYPSIARVDAAQPVAS YLPGFRVSQEANAAKKQDTFIEFTVPQGVWGCTLSYSIPAGTPVNTVGLAPVEVFSAG PLSRSPRGIDISWDYCPAPISLVGSVKFESGASNRVINSFACAGTMTYRLSISNGYSS KTSVEFAQAPGVGLRMSYNC ANIA_07942 MPKVRTGCFTCKQRRVKCDEGKPACQNCERTGRRCEGYPPGPAS TPAGDGSLDAQVQRCAQQIVVYNLPFKVPGSAADRQLLHFYTCEAAGGLSSFSDSTLW SKLVLQRSHHQPVVRHTLVALAALYRDYIEGGNRVQAPASHAAMQRIAKCHRQLRLYL RSADASADIALICSILFYAFETLLGDCSTATQHLDNGLRLLKRCQMQALAHSGRDELL AHLVPIFSRLDINASTFDNERRPILTLVCLAERLGPMHVVPSSLASLDEAENVATKLE NWLMHYLIAHVAYKHKPVDEFPRCLVRERFALYQQFERFFFVFAALLESLPGEIPARA LLLRVQTKMYYSLLLENIPNGSFGPCPPADSLRNTLADIEGFLSMESTQKSASTFTLS SQLVAILYFMCLKSTDVERRDTAFALLRHSSMPAKDGLWESEKAAAIVQTLIEQTKMC EPSRSLEETGGDVFSPEEDGLEHVFRNLNKRPGPSVLIKEPSTIDTPSESRQPSDRVV GFGVT ANIA_07943 MATFDPAHPRFQGFDLIQATYKHVGDHAVRVDVLVPQTLETGKR PTIVRFHGGGLVMADSIFADFWPQWLSDLALRHSAIVVSPNYRLMPQATGLDIYDDIE DFWTWLRSPDFQELLAKHKIPTQLDLDRILVTGESAGGLLSINASLTHASEVRAAIAT YPSLDPSSPDFTQPRTDLLPFGQSLPESLIEDVLGPVRDGNPVSSLVGQEYLPVMCAA IQYGRLGGWYARESQESARQKLLYPVRRLEEPDVQVPVGGITIIQGKQDSVVPASHSV PFVERAREVLQGKPGAERISLVLRDGEHGFDAEVRYEEQWMKEALQTAVGAWLA ANIA_07944 MSHEITLEPFLITDIREALDVSELAFAALNRFLYTSALSEKSMD AMVALREGQFKEPHVKAFKAVHTATGKLVGLTRWAVYAEDQVVEKSVEEVVEARLAAD IPERRDEVARAIFTEIELGKREFLGVGTIENNEKGVILRKRVELEAILVHPDYQKKGI AKRLLAWGIEEAQRLGLNLWLEATDEGRPVYERAGFQSLKEVKVVCEGVGESPVTFMI LPPKRSSK ANIA_07945 MATQGHGTICGQQLADTLPVGVAVVNPSDEIVFKNRRFQELTAG HLTVGLDCLAQGVNADDYEQLADAYRASLRLRAEIRREYRVKNHTEEWRAMSLTPLKD KDLDQFGLGDKGGSICMISDITPEKTAELLQRKIADDAEERKQQQERFIDMISHEVRN PLSAILHCAEDIMDVVAEDVPEDAKAQMARIAEAAQTINLCVAHQKKIIDDVLIFSKL DASMLTLSPRQVQPKSHVATLLTMFKPELRKQRIDFRYQLDRSYADQGLDWVLADLDK MGQVLINLVSNAIKFTAQAEGERTIRVSMGASKCRPPSYPPNVVFFEPNEEALKLDST QDPEWGHGETAYIMLAVKDTGIGISDQQQKMLFERFKQATPRTERIYGGYGLGLNISR RLCHMHGGDIGVSAKEGEGSTFGFFFSVRLSSEEHPQSSVVSNREASPVDELCYQINE LNSKIPDVRNDTSMADFDEKPTVQQVNEVTNTPSDERKQHSLKLAKEVDENPGSSTDA QKWGSNVDEFASNQEPGAIATMSKLVKGPRVLFVEDNVINQKVVCRKLRVSGFEVTTA NNGQEALGLWDSDKFDCILMDQEMPVMDGNTATREIRAMEKEKGSHIPILGVTANVRQ DQQADMLNAGMDGIIHKPYKMHELCEKIHDMLPEA ANIA_07946 MRFRASALLALAAPALALAHGTFEHESHETQLLKRNFFHIGSRS LKSYAGNLNSHGTNARARRHRAAVVSYHRRALAQKRSMVEVLNTSHLYGGDCIGPNAR APPSPTSTTSSRPTLIRSDAREDQEGVPIIVEAQVIDFITCKPAPGMWWDMWNANATG VYSGVINEGNGDFTDHSNINNTFLRAVQQADQDGVAQIKTIFPGHYTGRTTTSILSRT RMRNAHGIRTLETLPGENGPGPAVYDSPLPSAWDDETDAAEISAAKSWLEEQNNVAAA TQAA ANIA_07947 MVLTPACTLPSSTKTFFWGFTIFRPLYHLRPRHWHQRVGFQITA YAGRNDSLFRAAIMQSGNPVPEKGLNGTQYFQPLYDAIAQRVVPITSYALANDMAAND TCWDVDRMACLRNMDFEQMNDAINATSARAWFPVIDGDIVPEQPSRSLYTGKKYVKVP IILGASTDEGSKYMPEQNVTKEEEFVDLVANPQSYGVTPGIALPKILVRQLTEAYANI SSSPCDAAIDANRRQACSTWSRANTSTYCYRFNVPLHDQNTAQQGNELPFVFANTEEL GTNTD ANIA_07948 MRVLYLACSLAGFALMGKTQASLRNYPVAETTNGSYKDVYLPHY DQDLFLDIPSAQPPIEIRRFRNPEPLTTDWTGERPSTKYAFVNSVKFNKPMNAVSIAY RLGPYGFFNGNEVAAEKALNLGLKDQRLALHWIQENIAGFGGDPRKLTIYGQSAGAEG VGYHLRAFNGRDDGLFRAAIMQSGPVIPQGPLSLTASYQYRYEGVVAEEGCSHADHKL DCLRALPFAVLNNVLNSSAYNTGWGPTVDGDFVARYTSEQVDDGSFARVPIIAGTTTD EGSTQSPKPVNTTEELRGWMNTTSSYQLALPKSSINALLTLYPNTTTFGIPSSAELGG NVTLPQPYGAAFRRSAAYFGDQVFTASRRKTCQAWAAHALSAYCYRFNTKPTTTSWVE GVAHFSDVAFVFNNLNGQGYDVNPFAMGNLTHKYIELSYLMAGSWASFVSDLDPIRGE ARGEMPLGLWAESLAGRYRRLIGRAIWFSMRT ANIA_07949 MRSTANSLRLPALGALGLILTTLTPPALSLPGSGSTTNSASDAI PIHWLGDTPDYVAGTTFGLPWPRGKYTFNNTVFSISGGADEIPLQSWVNGYWADGSIK WTGHAVPALGSVEEGYTVNVRKGRAGGSKANTSESIEVTSRGSEVSVNTGKITVTFPK TGSNLIGSITTSSGQTVGENGKLVLHTQSGVAEDIASRANTTIDYFNFESKISNVTVS KENSVRTLVTVRGTHAATSGDHDAWLSFVVRFYLYAGSDAIRIVHSIIFDGDAESDFI SGLGIQFQVPLAGEELYDRHVRIPGVDGGFLHEAVQGITGLRRDPGEEVRNAQFNGTK LPDESTWDTRVTSRLQWIPAWNDYKLSQLSPDGFTIQKRTKPGQGWIHVPGGTRSHGL TYLGGATKGGVAVGLRDFWKRYPTGVEISNAAGDEGSITIWLYSPEAAPLDLRPFHDG MGQDTYEKQLDALEITYEDYEPGFNTPYGIARTSEIYLYAFDSTPSSDHLSILSTHTN EPPVLVAEPAYIRETKALGSYWNLPDTSNDKAATIEDHLDFLIEFYEGQVEDRRWYGF LDYGDYMHTYDEDRHIWRYDVGGYAWDNSELSPDLFLWQQFLRTGRADVYRLAEALTR HTGEVDVYHIGDWKGLGTRHGVQHYADSAKQVRITQPQYRKYFFYLSGGDERVGEILE EALDTDKTYEVLDPNRKVRTDGWTPTPGQSATISLGTDWAGLAGGWLIEWEPLEHTGA GKRHDPNNKGLVSVSHLNAVFGLPEVISELLEYWNDGTDAPAGFKKDWLEYCYYYDAS AGEHKARYCESFGSLNLIQGHSRLTAY ANIA_07950 MFTKTQILALALSIASAEAVSKGFNYGANKPDGTLKVQADFEAE FRTAKNLETTSGFNSARLYTMIQGTGSTPISAIPAAIAEETTLLLGLWASGGNMDNEI AALKAAINQYGDEFAKLVVGISVGSEDLYRNSEIGVQANAGIGIEPEELVSYIQRVRE AIAGTALSGAPIGHVDTWNAWTNGSNAAVAEAVDWLGFDGYPFFQNTMQNSIDDAKAL FDESVQKTKAVAGNKEVWITETGWPVSGDSQNLAIASVENAKQFWDEVGCPLFDNVNT WWYILQDASGSSVPNPSFGIVGNTLSTTPLFDLSCSASSKKNSSSASASASGSSAQST GFVSTTKPAASPSGSSGLGHGGSLGSSGSFSGGHYAGVGSSSVIASPSATPSGSAVPG SSSGPGSSSGSASGSSSGFGSGAAADSTSGTSTSGDSTSSTSATPADFTGAGSRLSGS IFGAAMLVAALAVAL ANIA_07951 MDRPTPLRRRSFKIKTKFGAARQWRSRKNRPCDACRRRKTACII ETAPPCRFCRSKGQACKSTEDALSQRRSSREVAPSTDVTSSSVVDTNYTSIPSILSPE LRPNSLSAGQSASPSNWIEPASQTSPYVLTSPLSENGPTIDTLEDNENRTAHSLGLAG EQDTDLLASFRSVITNERDGISADVIQVSSGDLDATAFPVHFNLLMDEFQPADDLAKQ RISEKIEAMVSPHAATLVRLFFKHVHPVYCVVSKVRFLRAYAEDSLKIPASLRGAVYG LGSMFWQHDPDVEGTLQFDLHDLFEAAQSSLQRELHAPNLWGIQACLLLLHERPADNA TIETPRTWVFSSRTVACAQMIGLHRDSTTWHLVPGEQKLRKKLWWATYMSDIWSSVCH GNPPLVYPRSFTTTLPEIDDLAFDEDVPADFQDMVDISSQAVDISTSARFLEMVKLSR ILHELIDSYYLDLSYQQTITQTQAREAKLLSIRRELETWLSMAPNCVTMAYIGAADFR NNAYSIAPLTLAYYAVQALLFRALMSPARMSAKSDPTSSLCRYFDLAASEFRKFTLFM NSITSACLHAFWGGRRFPFAISNDADWPDARSQLTLCGNFLIYLFLLAPSPHQVHSAF ELLSSFHESLQRLRGWADDDASLALLRPVALRIDSFFLHAARIMRSGMGGT ANIA_07952 MGSLPDHRYRIGVDVGGTNTDAVLIAPDSMTIIASHKAPTTPDV TTGITNAVQTVIETASVSLSSIGCVIVGTTHFVNAVVQRSPALRRVAVIRLCGGPDEG FGRGIPPFTDFPLDLRSCIESPRQYFCHGGYQISGEEISAIDEDEIRRIAAELTADGV QNIVISGMYAPLNNAQEVAVRDILLQTMTSANSKPRITLSHEISGLGFLSRENAAILN ATLRPLAEKTIYAFKKAMRDIFQSNPYTLYLTQNDGSVLSAGEAVDKPIRTFNSGPTN SIRGGEFLWRAAGKASGLGQEDRTEPLVVIDIGGTTSDSGLLLPNGLPQMSSVTGLVG GVRTNFALPAVESIGLGGGSIIRETDGELTVGPDSVALELLEKAKLFGGDYLTSTDIV AAAGIHSPCEPNPFRGMGDTSRLADITADMVSRVREKMRQMIAALVDRTKTQKGDIDV LIVGGGAALIKTDEPLTGVRSLRTVSGAEVANAVGAAISRVSGVIDTVVDTSNQSVKS AQEFVSRSAVEKAVANGAKPETVQIAEVTMLPIQYVDAKARIVVRAVGELAVVSQGVE EIFGQCEKHEEAEKEEVARSIPAKAADEVDDIQSYRPLIKNRQWIISTTDLGFIAQGC KVLGSGGGGDPYQEFLKVSALVRKNPGTVRVVSPDYLPDDALVGWTGNMGSPEVSMER LENDECLKAHEELMRATGSPQVSGFMALEIGGGNGVLNLGVAARFGVFCIDADYMGRA YPTTWQVTANVYGTERGEALVPMTIASGDGSFITMTASRTDKLVDKILRASCVEMGCR AGSAGPPKTSKTVREQAVTNTVSLAWWIGRAIALEKTISDRAKRMIDELGGPESAAIL GEGKITSVERVLRTGHTYGVLEVDGGLPDGTKVIIRIPFKNENAFVEAVLPTGESRIL ASVPDLIAVLDAETGAGLGTPEYKYGLKVMIIAIAASPRWTDTPRGMALGGPGSMGFD GIEYVPIGKYRKPRSVIDAFAV ANIA_07953 MTTPSIPIIDLEPARSGGPEELANLAHEIYQAFKHVGFAYIKNH GVPQDLIDEAFSWSAKFFALPESEKNKAPHPPEGSYHRGYSGIGREKVVQMVFDSDSI AERRKTPDVKESFEIGNENDTKMRNIWIPEESLPGFRGFFAKFFNICSDLETLMLRLI ALGMGLDENFFLKYHSERTNQCRLLHYPAVEEELLRAGKAERIAAHTDFGTMTILFQD EVGGLEVEDIHEKNKFNPAPYIPGTAVVNIGDLLMRWSNHELKSTMHRVRAPPLVDVE DGSTVEKKRRMTRPRYSIPYFISPDRDKMIECLPNCHGEGRPKLYEPITSSEYIAMRM NATY ANIA_07954 MKSPIPLLHGTGSIPPIGLGTWQSSANATCLAVKHALQHGYRHI DTALNYGNEKEVGQGIRDSGIPREEIWVTTKLDNHWHHRVREGLESSLRDLGLEYVDL YLIHFPCSTDPEDRSKHLKDWDFVRTWQEMQKLLGTGKVRNIGVSNFQISHLERLLND PSCKVIPAVNQIELHPYNPSLRWNLQRGVSVIPKSITPARIDSNIDLEGFELSPDEMG EISAIKTRAKVVGDSWMPIRVFTGDDE ANIA_07955 MNVPGNSDQIKTLKLLPLPLALSIQASPVDENADYENTTWCNRD LIPIPPERRTYGVWSYFGYWTVSGACVSAWSTGSTLLAFGLSPQQAIGVIILGSFLTG LLSVFCGWMGEVHHIGFTVSSRFSWGMRGSYCTPFRSPHPKGPNGRRLTRATVPVILR SFVGCMWFGMQAFWGGQATRVMIGAIIPGFAHMKNYFSASSHLQTNDFIGLVIWMAGF IPAVLIKPEKLQIPFFSCFVLFCGTCFGLLIWSVSQAHGAGQLFHEPGTAPNTGWAFM FGITAILGSWGSGTLGQSDWVRYSKRRYAPTLSQLVACPMTISITATIGIIVTSASNQ IMGGEIQWNPIYLLADVQEYYGSSPRVRAGVFFASLGCVASQFSISVVLNSVSTGMDL AGLWPRYLNIVRGSYIMAIIGIATQPWQLISTATKFLSVLSGFGVFMAPATGLMLADY HIIRRHCLKLSDLYIGSPSSIYWFSHGVNWRALVAFTAGMWPLLPGLAANVNSWTEDK WTGWLRLYNLTFIVGLTISLLVFWVLNLLFPVLGTDLDGPFVLQGVDGGCDGCERSSG TAIPSEAGNEELPAATEQKTAKEKRAEVSVV ANIA_07956 MPSLQDLPSELVATVIDHIDPDKASLSRLAQTRRRFHTIVMPRL NRDVVIRNNQGLAYRAMLGRLPGIASAAMKSLTGLENLTVKGGGPALDSQPFRVHKWH VFRRHFLAALKEGALAHLRTLELNLTDNEPWCCDIDAFMSHPTLKKLSILGAHISCCG FPVPFTRSTALEELTLLCCDFSAETLARALAVPKGLRSFTCKGEVRESPNDSNEPRSD PKSYIAALSQHKVTLESLDINFWPFEDRYSDPLDMRAFSKLQRLTVRVEELYSRMLYR LPLPECLLPRSLQELVLWTTLYDSSERIQEEVRKEIDRWLDHLPNLQSLILETGTPII FEYGYPALHRQVDVRWRRFMNDRYWPLDCSNCHYQLTVARHPDRPSENI ANIA_07957 MPLPPITIGSWDNLDPASNILGRVFDRDPVLRFMLCNLSDDQYN AYLQAYWRGLCRTALLNGAIILEADGWKSVAVILPPGRNVDSPWTIIPAAFGFSGVLW RIGISGRPVNAAKKRVLGNRQHYYVFAIGTEYEHQGKGTNAYPKT ANIA_07958 MPLTSVAGAGTERKTASTREVTQRDPDTMEAQRGGGSADDSGRT LAPEDDRFGDAHISYREKQRLDRYLSFLPSLFFSLTLLASWETVAGGLLAGLYNGGPA AIVYGLLVSIVGNMAIAMSLAELASVHPTAGAQYHWTYVLAPWHPRFFSFFQVNIFAR RCLGVIETVAGIMHIVILPMIIGVLGRSAVSSNESHNSIEDANNFVWDTFLSGFSGWK DAGVVFSVGLLGVITPLSGVDGIIHMSEEVHNARLTIPRSMVWGTLLNGIMALGYAIA ILYFMGPSPEAYASALLTSTGYPIIEITYRVTGSKAATFMLIATGMSSGWIAFFNGLA SVTRLMWAFARDNGLPFSDFFVKVDRRLKIPLRALGLVTGWIFVLSFMQMGSTAAFNG IMSLSALGLYISYLFPLSFLVWGRLKGDVPSGAYSLGRWGLTLNLVAILFATYFAVFL PFPPTLPVTPENMNFSGPVLGFIMLCSCVDWVARGRHKWTGPTMRYPRG ANIA_07959 MPDPNNPTEAPLSTKSHVLETAAAATQNFTPVNQICAHLHAFHV YADDPTRCVDANHYCTHLTEDIRQCLIYDSPNKGARLIGVEYMVSPRIFDTLPSEERK LWHTHTYEVKSGMLIMPTPAGLPNAAWEAAETSEMRDIIPLYGKTYHLWQVDRGDPVP LGEPKLMLSFTDEEKVKNAVPGGLDELVKERDRAFGVDTKVKREKRADIEATEKHPEP AWGGTKITLESC ANIA_07960 MDSSEANRMATRAQQDLNTYQAKQGLGRKSDSTLESGVDELVNR RFDQPTDVRYGREAVPTASDRKPIPEDEGGIRDDRGRLAPAQGFEGKGGPEDKVDLE ANIA_07961 MLCRVFAFVLAASVFYVLSLSIMSPPTPNLEVRLQSPSVPAKFT PPIPLTIQVSVQNKGETPATVLKWGSPLDGRANVLGIFEILDTENDKVVEITTVKFSR QLPPSVEDFVEIPPGGKIDAEVKIPLVPLEQGKKYTIQAKGWWQAVWEQHLGEVPREN LEKLAGALRGESGRNWAMDMGIGLSTI ANIA_07962 MKFIAPIALLGMFQAASASPVDIKTSNAGLQVTLSQINNTRIKA VVQNTGSEEVTFMHMNFFKDASPVKKVSIFRNNDEVEFQGIKYRVQTDDLSDEVLTSL APGASFEDEFDIAATSDLSSGGPVTIRSEGIVPLVTEKSVTGSLSYSSNELTIDVDGA EAAKIETVGAQLSKLSKRTRVSSCSGTRATALQTALRNAASLASRAASAASQGGSTFT TFFKSDSSSTRNAVAARLRAVASESSSTSSGSTTYYCTDVYGYCSSNVLAYTLPAYNI IANCDIYYTYLPALTGTCYAQDQATTTLHEFTHAPGVYSPGTDDLGYGYDAATRLSAS QALNNADSYALYANAVYLGC ANIA_07963 MCENVDRRDCTQDQGHRALIGVMGTLTDEEKNSYSGVGEVARQG MLSGRSKSKIRCEDMGEDMIDFNSFWSDNANGNAVKIWAESASGNSYLNGIKSVKTYK DIGGWGVTRQHEGIR ANIA_07964 MAPKRKAVSLTNRRDKPKSAPASRKRRCQKYTAHISCTDPFRCL NFDCASIIFEHLDPVSIVRCERVDKAWRKLMRLWICTLGLRIHFPDAWNPEIKQDENV SVKIYKEQAAPFATFPAGRASAGRKISAYMKLFTVAGDYAAWYDGRRIRWQNLNFRTD GSLHPTQTLELELKEDEDLAQIECLRLNKEGCLLIRALPMIGTYKDFLVDLKTGKIKW RRDELATVAMDPIGDCRILWPVLLGAERAYYVNDRVIAVLDNSSGVLLYETPLHNANW EDAWHIGTFGRRCISENHSALVKLAGREVLVGIIPVHNALHRSSILHILDSETGNALQ RIPFTRQDRTTLLVSPDQRSFAIVDNTPDFKALIIRNFTPAPDGRSFAQHLQLVDHRT KVFGSLVSTPVTVDPFRSLVVLIDEYNEPRVAALIERQGALSKTMQGHKNTYGTKGNV LFKGMHREVTLPPTRTGGPRRSFLLNDRTHSGNDILHVHLVDGHRVVIEANRWVPRPA WSEALTQCHNMAEYMILDFKLRPGEVHPCDEEESEQTTPDTSSDTSPDDGIGFLFL ANIA_07965 MIKSHLDCGALMQGNKDAAISIHKGTHPTSTLDAGLSYLKNSSC ITQFSIRRHCSIWLLCERNMETQNVVLKQNWESGNRFNIAALRLFTSSTTSMPNFLHT ICALPLFQYPSGQATIAFPGTRAHNPSSNKLIGACVAG ANIA_07966 MQENLVLSAYKTNRGFYGRQFIGYQDTVLAETAGCVTASGRSSN NNPSWTNDTVPAADNYLVRPWESFARAVFQQVYLSKIITPAGWERWSTSSPNTDNATF AEYANYGPGPVLEEGPRASFSEQLDARMEIQSILGHNFQREWWVDTDNLEKSDLVSSC SVAGDEITATSNDSICDSINNIVSDGTNDIINVIPNINYDFHRKYQHLY ANIA_07967 MVSDSDPEKGVHAVSCAAENGIPQVSSNGAPGLETTQLFDDASG GGVLCWLNGLEARMDRKLGVESEAIDRKRAEDKKPVSWFEELTMALLWASGVMNTSCF ATGFLGWEFGLSLKQSMLIVIFASILGGALTGFCATFGGATGLRQISVSRYSFGWWPN KLIALLNGIQQMGWAAVSCITGGLALTAVSDGHVSLILGIVILAVVALFISFVGLNAI LVYERYAWMIFFIIFMIIYGDTGRYADNESPASAEGLTLSGSLLSLLAVVYGSSASWC TMASDYYVHYPANVNRVKVFFMTTLGIALPTCIPMIAGCVVASAFKNKQEWQSAYEDQ GLGYLIQDMLHPRGFAKFLLTLLVLSGINQNVISIYSASISFQQLARPFGRIPRFLWT LFCFACILALAVGGRAKLNEYLQNFLSLLGYWCTSYAIILFQEHFIFRKGSFENYDLE GWNDPARLPVGIAAAVAFCLGIIAWCMGMDETWFIGPLAKVIGESGGDVANELTFVVT AVTYAPASLNPEPHKFCISLDSRSDLTTTRFLCPCHHEHPVTS ANIA_07968 MPAPHPNELRVQVLSYWALGIQPPDIAKMLQINVRTIRDMIQKG QDRGYNPAQCMRVKLEYVEDGKRSGRPKDISEATDMAVLASVKQDRNRREKSSEILAF EAAAKATRLRFCLDHQHWTLEDWKAVIFTDETSVILGHRQGSCKI ANIA_07969 MAMSFSYSPADIFWPCVKLGVFLALVYITSLIVYNRYFHPLRHF PGPAWAAISPLWYFKRIRSGNPQDVQHSLHERFGDIARIGPNILAVRHPDAIETVFGT KNGKAWRKAAFYDSFDPHIPNARTDSFSERDDAKNAERRRLVGGLYAQDNVLRYEPCV DRLIHLFQQRMQEHSESGKVFDMSVWLERYTFDVVGEIFHGRREGFGMLRDGADYNGW CYLMGVMPDIGAATTYLPWGFRSLYLLSQLVFQSSRDGVRGMLDVTKQAERATLERWE EMKKGKVLSESDILTGLLDMVQERGKNDSVMASWTVADVVTEVWAVIWAGSDTTATAL TSIFYHLHRNPCKLAGLREEIDAAFEDGRLRFPIRFNDARKLPYLHAVILESMRVHPS LGIGLPLESPADGANIGGTYIPGGVEVIVNPAAVHFDERSFGADAKEWIPERWLVDAE ATRRMERSMLQFGYGPRMCIGRHISNIEMYKLLPALIRNFEFEMLVDSWEVKGSWFHR AHNVSADPPPLDKQSFSENGGNLRS ANIA_07970 MGWDEKGIHCGVWINHTEVDVIFQYKNDVRRFHMRDKWGDWGYG INLFLGDDVTPTSTTVNPWSSTDMKVKGGTAEKFEFRVVRNGKTLVSRWQDINAYTGN LGDGDMGKVPGEQKITIADGLIIQYTFYDAGNYHTDDLPSAHQCYVTVAPDRSAWMAV VMPPGSPTAQKPFHRFVLPAAHNFGFNTMDNCAVIASKLGPAALVTEVLLPLLGPLGF IGHLGPIAASKALGIMEATSRNQKDNVPDQLAMGARFFEVRASRLNPQLRSLTGLNDL YFHHGMLPGQPILTFFQDAVNFLCAQRTEIIVVWFTWNQWSEANPEPETVLKMLQDCF NDAKTKGVTLERGTFADLDRPVDTLRQENKRFILSFKNDGYNPYDNFDTKRHAVADGS GIITELSEMSAEKQKGTPMTYLQCQTTMSSNTYGLAAAITFHNRVLLAQKAKTDPLTL DWLYAHVKARLGDDHLLVIMNDFFDLATSDVANQLTKTRLWDP ANIA_07971 MADNAQRPRPPPNRRRDKVQLSCDPCRRRKLRCDRQHPCGACSR RGLTHSCSYATTSSPPDARRNVQRSVAPRQSTSLQGRISELESLVVTLMKGQPLPSPP ALASPRPSSLSIADGFSEIQRLNENHAGAASPADPGTLKLRESGTSYFQSIHWEAILT KIRGLKEDLVTDSTALPGSHLFYGPNRHATRDEILAAVPPRTVVDRLMALHFDSYMIT PYLIHCNKLLRETFTIDFADERAESLKVEYLTMKDVFREKAVQCLILSRYTRGGPYIL ETLITILTGEFVLLKESATDSWLLISLILHLAVRMGYHRDPDHFPGVSPFESEMRRRV WAIIIQLDLALSLEMGLPRSATDTHIDTKQPRNLYDSDFDEDTTEMPPPRPETEWTPV LPLIAKGRLISALGLICDINSDINPPSYDELIKADALLEDVHNRAIPPVLRWQSMPAP ITDSPSLVVQRVSVEMTYHKSRILLYRRALINYPVRQPQERDRESMLHEESQPFGRLY QLRWKVSHIFNQDVLLATSVLCLYLQDVDKFEVSETAGQTTWSLRAEEIRQQLTISHK VWLQISTASAEAGKVAKALSIVLGNTEASVVDGNGCASYDFLTDFDARPLNELGAAFN NQCEKHTPPYRLPLMKVLSDFPSGFYYPLTFFDNVLESRGV ANIA_07972 MAVDLELAYEKPAISGDGHDQQSSEPDQTAFEQETKDNVVDWDG PGDPHNPRNWPAWRRMSQVVLASGFLLTANLAATMFAPGAASLAKEFHITSSTIVSLT VSIYLCGFAVGPMFIAPLSELYGRLVIYHACNVIYIGFLIGCALAKNTGMFLVFRFLA GCASSGPLTVGGGTVADVVPPAQRGRAMSLFSTGPLLGPVLGPIIGGFVSELIGWRWT FWIITVLAGVLFIISIFFLRETNAAVLLEWKAARLRKETGNTALVSKMDRGLTPRQLF VRAITRPTKFLLLSPIVLLLSLLCAFVFGLLFMLFTTFPTVFEEQYNFSAGISGLSYL GVGIGMAVSLGVFAAVSDKLQKALGDSPKPEGRLKPMAWVMPAVPVGIFWYGWAAEKQ THWIVPIIGTSFFGFGLLWIIMPTQLYMVDAFGPEAAASALAANVILRLLFAAFVPLA GPPF ANIA_11585 MTPPLVPPAQPGDTNFGLIPFSARRLELDWNIFAALDGSPQTSS PRPWKVLLLSNNISGNVMVSVCYHDIKLFYLKDPDKPNSSCTAIIN ANIA_07973 MGLKKKNRKVSQSSMPADPELEPESSNWPDKKAQEHLLDLITSL HLNSEYSDLEIVCKGRTFPAHKLVVCSRSEYFKRACFGEFKETREPICLDNTDPVLLE KVLEFLYTGNYTVRRLIPEAQDPQLNSNTECAKESLIMSISKVHAPDQLASQVEEATN EISMRNSENIAQDPIGGSNKSDQEDAVEPVINPAAEYHPCYFYMRVSGKADYFINSSL EDKAREQFRTSFTDCSERDLFAEVIKELYSEGANYQDLKTLAIDVVVNNLPSLQKGFS TAIDSQLLEAVPNCAIDLCLAIIDKYMSEPPNIKPYPLATGFEYKGVDYKSLL ANIA_07974 MSSADMVQIPTCNRHTPIIWPYICATADSQLLGRSRNTGWARTL AVRFQTDVFPVEPSLYGAWADSAIACLLRDCSYQSFNGRRYPIRRKHLFQGCTYWIVG LLRSKGAEALK ANIA_07975 MLADRDAQIDAEQSSGQYSPWRSVYNALRCPGPPCDNHDGHCWQ DPVGKKHYKLKTHHLRHLVHLVKKKNLNIESHDDVPDEVRQQLYAEEQHRLERQRKTG RQSSNESPPSAPINIHLLPAQSAQASTLITPAGSPPLLPYSDPSLDDAIMIPDLPLDL AVRKYSDWQQRRVNSQILKDNVDKACDIALTNGLDLRQINKDRDVDFFVKHGVVVGVA RRFVDDVREWLNDYQPV ANIA_07976 MPLIYLPPSQRKLKLMTPRTFALEYGGRRIVRVGHYFVVKFGKG VNLLEGENLLFVREKTNIPVPRVYALYTKPETGKKYIVMEQVHGETLQSLWPRLTSPE KDSIMSTMRAYFDELRSLPPPEYYGSLGGRSLLDEIFWTHEVNPAINGPFTTEDALNE AFALKYVYDDRPCFRAEFYRQCLPRIFHDHQPTFTHGDFQRKNIIVQRAENEAGVEAP KGIRVVLLDWEKSGWYPSYWEYCLAVCALRWDDDWCLWVEKTLDPFVSEAAWLQTLRL ELWVYQQNLIGDMLMKEATRKCYQDKSFWLTHEYFQQQDHRHVIGPRVVQLDQVTEEV ILRSGTGFATNCRRSGIIRTV ANIA_07977 MLPTDNKGYSPAPLQISVYLDGSRTSQGAGYGYAIYFGPILMSK GHGPAGPRTEVYDAEIIGAVEGLRAALGQPCVGYSTQLVILLDNLAAASLLASYRPTP HRHGLSETFSQLAAQWMESPSILTMQRKPLQVRWIPGHSGIAGNELADKLAKLGPEYQ TPIQKKAAPASTSCPGGYLADSSPPVQATETLRHTTSASTTQTTWRAALVSGHVFQVV GDIQNGMTHGHKPAKKPEESATYQGKVLIGKISAANYSRVKPICDSIPPPKKQFEGPR RLYPEEPIRRCQEWTKEAVEALAAAGVLEK ANIA_07978 MSTAPLMTQLAPAGAGSTPSTLSTLLGYAPPENTILAGDFNTRH PFWQPDTESHAVTPGATGLLDWLDAHELELRLEPGTPTRGPNTLDLVFSNLPLRALVE DHLKTPSDHATIGIILEQEEPPPIYKLGSTNWEKARALASPPDPTLLIDLLAKQLQQN PDYKQLWKAIVQAKAEYWKQRIEQATAPIDAVQTC ANIA_07979 MITTFFIHHCIYFSFFGKSAQPGPTGHGQTREPGADAPQSLLFV EEAGSSNNQRAAPHVAEPSKPVQQGQQEVPRAGRERRILKKQQKQKFYCQQHPADAGS PEPMEYE ANIA_11586 MYRQEPRCFLQQTADIGEELMASLLEEYANQKKPTNREIYHKIR QYKGEGLQSATVNSWPLAKWDANQHASLVDHHWLY ANIA_07980 MELMQKYVKDDGVVGLDNFDHWWTSSAAIEFLSATTSVSSVEDL KKAPGDLISLAWFTLISGTVVETKKQGTPLSSALQSILHPLLARNSQPAAGSIIEAKE DEGKSCCERMGEVVGIKGSKTSNKIIGTEAAMHLKDILDLLIIKQLSFFAENRVLYLL AGPGYFRENAACRLLVSSTGSVGRRRGTASMLEYPITDPWSERINLIS ANIA_07981 MGAARLPSGSFRLVQRPSSSPRLTAWRMTRWIQLPDFKLDGAVA EILVDFCHHGPRPSSTLILCYMDVLISSRRPPLHSLQWHKDFSVRQTTVYHGQYNRLR IPAGGFAGISDNTAFLPVRQWGSDEHIRRPPEGLYARVSGMDAEMLYAHLNQDVGCFL TEVWILHQLLTESRSCRAPNYNRGFILQFHLPHYILRQADDQVKDCWRLRKHRLIHLS RRNAYEVQLSLIVYGVDEPSSPNAKPLYPHCMQSLRHQTVTLFYRNGLFAGRGLPRAV VTFSAQTSKEERPVVTAAHIRVLLPRPLKVEAGQYINLWMPSVSLSSWMQTHPFIVAS WSSNQQDTVELLLQPRHGLTADLVRYAPTANESSVSFLALFTSPHGMIDDVLQLHLVW EVKSVGEMAAALALLNNLLKDDIMDNGYGPPYYQNIVFVEASGDQIERLPNIRDKQGK TLVVVSAADGVRDHIQKTVRRHLYQGMNLFELEYQPCAD ANIA_07982 MQKAAPGLTDFVYAPLVSTGMRSPGNPTMYDDAIGIREVIRPLV EAGKRLVLNGEAQCRDPDNLLFHDVDKDVATIYQPLLKCQPAEGWVGKCTYCGWEKVP SSYLLTEHDRVLSPRVQEICAGISGSEVIRIPAGHLPMLSDPKMLAEKVVSCLKLDSD ANIA_07983 MFNATQHVGSVTISTHERSLELLSDGVLGSSVTNWSLVYLCFLA SFVLCPLYLPAAMLECPHSRPYRRRSSSSSVHIRDFSTERGRLQSRPPAVPVAQSLRN SELAINPELKLLLSAKRTIIATCAVVACFILIGFNRLHLIPAASKFSSEEAWGGLKPY WTTEQEETRFAYVQYATNFDYLCNAPGTSREQIALTRIRQQYPHVKLRPVWVLSTSNG DPTWHKSLTKFYAFDLTECIRVLVLDSDSMVLNNMDHYFLSSRPRGQPNKNTFERIIE EARSSDAFDMEVLNRLRVSHQGPPPVPFRGQDAEWNATVEVSRAYLVHFSDWPLPKPW LPHSQSQWEAALPEFRDGEEYAETPDRPRCAERFTWTGFMRIIIMTRRSWEFKECTVI TWP ANIA_07984 MYTRKDVAHHNARHSCWVIVSNHVYDVTKFLDAHPGGAGAILLY AGKDATAVFEATHPAGTLGQLPHEYHLGPVAPITRDAEFSLASKENRGGSQSSESPVP APTPHLHTVQNLNEFESIAKACLSPNAWAYYNSAADSLASFHKNLTDWSKIALRPRIL RNVSKVSLGRTIMGHRSSLPVFIAPTARAKLGHPDGEVCLARAAARHNILYAVSSYAS IGHAELAEEFVKEKTRLVPISARSAQGALGFQLYLPYDKERGGRALIAKAKDLGFQAL VVTVDTPVVGKREADERFQAELEVISSDRAAVQVNVPRKAEPGGDAPVLRGFHSSSLE WDDIPWIREAWGPQPLIIKGIQTAEDALRASEAGIDGIYLSNHGGRQLDYAPSSIQTL LEINRFCPEVLKRVEVYLDGGVRRGTDVIKAICLGAKGVGLGRPLLYALSGYGTGGVD KALQILSDEIETSLRLMGVVDVSELDLSFVNTTALEKDLVSSFLHLKDYSSIA ANIA_07985 MEPLGVGLGTAALLSTVLDVFALVTSFRSYSESSTVLFRQLELE REVLLRWAVEVGLHPARASLSNCAIPPNLLPLVHNTVATIGSVLAKATSPIGGDNKEL ETGPHYLGQVLERLQKETQPKHSQSRLSRSLARLGWAIGEEARLKDLIATIHAYNTSL HNLIPKPLQISLDGSIMQAILAEPDPNRLLEIQRAGVHWRRALSVAASVAALQQQAST PIPPSTNQLMLSRARFASLGQDMARYQTKYTNNGITEVLIEWRAYRIPPNSETKMTYL NTCLDLARLLHASQNLETYRTLDCLGILDDVEFQPHSRVGLVYRVPLAVAAAGPPVKV FTLHDLINLKEFSRPSLDERFELARNLATALHRLFVSRWYHKNLNSKNILFFTQAADG RGSCVQPYLSGFDYARPDSPDEMTIKPEADEFCDRYRHPQCTHPDSRSTIPFRRRFDV YSLGILLMEIGRWETVDRMHKDYSAQKARAAGKGSVVAPVPLESFQRYVRTRCVESLA FRMGTIYTNAVKFCLHDATEGGDTPSTPVVDTEQELVSGLNREVVAELAKCTVCTGSD E ANIA_07986 MATSTYLSTRSAYGTRYSTSTSGAPESYEIRKDHYDLFLLIQDL VRGIDGFMLEFPVDDWGQQQSIKITLGSGGFSSVQRRIAKGRWGNRSVMAYKSIRPRF DQDGRFDDQDALEQAVVELRLLSASGIRSHRHITQLRGISFETPHHRTDGYLAPVLMF DASSMGNLLDFIRDPVRMVDGPYWEFCLDVARGLQALHGHGFIHGDVKCENVLIFPAR TPQGRSLIAKVTDFGCSMVIDEVGSQTRLRGSTIPYDAPEADNVIERGNLVFTDVYSF GLLVWRVLIDGADPFKHSRYATMNATVERYNYSLIREDKRNGTALSLALDRAMDPDSG LSPEIANVLGEVLSIALNPEPQKRDLGRIMEVLDRPENLQKRLFGLVPSNAQFLREFT KFEIDRFVGHAQASRGLAQWESVPAKADQAYHIVRKYGILNSDFRGFGMSRLSPVSGA LRDLLLTTENLYRTHGQPIPALHFPKLPASNDPARNPEAVWQSLMHTIYLISKDAGAM VTSVGKEMNSLLERAPNPRRDGRFGAVQGLFDFPGGLAAADTMAMMSEDIVPMAASQD ARPTQLFTDIALRARRLVVQADRCLAAIEEAICHCIGFGVKQDHSRYLAIMKECCEAG YQPAQAALSQIRHALGLPLGDGVHPYPISHGGELPLPESVTSFPYSTDLHRAVVQRNQ TALIDLIRNGASSMGTAGPAIFHKQHSFALDAVQLACSYHDAEMLEILLDAAPFYPIN VDGNTSLGLLYFAIQCQNTHLRMARYGIDTYFQLEKTMQLLLRRGSANIVDKDGMTAL HLAAACDMPEILEYVLTVDALTQDINTELDGKTPLDIAIFKGRPAAFDLLVAAGAQVS RSSLTGHALNIPVQVTPSNDYFLKKTLELGAQTLTPNDKHTALKRALQLQQWAIADFL MSQGANINGLTMNPSQTFIRFTVFGDVLSSWNLGDTLAVLDRLMSLAAKHNQEPQFIV SPSLHSSALHIVAGQLSAMTQEEVARIYPLLLAKFPSKDQLEARDYRGWTALHLAVSV RNVVAVRALLDAGADINSMALVEGYPAGPSPKDMAFGQFFSRASFLDFEPNSRDRADR ALEQLIKLFTSERYSKLAKRSVTLRAEQRPSVTAQPRQVMDYVDELAQRSRPLHPQHS VSLTDQVVQAVAGGDNQKAVQMYQKTGHENVGKSIEWAGIECVRFLQHEGAGLLRDMG LLDDYLSD ANIA_07987 MALSTYTLRSPLLSILVFLSLKLTSAASLGTYNVDPDSVSISGF SSGGFMTAQLGIAYSDVFKVGFGVFAGGPFDCARNQPKITCMNNNTPSIEIPMENIRL WDGKQIDKITNLKSRKVYLQVGERDEIIGPNVLSQLRNQLSSFLTEEYTMYVVSRGAA HTFPAAFDSLGNNECDVSKPPFISDCGYDGAGEVLGWLYGRDSLKPRNSGQLKGTLVE YEQTGKFGAGARGIAMGERGYLYVPDSCRDGSGSTTCKLHVALHGCCQSYDLIGKHFV ENTGYNQWADTNDIIVLYPQTAVDKSSHTIWGGDLEANTLSCWDWIGMYGDDADQKGG VQMEAIVNQVKRIVSGFADDRADAGAEAETISAPSSGYPDREL ANIA_07988 MPGTITRSPEILSGASDLRDAYNLELQSASGNPVRFGDLILEKG EITTVIIFIRHFFCIYDQDYVRTVSHHLTDSVLQTISKTGGPIQLMIIGCGDPSLIVP YVSETTGDIAQFPVYTDPDGKLYEKLHMKRTLTNIMHPPVYAQVGFWKALGMTLRQVW GRGWKGLRGGRWDQNGGEWVIRNGKCVFEHRMENVSDHLTAEKLLEILERTGAGDGKG ENIKELAI ANIA_07989 MGIIGLVHAAVDWLLGWAKLIQNREVGWLTIDRKTGKYMREQQP LWKKLKLLLLFNPLTTWLDTTHAMRLYMHNSAIKEGKQEAAPASAKRIREFISFFHIN MDEFEPSDPAAFRSFEEFFVRHHKPGTRPIFEAENPSSAVCVADSRVVVYEHVAESKK IWIKGEDFSITNLVMDRKLGPQFGDGPVASFRLSPQDYHRYHSPVSGKIKVFRSMPGD YYEVDPLAIRSGVDILTRNARDYVVIETEEFGEVLFVAIGASQVGTVEYTLLMKDADD GRIHEKWQKPGAEIKKGDELGIFQFGGSSIIVAFQKGRIQFDEDLVEPSKRAIAVDVE VGMSLGRATSKAT ANIA_07990 MSSLQGKGMSSSDNQPTTSTRGGASAHPTPANNSGGGAGWGDSG LLKGGLEGVSNRLSSTSGESHSGKISSLNGELSSLKEQKMAGEQRYRQDIEESGGTVP KSSDHSDASFMTGKPGGAGTLPGWETAKGALNSMMGNE ANIA_07991 MPSSLKVGAEVQIQHLNQAKASSGSGSAFGSSEDRAYTEGAGVK RLAVANPDPPSPSSSSSLSSFGRDRFQICTRVQICPLVFALSLRVRRADSECSEALAR SKPLFHPRNSPHPQEGDVSDDLYSLRSILEDKPAPASSASSPSARSPSPSPQAASGPQ REHKPQAQAPSTAYTSMPLPTKDTAGRKIVIYLSNHGPGPKIIPQKDGVFIRTKYAVE IASVQFGSGSYTDTRPCSNAPASTFVSSLRAAAGATNSSSSFSEISSSRSSTSTLSAS IESDCTATNEIAGLDISKVKPAYFYGVLRAGTHAMQRSASRESDSAQRRRRRKRRVKA TESDRS ANIA_07992 MPRGVEYAQDNQVSDNTFEAGDTKVHGTNPDNDHMNRVDRTAPM PEVTGSSEPYSGQPHYSNLHGSGKGGHEPKTLGENKGVGAQGV ANIA_07993 MSASPRKSIDSLASGASTPSLSQYSFNQIESPRGSSQRYPLRRG STASSIVSIGGVLDPSSRHGAIAESGQNAISTLLQPPIVRTGLVPHSSAASGGYKPPS TRDIPPVPLTNIPHIDRKAFEPYLTQVGSLYEVFQEAKENNEQDAQLARGGQTSPRSD GQDSLSPRNLERRLSGVSTSSRSTSPYAAGKRKSSNRGRTPAVTPLSTIPSVYFEDDF RLENPRTFDVVSERSEVVTPPKAPSKDNKMDIVEPAPTGRKALATNAILQEKLSWYMD TVEIHLISSISTASKSFFTALGSLRELHAEAADSVRRIQVLRKDLQKIDREMALGGLK IVNLRRRRENVRMLADAVAQLRDVVESVTRCEELAEDGNIEEAADGLEKVEKLMAGDY TPDQAEGEQRRTLDLRRLKALEGASNELDRLRYQIGTGYENRFLNELLGDLRRHVDSA PLTATVQRWGYSFSRQRGGHRSSINEPPAYMCVGEDFRYQLRLHLTGLARVHHTTTAA TAFKTAILREMKSLIRRHMPSSNDDDNESMVSVSTHRSHQLSSQEKSSILARNLRAMD AEDAYNMLAQVYTGVSESLRRLSVQVKVLLDIASGLGSLQSPKSPVGNAGPRDIPHAA QDEILQVLDMSSLLGQAVDIAQSQATKVLKVRSEQTANLCKEDFVKYFILNRLFADEC EAISGRGGTAFKTVVGNQIRDFINRFGNEQRHRIVQVMDADRWDAKDFGESESEVLDR ILSASTKDVDTWIDVSKIWVAKEQKPPHSPAVNGSKEKEKTRSAVIDEQKYILSDSAL AMMRSIEEYQFLMANIPNMIPDISSGLLESLKLFNSRSSQLILGAGATRSAGLKNITT KHLALSSQALSFIIALVPYIREFVRRHSPPASLMADFDKVKRLYQEHQSGIHEKLVDI MGSRSSIHVNAMRQIDWDSSSRPAGVSPYMETLTKETGTLHRVLSKHLPEMTVIMIMD PVFKSYRDQWTRAFDEAQIKTEVGKQRLHRDAEYFQAKLSKIDGFGDLGERLLELVDK KTTEAPAQESPERSSRQGSPQSQNEAKGQGQH ANIA_07994 MDRRTPYTLSVLAPSTDGADESRTQIQSKLREFVLEFQLDNAFI YRDQLRQNVLVKHYYCDIDIAHLISYNEELAHKLTTEPADIIPLFEAALQQCTQRIVY PSQRDIVLPTHQLLLHSSATHISIRDLNATNISHLVRIPGIVIGASTISSKATTVHIR CKSCDHAENIRVEGGFSGLTLPRRCGRERQPGEEPDTQCPLDPYVVAHEKSQFVDQQV LKLQEAPDQVPVGELPRHVLISADRYLANRVVPGSRCTVMGIFSIYQKGGKKDGAVAI RNPYLRAVGITTDLDHTAKGSYIFSEEEEQEFLELSRRPDLYEALARSIAPSIYGNLD IKKAIVCLLMGGSKKILPDGMKLRGDINVLLLGDPGTAKSQLLKFTEKVSPIAIYTSG KGSSAAGLTASVQRDPATREFYLEGGAMVLADGGVVCIDEFDKMRDEDRVAIHEAMEQ QTISIAKAGITTILNSRTSVLAAANPIFGRYDDLKTPGENIDFQTTILSRFDMIFVVR DDHERSRDENIARHVMGVHMGGRGMEEQVEAEIPLEKMKRYISYCRSRCAPRLSPEAA EKLSSHFVSIRKQVHRAELDANARSSIPITVRQLEAIVRITESLAKLSLQPIATEAHV DEAIRLFLASTMDAITQGEGQGSREMMEEVSKIEDELKRRLPIGWSTSLATLRREFVD GRGYTEQALNRAVIVLQRRGTIQIRSGGSQHRNDTLVYAQRG ANIA_07995 MPPTIRVIGSLNADMVSVTPRFPDAGETITSSSYFTSAGGKGAN QAVACGRLSRSQPQSSSFSTSINTGDVNVEMVGAVGGLDGHFSALLKPTLEKSGVDTS RVKVVEDAYTGVAVIIVDSSAGGENRILFSPGANYSGMQADPSVVGMALAEPAPDVIV MQGEIPTETTVAILREIARWKEQQRADGKRGIDAGPDVMFNPAPAPPGGLPEDVYKGV DHLIMNETEAELMTPDEDVLLRVPGIESAQDGKEKVARYFHSLGVRYVIVTLGAKGVW YSAADPDTLGDRVVNEVPAAKVSRVLDTTAAGDTFVGAYAVQAARWREGRRREGKAGK DLDAEEKKHRYKNVMDEAMGVATRASARGVERQGAMDSIPWENEI ANIA_07996 MAALTMSPASVRQPFAPLDAPRMRSILRSKMNVLNKQNGNMFLG KKQPLAEFDSENIDPTAPKPTLKSTKRKRAADDEDDLAPTKSPMKPTKSSSLGFTIFE DSVAPSTPKKTTQLTPKSAPLKPAGRSPQLKATKPFSRRATISKSRPESARKGIGRPF SLAAVLSTGKPKQKSQPAPAPKMPASWSFEIYVDSEQEEMTNLMQHSTCVLDISDDEG KDESQSDHRGKENIPPADLGISLPRSQQESPAVAVPKSDTVDEPRSPLGELNAADFYG EDCHAFSYAVVYDDDEDEEAPVDKKNASRSRLSSMSSIESVLEASPVKAVEETIGDST ANIA_07997 MAETHSRTAPFYQIPNRPIVCVEHPAIIKNIDKAIDTLQGRTGI KKILDPPKPDTPASLVLRPEDVMARPLQSISTASNNILLRVTVPKRTGRKRKRGSNEP FKDDPDLVRQEDGPPRPTARDFLRSLRDNVGMPDFVFSTTNSPFVSRFREKILPFNFK QMKEFDLDMSKGAISNVDILPPPSFSHGDIPFHYFYRQNPTVRQTLDKAGNLTTVNTQ QSAKVLTHLVPYDIEVVPAAPRADLPAIDTLDPVLRETINIVLSLFATRPAWSRRALR NNLTSLEQRYALRHAVPYAGYIFRSGPWRDAIIAFGHDPRKDPSSRIYQTTMFRILPG TSETARDRDPRDRDSSGGRRHTLLPRPNEMTINPEVATSKTSHLFTGQPPLPLDGRMW MFCDITDPLLQGILNPPNDSGTDQPPPGFLRDECDIATDGWYGNGTMAKMKTIMRHKI LAMYEGRTPAKDEEYAPILSFPDHASPETGLRGFWLDPEFATSMATALATEVRSMIKA APAWREMATARTLAKKGDSAKVQGAKGADEEEEDEEGIEGEGEAADESEGEEEAIEQA EIMEAAAEAVHAAAKRDEEDEIENDIDEVDEENEMD ANIA_07998 MAKLSVILLFRSLLLCGALTVSRHATLVTEREVQSSKYDFIVVG GGVSGLTVADRLTEIPDVSVLVIEAGPVDRGEDFVYVPGSYERDPYIWPGLTNEPSAE LNNRVFDSVVARVAGGGSIVNAMIFLRGTALDFDGWESLGNHGWGWEGMLPYFIKSEN FTRPTPELAHEGNITWDDSVRGHDGPVRYSYPNYIYPGLGRLYEAALHIGIQPRLDPN GGQNTGVFNQPFAIDAATWTRSSARRNHYDPAVSRPNYHFLSDTTVARVIFDGTRAVG VEYLPSRGGGISTAFAAKEVLVAAGALHTPQVLQLSGVGPRDLLEALNIPIISDLPGV GSNLQDQTTFPFVYTWDSAVTPNVTTFLTNTTWATEQRVLYDQHLPSVWTLTRPLAPK FAFLSYEDATANTAYASILDDAQARDPADSLPGDIHPTVLAGYAVQRQIMFNEFRDAG LAVGGMSWDTDANVQVFNVKPFSRGYVYINQTDPLANPVIDFRTASDPTDFQLHIALL HKQRELFNAPSLAALGPTEVVPGPAVQTDEDIIKLMREILQPSNGHQCCSAPMMPREL GGVLSPEMKVYGTTGLRVIDISHWPKELSGPPMASIYAAGEKAADIIKGEHGWLGN ANIA_07999 MASPYVVLVTGGNNGIGYEACKAFYESPKSYIILMGSRSLEKGE AAIRKIKEVVPNSSNTLELIQLDVTSDESIQKAYEQILKSPGRLDALVNNAGATFDFD YNASKVTLRECFNKAYDVNVTGATVLTHTLAPLLIKSSDPRLLFIAGLSMMTVAMKEY FPTPPLPAGWPKKVEFETIGYRCSKTALNMLMLDWNHKLKADKVKVWAVGPGMLETDL GGQRELAKKMGLGSATLGGTLIRDVVEGARDQDVGRVIQRDGDGFVPL ANIA_09467 MKGFRQRVHEQLSRAKDNKSSKKKESASQASQQNSANLGIHHGQ QSASPNQVTPTSSTTSVNDIRGKAPEDASQAGGFPPATPTKQGQPMAPSVVISPSGPH APPPGAAETMPGDLAPPRKSHVFDRLQTTPKDMSEGIRTPKRQHSSRFDISDQRQREL EKLPGFHEVPPNRRQELFMQKIDQCNIIFDFNDPTADMKSKEIKRLALHELLDYIANN RSVITEPMYPRVVEMFAKNLFRPIPPPITPQGEAFDPEEDEPVLEVAWPHIQVVYEFF LRFIESQDFNTNIAKAYIDHHFVLQLLELFDSEDPRERDFLKTTLHRIYGKFLNLRSY IRRSINNVFFQFSYETERFNGIAELLEILGSIINGFALPLKEEHKLFLTRVLLPLHKV KSLSMYHPQLAYCIVQFLEKDSTLTEDVVLGLLRYWPKTNSTKEVMFLNEVEDIFEVM DPAEFAKVQEPLFQQLAKSVASPHFQVAERALYFWNNEYFCNLVSDNVEVILPIMFPP LFENSKGHWNRTIHSMVYNAMKMFMEINPQLFDECSHEYNERQNSAEMREKARQNRWE KVAERAMQRQNGVNLPRNSTTAEIPLQLDDVDALTQESQRRLQSLKLDEAGSKDRRPR EGSITSP ANIA_08001 MSERKVLTKYYPPDFDPSAITRTPKHLRSSGPKVITVRLMAPFS MKCTQCGEYIYKGRKFNARKETTEEKYFSIPIYRFYIRCTRCSGEITFLTDPKNMDYR AEKGAKRNFEPWRDSKADNHEETEQEVLDRLEREENEEQEQLERDKMAELEEKMLDSK REMAIADALDEIRTRNARIERSEALGDEAALAHVQEQVDEAALKAQREDEEAARKAFM TTTGEKVKRLVEDESTPEQATISAPPPSFTRVKKAKKPLANTLGIKKKPKPSLV ANIA_08002 MENYLRVWRQQAKVRGQYDAAVFIGDKVLALTNSDEDALWLAEV HFSNNNYTRALAILSRQDLISRSTACRYLAAHCYIKQGQYEQALTVLGDQNPTHLIRS NKSRRKIQHLNGHSRITLRNAKSRYEDRDREDAGNIRYEAGMCYLRGLCFAKQNAFDR ARDCYKDAVRIDVQCFEAFDQLMKNSLMSPAEELEFLESLDFDSITGADAPISQEAAD FTRMLYTTRLSKYSSPAVLTDATETLSTHYKLAENPDILLSRAEALYTQCRFAEALEL TSSILSTSRSSLSAQTTAGQNHLGHSPTVYPLHLACLYETGATNALFLLSHTLADHSP EESYTYLAIGVYYLSVAKIAEARRFFSKASLLDPHSAPAWIGFAHTFAAEGEHDQAIA AYSTAARLFQGSHLPQLFLGMQHLALNNMSLAQEYLCAAYAMSTGTATGTVPSIPSLP SSEMSPLGGDPLVLNELGVVLYHQNHLEGAVDLFRQALGLATSLRCEPGAWVATRSNL GHALRRLGRYSAALDEFDECLRIGSSGASLGYSPFLGGSGGNASGVASAGVSGYEERG LIGSLYTARGLVLLEMNRTLDAVTTLHEAVRVLGASGGGDAAGGAGVAGTLLSRALEI WALETRETEAGLSEDGNRAAKSSTRSRDKGKSRAARRRIAADDSYAEQWIDEVTGGVP TGLDSTNTVDETIEMELDQDAERLLRDSVEHIRGGLRGRREHIHQPLSSPEVEAQQAQ PRSRGTRTARSYQARS ANIA_08003 MFPRSYWLNGGLSALYSLCIFNFAASIVLWFTVNSDRNYIHPIL SQLIPAGHCACETAAVFECSTCLTCSHQDPILQIDENETELWEFEYSRDAFNVGLSRS QCAASFPGLFEDVSRAATYWRTQGGLSSDDLDAIPINQGMGRARITQGELYVISVRAR GEDHRRKLLAALSAMHRALVADSNRLARPEIEFVFSIEDKLVDVTSSEHPVWVLARTA DEEAAWLMPDFGYWAWDHLQASIGPYDQVVEQAAEYDNIPWEDKKHQLVWRGKPSFAP KLRRALMDATRDQPWADVQAVDWQEQDKSNVLKMEDHCKYMFIAHVEGMPPVHLPYFI NREAKLTLDLPYAGRSYSASLKYRQACRSVIVVHQLQYIQHHHYLLIPSGPQQNYVEV ARDFSDLADALMPLLDDPVRAETIANNSVRTFRQRYLTPAAEACYWRALWDEYGNIFN SSQAQTGEEGKRRPGLRYESFILQGSDDMLEFHS ANIA_08004 MPTPIPQPKGLPVLGNLFSLDSKNPWGSFNKLAAASPSNRPIFK ISILGHDIVFVTSAALLEEICDETRFRKCVTGPIVQIRDAVHDSLFTAYHSESEIWGV AHRIMKPLVSPEAIEGVFNEMRETTDDLIKKWTASSKQRVNVCNDLDRSNHEANMLAF FHQKVSIMNGTEPAVIASCQNATMEAVKRSSRPKFLTWLMGHQKRYDSDIKIMRNYGA EIVRKRREEYPDGGPKDMLHALLHGVDSETGKKLSESQVLDEIINIFIGSATAPNLLS FGLYYLATNPDVVTKAREELDAVVGSGPFEHAHLSQIPYTEGILRESLRLSATAPGFN IEPIPSPGNEPVLLAGGEYQVPRTQPLIALLTAVNRDPEVFEDPESFKPERMVGEKYD ALPSGVKKGFGNGKRQCFGTRYAWEWSLLVLARLIKEVDFELADKKYKVTNEGVNYNG AFSTRPVGFFLLASPRASV ANIA_08005 MAPVKPTIKVSPITSPTDFTSFFDISASAFGSQVHDGVWIAMNP GWDTPEGKVRAISRLVERWSSITTDRNGNPNTVFLKATVADANGEEVIAGVAIWVQAS MVPGHGDTPVDINAEAIYPGNPVEQRYLLQLDRSLHKRRVEVVKEIASSSSPAVMVLD LCVVDPAFQRQGVASKLVQWGLDEAKHRGGLEAVLEGSSMGRHVYRQLGFWQDGGECE YDVDEEFRDREQPSNIFMRTGRPQ ANIA_08006 MRFIVSLLAFTAAATATALPASAAKNAKLATSAAFAKQAEGTTC NVGSIACCNSPAETNNDSLLSGLLGAGLLNGLSGNTGSACAKASLIDQLGLLALVDHT EEGPVCKNIVACCPEGTTNCVAVDNAGAGTKAE ANIA_08007 MKLALSLFLLSGSLAQYSNPGACSGDCWGHDPGFYQRVSDGRYY RFSTGGGIQIHASDNLEGPWEAVGEALPGGSVVDHAGSTNLWAPDIHYEASTNLYYMY YSVSTLGSRDSVIGVATSPNLQPNWTDHGALFRSQAGGNYNAIDANWASIGGSPILTF GSYWNGIHQLPLAGPLSLADGATPTQIAYNSSGNHAIEAGFVFYRRGWYYLTFSSGRA GSYDTNPPATGEEYRIVVCRSASGTGDFVDKSGRSCLTDNGGTTILASHGNVYGPGGQ GVFEDRTRGWVLYYHYANPDIGLSTGQYQFGWNVLQWADGWPSV ANIA_08008 MTTLLSLPTELLLSIFDLLPPPSKHVFSLSCRYLNYTFAPLCPS LDTKAIFSLRSALARDGISFKDHAYCAGCRTIHRHKYFDTDELSHSPVIRKCTATRKS LYIEPEQFLSYQDATNQDYWLPRPYSSNSKPPRLNSGSIVRFGRKEPINDREFAVCAS YEILSLPDIGSDSTPNEKGSDFDLRVSRAEIARILRGFDIPTCPHTRLGDNLVIKSYC ESVSRSRNRNDTPSIEELREEYRRKMGQKEFDDATADYILRIWKDDKANACCQFPGCK TTFRWECRSSPRKDGWKTILLHVKRYLGYLPAPSDLHWMAQLVTVPDEDQLKKYWNEC FEWRDVNLAIEEVRYKRLLLARDQSGKMELGRAEEVEFELLRRENDYMRHPHRKRHMG SVLGKLGAGETSHTRLSLLMPRYRQAEEEVEGDLYRPLHSAETLEILEKEDFKNKYKS MRGFGSYQTMWIENLFRSARSG ANIA_08009 MSTDKITFLTNWHATPYHAPLYLAQSKGFFKEEGLKVALLEPND PSDVTEIIGSGKVDMGFKAMIHTLAAKARNFPVTSIGSLLDEPFTGVVYLKDSGITED FRSLKGKRIGYVGEFGKIQIDELTKYYGMTADDYTAVRCGMNVTKAIINGTIDAGIGL ENVQMVELAEWLASQNRPRTDVQMLRIDQLAELGCCCFCSILYIANDAFIAANPEKVK KFMNAVKRATDYVLAEPAKAYEEYVDMKPIMGTPVNRKIFERSFAYFSRDLKNVQRDW NKVTNYGKRLGILDAEFVPNYTNEYLSWTLDKDSTDPLGDQKRMAKLQEQVAAEGGFH RLEVASA ANIA_08010 MADDQDPPRRDVRNHMLFEIATEVANRVGGIYSVLKSKAPVTTA EYGERYTLIGPLNRNSAAVEVEELTPSSPAMKETMQSMKERGIEMVYGRWLIEGAPRV LLIDTGTGYKYLDEWKGDLWNTAGIPSPASDHETNEAIVFGYLVAWFLGEYIAHERRR AVVAHFHEWLAGVALPLTKKRHMDLTTIFTTHATLLGRYLCAGSVDFYNNLQYFDVDA EAGKRGIYHRYCIERAAAHSADVFTTVSHITAFESEHLLKRKPDGVLPNGLNVKKFSA VHEFQNLHSQSKEKINEFVRGHFYGHNDFDFDDTLYVFTSGRYEFRNKGVDMFIEGLA RLNHRLKSSGSKTTVVAFIIMPAQTSSLTVESLKGQAVVKSLRDTIHMIEQGIGKRMY ERCLAWKEGDNMPDEKDLITSQDRVLLRRRLFAMKRHTLPPIVTHNMINDSEDPILNQ IRRVQLFNQSSDRVKIVFHPEFLNSSNPVLPLDYDDFVRGTHLGVFPSYYEPWGYTPA ECTVMGVPSITTNLSGFGCYMEELIENSSDYGIYIVDRRMKGVDDSVNQLTDFMYNFT LKSRRQRINQRNRTERLSDLLDWKRMGLEYVKARQLALRRAYPSSFDNQEDYFDVIGG TEQKISRPLSVPGSPRDRSGMMTPGDFASLQEVKEGLSTEDYVAWRLPTSEEDDAEDH FYPLTLRTKKSDRPSSPLDRISINGGQSS ANIA_08011 MWRPFLAIAALLNLAGAFRDSEPTGPWPTELYRTSSVFGTSTYS VRSSKACKDGLYTFLSPRGEGVAARGPTILDHEGELVWTTESSSTYGAAYNLDVQEYK GKQYLTFWEGDDKAGGHGDGIIHMRGFPRWGEFQKNPKDPGVFTG ANIA_08012 MPSTTSRAAFEAVFPSLAEAMLDHARKYNLPQNAQEWFSKAIHT NVPGGKLNRGLSVPDTGVALLQKELSEEQYKDLATLGWLTELLQAFFLVSDDLMDGSI TRRGQPCWYRHQGVGLIAINDAFLLESGIYVILKKQFRSHPAYVDFIELFHETTWQTE LGQLCDLITAPEDKVDLNNFSMEKYMFIVTYKTAYYSFYLPVALALHYLQLATPENLR QAHDILIPLGQYFQVQDDYLDAYGDPEVIGKIGTDIQDNKCSWLVNQALQRCSAEQRK VLDAAYGRKDAEQEAKVKAIFRELDLESVYKEYEEKIVGELKTKIAAVNESEGLKKEV FEAFLGKIYKRSK ANIA_08013 MASNFSEDNIKELKYRLEAAAVQCSERCLYQSAKWAAEILDSII PIDNYDTDPDSPMDITEPRPQNPYLRTQDPVEAALEAQEYHKYLLAKSYFDTREYDRC ASVFLPPTISPVSLSTSSPQSKLKSSLRNRKSQGSPHAGLKDNDLKRSPYPKLSQKSL FLALYAKYLAGEKRKNEETEMVLGPADGGATVNRELPDLARGLEGWFAERQEKGVEDQ NHGWLEYLYGVILIKGRNEEEARKWLIRSVHLNPFHWGAWQELNDLLASTEDLKQVVE HLPQNIMTLIFHVHCSQELYQATEDTYQTLSELENIFPTSAFLKTQRALLYYHSKDFE EASHIFTDILITSPHRLDSLDHYSNILYVMGARPQLAFVAQVATATDKFRPETCCVVG NYYSLKSEHEKAVMYFRRALTLDRNFLSAWTLMGHEYIEMKNTHAAIESYRRAVDVNR KDYRAWYGLGQAYEVLDMSFYALFYYQRAAALRPYDPKMWQAVGSCYAKMGRIEQSIK ALKRALVAGSYYAEDPSQHGGRKILDPETLYQIATLYERLEDEEEAAAYMELTLQQET GGQPDEVSDVSDSEIEDDQSNTASTSGANQRRARRSPNDDEEEAYHGTGPTATTSKAR LWLARWSLRHGDLERADQLAGELCQDGVEVEEAKALMRDVRARREAGA ANIA_08014 MTKSCGSPDTDRLALLSCMFPEKRTDRVYWLKDTNLARIIGRWG LLGLSRREELEKWRVSGGADLGQCVENVMRQAENHIVSGQEVTVEEIDTALNMIASRC RFSGPSVRRQRSAVDVEETLAPLYRRLSSRDAKWLTRMILKTHISDTLPERLTLKSFH FLLPHLLLFQNSFEAAVTLLSSEPIIHFPPRPEIGYARDLGKIALHHLIPKIGIKIGR PEYLKARSIKHCCNMVGQRRMSVERKYDGPAFLLEQKAILRQFYPARPLHAVANKSRP QPYECLMMVFFDILVLDDDILLRKPHRERRLLLKNTIQVISGVSDIADQRIIDFSRSD GQAKLETLFSKGIAERWEGFILKGCEDPYFPILVESGIGCEGRWIKLKKDYIPGLGDT VDLVLVGAAYNARDATILGLAKKETWTHFFVGCLENKEAVLRSKNEPRFRIIDVIDQH GISRANMQNLNSFGKYSARSVDSDDGVIIGEIRPGLPTIDVVFKTPFVVEVMGSGFVK PSGARYYTIRFPRILKIHSDRSIEDAASFRELQVLAEMATSVSSEELLDEEAEWTNRV RSGTSKPGHCPDESQNVSTVSPPASAKAIPIYIDCSPPSSSNGSPGSNVLANNSNLSS QGNAKKRKALPYASEPCAKNTYGGLDRAQKVTKVVNPALVVTSPAQYLATKVGPPRSD HASTDIQKVSASERKADSEEPSRSPLARIPIYIHPETASEVQTTFNGLSNVSHTIEEF LRMLNFDSRRLGPEKSNLFPASENITLGIMFANISRDPLGPTLLELSKHISNALTTPP CSHNPTGRVFLLNSNFLNLVVDWKNHIFCLRKTWDKISRFPSTPLDAEHLTENSIVTT TTRRTQPIVALRRSLGPVSAFIPEVRISFDRKQILVLVGS ANIA_08015 MLLEDQRFIHEDLERLEQAIADRVAEEPRNIRERLARDHEIAHF LNRIDDQSRRLLDIYKNAEGEREKEIQAISTGDQFEEFYKRLDELKDFHKRYPNEPVE NLERAYKRRQPGEGEPTGLEVDTMFTGEEGYGQFLDLTTLHEQYLNLPGVKRLSYIQY LDIFDAFTPPKLPIKRNNKLSDKYFQYVGELANYLEEFIKKARPLQDLSKIFASFDED FEKQWAANEVPGWEEEKINNGTAGPKTEGSGEGIWCADCEKEFKNENVYRNHLTGKKH IRAAEARKAAGGSGEGPTPSASGPSAAHRLKERAVAEREHRVRSLARVLINERQATKI NVERRQGMTERERQMELEAMLAETEDAKGDRGNESDEEGEDRIYNPLKLPLAWDGKPI PYWLYKLHGLGVEYSCEICGNYVYMGRRAFDKHFSEALHIFGLKCLGITSNTNLFREI TKIDDAIRLWEKLEQDRKKERDFRDNVVQMEDAEGNVMPERIYLDLQKQGIL ANIA_08016 MADGIDRRADDKMEFNTSKEVTVAPTFEDMHLKESLLRGIYAYG YESPSAVQSRAIVQICKGRDTIAQAQSGTGKTATFSISALQVIDTVVRETQALVLSPT RELATQIQSVIMALGDYMNVQCHACIGGTNIGEDIRKLDYGQHVVSGTPGRVADMIRR RHLRTRHIKMLVLDEADELLNRGFREQIYDVYRYLPPATQVVVVSATLPYDVLDMTTK FMTDPVRVLVKRDELTLEGIKQYFIAVEKEEWKFDTLCDLYDTLTITQAVIFCNTRRK VDWLTDKMREANFTVSSMHGEMPQKERDSIMQDFRQGNSRVLISTDVWARGIDVQQVS LVINYDLPTNRENYIHRIGRSGRFGRKGVAINFVTSDDVRILRDIELYYSTQIDEMPM NVADLLS ANIA_08017 MSDGPTENSSVHPRLLQPHLSVYLGAFFHPSTTSRSCSTVVPVA QRFQARVSFRLFHSIFVSYRPRLLPSVWWSCTFPSVRPDKTSLTPPDLKRFLASFPFP ADIVKNPCTSSRSHPVHPVLPPRAQGRSRAERADWNIVDLADAPPFHSPSSAAADLPN ASIPSDPILSRPNAGSPVDSIPPSPQRDTLSSDRRSGLAERSSASTRRRRHSPSDTLD SYTYGTGGRAGGHTAASEISSQDQPSQFGPSVEGHPPFSKRRRLANMRPDGISSTNNF SQLSKGGAASPAQKAALSRALNGQASYSGSNGEMKVDGFQKPSKTSSYFNHDREEVTR ILIQSLYELGYSNSAALLSKESGYQLESPAVAIFRNAVLEGRWAEAERILVQSFQEQG QEETASKEKLALVENAEKSEMLFYLRQQKFLELLDARDLGAALMVLRHELTPLNYDVG RLHALSSTPVYLCVLRNIFMTKPAGTVPSASRGKDCYLSCQSPSLYSDHMCDRDDFPL GTKLELNKHTDEVWHCQFSHDGTKLVTAGRDSNVIIYDTSTFSVLYILGEHKNGVAHA VWSPDDTKLITCSRDSTARVWSTETGRCLLTINKHQEPVTAAVWAPDGESFVTASLDV NSQLCHWNMRGESLYMWHGGFRVYDCAITPDGRRLIAVDVERKIRVYNFHTHEEEYIL PLKSKATSVVVSRDSRHMLVNLTECQIQLIDIETSEVIRRFQGQKQSTFIIRSTFGGA AENFVVSGSEENGTLVETLEGHLEGCVNAISWNPADPGMFASAGDDCLVKIWTRERDA HPNSVPGKRRAVSGNGYARTSALRSTSGF ANIA_08018 MAQGSLLTSFLGALQACVSVLLTLAYGVAARKFGLIQRSSINDV SSLGVKVLLPALILVHLGEQLKLDNALNYVPVLVWSITYTTISILLARLASKLLRLPD WVTPASAFNNTTSLPLLLLQSLEGVGSLKVIVKDGESISDAVARAQSYFLLCGVISKT IGYIVGPTMLTSEQDERDEADNGRAEDARYSDPYADTADEESPLLNSHGRVQKRQDTS TVSGKIRRWTKKAVFVFPKYVGQKIFKPDTPMADIAIICTLIGSVLGLVPQLHKAFFN SYEDGGVFNAWLTSSIKNIGKLFTTLQIFIVGCELGVSFEKMKKDANGDGDGDGSGGQ SSNPGWKEILTIFLIRLVIWPALSISIIYGLAKKTTLLRSDPILWFSMMLMPAGPPAL VIQGLAELAKASETQKMTIAKTLTIMYMLSPCISFTITGALKACQGVVEGKSA ANIA_08019 MAAARAMLDKVHRDLHMSEGDSNTYTLGRIAQHNVVIACLPAEQ YGTNNAASIMTNMKRTFPRIRAGLIVGIGGGVPGKADVRLGDIVVGTRVMQYDLGKMV GDGQLHRTAFPRIPHQLLGTAVSSLRAKHELEPSRVSSILRQKLGANFEYNRPSLPDL LYEATYNHASPAAGCDACDHSKLVPRRKRATDDVVIHYGAIASGNQVMKTAIIRDRIA QQLDVICFEMEAAGLMDILPCLPIRGICDYADSHKSDGWQRYAAAVAAAYARELLEEL PVATSARSDYTPDDGRCSTHGMQHERRRRLLDSLKFDQIDSRKSTIKTEHAKTCRWFL SHPDYQAWLDPEQLEQNHGFLWISGKPGAGKSTIMKFAYLNMKKKARRMHAVTASFFF FNARGELLEKSILGMYRSLLLQLLEGYPDLQAVLDDVDLVPPNQNDCPSLNSLKGLFC NAITALGQRPFTCFVDALDECDEQQVADMVLYFEDLAEKSTANGVPLRICFSSRHYPY IAIRRGIRHTLEDQPGHAKDMETYVSSRLQIGEPALREELQPQLLSKAAGVFMWVVLV VDILNREYRRGGLALRKRLAEIPGDLSELFRDILRRDNENMEDLLLCILWILYANRPL QPKEFYHALWSGLTLKAVVAVSRQLAKTEPDGQMEIPRKYPFLEYATQHVLDHANTAA KSVPQDKFLSAFPVSDWVKASNLFEKKKVRRYSPDINLFYLLAEKGLSELIYTRLKDD QTVHVFGGRYKYPLFAALANCKRDAAAALLMTRSRFCDGVDITEGLNRRKDLKHYETR TPLSWAAQEGRAIIVKLLLQTGSSLNAVDLGGQTPLLRASKNGHETVAKLLIDHGADL NATSKEGRTPLIQASENGHEAVARLLIDHGADVNASERDGWTPLIHASHKGHEAVARL LIDYGADLNSKDRYGWTPLIQASQNGHEVVVRLLIDHGADVNASNRDGWTPLLRATEH CHEAVVRLLIDHGADVNASSIDGFTPLLRATELCHEAVVRLLIDHGADVNASERDGWT PLIHASHNGHEAVARLLIDHGADVNATGEDGRTPLICALKNRHEAVVRLLIDCGADVE AKGGYGWTPLIHALQNNHEAVEELLIDHGADIDASDEYERTLLVPALQNGHEAVARLL VDKQSRYQML ANIA_08020 MTPRDVHTHWDLSFEWTELHRTAEQLRPLTFSYDKLADDCIAKL NELSPSEKYRPKAGEPPKKAPKRDLLALLEKHAKDDAKLEELWTEVNTVPDWVDWDQI QRGQEVFYRYGMPIMNVLQLPKLIRWDVGVNPTGTMAGEILTHLTGGFSAEVVRRRLL ETLQFVLQVSLSVDSMKPGGAGHQSSVRVRLLHSSVRSRILRLVKERPEYYDLEAFGI PISDLDCIGTINTFSTSVVWIGLPRQGIYLREREIEDYIALWRLVAYYMGTPHNVFGD KPTAKAFMESIFEFEIDPKPIGQVLAKNIVIGLENTAPSFASKEFMEAMARHLNGDKL ADRLDIPKTSLYYQTLIYGYCYFVMIIAYTNRIFPLFDKFWIAVRRKMYYSIIKDKEH GLGSETIFNFKYVPWFTRTTKLGTRKERNVSKPGIETFAQLGVLAVCALAATVIYGAA VGLHLLSLEKLLRV ANIA_08021 MAPSGKGSSSSEDAQLGAVFSVSGPVVVAENMIGCAMYELCRVG HDQLVGEVIRIDADKATIQVYEETAGLTVGDPVMRTGKPLSVELGPGLMETIYDGIQR PLKAISDESGSIYIPRGIKVNALDRKKKWDFKPGKYKVGDHITGGDIWGTVFENSLLN DHKILLPPRAKGTITRIAEAGSYTVEEQLLEIEFNGVKTTHGMMHTWPVRVPRPVNEK QSADSPFIVGQRVLDSLFPSVLGGTVCIPGAFGCGKTVISQSVSKFSNSDVIVYVGCG ERGNEMAEVLMDFPELSIDIGGRKEPIMKRTCLIANTSNMPVAAREASIYTGITIAEY FRDQGKNVAMMADSSSRWAEALRELSGRLGEMPADQGFPAYLGAKLASFYERAGKSTA LGSPEREGSVSIVAAVSPPVR ANIA_08022 MMKAFMGYHDEAQKAIAQGQSWPKVRDATTDIQTSLRNMKFEVP DNQEEVSAKYEKILQTMSERFASVSDE ANIA_08023 MASPTTGYSINVNDPSLISLVNKLQDVFSTVGVQNPIDLPQIAV VGSQSSGKSSVLENIVGRDFLPRGSGIVTRRPLILQLINKPSQTNGVKDEQLETTDKE ANLDEYGEFLHIPGQKFYDFNKIRDEIVRETETKVGRNAGISAAPINLRIYSPNVLTL TLVDLPGLTKVPVGDQPKDIERQIRDMVLKYISKPNAIVLAVTAANQDLANSDGLKLA REVDPEGQRTIGVLTKVDLMDEGTDVVDILAGRIIPLRLGYVPVVNRGQRDIENKRPI SYALEHEKNFFESHKAYRNKASYCGTPYLARKLNLILMMHIKQTLPDIKSRISSSLQK YSSELAQLGDSMLGNSANIILNIITEFSNEYRTVLEGNNQELSSVELSGGARISFVFH ELYSNGIKAVDPFDQVKDIDIRTILFNSSGSSPALFIGTTAFELIVKQQIARLEDPSL KCISLVYDELVRILGQLLNKQLFRRYPMLKEKFHAVVIAFFKKAMEPTNKLVRDLIAM ETTYINTAHPDFLNGHRAMAIVNERHAAAKPTQVDPKTGKPLPPRANSPSVEATTPDT NSSSGFFGSFWASKNKKKMAAMEAPPPNLKASAALSERESTEVEVIKLLITSYFNIVK RTMIDMVPKAIMYTLVQYSKEGMQRELLENMYRNAELDDLLKESDYTIRRRKECQQMV ESLSRASEIVSQVQ ANIA_08024 MPRVAVIQWSIKNLAVEHNHATACEYIRSAAAQGAELAVLPEYH LFGWAPEDPLFATYASQTSKYLQAYQSLAKELNISIVPGTLVEKHPHPEQNSSEPVEG RDGDQDAYVLYNTAYFISNTGAILGRYRKKNIWHPEREYLTSSAMERHEVFDTPIGKV GLLICWDLAFPEAFRELISAGAEIVVVPTYWGRYDANPAALKHNPNSEALFLDSVLTA RCFENTCAVIFANVAGEEQFLGMSRVVLPVVGPVAKMGNEEGVLVAELDMDLVKIAEE NYRVRMDLGREGWYYSYRHSQGKLEGP ANIA_08025 MSIRKHMSHFRVIEHTVRAHHIRERLGAVKPGHENELRLAVKQY IPLDNPDPKDGDVTLIGAQANGFPKELYEPLWDDIYERLRSHNRRIRSIWIADVMQQG QSGIMNEGILGDDPDWHDHARDLFSMITQFRGEIRQPIVGVGHSMGGMQLAHLSLMHP SLFSALVLVDPTITRSNVGLKFAQASIYRRDLWRSRAEAVQKFNSNPFYQAWDKRVLE KWTQYGLRELPTLLYPITDRDGPGAVTLTTTKAQELFFYCRPSYIDERSGLRCGDPKE DMHPDDIDEDYPFYRPEPVLMFRRLPELKPPVLYIFGGKSELSTPEARREKMEITGTG LGGSGGVKAGAVEEVVLPAGHLVPMELAKESARATGDFVHSRLSQWEARVKRYQDAWR AVPQEERVQVDKQWEKHLGVSRRPKL ANIA_08026 MEKEYPDDSLDKAPIREDAVFGEIREGGVNYRDVGWKGTTALMM KAQLGLGVLSIPQVFDTVGLIPGILIVLAISGMTGWSNWMVGVFKRRHPEVYGIDDVG RMLFGRIGFEVLGAAYTLLWIFVSGSGMLSVSIALNALSSHAICTAIFVAIAAVVGFG LSSIQTLAKMSWLAWVGTACIIVAVSTVTIAVGVQGHPPAIDGVVPEADYKLFNSPSF AEAMAAVSTVCLSYAGTPAFFNIAAEMKDPRLYTRALAISHSIITVIYIVCGTVVYYY CGSHVASPALGSAGALIKKICYGIALPGLVVSMVLLLHLPAKQIFVRMLRGSKHLTSH TLIHWVAWLGSTFAVALIAYIIASGIPVFSSLVSFVGALFGTPMCFQPFGCMWLYDNW GSGTSGKPLSWWFKVCWSSFMVLAGCLLTIAGTYGSIVGIIDSYNASGGSSAWSCANN DV ANIA_08027 MFVQWATRCLPGFSILALLVLLLSTSDAMPGPWRDPAKDKSDSP ELNLAQKIFILYSILVHLNTLLFTGRLSWALSYAFAETSKVLKRRDHSLSGAWKQSGP HDDPFSTALNKKSPRVSVAEVAEEVTHAIIIPNYNEDIDTLRETLNVLARHPRARSQY EIYLAMEQKEVCAAEKAEKLVFSFEKSFALIQPTFHPTGLPSEIAGKSSNVAFAARHI AQIHQAELEAGTCDVIITVMDADTNLWQDYFTEIRRLHYAHPTTSNRTLYSCPIIFDR NSNDSPILVRCADLLWSFAGLSTMYPGSTVSIPTSVYSLPLTLASTVGGWDSDPTSIG EDMHMMLKCYFETNGDLVSQVVHAPASQCNVSSEITRPGLRRKLDTCVARYRQALRHM WGALDTGFAARNTLRSVKSSDWRCLLLRPRHLGLMHLLWEAHFLPCHFTILLLFSMIY EQKARYTAPHMATALHPAITFTFTLTAFIRALSFIWMNICISLYNRWYKLCLDTRKQD TQLNSFSVNTGLSEGSGFSDRTPWYRLTFLLERIAFPVAGTVFGAVPTIHAVFMHFRT DRLVYRVSKKPAFVVAV ANIA_08028 MAYLTAAEVVAHPAYKHLDWKLSPTTAGTALVAQKRRAGPINLY YQIHGHGPIKLVFIMGLMAAHSDWKRQVKFFGHDHADKYSVLVFDNRGVGKSDKPISY YSTSEMAQDVIDLLTSIGWLDLSTPPSRDINVVGASMGGMISQELAMLIPDRLASLTL CCTAPRVERTAPFLENLRERAEMFIPRNIDHELNRLARSLFPDDFLAQPDDEYDDPEK NFPTRRDRFAAGRLRKLEDTEGFTKKGFLMQIVACNFHHKSAAQLKELGDKVGRERIA VLHGTVDRMLTFHHGELLNKEIGEGILYKVWEGSGHMLPWEKESEFNQLVHELAQRCN ANIA_08029 MFSSFLRQLAQLKARIPWLKDLHLNFILIHYIYIISMALVTSII IYPGNDLPYIDALFFSAGAATQSGLNTVDFNLLQTYQQVILYFVSMLTTPIFINTALV FIRLYWFEKRFQHVVRDARALRTTRSRLRTISEDKNSQSYGREEAGINGRPIVVLRNQ AANPPQEDSPRSAPRSPNESDSESVSPTSNASSAKMESQSPLATINRDFGDLRVPAQL SPEHHIAFLEKQRKNKGALRIPSPREYDRGGAPEVLEEEENEDNDLSKTRTDQSEPRS PRNLDGDDTNNTPNMDGPHITITEPQLPRTRTRNSTFPRMDTRPTFRETKDDDDPAAF SRANRKSTFNGIFRSLTQERDRPTLPYLSWDATVGRNSNFIDLTEEQRDELGGIEYRA LKTLAVVLISYYVLFHILGVICLTPWIMETHWGNVVTAAGQGRPWWAIFTAASAFNDV GFTLTPDSMMSFQGAVWPLLLMSFLIIIGNTGFPCMLRFIIWTMSKVVSKETPLWEEL KFLLDHPRRCFTLLFPRNATWWLFAILVLLNGIDLIFFIILDLNDETVTSLSTGIKIL DGLFQATCTRTAGFAVVSIADLHPAVQVSYMIMMYISVFPIAISLRRTNVYEEKSLGI FADDEDDDDDSQTAPSYIGTHLRKQLGFDLWYVCLGLFIITIVEGDRLENKNQYSFQI WSVLFEVVSAYGTVGLSLGYPGVNASFVSQFRVLSTLVIIAMQIRGRHRGLPYSLDRA ILLPSESLQQKEILDAERRMRRRASNLSQIGSLGRQQSQAVSENNAAGVSSALDARER ERNTEANTGINAAEPITMRRHPTLRSQQSQR ANIA_08030 MPPSQGLENKNTNHHIVCLEECHCPIPAFSFPHSYTGYASTSPS HSEIVSRLHDATIAITTLVPITREVLQACPRLQCVIIMATGVEWVDIPAFQEKGVKVI NCPGANVSTVAEHALALYFASRRKIVELHDAVMGSDEYAEKRTLIHRFGSGPPHTTQQ EVVAIIGYGMQVLIAERKGVMGDDVREGRVAFETAIQHATVVMVAVAKGPDTVGLIGK DELTAMRSDALVINVARGGIVDEEALVNALKEG ANIA_08031 MTTDAQELAGVGAQGPTPAKFSRYRSVRKAASTNAQVMAPLNTA IPPVPTRQSTPATASQEHTEIASAQPASIKKSMSRYRRQKPPTPSSTDAVPLPMTAEH GGPRTQHATAVYDDYPSRHPHQYPQRMEATKPRSKFTDAVRLDTRGNGFFGGNGSASS DAELDERERDRQAAMAKLTGGCVESPATPLAHQRPATRGRAATDREVNQGSNNLNHYD SAESRRHSHHEPKRKSFRDAMKFPRAKDKTRNGSPAKGGGESTAPGQFPGIDAPVSAV NAGERRVLVQYKKDNLKLSVSPSTSAYDILVSASGRISEIDPPRFILMESFTAQGLER PLRQYECIREVMNSWAHDAENTLIIVPAPSVHALDFLAAQNVPKDPPMDATFHIYYSQ KPRKWDKRYVTIRSDGQIVSSKKEMAKEQTNVCHLSDFDIYSPKSSFLAQKVKPPKKI CYAVKSQQKASMFLTTENFIHFFSTNDKTIADGWYKAVQNWRSWYLVNKLGAGQIENN AEPAQTEPAQFRSIQPLLASIDTAEQETSTERPQARQTSLRRGPSREHGPPLSSLPKS LTSTTETETSTTQTQSSGESPFSASGLLGRTYTLRQKAMKEREDREKREAEVLFQHGL IGSNTATATSAPRQRSQPGSRTNSITSAQAQSQHTDSESLLRRSQSVKQGGQKPKPLV DLTPVYQEPPQHARKGRGVAVDTGGPLIDAATGPSNELAGGIVIPPAKTWRRPTLTGN GPGVPSPPQEPQSSTEAQARTRNRSNTARSHRHGHYNAATTTPVTPTSPPDLGSPQDQ VSAFAPNSLLARTGLASTGVPVGHGVATGDRNATKPMLDVSPQSPFAEGSLLRGL ANIA_08032 MATTVLVTGATGLLGRQVFDKFKRSGCLTVGQGFSRANPPTILK SDLEKPDDIKGLLDEAKPQIVIHCAANRSPDLCDKDPERARRVNVDATRTLAELTAER NILLVYISTDYVFPGVEGEAPYEADAPTKPPNLYGELKRDGEQVVLEATKKSGMGIIL RVPVLYGTANENSESAVNTLVDSVWKAQDENAGIKMDDWAQRYPTNTEDVARVLRDIV IKYLKERGQIMKLPKILQFSSEDKMTKYEMCEKFAEILGVSLKGMVRNKEGNDPNASV QRPYDTHLSTKVLKDLGIDVQTVDFVDWWRKHLEAQKK ANIA_11045 MSGARNFSIALRRARMPKPRLSGRPLVSQLSVPATRCFSQASAR QATKEVKYTSDAYPTLKRSPEFAEITAEDVKYFKDLLGSESAVIDGVTTDATDDIEPF NGDWMRKYRGHTKLVLKPQSKEEVSKVLKYCNEKKLAVVPQGGNTGLVGGSVPVFDEI VINTSRMNKIRSFDEASGVLVVDAGVILEVADQYLAERHHLFPLDLGAKGSCHIGGNV ATNAGGLRLLRYGSLHGTVLGVEAVLPDGTIMDGLSTLRKNNTGYDLKQLFIGSEGTI GIITGVSILCPPRPKAVNVAYFGLESYDKVRQAFGEAKKQLSEILSAFELMDGRSQKL VHASTGNKFPLEEEYPFYCLIETSGSNAEHDMEKLETFLESVMGEGIVADGVLAQDET QFQSIWRWREGITEALSHLGGTYKYDVSIPLPELYQLVDDCRERLTKMGFVGDDDSFP VRAVVGYGHMGDSNLHLNIAVRQYNKDVEKAIEPWVYEWIQKRNGSISAEHGLGLAKK EFIGYSQNDTNLKLMKQLKELYDPVSAPIPTSHIVASSKLLLTASRTGS ANIA_11032 MSRTMADNMASQYQMMEELGSGSFGTVYKAIDKSTGEIVAIKHI DLESSEDDIQEIQQEISVLATCASPYVTRYHASFLRGHKLWIVMEYLGGGSCLDLLLL GLDYLHSEGKIHRDVKAANVLLSHTGKVKLGDFGVAAQLTNIKSQRNTFVGTPFWMAP EVIQQSGYDYKADIWSLGITAMEMINGEPPHAAIHPMKVLFLIPKEPAPRLQGDGYSS ALKDFIAQCLTKDPERRPSAKELLRHKFIRNAGKTEALQELIHRKQDWDSGRGVSRNV KYYAESLNTITHFTDDAGWVFDTVKAPTMVIREDSDVSDSGSIIHDPLYDESAEMVEN LRISSPPTQKYANGTAVRRIPAPDRSPSLRRPKRRSSGVKQPLGVDLTFGNSPSTVRQ FRRVSDKSPSEDPFSSYQLTGDENASPKSIYSETNSKEAQLGRRAYSKAVGHACQEVL GTTGDQEKREAISRLAEAWSDLEMVDPEGLYHILRATNEKLLSDPKLSSLVPQGPPPE SPQRPRLVLAQNNPHLKSHRRRQSAAVAEPNPQPQLANLPGQSVPGMEHVKQLSDVLY QRWSEGLRNRWPGI ANIA_08034 METSPGMDRPEASQTTGVSSNSTNNEPLPSPRQMPSKRSSLPPR PHGAARHTKRLTLNFPINIAPGTAFDPSASSSPSGPMTPVSRSSAARQSPVHTIATSP FDGQDDGTSLLTAIASQERRVLELREELHRAEAELDSLKKQWTTSEKTRKRTEVNYRA EAMLPLRSPDRLPDGSAASAHSRETSVSGSATSAVPPQVRLSRELERRQSVLAAAANG SAVSANGRRVFQGSHTRALSLLSPTTGSMSGKSSESSSAQGDNDRVGRPPRSATMPSV DRVPVRTPTAPTEDMVTQWRKAMPGSPASRDILMRTGKQMASDLREGLWTFLEDIRQA TVGDEGINATESRTMQPRNRDSSSTSRSRDRLSVQTGSGSDKASSRSSLGRKSPGSTK ATTGTARQRSQNSLNPQRGEQSRSAQVQRSHHARRGRNMG ANIA_08035 MSSQGITRKRPAPGTSPAVHPQLGQLPNYPPTSGSPLSNDQFLQ WGQNPSPNTVAPGSFPDANTYNTGALPASAHDISGVSTANNQVARRTPSTQIVNRSRS YEQPPAPLSDPSNVPSEPGGWSESLEELYKRALAAKREAQAKRKQIPPFVQKLSSFLD ESKNTDLIRWSDDGNSFIVLDEDEFAKTLIPELFKHNNYASFVRQLNMYGFHKKVGLS DNSMRASERKNKSPSEYANPYFKRGHPDLLWLIQKPKNTAGQGTKSGKGYVRVKTEDA ENENEDFGDENAGASRDDGARNRQLSLVAQQPSLPKDQFATVYRELQAIRQQQQIISS TINKLRREHEQLYAQAANFQEQHTRHENSINAILTFLATVYNRSLQGQEGQNLANSFA GAISQDQGNVVDMGDGYSFGPLGAPSMNSPGGPRSMKKQPLLLKAPPGSERHGRAATL SPAATAYDRSQSRNNSRQTSTSQSGQVEEVFDNNSPRSKETSSSQAQQYPQRDIMSVI QNANARNGIPTSYSDFPNVLSSLETSNGNVPLTSNQRADMLRLMANESGTNDSGVTVS QNNALISPVPPPMPNNYSARLADTRSEIDNLMKMQAEQDRSVQNLTNLLQPLSPSGTI PGIGSDGTIPPPPLELDQIFNNDYFTDFGDYDQQNRANVDLGDSTGAGQAPPSTEPPA PPDDIAIKHDGNDLFDFDHLAGEGELFAGTNNQSSPGYFPQFDSGYGHSAANTTGAST GVSGSGRVVETLTDSESTSPSNTVDDPTHYSADVFDAGDGSQGGKSSSGGGSKRRRKA ANIA_08036 MSWEGYTLRSLQHANPAFVPTFLYGTAWKKDRTGDLVHQAISTG FRAVDTAAQPKHYREDLVGEGIRKVLSDGIVRREDLYNPDDMPYNPNNSLTDQVHSSV RSSFYNLRPSAEPESAEETYIDTLVLHSPLPTMAQTIEAWSALETYVPHRIRHLGISN TTLSVLRELVSLAKVKPAVVQNRFYAGTQFDVPLRAYCREHEILYQSFWTLTANPELV RSRPVIALARDAGISSVAALYGLVLGLGQTTILNGTTKKEHMEADLNALKSLALFSNE NPEEWQNILEDFEQLTGDHVYLQ ANIA_08037 MHVRQLLIISSLILAGNIAFGADLDQDDVPSGCHSACDPLYRSD NPPDNDNGDDNTDDVVQCLTIISEQTHMIYSLPATFPPRLIPLPLLAVASGSGDNTAH RARINLRPPITRLPLVAILEDSTARRVCTIPRPLATHLHLLAIGLEDKAVHRARINLR PPVTRLPLVAILEDSTARRVCTIPRPLATHLHLLAIGLEDKAVHRARINLRPPVTRLP LVAILEDSTARRVCTIPRPLATHLHLLAIGLEDKAVHRVCTILRPVIARLPQRIPLPM HLGTNNDNSIDIPDTTDIQGVRKSV ANIA_08038 MSYQQAQDMYHDNSSRSPGSQRHQQPLHRQPSRQFDAYGPMPVN LYDDPMARYDRNLDRLNPSLHNPSYAYDLPGSQTWNPNGFANAQSLGGIRSASASLKT APRTTRAGLPTTWLDQQPGIPSPFSNLGSAPLQGSMRDAGPSEADDELIPTAIVIKNI PFAVKKEQLVQLMTELNLPLPYAFNYHFDNGVFRGLAFANFTSAEETATVIEVLNHFE LQGRKLRVEYKKMLPLQERERIEREKRERRGQLEEQHRPMAASQLQTQSSMSSLTSHI PATSPSPVSQRGQKLEVDLNDSQTLSYYSQLLLFKEDTSRDSIIFPPNLTPVQRRTVH TLAHNMGLGHASRGTGDQRQVQVFKVAPGTNVSPPLSAIPAAVQPTETARRGLNRAAT IDFSEARNEGPTHFSTLRGQPSSGFLGVLDSSAGFGNTQNLRAAKSFADLRSYTPSPV PSSASFPAALQSNGARLQHYDGSTSVASNTPTLTPAPSGSSLGMQRDDGLLVNSLSSL SLGTGIGGPNSSPRRLRGMFSWEQPESQPSSAGPIGSNRTIGVGFDGQSQERMPIRQP RGPIPEKGPGFRRSNGHQSRGSDELRTSSGVEIIVE ANIA_08039 MPGGKGKSIGGKAGSKDSAGKAQKSHSAKAGLQFPCGRVKRFLK NNTQNKMRVGAKAAVYVTAVLEYLTAEVLELAGNAAKDLKVKRITPRHLQLAIRGDEE LDTLIRATIAFGGVLPRINRALLLKVEQKKKKDA ANIA_08040 MVSPQVTNLAIIVVMMQVAKKVPFDDPDVLLLVRGLYVVSNVII LSLYLYTQAQINKKNDLSTLKYVEPAPLGSGEEPRPVTTTNMEYDKGQFRQLIKSQFM GVGMMAVMHLYFKYTNPLLIQSIIPLKGAFESNLVKIHVFGKPATGDLQRPFKAANSF LSQGQPKTDKASLQNAEKNWRGGVKEE ANIA_08041 MAPKVGINGFGRIGRIVFRNAIEAGTVDVVAVNDPFIETHYAAY MLKYDSQHGQFKGTIETYDEGLIVNGKKIRFHTERDPANIPWGQDGAEYIVESTGVFT TQEKASAHLKGGAKKVVISAPSADAPMFVMGVNNETYKKDIQVLSNASCTTNCLAPLA KVINDNFGIIEGLMTTVHSYTATQKVVDGPSAKDWRGGRTAATNIIPSSTGAAKAVGK VIPSLNGKLTGMAMRVPTSNVSVVDLTVRTEKAVTYDQIKDAVKKASENELKGILGYT EDDIVSTDLNGDTRSSIFDAKAGIALNSNFIKLVSWYDNEWGYSRRVVDLITYISKVD AQ ANIA_08042 MVDDEDIIMGEAGYHSANPNTAIAAGQQTTHGHLNGRSDPYLAP PLLSQPMQMPVVQAGNSQTQESSATTDEARVLSPKIDLNSTVPADAADITPDYQDSLV DEYSDYAEESKEAPGLPLASLPSGLCYDVQMRYHCEVRPTSDVHPEDPRRIYYIYKEL CRAGLVDDIESTRPLVARPLKRIHARNATEEEISLVHTAAHYAFVESTKDMSDEELIA LEHTRDSIYFNNLTFASSLLSVGGAIETCLAVATRKVKNAIAVIRPPGHHAEHDKTMG FCLFNNVSVAARVCQQRLGLSCRKIMILDWDVHHGNGIQKAFYDDPNVLYISLHVYQN GSFYPGEKDGDADFCGAGAGEGKNVNIPWPSQGMGDGDYIYAFHQVVMPIAQEFDPDL VIIASGFDAAAGDTLGGCFVTPACYAHMTHMLMTLAQGKVAVCLEGGYNFRSISKSAL AVTKTLMGNPPDRLSFTCPSEAAISTIRRVSSIQSDYWKCMYPKAIKNEGVWTDRLHD IIRAYQAKQLYDNYKLTSLYIYRTAISKSFENQVLARPEIMGLPHPLTNNLEAHNCWL ADVMKDYVQWAVGKGYAVIDVNIPKHVTTEPSSGRFEEEDENRPTATEELAGYLWDNY IDPNEATEIFLVGIGNAFYGVANLLINRETLYQRVNGVISFVAKDPVRAVASHTQVWL SRWYRDNSLVFVSKAHGVWKSDRKATKRYGKLIQSSREGLSDMLMHHKDEVFQWIEDR IEPESEETEEEKPVKRSPTKAAPKAA ANIA_08043 MQSLCAPNLYKDDFSPLNGISKSRPQYHKSSTASSTDTVIPHLK MHFTSLAAVAITALAPLASAVGNAKVHNNCAAPFYLWSVGSSVSNVHKINPGETFSEQ FHRDAVTGGIALKITRQSDGLYNGSPQLIFAYTLDPSQVWYDLSTIFGTDFQGQVLTV TSNNEDCPTICWPDGTQPAGSQVKTCEPNSDQTLTLCADECPA ANIA_08044 MTMRSAERLTEGLEKPSLDDRSYRVIQLPNKLEALLVHDPETDK AAAAMDVHVGSFSDPADLQGLAHGLEHMLFMGTEKYPVENAYNQYLASHSGSSNAYTA GTETNYFFEVSATGATGESSGQVTPNGTTNGTSAESKSNGPSPLYGALDRFAQFFISP LFLENTLDREMQAVDSENKKNLQSDLWRLMQLNKSLSNPDHPYNHFSTGNLQTLKEEP QKRGVEIRNEFMKFYEKHYSANRMKLVVLGRESLDELEKWVSELFAGVSNKDLPQNRW DGIPIWLPNDMCKQIFAKPVMDTRSVDIYFPFLDEEKLYESQPSRYISHLIGHEGPGS ILAYIKAKGWANGLSAGVMPVCPGAAFFTVSVRLTQEGLQQYQQVVKVIFEYIAMIKE REPEAWIFEEMKNLAEVEFKFKQKSPASRFTSRLSSVMQKPLPREWLLSGSLLRKFDP EAIKKALSYLREDNFKLIVVAQDYPGDWDTKEKWYGTEYKVEDVPKDFMSGIRAALDT TPETRLKELHMPHKNEFVPTRLSVEKKEVSEPQKTPKLIRHDDHVRLWFKKDDRFWVP KATVFVTLRNPLVWATPANLVKSKLYCELVRDALVEYSYDAELAGLDYHLSASIFGLD ISVGGYNDKMAVLLEKVFTSMRDLEINPDRFRIIKERLTRSYKNAEYQQPYYQVGDYT RYLTAERGWLNEQYAAELDHIEAEDIKCFFPQILRQNHIEVLAHGNIYKEDALRMTDT VESILNSRTLPQSQWYVRRNVIIPPGSDYIYERPLKDPANVNHCIEYYLFIGSIADEV LRAKLLLFAQMTDEPAFDQLRSKEQLGYVVWSGARYSATTIGYRVIIQSERTAQYLES RIDSFLSNFGKTLETMTEDEFEGHKRSVINKRLEKLKNLSSETSRFWSHIGSEDYDFQ QNETDAARVRALTKSDILDFYKQMIDPASPTRGKLSIHLKAQAGAHAVELKEQKARLL SFVTKQLEAAGFAADSDSLGIALEGVSPGDKEQVLSVLKTFLTSTLNLSEQQVGPALE TIGQNFGLMSKQLGVESKQGSEGSSLTNRTKAARPTYITNVADFKARLAVSTGPNPIT NLTEFEDFEAKL ANIA_08045 MSTTTITAIQSSFPPIAPKDFSAKQPETIRLYPLSNYTFGTKET QPEEDPSVLARLKRLEEHYEKHGMRRTCEGILVCHEHNHPHVLMLQIANAFFKLPGDY LLHDDDEIEGFKRRLNERLAPVGSQFSGEGVNEDWEIGDTLAQWWRPNFETFIKDQMS LVGHDMLMCLRVAAEVLSVPKNMKLLAVPLFELYDNNQRYGPQLSSIPHLLSRYNFEF VDENDNVVAATPGMGSPGDQVPKTKVLAGDNNGKAKEEDSGMADYTEGAETGGQQGQ ANIA_11587 MVDGEQAGIPQMIGQNRKILLLNACHKSEIKYVHPQKAKALEF ANIA_08046 MIRLGYSAIFVALAGLAVAAPAPLNRRDVSTEALNQLTLFAEYS AASYCTPNIGSVGDKLTCASGNCPTVEAADTTTLAEFYQENEYGDVAGFLAADTTNEL LVLSFRGSRTIDTWIANLDFGLESVEEICSGCKAHGGFWKAWQVVADSLTSAIESATA TYPGYAIVFTGHSFGGALATLGAAQLRKAGYAIELYPYGSPRVGNEALAQYITDQGAN YRVTHTNDIVPRLPPMLLGFSHLSPEYWITSDNEVTPTTTDIQVIEGVGSRDGNAGEA AQSVEAHSWYLIDITACQ ANIA_11033 MFFFFSGVNSPRRRNREKAIFLTIFIIFALYLLFFSKPSLHKHA DPTIYDFEALGGPNKDPHSGQATPARQSAPIRKSMVVASMKSDDVSWLHKFFPDYHKS IYVVDDKNAPLTVSQNKGRESMVYLTYIIDNYDNLPDSILFIHSQRYQWHNDDPYYDG VPMLRNFQLSYLEKQGYVNLRCVWVLGCPDEIHPLTDTHREAVHAGEYFKNGFMELFP EVDVPEAVGVSCCAQFGVTSWKIRERPKSDYVRFRKWLLETDLTDDLSGRIMEYSWHM IFGKEPIHCPSAEECYCKVFGLCDLTCPSLGACNDRYQLPPYSTLPKGWPYIGWNGQK QDPSKGGLPEPKS ANIA_11040 MLIIGLTGSIATGKSTVSSLLSSPPYSLPIIDADQLARKVVEPG TPGYNAIVNYFGPSTPDLLLPAEHSDGQNTQDASVPPKPRPLNRPALGRRVFGTSEER KRDRMILNKIVHPAVRWEVYKSLLYYYLHGYWAVVLDVPLLFESGMDLICGTVVVVGV SDPNVQMARLRARDAHLSAEDAENRVKSQGDVKGKVEKAEFRGTESARGVIVWNDGDK EELEREVRKAVATIQGRSPRWWAWWLLLAPPLGVGVAAWNLAVNYGIRRRWERKEAGE KAKL ANIA_08048 MPSPSSSGSKPAKPEKNMSSRLLTMKFMQRAAASAATKEAAQSA SGSELSDSTPKKRRVSAADGISPAPSSDLEAISAALAEEEERRREAISRQAAEAGETE WVLDFGDAVTVDQPAQPLVLADSSLDADDDDLGYGGRQLYGNFKRKTKAKPPTEEAED GGDSPKPRQTEKQKRVNIDTITSISGGRSKQPGGNNEKSQKKRKHK ANIA_08049 MLLPTVRSRIAALSSRVASRAAYSTTVPRFTENAMQPNDPTPRP AKPNVSETDATPVDSMGAWDAALQESTDAAERVRTMQAPNRKGTWASNQKPRAEAMSG PRFEQTIMHLQPTPMAAIELIHKQPVRWVKDKIVSCDGGGGPLGHPRIFINTDKPEIV PCGYCGLPFAHEHHREYLKSLPTSSYPLEPTGAAEEVNENQRVTEGATGYEQR ANIA_08050 MPWTQKTFTLPSKSRGSYLITDHILSSLPEIREYKVGMLNLFVQ HTSCALSLNENWDEDVREDMSDALDRIAPADKKGNLYRHSAEGEDDMPAHIKSALIGA SVNIPISNGKLATGTWQGIWYLEFRAMRHTRKVVATIQGEKE ANIA_11039 MLYSRLLSSSSRALNTFNTRCFPINTRYLSYSRRLYKPAMPEKD PGYKDRPPYKIRSDEEFGPVKWRASCNCGQVTYKISREQPLNAKYCHCRGCQVTHGAP FQWCAVFHKSDLQFNKGTEGLSFYSSQDKSRDYQLPTKVACAHCRSPILDEGKNVCLI FPELIDYEGSGTDHETWRKAFESKMHIFYSARTMDIPDGKPKWAGMDEQSEALDDYGK PKNKDDNSRI ANIA_08051 MGLLSRLRGRSKSQSRAGNAVSYDHLRMNRDVPPLPSYLGDLTK RLPPPVLARIFSFVCPHAVDNSYDTSEESMTEDGCMLCDMRDLAHCALVSRRWHPIAQ SLLQVHAPHTNVLKRSNISRYSHVRIDAVHYCELEEILAAKRKRRSRFDRNADPIDPP QVRLSLFMRSVRLSRDLGNQVLSLRMPYMTREANKAEIARTISVLPNLRYVDLPSGIY TDDPACLTLKQELMARCPDLRRMTYRSGAEGSFAQLPNSQLWSNLEILELQELEIEPS TLRLSLASFPYLRNLTIDNTPYLDDSIFASDQSQLPPFPAIQRLTLRNTPSITITGLI SFLSSPLLRKNLTHLTLSSTGVAPATLHTLLQHTPNLQGLEITQEVTRSFPAEKVPPL ASSTLRLLHYEITSPPGSYGVPPKAASYYTYLISTLMSNSLPALTDLYVRDADFPEAL LLAPPPRLYGGGESGPQFMGGGLVRPLNVYSKGLDELEWNFTPYEPLGPSTGGRRDSV TRPVSFHGASLSRSWGGDARKSVLVGNGFGGFLAVPVDDGRPKSSGGFKRDSRGDLWR ANIA_08052 MSSVQESVDEQQQSQARRIAEQRAHEDIYAVTSGSITIRRKELV HHIENTLIRDSESAFLSDMIIECQNIEFPCHKAIVCAQSPTIRACVQKAPVRARRCRV KIKCHPLVFRMAIEFLYTCNYEFFMDFGFPSRFMAKGQTVSADPIDRLDCCELSLHLQ VHVLAQRLRIRALKFYAVNRIVSVLQRTSFPTVYPRFAREVYWTIKEKDTLVKRVVTA HADRITRQLRDRNHFDARFPLYLLREIEEFGVDFLAWMPDWDDPLNGDCSSGTLAPTH WYC ANIA_08053 MEGNDKGKEATDSAPIRPVSSLLSHFENLSHRRSPSAVPNGSHD SYLLKAPQLADDPRSSTRASLDLPRPSPWGSGTDTPNGSRTDYGNGTPRRNGGSPGIS PGRRQSRPMSMVFHSSPQLPPTLTVDSPRSPPRGFSTDRARGDDARPGRSPPSVSRES LHLASGKPSSSRPTTPTNSTSAATERPSGLSPQLSSSVGSTGGSPTLPPLNRATKPKI PAKPAALSFHESNSSLAPQGSSSQEYVSPFSTPPGSPEKTPPSRPTVTKPVQPQRRPP SRQSPPLSAVEIPTRRSMERSPGRLASSQGSRATSASRRSPAPEPPRQSKPLTVQIPP RGPSVQPSSLASAPLSARLNQRSDSPHARPGLPPRHPSTARRSGRSPSRQTPTSENPA FPRPPPRADSIPTPKIQRQPSFSRETKLGPPQPVNNPISSEEELVADEPPTRTDYPDA SNTNRRPPLLKSGPREINTRYDTRLMDVCGKHVCTTGYITRVWDLTTGEQIMSLSHGE TVKSLSLAFKPGAGLEDEGRRVWVGTNTGELHEIDVFSGSVVASRSYPSRREVIKILR HKKEMWTLDDEGRLLVWPPDESGVPNLQYSYHNPYDRVARGHTFSMVVGDTLWLATGK EVHLYRPNAPDDVSFKILRKPLGSHHTGEVTSGAYTTRDGGRVYLGHADGKVTVYSAS NYACLNVVNVSVYKINCLGIVGDNLWAAYKTGMIYVYDTSTDPWTVMKDWRAHDSPVC GFLLDSSSVWTMNRLQVTSLGTDNCIRLWDGMLEDDWLEIQMQKRDVEFCTFREISAV ILTWNAGASTPGSVRTSTFIQDAIHPESPPEILVFGFQELVDLENKKITAKSLLLGSK KKESGEKEHMSRQYRVWMEHLTRCINDCMPLEESYVLLHSANLIGLFTCIFVKHKERA KIKDVSAAEIKRGMGGLHGNKGALVFRFVLDDSSLCFVNCHLAAGQTQTTHRNNDIAA ILETGSLPVETSLTSRLDHFVSGGDGSMIMDHEICILNGDLNYRIDSVPRHVIIEDIR NNNLAKLLERDQLLASRRKNPGFRLRAFQEAPITFAPTYKYDVGTDEYDSSDKKRSPA WCDRVLYRGLGRIKQLEYRRHEVRASDHRPVSATFKFRIKTVLPEKREVLWEACQKEF QAEKRRLASEASIEYLISVLGTNPKQARALILGN ANIA_11041 MCKHILNAQVAIRSPCCRKWFDCAECHLEQESHPLQKSSDMTFA CKKCKKCFRKDAAEFEESDEYCPHCDNHFVIEAVTPKPTLQVEGDDVRINSRYATSAA TKRRICADDNVECSRTTVCAAIKNGLSSTPKTLQTV ANIA_08054 MEAVKRGTCAVGVKGKDVVVLGCEKRSALKLQDTRITPSKIAVL DNHAILAFAGLNADARILIDKARLEAQSHRLTVEDPVSIEYITKYIATVQQRYTQSGG VRPFGISTLVVGFDPNDKTPRLYQTEPSGIYSAWKANAIGRSSKTVREFLERNHQDDM DREQTIQLTIKSLLEVVQTGAKNIEVAIMSPGKTIEMLPDDQIESYVKSIETEKQEEA AKKKTRAGTTTAAILTRSGGGESSEARE ANIA_11042 MKDKIEEIQITGRDYEQPKQSGGTHNPRSVCCACPKAVIAGSKG IPQHPQQSVPCIPSVINTIILQKVLLPKSAEMSSEKQKQNQYLECNVMLERTASGLAL CSKNLGGPCGSSSLRWIGKADVDGKSQNSDDRAKPENRGIATSTHLPGPSSIPWNIAF KP ANIA_08055 MASVSAPTPKLDRYIVVHVATTCDEHGVYVTKDSAEVIELGWIL LDTKTCEELHRESVLVKPVNTPITPLCTSLTTLTWEHVRSAGSFRDAINRFDAFAQEH LLDRKLEFAFVTLDSWDLRVQLPREARDKAVVLPAYLQHSRTFDLRTEYQRWQTHHPE SLPFGPSSLSNICAALEVEPVQSSAPIKHNLPFHLQALAPASPRRAMDEAVTLARVLR GLIRKSQPAHEHPEILTRPMDARADVRAFLAERSKVLHLSGLPHDTTQSELESWFTQF GGRPIAFWTLRTPDQHKPTGTGFAVFSSHEEAAESLCMNGRALNEKAIEVSPSSSRVL DRAAEILTPFPPSKNRPRPGDWTCPSCGFSNFQRRTACFRCSFPAIAANPDPMAYGYG YGPPSMMPPHVGGHGHGMGHSRGGNGGVVPFRAGDWKCGSEGCGYHNFAKNINCLRCG APRSGAAVVADSAFPSPMDPPSNFGHSSMSSTPAPGPFASTGAAFGGFNQPFGGHPAT YGLPSGLGSAPGAYPPMGQMTPGYGSTNSSHAAASFANPATQAAFTGADHTSSTSASN GNFYGNDGSNDPFAFLSSGLGGLTVSDDAHSRRNGAGSSKSPA ANIA_08056 MPSRVSARSTSTASRKGSTQTATSGRAGSATPSFAIPEEPALPE AVPTLRRDVCAIFADAQRSTAGHRKLVVRLRKIQEVCCAIPQKNSKKDSSTEERLIPG EETVPEKEFNVEVGRCVLRILSIKKTEPVGDRILRFLGNFLTHASEKDAEIFGSEEDE DDMQNSHERPTAHLTTSLVSLLVPLLSAKDKVVRFRTTQIIAHIVNSLDTVDDELYHT LRQGLLKRIRDKEPSVRVQAVMGLGRLAGNEEDDDENDDTSALVEKLVDIMQNDTAAE VRRTLLLNLPLIPSTLPYLLERARDLDAPTRRALYSRLLPTLGDFRHLSLSMREKLLR WGLRDRDESVRKATGKLFYDRWIEDIAGTNNDPEKFGQRSEPRIPALLELLERIDVVN SGMESGIAHEAMRSFWEGRPDYREAVLFDEAFWESMTAESAFLLRSFNDFCRVENEGK YDSLADEKIPVVTALAMYLHKYMTELLQRKKLTKDATDVNDDDTVEIEFIVEQLLHIA MTLDYSDEVGRRKMFSLLREALAVPELPQESTKLAVETLRCVCGPDAAAESEFCSVVL EAIAEVHDTISTEDSFVSAKSEISDDASSRQRSETPMSEDDKPFNKEEAKAKVLKEIV INMKCLHIALCMLQNVEGNLQANMNLVTMLNNLVVPAVRSHEAPIRERGLECLGLCCL LDKTLAEENMTLFIHCYSKGHENLQVTAIHILCDMLTSHPSLLAPVTQADKETVAPPA FQKPLLKVFSRALKPNSPASVQTAAATALSKLLLTGVFTPSAANIPDAIQEFNQHAIE TLLQSLVVSFFHPRTRENPALRQALAYFFPVYCHSRPDNTQHMRKITVPVIRTILNSA EEYYSLEAEEDSDGDIDESVGEKELKALMSGVLGMLAEWTDERRVIGLGGERVLAGGP ASSNVCGIIHLQLIKDILERVLGISEGSNRCSKEERKLLFSLMSKLYIAPPTAPSRSA SQAPEDDSFRSSVRSSHGELNPENLALAQEVKELLDQTIEEGVAADAASRNALVKVKN VVLKLLAAPMRPSSARGRESSVESDIGSVRSSRSVRPSVEPGFGRRGVSVEPSIMEED ENEDSRATLDSRMTVIKEEDADAMEE ANIA_08057 MFRQSVRRFATAALRSAAESPYNVRVSQAQGFVNGLTEAIGNTP LIRLKRLSEETGSNILAKAEFQNPGGSVKDRAALYVVKDAEERGLLKPGGTVVEGTAG NTGIGLAHVCRSKGYKLVIYMPNTQSQGKIDLLRLLGAEVYPVPAVAFDNPENYNHKA RRHAESLDNAVWTNQFDNTANRRAHIETTGPEIWAQTGGKLDAFTCSTGTGGTLAGIT YYLKQASGGRVKSFLADPPGSVLHSYIQSGGKLVERSGSSITEGIGQGRITDNLQPDV GTLDGSLNISDEKTIEMIYRCLDEEGLYLGASSALNVVAAKEVAEKLGKGSTVVTILA DGAYRYADRLFSKSWLESKGLRNAIPKHLEKYIVLP ANIA_08058 MVATHIEYTKENPLNREPPVRELVSSFITPSSTSYDRNHGPIPH LSADTHTIEVDGLVKTPLRLSVHQLATEFPQHEVLCALECAGNRRHTMRTMLKEVDGI DWGDAAIMNCKWRGPRLRDVLHRAGVDQCKGRPGLHVAFSSFQVQCEEDEWFGGSVEL ERGLDEEADVILALEMNDAPLEPRHGFPVRVVLPGIAGARWVKWLDRITVQDQESTNF YQRRDYKVLPEEAVNRDAAEPFWDQTPAISEISINSVVAVPEDNETVYLSDVGKLEVK GYAVPQGSGGPVVKVQVSGDGGRTWIDAEIGKSESAGADGRVRKWCWVLWRVEVEVET GEGKEVISRAIDAQGNMQAEHSQWNLRGVGYSGYGRAKNLTVRYRE ANIA_08059 MNFPGTSGSSAANMTGFGGMGAGGTQGMSEQEQAMVKMMQNAME SCPLKTVISGVMGFGLGGLFGMFMAGMSYDSSLTPQSQTIANLPWRQQLKHGFKDMGS RSWSSAKNFGIVGALYSGTECCIEGLRAKNDLTNSVSAGCITGGILGAKAGPQAAALG CAGFAAFSAAIDAYMRMPESD ANIA_08060 MAQKYAKDQPSGFTNRIERVAVVGAGGSVGKRITNELLKTGKHT VTALSRKDSNTPLPDGVKVVRVDYDDEEEEAITAALKGQQFLIITLAVNAAPDTESKI IRAAGAAGVPYIMPNTYGGDVTNEKFMKEIVIGGSYLKACAEVEAAGAAWIALACGFW YEHSLTTGEGWFGFDFAKKRVTFFDDGKTKINVSTWEQCGRAVAGLLSLKELPEDEND SSPALTNWANKPVFVDSFLVSQREMFDSWLRVSGDKAEDWTISYEPAKARWERGMEML KKGDYSGISLTMYGRAFLNGDGNYSKDHQLVNDLFGLPKEDLDERTAVAKGMMDRGYS YFGNRV ANIA_08061 MSAHYATEPAPTASATLHTTFGPLHIALFAKQTPLTCRNFLQHC MDNYYAGTIFHRVVPDFIIQGGDPTGTGSGGTSIYEYPEFEYDPDARDPNEKVVLRDE IHSRLRFNRRGLVGMAKSEDGSYGSQFFITLANTERELNGQCTLFGRLEGDSLYNMLK IAEAERIEGTERPVYPVKITSCEVGDLGPFVDKVKKRQVVATGPKTEEKPAAKKKKKA KPGKALLSFGGDDEEDEDMPIRPAKPKFNPMLVTDTKLPEKESSTKGEASQTRKRPRS PSPKRQPQPSAPPKNRPKTPEPTKQLPLPNPESPERSPSPPPKQSFLSRTNAEIENLK ASMRRTAHAPAAETKPKSALEAMIPQTAIRGRKRPPPGSVSASTSAPNGITGFSSNSA EAEALKMFNAFRAKLESSDSQPTAAAAKRLSVAKTDAAEERKETPDEDEESQLCDLHF IANCQSCKSWDNDVEGGANNGADDDVNDSGWLNHQLRFGKDTLGKDLNWKREHQDVDT LMVIDPREKEKELADSSRPGTKRVKGRGLERDRERERKKGRVGDLEWSKR ANIA_08062 MSSQEYYSDSKYPGHGQGYGNQGPMPPTGYPAYPPASTSWPAPA NDTAYLSQTSNGYQYPQEYYGGYQQPPPPYYQHPESPAPAPAQAPYSYPYPPQQQSQP TYPQHTDQDVHARQDYNDRGVLGALTGGAAGAYAGHQVNHGVLGTIGGAIAGSLAEDA IKKHSSHGKVDKKKEKRPWRFHRRRSSSSSSSSSSDTDSEKEEGKLPVSAPARVPTQA SSDHRGNFSKSSRDISLQGDYELAALCCAVSGQFKASRLPLNSVLMNEFGHFRWKTAG NFGASARNARLTEGGRVLEAELADGKGGWKRDWVRLDERISNRDGVLVFLD ANIA_08063 MRRSLQLIALSAIPLQVSAEKVLGAYIFARHGDRTAKILKNTQL TDLGYNQVYLTGSAYHDRYINSTSSKYIDGISEDVVNLSQLTASAPADAVLQNSATGF LQGVYPPVGSVSSQELANGTTVESPLNGYQLIPLSLIDSGASSEDSTWLQGTTDCNRA KVSSDSYYESELYKTLFEETKDFYQSLSPLINTSFSDADMSFRNAYSIYDYLNVGSIH NTSNTPTESQLHQAFLLANIEQYNLAYNSSETVRAIAGSTLAAEMLMGLNETVTSQGE VKLHLEFGSYGTFLSYFGLANLPAVDAAFTGIPDYASSMVWELVTNSTSDSFPSTDEI SVRFAFHNGTMTGSSSTPTTYPLYGQSSSTIPWSTFVEQTEKIAVMTTQQWCDVCGNT DGQCASSSDTSDSGNASTNSFAGSNGGGISKGVAGVIGAMVTLAVILGLEALFLLLGP FRLSKKGRLAGIDRGNVVDENKD ANIA_08064 MSDYEDNMDVDGPATKNAVQFSSDNTGAKHKRAAADLPVEAQDN LPWVEKYRPNTLDDVSGHKDILATINRFVEANQLPHLLLYGPPGTGKTSTILALARRI YGSKNMRQMVLELNASDDRGIDVVREQIKTFASTKQIFSMAPSATGKSSLASFKLIIL DEADAMTSTAQMALRRIMEKYTANTRFCIIANYTHKLSPALLSRCTRFRFSPLKEQDI RVLIDQVIEKEDVRIQPEAVDSLVTLSRGDMRRALNVLQACHASSKPLPIKNAPQDQA VPEPETITNETIYDCIAAPHPADIQQIVTTLLATSDVTSCLNTLNTLKINKGLALADI LSALGEQLYRLEVPAQTRITWLEGLAEIEWRLSAGGSETVQTGGLVGVIRNGCELMGD NGVSQA ANIA_08065 MPAYHSIFLEDRDVPVIGNFPVLPLRTRTRGPAYTLPMLPPGVA DIDVQPDNESYDCVDEILSLFRANVLFRNFEINGPADRMLIYGTLFITECLGKVKPTM SAREAEKALTNVALDNFAIPGDASFPLNQAFEPPRDRQDAETLRSYLTQVRQEIASRL LARLYPGGVGPSKFWLSFTKRKFMGKSF ANIA_08066 MHTSIRPNQFVILRLPSETTKVQKVVPNTHVPSQFTLFVAIIGR PLYVTYEILEAPGNTRLRIVPAAELHAESLITDGEGDDDLEVNEEGLPVRTNQNTVDD ASTQKLTLEEIEALKKESTDAGRDIIAKILESHSTIDQKTAFSLAKYTLRKQKKYMKR FTVFPMDVSGLTNYMLENRDSASKSMELRDELIGLIGSWGNVHHGGDASLQATLSRPN GRYLVVDDTGGLLVAAMAERMGLLYPDEDRENVENVSAADGSTEEPASMSLQRKDRPA QMSATENTITILHANKQPNASLLKYFGYDHNAPEESHPLYRHLKSVSWLQLLDPEADP IYAEEPAIIPDAELATLKTNKRGAYHRKRNRWARVQSVVNEVRAGGYDGLVVATLMDL DSVLKHTVPLLAGSAPVVVYSPTIEPLTEIADLYSTARKTAYINRKRELEEKQGQSAN GEFPELAAEFNLNPTLLLAPTLETVRVRQWQVLPGRTHPMMSGRGGAEGYVFHGIRVI PSTGFIQAAGNPSRKRRKLATESTAQSTATPGSTSADVEMKS ANIA_08067 MVLRKRAPPHLHNLSQGNARFELRSSFSKTSPTSFSLSSTSPKR LTRPSRAQSSPHPRRIPSQDSIFSPDLNTSPAFDLMSLEQAQRSPIRTSSTDTPNPWA DELVDKSTQSSQDNADPTERADMNSGLRDGESVDSRKGVPSIVLAGTQRRMAANELPP RQEGAGTSDWEYLASSSIPLQSNNPFLKPSQYDNNAWADENSRASSLRDDASVGLGQD EGYIPMTARLSLFDQQLESESPWASEASRSQGAGMSSQETGVATLGSPEAHEIFDGLQ QPQPQLHPEQNPSQPRISTPGTISTATTGSSHVLIELDDSPAQENGNDTRQVTQSNAD EATIQGYGPPAALQNVQPDGGASQQGISPPTRLSLPSQSQDTQRSATPDGTAQQTKRS ETYSIRHISWKDSTGTLRDSPVLIQNQNGPCPLLALVNALILRAAGQDFQPPIVRALR SREQISLGLLIEALFDELTTRLGPDDEFPDIEALSRFLTMLHTGMNVNPRLTLEPNSV TGTFLETEDIKLYSTFGVSLVHGWLASPSTEACAALGRMGQYHEDIQMLPFRKQELED RVMQGTALSTEEERIMADIHAIQHFTDFENATQLSAFGLEQLSRTLQPGSFSILFRND HFSTLYKHPQLQRLFTLVTDAGYSNHAEVVWESLVDVNGSNAGFYAGDFRLVSHSTPQ ESDPSGPRTSSHDTSQHSSTLSAQEQADADYAYALSLQYQEEEQQRPGPARGRSQSAA NLGTSANTPAVNRQQRQSYGHHSQSHFQSSGPVHEDQDDIPPPSYEQAAKSPIYTPPQ QSPNITDAPPRSPYQRNPYARHPERGARERNKDCIVM ANIA_08068 MQRLLSITGLSALLQVAYTQVTCSGNFTPITAEDFVANIHPGWN LGNTLDAIPDEGSWNNAPVEAATLDLIKQSGFKSVRLPVTWTHHFTGESPDWTVDPAW LQRVSDVLDSITSRDLYAIVNVHHDSWEWADVTASGADLDLIDEKFYRLWYQIGTTLG CKPNLVAFESINEPPANTAEDGAEINKLNSIFLQAIADAGGFNSQRVVNLVGGGQDSI KTSQWFEVPENITNPWAIQFHYYSPYDFIFSAWGKTIWGSDTDKDTLTTDFELIRNNF TDVPLILGEYDASSVNTEPAARWKYFDHIQRVASQFGIATVMWDNGADHLDRTTGQWR DPTTLDIVIGTTAETANSLPDSTEDASATEQFSSAYIYHQVGTNVTSQSLPYLFNGNS LVSITESDGTVLVSGSDYLVSGDNIVFSATYLGTKYTASSTSGIIDTLTLEFNGGATS PTIQIVQWDTPVLSSTSASASAVSGADLSIPITWNGVPKLASVKAVTSSGIYLFDDWT QWLGPLQQARATYSNHWNWDDNNAIITAAAIDAVVAAGETSVFTFEFYPRVDGEENTV DFTLTV ANIA_08069 MPERTLLNFLVARQDLLDPSNDPNVGSSRGNASSTTSETLDTIT GGGDSTSLSSLISTLLPALLLAIIWFSIFLIFRRTQVRCEKSPQLPTGWVNWVGQFLK ISDAHVLNHHSMDGYLFLRFLRILCVTFFVGCLITWPILLPIHATGGVGNTQLDALSF SNVKDKSRYYAHAIMACVYFAYVFYNVTRESIFYANLRQAYLNSPAYAHRISSRTILF MSVPEDYKNEKKLQQVFGNTIRRIWITSDCKELEKKVQERDKYAHRLERLETRLIRSA NTVHMKLLKAGTIPSTECADCEAIDSTMYHKIRRPAHRTKLFGEKVDSIRWLREKIVS LSKEIEVLQKKHQNHEGRLLSAIFIEFNSQSDAQIALQTLSHHQPLHMTPRFSGISPD EVVWSALNLSWWQRIVRRFLVQGGIAAMIIFWSIPSALVGTISNISYLTSEIPFLRFI DDLPEVIKGVIAGLLPAAALVLLMSLVPIICRYSARRAGVPSASRVELFTQSAHFCFQ VVQVFLVTTLTSAASAATAQIIQDPLSAKDLLAENLPKATNFYISYFLLQGLTISSMA VVQIMSVLVFKFITTFFDGSPRRLYERWAALSGISWGNVFPVFTNMGVIALTYSCIAP LILGFCFVGVYLVYQAYRYNFLFVYDIRIDTKGLVYPRALQHLLTGVYLANICLIGLF AIKSAIGPLLIMVFFTILFICAHLSLNEALGPLYSFLPRTLDVEEELQQAKEEELALL QTMPRSRWTRTLKWFHPNLYRDFAALRRKVRRDHVEIRYSDEERRNAYFEPCITAKTP TIWIPRDKWGVSKVEIEESDPSIPITDNGAHLDERGKIVWDKFDPNLPLWELKTLY ANIA_08070 MSLLREQKGEFYTQDETDASPVISHFEQKQPFPPLPPDELDAPR DADTQSNCFPGEDDQDLASSHPDGDVEDDVDFHSHYSLEDQSFSDASTDSNDEQSPED GIAMHHPFRQSASSLHGPNAFAPPFYNRPPTPLPPSPSLTSLLRPPFSTNTSRPTTPD SSDVETPNDTEAAVAKSARRATTVPRASPKVPTYEYYGFVLYLASSLAFLIYILWSYL PSPFLHQLGIYYYPNRWWSLAFPSWLVMSIIYIYVALASYNTGYLTLPMNSVENIVDE VANVAVIDGKGRRRPGGAAKMRPGATSFQIMGPQNRKVNWREIWSEGTDAVLDIPVGG VCEVLYGPERDEKDDDCVESPDL ANIA_08071 MSGGGGFVGPGGDANGGPQGTEYTLQGVMRFLQTEWHRHERDRN AWEIERAEMKSRIGKLEGDVRTSKRLHESLGKHVRLLEAALKKEREKVRKLTNNEPVE DLRDPKEIARESINALKSQRPKPDALGDLDPSAEAQLDHRQEAERDKSRLYLSKCSQE IAYHVIPSAHPPPDLGDLELSNHVYGNQQLSQQSLEEAYIQQQRQKHQASNMMAREVP MQNHQPIVRHYPDNMGLSRAPSQYNLPATSRDAVDRRGIEHQQTPITSNEDSKQSLEV SLRERGGLERQVYDAYGSQAPVEETSRPQQTEENPSDADGWNFDDPPEQAAPPESIPQ RPDVDAFPNANFSRQKSPARSGSLSHRRKSSGARRKSDGAVDLGTTASLRQDSTFKVR FALRGHLDVVRAVIFTGGGSPSEPEICTCSDDGTIKRWFIPASFGASGHTSSSGSDLD ITSYFTHRGHVGAVTALAACSPSQNFSNGGRTVGDGWVFSGGQDASVRVWERGRVDPK ATLDGHSDAVWGLCVLPGTAGSIFGDQSSHYGGPDRVILASGAADGKIIIWAIVRTES PSPTCISPLSLAGINFVVSYTDASILVYDTRTGEEIVGMASLETYDGTRSTGVNSVVA TTVGFDGSAGLDPSRTLADEEVVHGATGSSGVEGVVISGYEDRYIRFFDANSGQCTYT MLAHPSAIASLSLSPDGRELVSAGHDASLRFWNLEKRSCTQEITSHRLMRGEGVCSVV WSRDGRWVVGGGGDGVVKVFSR ANIA_08072 MSELAFTKSFISALDSRPIKLRADYVHDQGNTPRVPYTLPRLQP PRPSMPKKTTASKTTNPGSSKSITVTLRSARNPLLEITLSNVPLATTTVSDLKDAVRE RVLENTTEADNKVPLEKIKILFKKKPVTGTGKTVADVVSDAGEEELLAGGKEVEFGVM IIGGARVIEGQNQAQGEAPETVSQETSGAEETIAKAAVGPSGETLLETGAFWDDLQGY LAQRLKDEDVARKLRGLFKDAWAASR ANIA_08073 MGKGRRMKKQGPPAPLDESKITMLKKRKTGDAPAESKAEAGKKR RRTDVEDDGVKDMQIKAKKNKANGVVNGKEKEKKAATAVTATAKNKKKKQPEPEPEPE SEDEWEDEDEEMSNIDDGEEMSQDEFDDLDGVSDGSMDSQGEGEFGFGSDDDSDSVVD SDEDDHPRQTMFSDDEDLSDAEEKLTAANIEGLSRKLDEQRQMEEEEAELEMQESAMQ TNIAGDRPDVFEGIEGEGLAPNLQLLRTRITETIRILGDLKTLGQPGKSRADYTQLLL NDICTYYGYTPFLAEKLFNLFTPMEAFAFFEANETPRPVVIRTNTLRTNRRSLAQALI NRGVVLEPVGKWSKVGLQVFESAVPLGATPEYLAGHYILQAASSFLPVMALAPQENER ILDMASAPGGKTTYISALMRNTGCVIANDASKPRAKGLIGNIHRLGCKNTIVTNLDAR TAFPKAMGGFDRVLLDAPCTGTGVIAKDPSVKTNKNERDFLAIPHMQRQLLLAAIDSV NHASKTGGYIVYSTCSVTVEENEAVVQYVLKKRPNVKLVETGLGDFGSPGFTHYMGKH FDAKMTMTRRYFPHRENVDGFFVCKLKKIGPTPVQKPGEKDSSISTSKPKSSTATTDG EQAVDKTPVTDEDGTTPGDDFGPFDENEEEDRERILRAERNRLRRKGLNPKGVLNKPK KTATPKTAIESESTTKSQKSEDSSADKSQPQPQREEQDESKKEKKKKPITKTQEDTAV VSSTPPSNQEQEKQQGKSKSLKQSTSPGKEKAKEKSKGSGKAKGKGNK ANIA_08074 MDGNSAVMVEQLPVPVPLDTESSPAEPVTIDTIVQEIPQTPTET VVLQPPHSESEPQQDSGPDAAATSQEAEVQDSPAADDSPAAEKEEEDEEHAYWAEEEE DTSAPDEAELAEIEAQGENYNSLDYAYWESNFHPDLDDPEYRPVEKARLTWKVKGVRG TKENPNRLKIMRSPPAYIGGYWWTIKFFPRGNNVSHLSVYIECSSTMPKPDDTLPETE FKVLRGPAETELDTNKPDLDIKFDKTKDTEAWTEMFKSQYPAAANQAEPTTETWRVSA QIGVIVYNPNEPRTGWMQSSCHQFNPHNLDWGWTNFHGPWDQIHRRQRLQHQALLRND TLAFDAYIRIFDDPTRSLWWHPSDSEPTWDSLGLTGYRPLGDSVINHSADVAGLATWL HIAPFSKVIQNVNVLEHLTNCDLKPKPLCDALQRFLWQLRRRDQSLQYVDTDTITTTL SNLHEKSSDVCEFWERLRRSLELELAGTPAADDLSRLFDSPSPASFPSGALPTPVHTV PKDFNSRIFVPADKAKTTSEAFTWYLSAKPGRWLLPPVLHLELGRQTLDKAARQWRLL YNRVDLDEEVDLSPWLLDGQCGKYILYGYIVHRGRRTSGKFFSILRPGGPGTKWLAFD DGSDNRVECLTRKTALGPHLGLDPDKTPDHKTGHDIAIAVMYIRSDVIADFLPGPQGP WEVSDELKHYYETGEVTSQKKNEGKTEVEDIQVEVYSLEKYDNLSSLFDSYDLMSQAK AANSVMYMSVPRSTNLIEVRKRIGLWASTRSGAEVSPENIRLWQIGHARDCSATVSLK RVSDLTATVDLPLNPVRYWMQIVSDGDAKYFAMKDPETPVAITSKPEEAVVERPGSET SDDTPTTTSSEGAEPSSSNTGNQNPMDGISGEAGEASPEQPTAETVNSTATVAVVVSE SEPSPVSADVVAVNAQAPSTTEQNPEDAESPVQIAESSQPDTSTGAAASAEATSDEND AVIAAVIAGDIQQLDEETQETQLPADNQEQEPNQPPTEDQTSAPAEDQTEAPANLEDA APAEPEVVLPVEHVYYYIKVFDIESQSLRTMGSFFSQKEEVIKPAIRKHLNWPETKDF QVWHQVDGTVVDTLASAETFEINPADGACFIVGDKLNKAKRTELSEKGLFSNPQHLVS YLWAAARNHPTKAFSGTKAVDATFTSDFYSGDFMKGYYHGKGKHISDSVATYTGDYVL GKRHGKGFMEYPTGDTYDGDWFEDQCHGQGTFVERKTGNKYVGGYKDGKRHGKGISYW EVADEEMDLCQICFGEEQDALFYDCGHVCACVTCARQVEICPICRKNILNVVKIYRT ANIA_08075 MSGQQDNAPPSSATNAVLVASEPVPEGTHKVSGVDFEKYQGRDI TVAEMVDNMKHMGFQSSAVADAARIINEMRAYRHPETGDKTTIFLGYTSNLISSGLRD TIRYLVRHRHVSAIVTTAGGVEEDLIKCLAPTYMGSFTAPGAGLRAKGLNRIGNLIVP NSNYCSFEDWLVPILDKMLEEQEAANKKARETGNEEDELHWTPSRIIERLGREINHED SVLYWAAKNNIPIFCPALTDGSLGDMLYFHTFRASPLRLRVDIVDDLRRINTMAVRAA RAGMIILGGGIVKHHIANACLMRNGAEHAVYINTAQEFDGSDAGARPDEAPPLHEQDN KVPLKRNPTIDNDNAQQIDSTQSAEHPRHTFGIRSPGSERTSRKAGVGTAREQNQR ANIA_08076 MSARYPQSSGFNPRDRSPSQRFNDRRPPAGPRGSDDANLPIGRE PPRGPRALVDHHPRVNSFGRGRGHGRGEFRERERDPRGDRERERDRDFRDLRDGPPPY RREIEREWNRRPHDFDVREPRGAFGRRSRSPQQPRDFRDMRDPPGREPDLVRMRRGSR DSMMSGSSAVPDGPPPVGHHSRPGPIRGRGTRGRMPYMDDRESFRRRSRSRDPRWDRD RDRDRDRDRDRPLDRDRDRERIIVDRDRDRDIRDIDRRDRDRDLDRRDRFDRRDELDR RMDRDERGRPAVFGKRDRPPSRNENRNPSISSLPSTAPPPPPPPSVHNGAALADRITD PVNVEPPRKSSAAEPWREMERLDSLPSRPDTAKEFIPPVPKRATPPAAPQVPAFGSVT APIPDIASEKPSVDALSVAPSLPGAEKERQEPPARPQMLPPTGPRADRGPPHHVLEQR ARRDEAKRDEAPDPLAKPESPVRSFKQPQPLSAGLQKSAELSPPTAPAAMVAKDGTIT PESSPSKVGSSAVFADAGRGAHSVGRSASPGSLTSPRMHSASIPTGPRALQQRPQPSR GPAKGNKQWVRPGYNRPHFATSPTLPPKRDSIDIKDRSSSFGEEVKRDMKTEVDEQLP SPNANRPAVEKDRAALKEKENIPAPESSPKPPIEPATKPQDRSPSPPQVQAVSPKPTE DKMEDVIPDFGRSSDEEEDENVFTQEYLEERKRIFEKDMQILRAEMPTSPLEDPTIVA LLMRIQLLGMIANETEPEKAQEPTAPTDDVVEEEVQATPQDNDFGKKTEEQESSTKLV LDSLPAAVSVANLPFLQSGPPTPVSDLDVYQENTSTHDRIKAILREELIDHRKAVAKK NAELRMQWLEKYKQWRLSVWEMDRAKEKDKASVTPGLTPPPAPTAPVTPNPVLEGRSE GRRYKGNSELDFQNALRASEISAQEELARRRENKATAYPDLTREAVIPDMLEPREAKA LIYKDTNNIVDPSRAMEVFGFLPPPNDFTPEEHETFTDAFMAHPKKWGKIAEALPGRD FKQCIIHYYLTKEEIKYKAKLNKRWSRRGRARRSARAPKSNALMADLGVVKPDYDGEE EPTPVTDTGRPRRAAAPTFGGDNSADTEQSASGRRGNAAKDSETGEKPSGRRGARGGT GARGGRRGKAAQQQQQQQQQQQQQQQQQQQQQASVPPQQDQPNLTTPTVSTISVPTTA ITPMAAIANSDVPEMGAEADADAGVVRFKDQLEDDRVEMPPRSKSGRGRPKDAVYAFE PSETESTTVTPVNGSVRSAEMSYNTPPTTSYWSVPEVRDFPLLLAHFGRDFEGISNFM KTKSTQMRRILFLTIHCHIQVKNYFQRQIDHGKKELEEIVADAESKKARGEPPNPLPI QNFTSKRRYEATPSAVSMSRPLAPLTEATPELDENRLAPTARHTAMPSQPISLHSRPL HERERSVSRYPPLAQASSAPIVPQSPAMSVADESSRTIHTQPGLPPRMQGPRLGYFDE RPPTVLSHASSRTLDHPLSSRQVAVSAPDMTRMEPLSSQGFRGVDVHGSPLLSSQSST APPQPYLQPLAQPHAQTPSLMSHGSHSRQPSLTKPPNSPLQGLGRHESEMSHIRRDSL GQRSFYPLSVHHVVASQPPPVMSPSRDPLRTTLNPVEPPEPPRQVPAKRSNIMSILND EPEEPQPRKRFASDQASSVTGTAVGSPSRPVYTGGSSLPQSIRQEEPILSTSQQKGPS YPQQSPYLPPSRPYSEYPSYNSTTATSGTAGNTDWLGRFDPRGQQQSQQPSSAPPPPS SRPPTTLASQPPYSPFASGQISSSQLSNLNAPSPVPTPPPVPASQRQPYPASVYAPSP APHPQATSAGSRDVSSQGSMYRSGINSPTLRSNHVTYPSRSGLSSTSSYGSATQTVPT SGHMPGTPQQHSSGPPAYQHMQPLVAHQPQPHRPHMGLAGAHYGRSTPPPQSQASRMP PLAGPGSQQIGRSYTPPVMLQPNSSGGMAYAPSGPVGSHPLGARPAGPGSLSESMSGP HGGSAHNRVYSQGSNPFPGPLPSQHSSR ANIA_08077 MAVEDDAQNPLHTGIYEKEKQHNKEERTNPSEQADNTHAEHASR SSRDSDSYGKTRPDGKRELTEDEAYDALGFCFPWYKKWTILTVIFVVQMSMNFNSSTY SNAVSGLTEEFNISAQAARVGQMIFLVAYAFGCELWAPWSEEFGRWPIMQLSLTFMNI WQIPSALAPNFGTMVVSRFLGGISLAGGSVTLGMTADMWEADDQGFAVAYVVLSSVGG TTIGPFFGGMMEQWLHWRWNFWIQLIIGGVTQLLHLFLVQETRATILLDREAKRRRKS GEDPNVYGPNELKSPRLDFKEFLAVWRRPFEMFLREPIVLCLSLLSGFSDALIFTSIE SFALVFKQWGFDPLRIGLCFATIIIGYLVAYAIFIPDIWRQRKIRKEHGNAARLPERR LLLLLFIAPLEAIGLFGFAWTTMGPEYNHWIAPLIFMFLIAIANYGIYMATIDYMVAA YGPYSASATGGNGFARDFLAGLSAMYATPMYENIGGRLHLQWASTILAILAILVTIPI YVFYWKGPQIRENSKFAQTLAADRARHEGRRASYMSAREKPYAA ANIA_08078 MSLQTIGIAAVAVVYFLIRYFNRTDIPKIKGLPEVPGVPIFGNL IQLGDQHATVAQKWAKKFGPVFQVRMGNKRVVFANTFDSVRQLWIKDQSALISRPTFH TFHSVVSSSQGFTIGTSPWDESCKRRRKAAATALNRPATQSYMPIIDLESMSSIRELL RDSANGTMDINPTAYFQRFALNTSLTLNYGIRIEGNVNDELLREIVDVERGVSNFRST SNQWQDYIPLLRIFPKMNREAEEFRVRRDKYLTYLLDVLKDRIAKGTDKPCITGNILK DPEAKLNDAEIKSICLTMVSAGLDTVPGNLIMGIAYLASEDGQRIQKRAHDEIMKVYP DGDAWEKCLLEEKVPYVTALVKETLRFWTVIPICLPRENTKDIVWNGAVIPKGTTFFM NAYAADYDETHFTNPHAFEPERYLTASSDGSGTPHYGYGAGSRMCAGSHLANRELFTA YVRLITAFTMHPAKRAEDRPILDAIECNAIPTALTTEPKPFKVGFKPRDPVLVRKWIA ESEERTKHLN ANIA_08079 MNPPISTHDPSVWERSPDESVTVSVNGDREREGEADAAPRKRRR KYIAKACNACRQRKIKCNGERPCRRCGRQNISCVYENSHEPGGNENIGIERLYEQMNA MQAQISALTASVHSLAQSNASASMPRSEAGPRLHRRISMASKELTFQGPTTSGFSFDL AKSSLKERGIEVERNEGDITREPSPLPTPPSPGSCHVGDPLWSISKTEALRLCRVYEE EMGVMYPVVELDQLLHNVQLLYGPTETGPWLQAPAHAQGIGELDSDDVHILRLVFACA LTAEASGSSELAMSLFATVRDAADHYVWAAPELKSITLLALVIDEETLAWRTIGIVER MCLEKGLHRRETLKHPAIMKAGKNRVLKLFWSVRVLDLRWSFGTGMPFSMDDSDIDPW LPEPEEEDSYLRVMVRYSRIAAKVWRFISAFNNTNELKKDEMNYLDWQVLQWVAALPD SLRLRSTSGYAEAETRSLRRLRSLVYLRANQLRMLIHRPVLHSAAHMMRFPNETQTVV DMAKDSIRFITQLHASSDIYQLQQVVFNWFLVSAVMALFLAVAQIPSQYSVACREEFY MALELVKGVSARSYISRRLWKSIKGLRRLGPQLMHRQSDVDGATTEGLADHAVTSSTQ SQTPDGAQMTQELKDWFEAVGNLEDQIMGVGSLDNFQGGYMMDYSNGLSSMINHCF ANIA_08080 MAPLKAGDPFPSDVSFQYIPWSEDKGEVTACGIPIKYDASKEWA DKKVVLFSVPGAFTPTCSVNHLPGYIKNLPELKKKGIQIVAVVASNDPFVMSAWGKAN QVTGDDILFLSDPDAKFSDSIGWASGGRTGRYAIIIDHGKVTYADIETKKGAVEVSGA DAVLAHL ANIA_08081 MPLCRGSKANYWACMQHYAQPTQGNSFSPLALALPATITAQAQT VTNCTVFNWDGNNPSITTYPPQRVSGASSCPETLSNLTCALSASGYAQYPGRVNITHV ATDIFASVVMETVRSTTLLAPAFNNNVIGSIDATRILRSGQSAYLSFTAYKFCYTGTL YPLEASCGILSGVDLDSYVLYLGESGFSVTYRDQKYHLSPFKTRLDDAFLIALKNRSF TDEPAPHPHRRPIANS ANIA_11046 MPRLLPVLLAAASLQVARVGATPPGIPSTSVAETQLEALTVKEA GASSDYDRDLFPHWISQGDSCNTRDRVLIRDGTNVETGSGCSITGSWTSPYDGETWTA TSDVDIDHVVPLSNAWNSGASEWTTDEREAFANDLSIPQLLAVTDSVNQQKSDSGPEE WLPPLESYHCTYGKMWTNVKYTYGLSVTSAEKSALEDLLAKC ANIA_11034 MIFKSTRPHIDIPTNLPIWPWLFDSEYSPLRQSNANNLGSFVNA ITKESIRYDALADLTTHVSTALVKDYGLKPGDTVALFSPNTIWYPVAMLAVVRAGGVI SGASPAYNIEEMSYALKTANAKYLMTVPSSMDVAIPAAQSAGIPAERIFLLEGMKEGF SSGTTGLPKAVMIAHHNVIAQCMQIIQLTHEDTRKSLAVLPLFHITGLVHQMHLPIIR NSTVYMLPSFTMKSMLDTIVEYRIEEILSVPPIIIRLLQDPIVSNYDLSHVKRFSSGA APISGEILQKLHARFPWTGFKQGYGMTESCSCITAHPPEKQSYEYAQRGGMIVANTEV KIIHTETGKEVGPEEEGEILARGPQIVMGYLNNEKATRETFDEDGWLHTGDVGYMDRE GFIVITDRIKEMIKVKGIAVSPAEIEDLLLGHPDVEDVGVTSVADDYAGERPKAYVVL KGDAKRRLGSEEGVQAVGKQLIEYVKAKKVRHKWIVEVEFLEEVPKSASGKILRRVLR DRERSKDKGKNKAEKRLVVRDEKVRARL ANIA_11047 MEHHPYAETTEFYGWLDQSSLHPDTNATKNMNLKSLSNYAFIES SLDNDNFVQSWDLSGYDAIELVLGKSDGKTYTLALKDDRFFLSWEAQFRCINEKGLMT ATRKVLRFRDLVPQRRRTSTFMSAHPRELDLQKTLYSSGSSGRSGSLQEGPFSLSIYA VNAVKLDCSCPCPLVHDTVPGKGQGLEFEMSRLKNRVAAFISYLAMKMTKPNPKRKLK QK ANIA_08083 MDRHCHATHIDDKDFHTTSTDIDAYPSYSPTSTSASANSDTETL DQPDSLELSRIETHRLQQKTTVGSTRGLQPRETWLPMGAGKEYPPLLPDPEDFVVEFD GAGDPLHPYNWPLMRSTFATSFTSAVFSAGISAVTEEFHVGTEVGSLGVTLYVLGFAA GPIAWAPMSELVGRRLPICLGVFGCGIFTIAGGTAKDIQTVMLTRFFAGLFAASPVSV VPAVFADLFSTAQRGIVMSVFCMAVFIGPFAAPFVGGFIAMALGWRWIHYISAIMVFL GFVLALCFLDETYAPVILVHKAAVLRRQTHNWGIHAKQDEVEVEFIELVRNNFTRPLK MLITEPILLLVSLYISFVYGLMYALLGAYPVVFQQVYGMNIGVGGLAFIGLIIGELVG GAYVLTLQGSYRRKLEANHGQPVPEWRLTPAIVGSVAFSAGLFWFGWCGYRDDVHWMA PVASGVLTGLGIFCIFLQCFNYIVDCYPTFAASTIAANTILRSALGCAFPLFSRQMME NLGVQWAGTLLGCIAAAMIPIPVLFRMYGPWLRQKSKLACASVYSPQKKGDV ANIA_08084 METSFFSCFFAWPFTKKFGRRWSIALASLIFCIGGIIQVAPTHS IGAFYAARVISGVGVGMATVMVPMYSAEMSPKEIRGQLGSLFQFFFTLGVMTSYWIDY AVEKYVASSDRQWQIPIGLQLVPAGILGLGMLFLKESVRWLASVGRHDEALQSLIWVR GGEDTEEVRTEMAEILDGISAEVAATEGVTWKELNLPANRFRIFIAISIQIAAQCTGN TSLAYYAPQIFGAVGTSENDTLLITGFFGVVKVVACGTFVLFLVERIGRKWSLALGAF MMGALMLIVAILAKVFTPDSTATEISSPTAASIAMIYLEAASYNMSWGPVPWLYMSEI FPTRIREIGIAVGTATQWLFNFVFSQATPHAVNNMGWRTFLMFCIFNWAIVVYVFLFI RETTGKSLEEMEDVFKSNIARFRKDEERAEDGAPTYTQ ANIA_08085 MSLQVAADSGDLETVKLLLDHGADANIQAGEHGTALQAAAHQGY QDIIKLLLDHGADANIQGGNYGTALQAAAFSGDQAIVKLLLDSGADINSQGGYYGNAL QAAAYKGHQEIVKLLLDQGAEINIQGGHYGTALQAAAYQEHQDIVELLLDHGADVNIQ GGNYGTALQAASFSGDPAIMKLLLDRGAEINIQGGHYGTALQAAAASFIGHQEIVKLL LDQGAEINSQGGYYGTALQAAAYKGYEEIVKLLLDQGAEINSQGGYYGTALQASAFSG DQAIVKLLLDHGADINIQGGNYGTALQAASFSGDQTIVKLLLDRRAEINSQGGYYGNA LQAAAASFIGHQEIVKLLLDRGAEINSRGGYYGTALQAAAYEGYQEIVKLLLDQGAEI NIQGGHYGTALQAAAASFIGHKEIVKLLLDRGAEINSRGGYYGTALQAAAYEGYQEIV KLLLDQGADINIQGGKHGNALQAAVIGQKHQTAKLLLNRGADVQYSVAGAFDVPLLHA PVESRNQTLLELLLVPAAAALVNAPDFLGRTPIHLAATNGDIGMLQTLWNFSPDLIDL NCQDIDGFTPLHCAVKNHTSEAVKWLIKQGAMVEAKDFTMTTPLQLASQLRNFGIFCR LLSEVTEVKMSASELREYFSRFRRKQILLYRNMRDENSIQAMDYQEVVRYFDALSYQL GLSTSDVEERYAECITTLPVQQSIVIFDRKAIPGFLECHMVLPVYLPNDITSSENTSF AIPSSKHVITWIMVKNAKDKTEPQEQQSLRPKIFLSTLEYAEIPRSELELLNYCLQQL EQEWSSICRAAEDHLAQMHEETLDKSGQNPKIIKQHLSDARTWASLEATRSQQAMILQ ELCKTYNTSAWPYLQDSWSDQDRFKFQKDIEGTYDRIRMQIAQLKQKSRELIYLEFSL TSIAEAQKSTSMNRSMKRLSWITTIFGMNVDLLESNPAWWLYMFFALGTAAVTISVWI LFKRNPKLDSVESHFQWLLRKQKLRDEELGIAERRRRTRQFPGSGKKRS ANIA_08086 MKLTAAVVTGLLATSTSAAFDKWAPWGKRDYSCINAYSGPTENS TLTTGTPLEIKFNRNSGRCDSLNDYPTGNYSLWLHNNPVRNMGFVNSDYQVKIQDGIS SDATSVTFTLPDDLPEVADDTVWYLRLDTYLPTAPQMPSLFNALGPFRIVQ ANIA_08087 MTMCISQAGLKLRFYNLRTYLYMDYHLAVMSHRVARPESGGLQR FLRVISRLWDNIILYCSFVLKDMTGTSSKPYLRISRIETVLELKPLILQAMKKIYQQS DVV ANIA_08088 MPALICPARAVAQQDHAQRSGEGMRIRHGKLLFPGGRSATADRQ YWDSQRSSFDTGLGVSPRSNISVPSNHLENIELQQFSPQDMIAPQSVMHSMSVNMRED SPIFLGDSDVSDPKGDIISRGIISEEDARCIYERFMNCSKNFLPLFDPVRDTFDSIRS RSLFCFTVIIYLASRAASDFRADTHLQRVLQDEAQRLAEDSFFAKPTKLETVQGMILL AAYSEKTWFSTALILRTALDLGLEKSLDTLLAQSSPPRSYLSARMEDRQLVWQTRTWL ISSTLELDVASGTGRKSRLGDVDISKLRKFLDYPLSLHSDMRTVSIIEYHQIRTRGRQ IIENSAAAPIIHTELPAIMRKLQQWWEEWDELHHPRIQKLQQTPEGDQGISSDAVLGL WKSLVEVIESQLTLLVTESAYRCQLVWAPTYPALTIAFITTFAIRVARWHPTLINRRL VLQRVRQILEYLKQPPYPDIHRTVQIFVNYAGALLAEQDLCNDHGQAIAAQPSRTPEV NSTPRSEFTTSIPEQAAENPMIRMDKDTDLTAPLPSESRPEPVLPRLLGFDIPNWNLT APIADSFDLFEEGQTDIFDFLPDLCRT ANIA_08089 MDEKNHVPQPETHPRVPPSGSNAPQPRCNTPPTPPSTPTIVTFE DCPKKNPYKWPFSKKVYVLVFTLLSVMNSGVASSLPSNAVPYIIDDFKLQNTNESSLP TGIFLLGYVVGPLIWSPLSETIGRRPVLLYTFIFFFLFTLACALAPNWSSLLFFRFMC GSMGAAPQTVIGGSYADIFEAKARGRAMAFYMAVASFGPIIGPIISGFASEHGWRWSF WADLICAGVTLVGLIFLPETFGPAILKRHAAELSKISGREMSAPVSKFDKDLKTIFLR PMYMLIFEPIILFTSLYVGIVYALVFFYFQAYPIIFPEVYGFTIQTASLTFLPLGIGA ASTALVAITWDSKYSSALLRSKRKIWFFPLSFSPETHRLPISCVGSIATTISLFWLAW TANPTIHWIVPVLSGFFFGFGYQSIFTSLLIYVTDAYKIYSASALASSVIVRSMLGAA LPVAAKPMYAALGVGWATSLVGFVSLACVPIPYVLLWKGGLVRERSRFCQMLVKDEIE ERQSRITDEDARASVEC ANIA_08090 MAHSPAQKNADFPMHGECAENWWRYICCPTKAMPQNFEPNDIED DPGTDPYGFVMLDGPPGSIDNAFDAQYTIVTRDEESWMATDNEDPGNGDSNNKGSE ANIA_08091 MYSILCQVGPRDIPAFGDALMAVRATKVVVDHFHKGQLPPNPFQ LDSLSADSHEVSFEELRQILNLVHLIRCIEDFCLYNTEWGRDCYFHLKQENKAAPPQE NWLKWQERFHRSMYQSFLMGAVLSRAYQQPLDPSNNCPEHFFKDINTRLQGDEPVLRN DEMAYLLRYPVFNFEAYEDHEPIYGQLADFLVQQSRHRAQSRSNLPDFYPEDAIPNDL DRGQASLLYAETVQCLLASMTLLNHEGYSPIFEKDNKNPDIKSLSRKVTIVPLGSFYP EQIAMPTSVHAAHQTRLLKSPLPQETGESSWNPSARFMSLFLDIMHSSSGQPNHYADT FPTPPPPLQIFQFISRKFLGLRFSDEAFDVEDIDAAHKLFVHHPTASGIYEDEWPDLI PSIFDTPDGGGEYDAYYVV ANIA_08092 MIKTSTIALPRHNSCWVLPKPAAGERDAKMASELADRTPRRRLA RAHSPTELLHDPLHNFLCLSPRTPNKVTKYDSMAEVVGVVSAGIGVAAFALQISETIR RLRDIREYGKNKASAELESLVKRLERLRDILLSLETVQTSRMVNLAIEDCQLEYSSVD DSLQRMSEKLSHLGKKLQGARHSRGIKKQLRDIGQRLDSAVQDLSLSLLTLVAERQVD AQHSRSKIAIDSQRTIQETAQDMALAINSSVIDSSTVPKTREQRSTPASRASIEEPIP PHIAHATSLYCWSLQFALSRYGIPFMVNAAIEFITGAGRYSLRPGLSIERVVKYTSPG FEALWRFNCGLLKLSEVQETFRELRRCDPSLNRHIHPGGRNYVQELLYCGPNRGQHTN DQFELLKFFACELESPVYEDWPSPCSPNWIAEELTPDPFFIDYLALLANASPGFAGLT ALHEIVLLDPPTSVTSFLSRSSLHMERNFLGQTPLHLAVHDVETVRLLVQSGHDMDIQ DNYGITPLMYAAGMGKTDVVRLLIEQGANPFIRDTRWERNFIDYAAARNHWRLLMDIL DTVQDSYSESISQYFICCALLRLIAREKWLPDNTWSAYFAKLVGLCLDVNIRFGNSHD GTEDNNLLHFISNNEDVKVLARQGFELFGQPNSAGKPAIFSLVPILDATLIQSLLDYG ININHVDHKGRTILFPLLQQLRRLNSRTFDVMDSIRICLKAGLDIFISDGCQCACSPG GCFLPAAFNITFVDTMARAPAFVWALEFLSLVEELRGREDSKRLVIGFLHRNCFERVG ITHVCCHRGNNVLNWELLWPRNQMAESDIDEILDEEEELIANLEKEMLPLTHKTLECL RSEWIRMLKEKHDERLEAKRKREHNNSKGPTGEPYQVDYKNDTFCQIFNTEVDFDVVP LANSMAEYVIWLEHQYIRSKDTWDTACEGEAWYKRRMSWFVELMQVMEVAPKTLIKTI NNKIETIPCESDGLDKEVRKIFAIPRFALGRMHLSTIIRLGSSINLQDEPWKSMRPSY TSMISSNGGGHSALANHIFSVLGNWSEEFDDCENIYMNLHLGSSIRINTIAVNPKEIS ISLKASSGGSSGGINTSLLSSGYNKTWRSLSVDERESAMVFMLGRVLWCIFEGVGSST GGNSADFLGEDLFRDSGNGNSNDPNQQQFPEFRDTPEAMQVLIREATSGAMEWAGLLR CVRVVGDRIYGSQSKMDGDEREEAYATARALRAWWNARISSTEEYLVERATGGEECDA TSARRSRPRLRTVLRALQELEKGGGSDVSRLCTSQSTQQPHSVSRRDSMICSGLCITI SRSLLSHMRAIAVFAYPPRFYPIESEKNRLRLSVPA ANIA_08093 MIDPAVLRRQHDELCKAESSKDEIIKNLFEHIEDLEEKLQIEKN EVDSQRRAVISLRDERNEFKAQMEDLVDEKAPDAAIQRDMHLSREATTPNRYQFQDRF IQDGKKGGHDAAQALIYAVQEHIKEIDPKASPIINCNIRVYSNVHGLTKVYRETGIIR SDSDLSAFIRGFNMENPLCDFVDAGNGKECADVKIKGLFAEDINNVHCRRIIFCASAD SGYARVLGPHRGSRRISIVKGPPFPREMAELAASFETVTFSDVFKSSKLLLPVRKLSS QDITSPAIASANMPAIVHNHNSIPNYASAAKAAAAATIAPTKDSKTKSESKPRLLVCL NARNQRVDSVLKKSSKEAITALKRRKLCNQFHILGYCHNMATYGSCTHEHGTELSMQE LNDLMRVARLTPCFSGLMCRDVNCISGHQLIVNSRICTT ANIA_08094 MTLLFLHLFALLGGVAYAASSSSVSVSRSSISLPPIYMLCSELE AFNANLGPLLNLTQYIPPGTSSLLLPQLEQRLAAIESFTAGYSDLVNAFSADNCAAAR ETIVPSTRLRSRQLDLVGVVCQVLGLVQEALASFKCARLSNQPLYISVYIPMSTKANE FQFELRVPDYQ ANIA_08095 MDIEKHPDEKAADAAADEPEYPGFAKVAVIILGLYLSVFLVALD QTIIGVAIPEITNQFKSIEDIAWYGSAYFLTSTALQPSYGRLYKIFSAKWAFLCAVFL FELGSLICAVAPSSTVLIVGRAVAGIGVAGIFSGALVIIALCVPLPKRPLVFGMFGMV WGIASIAGPLLGGAFTDSVSWRWCFYINLPIGGVSMAIVAFILQLPEQNLSSKATPLL DRIKQIDFIGAALLIPCIICLLLALQWGGNKYAWSNGRIIGLFVTFGVLAILTIFSQI KLGDRATLPPRIMTQRTVITSTIYALLFGGSFFVLVYYLPIFFQSVRGSSAMTSGIQL LPLMLATVVSSVLTGILVTIFGYYTPFLIASTAIASIGGGLITLYSIDISSGKWIGYQ ILLGAGVGAGFQVPMTAVQTSLASKPDDIPQGTAAVMFFQTLGGALFIAVAQSLFQNG LIEGVVEYAPSVDPAAIVEAGATEMRHVLEQLGQLDQLENVILAFLDGLRDTYRLSLA LFLAAFVVSCFFEWRSVKEGGKSAEGAVPAL ANIA_11035 MDIDLRDYGGTLLEEVMRHDHQGTVKFHTDLGPIRKFWQAMRLA RCLESAGAEDSEITLDDGRVFEGDLLVGADGLHSIVRTKIAPNAPSPTPADKSCFRWL LSASDIRASETTKHVVRRGALMEWAEGGKARLVMYPCSNNEVLNLVAFMPTAKVGKLG EGIVPLFRMCAHADQRRAGYEAAGNKGILINGFEDFCPAVRELVERAGDDLKVWQLYD MEALPRYVMERAALIGDAAHPFQPYLGQGGAMAIEDAVSLAVLLPMGTTVNDIPSRLK LYENTRRPRVELTLHYTALNARNEDQECTDPKTAAEMARIMGQIGAHNEVANSTAVLR EALTRSASGPAEANGAALDITQLAAPSGQV ANIA_11043 MTCTLVPINLTEPSELEELRQQRLVCGWDNTPDTIESWRKKQEA GLKSFFWITTNTDTNGLQTPIRAGHISLDAYAEPADWDLANAEKTNLTIQNFFILPQY RSKGLGRTVMRKIEALATEEPYGSANCEYITLNCLSKKQYYDEVLGPHARATLSMCNQ EWYERQGYVAWKEEPRYEDILPDQTRFVWDAAFMRKKVKQR ANIA_11044 MYEKWLIFCLQSVVPPQSRLSARNGYIIILNATRSLSLACQGNT TASEQSKRTQRLFKHGLTPYEPQSNNTGILPDDIYNFDETGFAMGLCAHQKVITKSES CGRRPVLQPGNREWVTAIESISASGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTN GWTTNEISLRWLQKQFIPSTEHRTRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCM PAHSSHLLQPLDIGCFAVLKRSYASLVDQKMRLGISHINKLDFLAAYPQARISTFKLD TIRNSFRAAGLVPLNPEPVLSKLSIQARTPTPPGSRGSQASTFCPHTPANVDELLKQA SLLRDFLKQCSKSPPSPSHNALNQLIKGCQIAMQKGILLEQENRALRAENAIQRRKRA RTHRWIAHDNGLSVQEATELEEAHNASFQAIPGPCGPPAEGAQTPKARALPTCSTCHR IGHRRNACPNK ANIA_11036 MPTVQETPFDLPGLTLPLDFKPTRDAVAPFFANALDNDDVDGSD EAEISTVISVRELYMMRIMEAVTDKPNWDTKIWNREISDKWRSKILQGDLDVTEAMID YIFRELEWKASEYAKTGIVTAFDPGLAKSDTAISQDLLEELRAAVKPLEDISDEEKDC HPGSDGKVVDLVHPSLFPLIYGRTRVLRDKVIGIDNCLNSVGQGAVLNIDKNTEPSRM NTSRRRLRRINKYSQRFQWLPCNVEFGPNGECQIASYINNLHPVRHKNLYHIIEQIIT RTIPLWNVSLAPRGDLPRRIPYRRVSYPSDEEPEPEYDSSDDFDERYEEWRDNRRPKQ PEPCPFQAPRSNMHKQVDLCEQYRDKGLQVIVKLANIELTPEKPDYEGGAWHIEGQLN ERICATAIYYYDSENITESTLSFRHRADTNYFQELRYEQDDFQFLRIFGFEPRAGRND YEQITQDLGWVSCRMGRLLTFPNTLQHRVSPFSLADRSKPGHRKILALFLIDPSRRII STANVPPQREDWCNDWAAATNDVLNNRLPRELQAMVRENLEFPPMTMDEAKAYRVELM AERSSKSESENEMFTRGDFSLCEH ANIA_11051 MRISMSGDSVNFNCRPESPSLFTTSQFEEIIYYMSSNRYLHLIT VLFLFASVLCQDIDGSDDDFPNGGPPASYFRAAPTMPVAALQSAASRLGRTSHGGSFR VSSDSGERSSIYSDWALFNEGAAVVWTADMDVDCDGIDYGCKGNADGRPETSWGALSA YEVPFIVIPEEYLDKHEAGLPGNNIAAVICNGNMFYGILGDTNGDSPEVTGEASWLMA RTCFPTEGLNGARGHNDPDVTCIFLLQSPEALALTAKLDIVFLGEDAVLPASAMNDKY ITDFDTLRAMGDELVNALVSNIGLGSGDRARTRTRFRHPLFKAVDAATGTRGTASILN ARSWNTTSGLSQSSNSSCTPASGNSTTPCKANASTPATSFPNVSPPSTAKPPTQAASN TATPAVGSTPSASLHAGAASPSVASPSSAATSAAATSNAPSPPTPTPSCSWPDHCLGA PCFTLDDCSNDLVCVNGLCAVDRDVASLSTSSPTGTPAVRRWITAVRGLGFDD ANIA_11048 MRTTVLSQALALAGMANLATASDDAATTSIAYFHPEVYDAVRLG ILSYSGTAASVVSADATATTLAIGCLESAPSSSCLIDPKNPQTMIQGDSTWSWKGQYT SLDWHPPVTATVDYGCTYTSQSIDASCSFSYSAYGSSDGLAFSQHFDTAVSLRPTWVS SAEMLVTAGVDKLGATATATATETGTKTESEESQATETESGAAAGGPGPFGAMVTAAP VLVLGVAAML ANIA_08098 MGQRAKRTYFNCYGFGTDEAPGPVIPFHWCCFEILLRSLTGTTD PKNVNLDVLYEVMMGMCNGSGSALRLAYGDDVAHAQGQYWRCLPGAEFSVRHPTNTPN LKEFIQTQLKTNGTLHAPSETKGLDFGSRTPKNPFGTLPAELIYQICSFLPGSSLKAL IQASPFIRLLTSDDYFWRCFIESDMPWLWQTQTATDAEVQAQSEPENLSVSQVLRSLA TSSGLSLNHKQVYMWLDEVTAPKYGLEDPTLMGIANRRRIWGACEELSRGYRAVAVAA ANIA_08099 MKSTLALSLSFSLLELLPLIHGAPTFTKRQDTGPNPPLRGSKDL LGYSPNNKLTEQTTEDIQYTLVPGQTEDEDLGVYLDFSNNPNPQPIRGSKGGTDPGPR NPELDRQNSDKLAPPGTDHGQTINANWPMSLSKAKCVSSRLGLDHAGWSRQENVVVMP AATKMAGVDMRLEASAYRELHWHQAGEWSLVLNGSCRIQAVNEDGQTFIDDVTEGDVW FFPPGVPHSIQALDVGVEFLLVFDDGSFSEDNTFLASEIFAHNPKSVLSKNFDLPVSA FDDIPSGELFIFPGTAPPTEIAAQNKSTAAGPVPRDRTYSYHFSEQPAHIVPGGSVKI VDPQNFPAASNFAAAVVTVKPGAMREIHWHPTSDEWSFFIRGQGRATLIEAPSTATTF DFHAGDVGYFPMSHSHYIENTGEEDLVLLEVLQADHFSDIALGQWLGSTDKQIVGDTL RLPEDALNQLKSEKQYVVAAPSNATQA ANIA_08100 MADSAFAYHEPSISTILNQTGLLLVLNIVNTCLDKLLYCGLIGQ LFVGILWGTPGAQWLDRSVETVIQQLGYLGLIMLVYEGGLSTSLSSLRANMYLSVAVA FTGIGIPMALSFVLMELVSATPLQAFAAGAALSATSLGTTFTILSTTGLITTRLGTVT TSAAMLDDVVGLVMVQIISNLGGSGNSFSALTVVRPLFVSLGLGVGVVLACRFVLRPF LMRVLPSRDRLPGFTSTPQFAFLRYTGLLVGLVAGATYAGTSSLLAAYLAGVISSWFD GLLRAMPVSTAESSSFRQEASPQPASRDSSVTHSPRSPEPGQEREQITGMHIYEHYYQ GPVNRILTPMFFASIGFAIPITEMFQGSVVWRGFVYALLMTFGKMCTGLWLVRGSPVS GLTTFARILKASLSYVTSCLRRPLKSQSEKSPHAQQAQHREPVQNTDGAPQEPSDNRS ARVGSNNQKEGEYATNTDSDRPPQREPDQRTPFVSSLPPKPKSLYPATILSLAMVARG EIGYLIASLAESNGIFGKDSQGSSETYLVVVWAISLCTLIGPISVGTLVKRVKILQRQ RENSNTGGADPLGVWGI ANIA_08101 MPAKHQTEEDQLSKQDPTERRRLQNRLSQRNHRRKIRDRIAKLQ ERVIASELRAAATLHGWHSTPCIPYEVKPSPSSTSSASSSLSLPLCSSSTPTELQRQA SCNLNLNTTTQMPFHSTARYEDASGGVGSMTTNASGTSSPTDLVASDTVGTGGILGTG AGIGTGPESGQAFGLGLNTMQLCERWGFQGSVYLTTGTVPSFSASSLTLTCAETSLPQ VMQTLGPSSNAIILVPTPGYPAGSGGSTLEYAQAQGLDIDGCQCQTMGWMSCPLHSMG ANIA_08102 MRHLVASSLLASAAAVNLPRHHLQIRQSSNTTRTAHTIPLTATL YGSRYNAPVTIGNTEFDLLVDTGSSDTFVIETGYECFGQSVYTGDIVTAEQSQCAYAS ETYNPSESDTFEPINNETFIAAYGAGVATGSMAYEDITLGGVTVTDQRFGLVNRSSPM GLGASGILGLAYPVITSAYEVNGTLEDYVDDLAEDLDDDDVDTSIEQEPYSPLFVNMA RRGLVEPYFSLALERLDGDSETGDGGVLVLGGLPDVKLANNFTTVAAEYYEAAEFRSD NGTRLRSYWATTVQRISYGGDGEYTASYQTIVDSGAPMNYVPTEVADGFNQAFSSSAQ WDDTQGAYRVDCDANAPDFAVQLGGTTFKLNPTDLVLRSGVEFGGEEICVSAVTRGLE QQINETDTTELYILGAGFLKGVVAVFDFGNSEMRFAERDTSAASVLEVFGGRKLAVVL GVMFALLI ANIA_08103 MPRRAHKKSRNGCLECKRRHVKCDENRPICSNCTASERICEYGT RWFYTTPAPRPGPPTAAPKSGLGLGRGPGSSPGAATSIESSSLSSASTEGGTSAGIGT GSDSRTGSENGIYTPSQAGLSDQPVNMLHVELFHNLYTKTYLTFDPGRFSPGLPGLFS YSITTPYLINEMLALSALHMSTLHPDKRDYYHYHAAQLQTHALAIFKDSNHQVTQETC VPLFLFASILGIHMLCDTLIYRENNNDFSTFLSRFTHYLRLHYGVRTILREAWFLLRA ADSIVKPALDAGMTLYKFDGPLDPALQVLLDRITASKLGQDLTDLYRQAIESLQICTN VANAGDQRHAAVNGVVVWPILVQPEYGDALAARRPEALVILAHWAVLLWRYRETWLFG DSGAYILGSVVDLLGTEWEAWLRGPRRVIQDVHM ANIA_08104 MAKLEPYVDDYYLWEYIPSLPASIIFVLLFLAATLFHCWKAWKS RARFCIPFCIGGIFEVIGFGTRAACTDNTGKLMPYTIQSVFILLGPVLYAASVYMVLA RLIRSVGAEEYSLIRNTRVTKTFVTGDIVSFLVQGSGAGLMAMGGSMTNVAKGIVIAG LMVQIVIFGFFMVTSAVFDQRMRRYPTSLSMSRNGGDGIGWKEHLYPLYAVSILIMIR SIFRVIEYAMGQKGYLLAHEWPIYVFDAVLMLGVMVIWGRWHPGSIRTEGMGAQLFSM NSGQSKD ANIA_08105 MPWKPPPNTGLAHLLQQRFELFGDQVAVEQGNRVVTFQELYLSA VRGAHKIQRQIDTNVRERPKRSIPIPILAARGIDHIICQIAAVFAGGSCVPLDVDLPE ERLVELINNLGEDSLLLADYQNRNRCLSTRIPRIVIDFSSQQVVDREVEHEQLQVATN GPASCSHIYHTSGSTGMPKAVQVLARGLINLTFNEFQPLRRGQRLGHVCNIGFDVSMW EIWAGILHGATIVVFERDEILDAFLMQQKLREARVDVIWQTTSLLGTIAHICPAAYAT VDTLLTGGEAINLQTIKRIFDHGPPRRLYNAYGPTELSVFSTYHLVSEADVAGGVIPI GRPLSGYYAFVVDSDLQAIPDGEIGELVVGGLGVAAGYLGNPERTAKVFVNASHLGIP GNGIVYRTGDLVRKNGEQLEYLGRRDNEVKIRGQRVELEAVERCLLETQLVSLAVALK VSEPWTGGGSGAPSSLLAAYVVPLSGGIDAKSISRAYRERVPHLMVPHLKTVDSISLT GSGKIDRKKLAHDFESELQSTKLSTNGFSCRNLQPQLKDVGDRVRHAWAHILGHTPAS LSMQDDFFSLGGTSLHAVHLLNNLNTSMGLSLRAATVFENPTLEGMSEAVSRLLHNTP AASTSVTSKIPLWIQDMRLGKGLQRNAGTPPSWQDESEGRVFLTGATGFVGAMLLAQL LAHPRVKAVACLIRAKDESHARDRIRDTLSKYNLALYPHEEAKIEAVAGDLSQPNLGF EEEQYQHYAQWSSVVFHLAAHVNYIEPYSTHRAANVTGTLNAILFSQAHRTKSLHYTS SISAYGPTGFVTGTRYLAEDERPQDHMLALEFDTGYAQSKFTAETIVWNAIDAGLPIA IYRLGTVLGYTSGKGPMHANPADFLTRLVKTCIQQGVYPCLSDHKDSIVPVNFVVSSL MHISSRTASLHRAYNVVHPHQCTLDFSAVFQSISNHLGTDCLREVPYREWIEVTSIAS DNPLTSLLPMLSEPVRDGLSRWEMQRSAPKFLTENLHQALADVPEILSCPPPSALLDA YISCWIHDATAQEGTPTSFHWTEGEKSLKNQAAKEINSFVQNRCILG ANIA_08106 MHVSRDYAPGLQYVLPSAPVDDIFYLLKRDGAVVIRNLISHEDL DQTYEEIEDTLNADLEWDGEFFPKETKRANGLIGISPTYVRTQLMHPLFQATSAHFLT TRSTYWWGNKRKESVSRPYVQAALAIQVGPGAKAQPLHCDSYINHRVVDEIAEWNDER DANRETSLGMSSCPDTPPPESAIIVPRLSKGDAFMMLSSLRHGGGNNTTSDQHRLIYC SFATRGFLRQEENQYLAVPGDVVKSYDRATQQFIGYYISEPACGQVNQRDPIYVLYPE DAAKPSDF ANIA_11037 MPDILEDRLRMPRSNIQFLTSIILSMNAILTILIAPFTGYLSDK VARKNNLMLWSYAVNTLGTIFTAASSTLAGFIIGRLIQTVGGSLIYIAGMAMLGGAVG PEHLSKAMGICVLLISGGFLSAPALSATLWEFSTYAVTWLSAFAVLLVGVLLQALVIE PYLLPRESGQNGRDSEHSFDFAGIREESESDSQSSCRREAETECLIAGSTSHGRGLEA GATGYYTFSDPHKLHLPTSTTIYRRMLRKKRVVTALVAETLLAALIASFEATIPLHIR DAFQWSSLEAGILFLILQAPTLILVIPIGWLKDRIGMRLPVSLGFLVMAPSLWLLGVP GAKQFQWAAGKTGEVLYVVMLVAIGVARTLVLGFGGVEVLRGANEVATEFPGFAEGGI SLYSRAFVLSNITWKFGMFLGPLVSGALTKSVGYYAMNLVLGKQHYAQTLGEMR ANIA_11049 MLARNISTGSWLPPALVPSNNQYPMAKVQTIALAGGTGDLGRYL HEELVKDRRFAVALLTRETSQKTNTSTLPNTTIHPTDYSESSIVSILDSTGATALISL IRCPNSVYEPLHKTLIHACLASNTCKRFIPSEWAGDIEGFPDIPISYSKTRAPLRRYL QDLDQQSEKEGSKPQLEWTLFNLGWFMDYFLPPSQSYMRYIEGEFPIDVASWTYTGCG QGFGRAVGQREGVVRLCFFPYDCVCKRLINPLSKLPDRTLTKKYRSAEEIASDIREYE SQPDVEDVGVVEVEHWTITGATACPKEKTLRQREKYFSAVHFMSLEEMLSLAESQGHV ANIA_08108 MTSPAYAHHFSIKNLPYGVASSPTHGQQCATRLHNTVIFLGDLQ NSGFFGDISLPNGIFMNSTLNGYAALPKSVHTAVRATLQSVLGESGLNGLPENSKADI TTVKLHLPVEILGFTDFSNSLNHVQNAGRAILNDPSPPPGFFHFPIGYTGRASTVVVS GTPITRPCGHFYDRSNPVARKVVYGPSRALDYEMELGVVVGRPFGWGSAEGIPATDAE EYVFGFVVLNDWSARDIQGLEMVPLGPLNGKAFGTTVSPWIVTTDALAPFKVAGPEPR VELEEHLKDEKEGNFDIVMKVEIIHPPAGQSTSSAATNSNEGAGNNTEDKSVSHIATS AAKELFWNHRQMIAHLTSCGSDLRTGDLLGTGTVSGSLEGSYGCLLESTKGGKQAVTL ADGGERVYLLDGDIVRMSAFLGDEASGVGFGECTGEIYPVISS ANIA_08109 MEEQYAALASKITSLATSHQKPRYLVAVAGAPGSGKTTLATAVA AQINRSGLLSHKSTNQSDDNSQTNEIAKRALVLSMDGFHLPRSELDTLPNKERTEAYV RRGAPWTFDIPAFLEFMRTLRLWADSGSPSSSSEETAGVLYAPTFSHSTKDPIPNSIV IDHTTSIVIIEGNYLLLDKPQWRDIAPLVDYRVFVDVDLAEARERLAQRHVEAGIEQT LEEGFLRVDRNDAINGALVKGHVVDGVDIVLRKKETNPSFVLRAVKDVAFEDRVIPPL KDPWDVRVQVAQTGICGSDVHYWQRGRIGDFILESPIVLGHESSGIVTEIGSAVKNLK VGQKVAIEPGVPCRHCDYCRSGSYNLCPDTVFAATPPHDGTLQKYYITQADYCYPLPY HMGLEEGAMVEPVAVAVQITKVGNVRPNQTVVVFGCGPIGLLCQAVSKAYACKKVIGV DISQSRLDFAQAFGADGVFLPPPRPEGVEETAWSEKVAALIKEKFGLGEGPDVVLEAT GAQSCIQTGVHLVKKGGTYVQAGMGKENVVFPITTACIRDLTIRGSIRYSTGCYPVAV DLIASGKIDVRAGGGGL ANIA_08110 MPCGPNRHSDLDRGGFAIQRERTKGVAMIYNTSSTANELASQIA SENKITCRAYQANVTSKEEITSTINRIKSDFGKLDIVVANSGIASSVSAEEYTVEQWR QIMDVNLDGAFWTAQAAANIFKEQGSGKGSIVFTASVSASLVNVPQKQAAVWALLIPF YNASKAAVVQLAKCLSVEWVDYCRVNCISPGFIATEILDHHPKEWREKWYDMIPAKRM AQAYELKGAYVFAASDASSYMTGADIIIDGGYNLP ANIA_08111 MDASVQPGHHLTFAAGVRAPAPGAEPSHRTAEQACRECRRRKSK CDRAIPVCRLCSKYKRQCTYEKPARTPLTRTHLSRVENELARTKALLRRFMPDAAALE DSHGLEDSRDEGAGQDANAAESGDGHPSVQPGPALCHDVVDGTLPQLGHVGHDARGAT LPSPLDSGSHAQQMRMSPHSTMAAVGAEPIMHPASESRSTGTTAFSLETPPSSSNFEW DERAGVDNKFVDGMASLTSRSNEGGYLETRDDDAGDSNGQVHEYECRASIPFVLNTMS QLEPFVDAFFRLYHCSYPIVHEATFRAQFMEIIPRPPGNTWQVLLFVISALGVFTSST ATSTSDVDLALFDAAKERLSIDVLETGNLVLVQALTLISNYLQKRNKPNSGYNYMGLA RRVAMGIGLHKEFPTWDASLLTLEMRRRVWYCLYIFDIGAMITFSRPLDFPVEGVDVK LPMNAHDSDITSTTRHLPPPAYETTIYSHLRAQAQFHLATSSIYSKIISHPLPSATEL LQLDDTLIGGWLANLAPYFAEPAVQPQKFALAHAILCWRYRNFRILMYRPFLVGRVMV RSRGAGTQGQEVGTDIDLAIERCLAAAAEAVELICTFWLTQVHHRTMMACWYGLYFLF QAILISVICLRNDPQSPLAQGWRSQISQAIEVLESMALMNSSARRCLRVITDLCGNYL QSGQGPDIRDGIGVNGDGWRPTEESPQTQLASLYPLMWPTLDMGMGLGVEGNSIMYGF LYLHSKASYPLLS ANIA_08112 MKDQDIVGKALASVLPQHDRTWLRIPHLLKLNLILLIPLLSSAV AGYDGSLMNGLQSISSWKTYFDNPTGSILGVVNAAQSIGSVISLPVVGILSDRIGRRW TLLSGAIVIIIASIIQAASVQYGMFVFSRVLVGIGSMLVVQPSPMLITELAYPTHRGK YTCAFWTMYYLGAILASWTCYGTQKHLSNDWTWRVPSIIQAGFPLVQVGLWWVVPESP RWLVAKGRTEEAKGLLAKYHTAGDAFHPLIEFEMAEIVRTIELDNQAAEMGWSALVQT PGNRKRTFIAVCIGAFAQWNGVAVVSYYLTLVLDTVGVTDTDTQTLINGLLQIFNFIA ALSAALFVDRLGRRTLFLWSAVGMLVSFIIWTACSAVFDSSQASALGRTVIAFVFIFY FHYDIAYTPLLLGYPTEIFTYSTRSKGLTAELLSVYGSLIILAFVNPVALDNIGWRYY IFFCCFDVLVLAVTYFVFPETKGYSLEEIAKVFDGPAAVSSSEVLEGKKGAVGSAEHT ENV ANIA_08113 MTNQSIKRPNPPLPDSVFAMFSMKGRVAIITGGSGGIGYEVARA LAEAGADIALWYNSSPAEKLAETLVKDFGVRAKAYKVAVQVFEQVQAAINAVVADFGG LDVMIANAGIPSKAGGLDDRLEDWHRVVDVDFSGAYYCARVAGEIFRKQGHGNLIFTA SMSGHAVNVPQQQACYNACKAGIIHLAKSLAVEWADFARVNSVSPGYIDTAISGDCPF EMKEAWYGLTPLKRDADPRELKGVYLYLASDASTYTTGADIVVDGGYTCR ANIA_08114 MVSFWSLSSALSLSIRPMEVHLTAQAFLVGGAVAPSSAFSHRHC SVATIKSFLPNDRRVFYANHYAANDSFTLNAGLWRSLHTARNIDPHYAETTVNKLHSD WYTVNDTFVFEGYAKGTEASWTSNGPDADFVTYIQYMMQLVSGWTWEDWNDGLIVLSD ALNPGNATAGDFDISSFYRKGRQAYSHVAQALEPKGIKLDDFYRFFLVPGMQHCGLIP SNMNAPWYFNGDGKNTALNTTTEVRGVPGYQDTRHDVLRAIMAWVENGTSPGSLVATK YVNDNPADGVQRAPAMPVS ANIA_08115 MSSKRLRVEDYRVACITVIPAEYAAFEQMFDHTHGQPIGIDRGD LNHYEYGDVAGHNVVLCAAARPGTNNAAITATEVRRTFHNLKFGLLVGIGGGVPNGSC DIRLGDVVVAASDGTSNGITHHDHGKQLSDAFILLNYPVVTPRVLEGVICAVRASDIL DASAIPQIISAVTKKYPKFQRPDNEHDLLFKAAYEHIAGNFDCDECAKEQLEDRAPRE SLVPHVHYGPIASGNQVVKSAEKREFLRQSYGVYCIEMEAAGVMPTLPSLVIRGISDY ADSHKNDRWQNYAALAAAAYAKDLLTRISPSDSTSGRDVSSSGTAGQPASTVARNPKL EDLLEHRGRQIGAKDWRSSIVDLLKVLGLKSDGASRNDLAAILQVREGLSGSAKRNNA LRRALMDCLVVEDGDVVSPNSLDALRY ANIA_08116 MYDPVALDLSYVNRTLPRHYDSDEEDISESEGHASPLDAHKRSA TLDSILSVSDGGHEQEQNDEQDQHNPESGAGRPAFSRLLSLPTDLYVPSEPLTSPRNS FNSASSASVYSDDESDIFVAEQVTYVEHAKPNLILISPTESCPSSSFPPRDGNLPSPS SSASSGSVYSNDEASRSQPVLGEPWPHRGRDHSPFPSRPLHTKTPGSLSALDTLKNCG PRQAVNEPMSAPAVEAPRPLSFRARSMSFSRPQTPAADARRRLQKEPSRRPPSAQSTA TFSLFPAQSQSRTSTPILTHGNGHEDSCASSTYSLPLSSSQPPSRNASPSPYSCGSPA YNRSRSGSLYSVSSMSAAHSQATGKRPPLPYRGSISMVKSRSSTMPMGGYSSSSLRAE LNPQPEEQKTSSKSKSKTHSSKKSMKQSKDKESETPSSAKSFVGFMLRGKRKSVIKN ANIA_08117 MEYLQDIQKPSIERPFGIHLWPIFDKAFEAVMGYPASEFQFVEG KTPMSTFRETAIMLIVYYVTIFGGREVMRNRPAFKLNTLFMIHNFVLTAVSAILLALF VEQLVPTIWNHGIFYSICDHRGGWTQPLIVLYYLNYLNKYLEFLDTVFLFLKKKPLTF LHTYHHGATALLCYTQLIGLTAVQWVPITINLLVHVVMYWYYFQSARGIRIWWKKYIT RLQIIQFVIDLVFVYFASYTYFASTYFPWAPNAGNCAGEEFAAFAGMGILTSYLVLFI SFYIVTYNKAAKTGRPRRNTGKQAVIDMARYEVAPPSPAGEKKSNGSAVTTGRSNGPA TRSRKA ANIA_08118 MPSISVFRLATRAVRPSGLFRASQLPRARVQTPAALIGRPAFST SMKLRSGQHDDETYEQFSARFEKEFDGVQDVFELQRNLNNCFAYDLVPSVEVLSAALR AARRVNDFPTAVRVFEGIKAKVENQEQYKQYLEALEGLRQELGVPLREELYPDEE ANIA_08119 MAVARPIRMLSAACVVLVIFLVFQMKRSPSYVGMGPGEYNGMTA DPLNDPTGEPDGYLWRADEHDYAPDSTNSARTNAAIISLVRNEELNELLPSMRDLERT WNHKFNYPWIFFNDVPFTEEFKKRTQAETKAKCQYELVPKEHWEVPSFIDMNLFKESA ALLKEKGLQYADKISYHQMCRWNSGMFYKHPALKDYRYYWRVEPKVQFFCDVDYDVFR FMEDRNKTYGFTINLFDAPESIPSLWPTTQEFLAANPSYLSDNNMMDWLTDDQLRPDH TRDANGYSTCHFWSNFEIGDMEFFRGDKYSAYFDFLDHAGGFFYERWGDAPVHSIGLG LFEDKNKVHWFRDIGYRHIPYFNCPNSPKCSACTPGKFYEGASFLAKEDCRPSYFKHV GTH ANIA_08120 MAAGGTSTSTTELLISAVAKTGKDTAHFRALKRRVDEAFRTSSH GRTDQFAVAKQLEGLLEKFRVLNRDDLAEALQPRLAELDQHRGAVFPEILSFLLQLAD RPAQLAKVDRLLKLQPEKEEKQLSWTDLDASGTAYCDEDIWESVDYGASSSDDDDVSS VSSGVQAARNFTQITSEDDYVIPDYIFCAGHDEDLVKSIKSVQFWEEETQRDLLECAK LVSFEVTELQIVREAIFMLQGLPTSLLRRIDGNVEIDRRYTLPHLSHEALSSVLRSIC ACGTKIDILRRFTRTPQSAAYMQTLHRSTEDCLHKFDKFLSEMQNKFLSRDSSVAVSL LQLLDDVQRESKLLTLLADLVSNLDRTAVDSVQCLDQLFDLVCITQAAGDDENFARLA EIFFASLETYARPLYRWMETGQLEDSSLFFVSANHEKNDLRTLWHDWYLLETKPELAK IPKFIRPFAHKIFITGKSRVFLNRLNLADSLEAPRKTALSFEDIYPSNLSSTCLPFYA LLESALNDVINENHAFTSSLLRKELDERCGLWISLQALEHIHLCKDISLIGPIDTKIF ELIDRRKGGWNDRFLLTELAQDTFGSLPIIDSSRLIVRSAKDHDTRSRSVKVLSSLSF DYILPWPVANIITKDGIAAYQRVSNFLMQIRRAKYSIVKQRLQYLNHDTNQAKGSKGQ TLSYAIRHNLLFFLNVLYSHLTGFVISSTTQSLRKSLSTAHDVDAMIAAHSSFMVTLE EQCLLSKNLLPLHQATLSILDLCISFADLHATRFQHQPLHSDPTRSPRRQAPGKPFKT GLSPTPRKIRYEYSYGDEEDTDEEFEEVNSESDESDEAKGYISPPHGASLHESQYLQR LRNIQEQFNRLVAFIAAGLKGVGRVNGQVSWEMLAEKLEWRNERVTGWS ANIA_08121 MASPFDSADFALPGSIAYSRSRGRAIAASIGAQDVRGQWVHYVH TAERLPEFQQDVLQQLLSYGDITDIPPSFTAEDGEFDVFYVFPRTGTISPWSSQATGI AHVCGLRKYVKRIERGIKISCLRPASGEYKPGFKDVLHDRMTQLISETEPDLHLMFSE HSPLPLETIPLSGSDKSPKEVLQEANKRMGLALEESEIEYLAAAYGPDGPLARDPTDV ELFMFAQVNSEHCRHKQFNASWTIDGMEMPNSLFSMIRNTHRKNPEFTVSAYSDNAAV LQGFDSSFWAPDSVTGEWNHTKEIVHFLAKVETHNHPTAVSPYPGAATGSGGEIRDEG AVGRGSKPKAGLAGYCVSDLLIPGLKQPWELDIGKPNHIASALDIMLEAPIGSAAFNN EFGRPCITGYFRTLLTEIDIGDGEKEVRGYHKPIMIAGGVGTVRPQHAIKKPDAVKPG SYLVVLGGPAMLIGLGGGAASSITSGEGSVDLDFASVQRGNAEVQRRAQEVINACTAM GDNNPIKFIHDVGAGGLSNALPELIHDSGLGAKFELREIDSADRSMSPMQIWCCEAQE RYVMAVGEEGMNKFTAICHRERCGFSVVGRGEGGSEEEKRLILLDRESKEHPTVIDLP LSVLFGKPPRMTRTVDSRKLKLPAVDTSLTTYLPSLAPNRAELIGEAANRVLSLPAVG SKSFLITIGDRTVGGLTARDQMVGRWQTPVSDVAVTATALVQGAKTGEAMAMGEKPTL ALISPGASARMAVAESLMNIAAADLVDRLSRVKLSANWKAASSHPGEGAAIYEAVEAI GMHLCPELGISIPVGKDSMSMKMKWKDESGAKEVTAPMSLVISAFAPVENFRKTWTPA LRHPEDVGDTVLMFVDLSLGRKAMGGSALAQVFNQVGSECPDIRNVELFKDFFDATQQ LQEAGIVLAYHDRSDGGLFTTLAEMMFAGRCGVEILLDNICPNLDTSSFIETLFNEEL GAVFQVRKEHEMQFRSCFATCGPPAGLIHKIGRVSERPKQNLAIYYKASQVYRNTRAN LQQTWASTSYHMQRIRDNAACADQEYANILDDTDPGLSWNPTFDPKDRALPFLTSLTS MSPFANKPRVAILREQGVNSQAEMAFAFNTAGFAAIDVHMTDIISGRVSLSSFVGLAA CGGFSYGDVLGAGQGWAKSVLLHDNTRAEFQSFFNRPDTFALGVCNGCQFLSRLSSLI PGASNWPTFERNASEQYEGRVAMVRISDPDPSNPSVFLHGMHGSSFPIAVAHGEGRAS FTASSTDPASFVAQGLAPVQWVDNATLKPTMKYPFNPNGSPEGIAGIRNANGRVMAIM PHPERTVMNGIASWLPAKAEEWGDIGPWGRIFFSARRWVG ANIA_08122 MTDNTLAPTFTMGSNRGEVDPTDLPYRTLTADANIEEYVQETQT GEIAKPVRTADGRTEDYKLVTFKVDDPENPKNWPKWKKWYVTMVVAFTCFVVAFASSV ITADIEGPAEEFGVSREVSLVVVTVFVIGFGVGPMAFAPLSEMYGRRPVYALTLLLAV VFVIPCAVAENIGTLIVCRAIDGIAFSAPMTLVGGTLADMWRNEERGVPMAAFSASPF IGPAIGPLAGGFLADASGWRWLYWLTLILSFVAWVLITFTVPETSAPAILARRAKKLR KSENDPKYVTETELDARPIGERLRVFLLRPFQLLFLEPIVLFISLYMSVLYGLLYMFF VAYPIVYEGGKGWSAGTTGLMFIPLAIGVLLSAACAPFVNNHYLSLYSKYGGKPPAES RLIPMMISCWFIPIGLFIFAWTSYPNIHWFGPMIGGFPVGFGFIFLYNSANNYLVDTY QHQAASALAAKTFIRSLWGASTVLFTEQMYDRLGDQWASSLLAFLALACCAIPYVFYV KGAAIRRYSRYAFADDEEALATKA ANIA_08123 MTPRANTKIIVVGGGGTMGSSTALHLLRAGYTPSNITVLDTCPI PSAQSAGYDLNKIMSIRLRNKPDLQLSLEALDMWKNDPLFKPFFHNVGMIDVSSTEEG IEGLRKKYQSLLDAGIGLEKTNFMLESEDEILAKAPHFTQEQIKGWKGLFCGDGGWLA AAKAINAIGQFLKEQGVKFGFGGAGTFKKPLFADAHEKTCIGVETVDGTKYYADKVVL AAGAWSSTLVDLEEQCVSKAWVFAHIQLTPAEAAAYKNTPVIYDGDYGFFFEPNENGI IKVCDEFPGFTHFKMHQPYGSPAPKPISVPRSHAKHPTDTYPHASEVTIKKAINRFLP RFNDKELFNRAMCWCTDTADANLLVCEHPRWKGFYLATGDSGHSFKLLPNIGKHVVEL LEERLESVFKDAWRWRPGSGDALKSRRAAPAKDLADMPGWRNEAKM ANIA_08124 MTDSKTAAPKGPNQDDRPASPAAGELVPGIDMEHYRNTVPLWKR VWQHSLTQMMLLSIQAFCGPAMSDAIAGLGGGGLATPKVSNISNAINYAMLAIVCFLG GPLVNKIGVKWALVLGSMSFPIQGSAYYCNSKFGNQWYLIFSGAISGAGTACWYVAEA GCIMTLAPSGARGKYLALWIVSRNLGQLVGGAINLSKNHVEGADGGITPDTYIAFVII ECLALPFALLIAPFERVVRSDGTKIVMAETLSTKREFKQILKTMTSRLILLSGIWALW SFFYSCVSLSPLRRTYFSVRARALSSLISPFFCIVGCFGLGFILDMKGFSQRRRAQMG LYTVVILNVGVYIWSIIMQNKFNHHNPGHIDWNDGLYPSSFLPYFFVQTTGPLSQSYM YWLLSSFATDAQANVRNGAMFRCIEAIGQAIAYGMNTQTDSNPLIGFTPDQIPADVVA QEQNAALAKAEDV ANIA_08125 MDSNASPSSNHPSMSFSPAGADIGSAGPGSSRSGTVTDLPQAQV DAIIRAKRKAREPKACYPCHARKVKCDRNLPCDGCVKRDHAELCSYERPSKRRVGTGP DTGYLDGGAGHPAVGAGPAAGGTRTSAGGEAGLDGSVRLKQEPGLSSHTSPAGGGRVS IAREDWDNVRNKLREMEQTLAIMRAGLDKANEEGVGVHSTLETGSVQSADASNRSKGG SPEREGILAPNTLGEGTVHLGSRSVLAYILNNKSGSDQLQALLEGGILPKLGLDNESA TYPFVDLWSSEMSTFDISAVCCALPTDQQCKEFFCYYRDIAGAIYPVIEDVALFERNL DLLLHNRNTAGGVYRADDDHAQRPFGMSIAFLGLLFAVLASGCQSSDLPGKERELSSQ VYVCCSYQCLRMTNFLSQPTIEAIQTLLVIGNVLSYNMNPGISYVLLGMTLRMGLALG LHVESSHFSTVERYRRRHVWWSMAWQDSHFSLSYDRPSTTAVSQPEIAKREGSKPGDY TYFESLCGVISLALKVVRSRMLSPHSQLSWESIQNYKDQIQKILIEARPYLRDPKYCI TPTEHLERTVLKLHSSYFSSELCRPALKSANARDPQTARMRAECLEHLMTTVDAYVEM HTVSPHAARSWITLQRAISSIFLLAVTDETKSNPQFWTLLRRLKAIISERAEAEFDYG ADATAASAATAPDRSPMINSLGQPIPNPAGASPAALSSPAGGVAVDPQTQWAKPLTKT LRALEKLEAAFHTHTSPLMTTGASPTYLNPVTAMHGTTNNIVPVSTSASASGMTPNLG SLPPHTPESSTSGEWTIPNILDRAQEYIHPPLWS ANIA_11050 MTTLSAAIENAMQNARETYTPEQPELPPVTNKQPVGLVLSQFSK AWIALAGLDRPGLRDRIEPKIAAVLGRPSVLLRLTNDVDLLAAAMTQHPEVPSAVVLI AGTGSVAMRYTITEPGQVPTRTARSGGWGHLLGDEGGGYSIGLEAIKHTLTAILQRFG GSQSTSPSSSSSSGGNMGPDLLSEVLLGQRGESASVKSRIAAAAQLVVNLAPEDATAM TIVTSQVKALVDTVLGRLIDPRSQSAVAPERTGLILSGGVLRHELYRELVLERLTERG IKFAYTETVMDAGALGAKSLCIACD ANIA_11038 MDLDNLSRLQTEAVNPQTSLIDKLSTLQMCTVINQEDRRVAASV TPCLPRIAAAIDALAPRVRRGGRVVYVGAGTSGRLGILDASEIPPTFAAPPEQFIGLI AGGDAAIRRAQEGAEDSLALAEADMAALELRPELDSVVGIAASGRTPYVLGCIGFAKR KGCITIGVVCVEPSALGESGEVDFLIAPLPGPEVVSGSTRLKAGTATKLVLNMLSTGT MIRVGKTYGNTMVDLVASNAKLRQRSRNILRRLSAECASMPNLELDSLLSRCKGSVKL ALLMVATGKPVEECREVLEAADQQLAKALELAATSTLQQGAEGRLRDQSTELILCIDG GGSKCAAVVATKAGAVLGRGEAGPCNMCVPLLLLSRKDG ANIA_08127 MDQKAQPEAEDGRTVAAGQEERSIVYRTYTHPWFQIFLISFICF CCPGMYNALTGLGGSGQVDPTVAANATVALLSATAGTALFVVGPIFDRVGPRICLLFG GWTYPLYAGSLLCFNRTGNGAFVIASGAILGIGASFLWVSQGAIMTTYVRESQKGRAI AAFWIIFNLGGGVGSLASFGLNYHSTSGTVSDGTYIALLILMAVGWLLGMLICPPSAV RLEELQQSSRTQTAVVETEKPNWKSTLRLAARTILDWRVLCMLPLFFCANVFYSYQQN IVNGMTFNIRTRSLNSALYWLAQMLGGLVMGLILDIPALTRPGRARAGWLFLAVTGLA IWGGGYAFEKWSSDRLAHGLKQDIDYTQGTVSTGPIFLYIFYGAFDAFWQSYCYWLMG ARSNDPAVAAVLVGAYKTFQSTGGAMAWRINALDKPAMTQFAMDWGLCMGALVVAIPA VLTVTKTSTVDAEVAHQGEKGEEKTMSASVKG ANIA_08128 MLEPTRNPLLLPEIVGAVLDNVNIRDLLSCAGVNRTWNTLALKR MYEGSVYDLQRRTPDITSLNCLYVASRERFARCMGHVKHLLIAPEHPVEKKDVGMPKK FVSLEKFRPLRDPESAKRLFQAYKPGVKSLMIPFGMHGWEQSYIDVLLTETVEFLAID DSFCPFLEPAYRPVGNIKALTIYKSGSNRDVTSLCSLIDRCDLHFFHIEDSREPEPMS DDHTTQLIECLARQRNLKALALMIPEVLSPLARVVENGNPWPGLKALYYGQYDDRFSI PPVVRLPRFNELEILSIPRIDPLLTIIGRDPGIKNRLRVLHLELADISDTEPVLDILP GCNSLQKLSLGELDDSGPAEAYANFFQRLPPLPSLQCLVIPWVFKMKINLIQHVASLY PQLTVLDLSQADLTVSLNSLQSMSSITQLQVLQLSEIPFNNPRRWMRGTRLQQLATEW KRVFPSLRTVPYGGDNCTFPMTSQAVREHLLSEPDEFAYPPDSDFLMGRLCTVLGYRA RIDSHLDYDFQTKMENEIIGWPVVPFVAFQHTDSYSTYSV ANIA_08129 MEARTMVCDRAQPPQPLPHEPPHPDKKKRVRRWHHRGFTGCSTC RRRHVRCDEASPTCRNCTRLGLECDGSQGRMTFKVYGPPPPPPGQSNPPTKRDKSRPR ASQKAVKKEDTEVEGVVISPTTVTESKPLVFHFENPAVHSVTSIPEDDKKVKKEQEDE DLVLIPTAGESRPTEVRFHSHTLPVSSLDCLQGRYYTHFVDEVATLLLIYDTSTNINP FRRCFPDVSQSSLSMASAMEALGALHLANTSTGPERIVHFQHAMGKYGEVVKSFRTRY EIGQRSRLPDFATCLLLALFEMMDSQHHNWAIHLKGAREIYRWLFYPNSDPVLEAQRV AEMNHPLRQFLVSLLSYLDVAGACATSDGTVVEGSYWQTLGGGWEYNLGIPSLSQPAA NNGPLLELRQCWSIMMEIQAAISSFGKAKQSGWLTPDQQDIMYRDLLQRLVQWRLDAP QCLQKLRDLDDASLSQYPHPDVLEYAGCIEAYEKATNIYLHKVGRAGRPDIQPQQELI AAFCTRILSLIRKLAKDVGRLAVPWPLFVAGRETRDEREQKFVRDTMLDMQRYGFKNV EKALEELEKAWFKRRAFPEGWVETMDDVRSSILLP ANIA_08130 MPGQCNVLLAEASVPYDIVLEMDEINDDFADTDVTLVIGANDTV NPIALEPDSPISGMPVLQAWKSKEVIVMKRGMSSGYADVPNPMFYMPGTRMLFGDAKA TCDGKCISCSVLSPILTSAAIKANLEARM ANIA_08131 MESRLGRVGELYCGKQLLKPMAATPDHKLSKVLIAGAGIAGLAT MISLSRIAAILDLEIQLYEQAPELLEIGASIALSPNGMRTLEKLGVHDALSDDFVFKG PSGILQIVRSSQSTPTATFLTTRFHRGHLHAALLEHVPRQYIHLSKKLLHADADGNGV VLHFEDGTTVHGDILVGADGLNRALTEATPYTNLYPNFAGDASSTWVFKDRVTLVRDA AHAHEGAFAAVGPMALGDAFALWLAFRYILTRAGQPCSKGYIGIEGIKKALELYKRTR KPHTHHLLEIVHAQLNTKLVARGSEDEEDEEWINRMKGGPDTEWLSEHDVEKAFAHVV RQEDERVQALTVSRTLLFHYFWLAFHVKTLMQHSSPVENTALMR ANIA_08132 MPHYSEYPTITPSTSKLDYIEALKTAVGPEGLRTLQPDEAKPPG FDDLQQSLASSTASTPARYTCSTDKPLPVTLRNISNSAALHVGFGGVLPRWARDPPQT VNFAAFANGYPRPVLALVGANALRDAADEWNKLDLGVKFKWVEKIEHASFVLSYAGNQ GNVLAEAFFPNEDDLSYLNVYSAAFQPGTVQYLKNIFLHELGHVLGFRHEFAPELEKE EECVQLGPRNPLSVMGYEFPPQIQTTDRESAEAFYMFPGYSLGWREAKVPPSSKRTPL LIKDCVAR ANIA_08133 MAVLGIIITHLFILLLSAWATTASTRSRIGALIQLLFAASWIWE LCLKLLTLLLATAIGAALARVMWYDALIESGARQPSAGQDELSKVDSFKQSMKLDALE KEIDVDFAKLLAGVPPAWFLAVVLAVWVDFVSLGVYLARLCWKGARGLLRGVIGGTPA PPSEMRVDGLKGTEDASGGTDVFGTQGVHGVQGAEAVFGMSGSPENEGYENLAGDGVD AAQDSARGSIKIHLHCAPFSMSRAVQRRSTNLPGLICTSPTTTVRALIGVEGGFNAGD AGVRKQQNIAQAQPEFAYIETANAAGSGTFT ANIA_08134 MDADEYTPVPLTLLDSTVGNTDIIARTSLVADAPLSFDKLQESW YRALQARPILQARVRRSRTAPSGLEYHVFTPKGMAKYLERQQSAPDHLKDFFCLDESY RSITDYCGGFGVGPSAPSHSYNVFVADAADPEDEKRCTAFNGVQNMQELLETDRPQIT VQVTRFSDATLITFSVSHIIGDLFTIQTLFKSWEAALHGQPLPPFEDLGKDPFTAYGP GGLLAGKEVTSSSPPLPPGWRIYGVFDKIRFLYRFLWDSHISRPEKTITPKYVFISNA ELSALQAQAERDLTQLEAKRKQSNSGGTALKVSRSNILLAWLLKQTNSHLGPNEIVTP VTIINIRGKPPTGIADTDFPNHNFYGAALPAPLAALRVREIIAMPIGELALHVREGII EASKPENTRNLLAFLVNNTLWKKPSSKMALFSPPNHRWAGLTDWRAGRLHEFDFTPAR LDRNATGAGNDNAKVSICGITCHMLTPFTQRDRWACMGDAAGGTWFWGAVADKQWRDQ RGFGRFPKWKYIKFK ANIA_08135 MHIAFLSNPASGELNVQLTTAEQLVAQGHTVTFLSAESGRVKID RFRDARPPCAQARIRFISLGSGHTVNDVTPFIQERMHLMRRVPGDPVSLQTCIECALG PAEEHASTAIKVRKHLDALEPDMTAQAKMTLTEADLYLSLSESIHSRIHRDRRDKHKI IKRLGLKSYGATRDSAHFPPHWEDDKCVAGIHFNTPGMIDCPKQSSKFVFVGAGVSKE PELLTATFPELEWMDDAALHGHDVVYINMGSMFVWESDEFRACIKGFEAAHRNMGGRV RFLIKINGCPRSRHTPRHSTTITTGLSPDQKEVKLEDELPPYIRLTSWIQHQQSIYTH RALKAFVHHGGGNSFNEAVHFAIPQLVLSQWLDTHEYGLYAEKFGLGLRSRNPPRIEA DDIRLKIETLLGPKWDEYKSNCRAWAFRSQIAGGPAAAAKIVLFHAENEQKIPTKRVS NATDSGMEMELTPPLSPVLGEKNGTGSGAVKEIVI ANIA_08136 MASPIQQPYETTLPEPVSEWKLSGRNLVRPLRGAELLVKHSEHY CDGNSTIETSLTNAQLRRRHHAAWYRTRLVHPVIGVEFPALSHASYALHHTAKEAEHW ASRTCIVETGTTTNDVYLARSREKASGISMTLVLDPIRGPRGCVLNMSHTLISLDIFL IMQEFIAQLSCPDAEAGVAGVFSPEAETMHAIIPRLPQSLSHVYSHPHTGGPNQRQPT PQDLQDALDTYQRTQDRWSRSSIGIPLHPNHAIRRRAIHNKTISFEPAESRAAFKFLK QAGVSLTAAFFASMTAAIAQRYPYRAPSLAADSAPTSGPQNVEPELEPEGAHLLFSAH GRRWLDTSAANGRGPVTMPIIPSSAWVSAKEVDLCPRTQQGLLKLAAAIDVAQNEDIT SPHIIPVFDQLAPELATALANAHTPPSSPPPPPGRGRPTLTSQGQFSNGRDRQGAVGI HGDETDAVRMTDFKTGGRTTDPTVCFALNSFRDQLRFNMLFDEKFFDVTEVMLLGHEV AGMFRRLVGLDMEQQWSVRAKL ANIA_08137 MATPIQYQSPGLHSDETVHGTGIWRRIENGWTRECAATESGVSY NQNVRDGHTELTVELPFSTNLSTAELIQRVRNAWLLCHSTRPEIAIQISTGTELPQRL VFEPLKTPTEAAAWLKETFRVVSDADARDVARMTYSRRLPTKGKRNMLYLVTAGAASP EYPERHCLVWNFSHVLADVYSVVLFFNHFFRTVTEVAGDRDLDVRELDYSCLETRLPL TPMTPYEERYQPTKEHKERAIEGALAQTELYTSKMPQSIAMYPEPDAAARPHGTHCIR LRYTLAESEALLAALSEQKISITFAAAAATVLSIKQIYGRGHETGALLGMTRNARRWV DTEGRHRVPNAADVVFLWIPFKPEWFAPGTSTQETILLLAREIRTQLGPHLTSPHYIS TLSFTADRVIANLAGEGEPVPSPQAPGFSPQGALPLQRDFASSRVSIRTHDLVHTGRQ INPSAWVGMFSLWGRVTLSMGFDSKYYDPAKMEAFMERVKANLGSTQVVSKWSKPVVQ ARL ANIA_11588 MNTHPFQDVPYIFLRTIGHYLPKYYSRIYPENTLAYEAHA ANIA_08138 MFRSTATVAAATAMGLLTATGHGSLAIAQGTTGSNAVVVDGTNF ALNGASMSYVFHANSTTGDLVSDHFGATISGAIPAPKEPAVNGWVGMPGRIRREFPDQ GRGDFRIPAVRIRQTAGYTVSDLQYQGHEVVDGKPALPGLPATFGEAGDVTTLVVHLY DNYSAVAADLSYSVFPEFDAVVRSVNVTNKGKGNITIENLASLSVDFPLEDLDLVSLR GDWAREANRERRRVEYGIQGFGSSTGYSSHLHNPFFALVHPSTTESQGEAWGFNLVYT GSFSAQVEKGSQGLTRALIGFNPDQLSWNLGPGETLTSPECVSVYSKDGIGGMSRKFH RLYRKHLIRSKFATSDRPPLLNSWEGVYFDFNQSSIETLAEQSAALGIRLFVMDDGWF GDKYPRTSDNAGLGDWTPNPDRFPNGLEPVVEEITNLTVNDTSAEKLRFGIWVEPEMV NPNSSLYREHPDWALHAGAYARTERRNQLVLNLALPEVQEYIIDFMTDLLNSADISYI KWDNNRGIHEAPSPSTDHEYMLGVYRVFDTLTARFPDVLWEGCASGGGRFDAGVLHYF PQIWTSDNTDGVDRVTIQFGTSLAYPPSAMGAHLSAVPNHQTGRTVPLEFRAHVAMMG GSFGLELDPATLQDDPDVPELIQMAEKVNPLVLNGDLYRLRLPEESQWPAALFVAEDG SQAVLFYFQLSPNVNHAAPWVRLQGLDPEASYTVDGDKTYTGATLMNLGLQYTFDTEY GSKVVFLERQ ANIA_08139 MLQSLLQNNFVAVLGLLIVFGTATSLTWTAFTILSPYLRVKGAK IFNDRTGSEILWTNARKRFQRGARELFKAAFAQHPNAFYIMTDTDVELILDSKYAPEV RNDRRFDIGKYNEDMFHGTIAGFEMFENDHVLERVFVETVRNKLTRAIGKFVKPISLE AADGLREYWTDDTGIPAPTRNHSCVLRTIAKQSSRVFQGPPLCYNPDWLRITVNHTVT FFEAAESLKVWPHPLRPLAAKFLPLCKKLRAEAQEARRIITPILEERLKRAQARMAEK NNLPEKKTEVKEEGDSDGNMIEWAEETANGAIYDAALLQMKVSLASIHTTSDLVSQTL FNLCSRPELVNDLRKEVIEVIGQQGWVKPALYQLKLMDSVLKETQRLKPISIGTMVRT TTSPVTFSDGLQVPANTRTLVSCHNMWTESVHENPEVFDGYRFLKLRQLPGQENWTQL VSTSNNHLGFGHGMHACPGRFFAATTAKVLIAHVVLKYDLKLLDGQKPVIIEHGAAQY ANVWCPIGVRRRREEIDLSDL ANIA_08140 MKPSPSPSPSPSRKAKVNFNVIIVGGSIAGLTLAHCLAKLPNVD FVILEKRAEIAPQEGASIGILPHGGRILDQLGLFEEIQRHVEPLTTAHVSYPDGFRHT NRSPTVLLERFGLPLAFLERRKLLDILYTSLPDTSRVLLEKRVVSVEQEYDGRNSMTV RTHDGSKYHGDLVVGADGVHSRVRREMWRLAELEQPGLVTAREKNSLSSKPLDLKSVT AKLVVGLKVDYACIFGISDSFPNLLPGEQVASLHNGRSFLTFPGKDGRVFWFFLRKLN KQYPYISAPRWSSTDIEKIAELFAADHIWNGVQFGDLWKRRQVVGMTNLEEGVFSTWH CGRVVCIGDSMHKVPTSLHIMVMVQLTGLGRWHPTQDKEPTAQSKTPQHLPMPSAWPS NTTTTHLKQKFTFFSNPSVKPGWLGYRKFTSPPA ANIA_08141 MALYDVFSTSLTDPLHLTLGILSISLPLLWYLRRGKQTQEQAQS NAPRSWPFNPASLDRKESESPILTFGNSIILPNRYAHEIRNNDLLSFRDGLEKDFLTT VPGLEAMFTGTFHNHIVWDTASAFSRKLGALIEPLTTETGIFLRENWSDDTEWHAISL NETMNLLIAQLTARIFIGEELCRNRDWIQNAISYTAHRTAAMKELHWYGRLIPLAHWF LPSCRALRGCVRAGRPFVERVLEARRTTQGKGEDKSIDALSWIDGVAGENGTKYDATL TQLRLAYAAVHTTSDMMTKVVAALCEHQELIQPLREEIVTVVKEHGWSEAALAKMVLL DSVLKETQRLEPLASFTLSRIAREPVVLNDGTRIPKGAQVRLTTDNMWNSSVYPDAAI FDGYRFVKLREQERGANTGTVGGGGGLSFVSVSANHMGFGYGKHACPGRFFAGAETKV ALCHILLKYDFDLVDRALAGAQTDGMMIWRDKRAMLRVKRREAEIDI ANIA_08142 MAHSKRDLNHLAIDLLVLSRFNRYNPLLATFAGVWATLLAGSDR IAHSQGRSADTDSISPEYVLQQALLCFTCSFVFCGAGMVWNDWIDLQIDRQVARTKNR PLARGAVTASEALLWMGAQYVASWFLVSWMLEGENVPAAMLPVTLSTILYPFAKRPIF RRLHIYPQYLLGFTLAYPSLIGVLAIKGLNQPLSASISESLPLAIMVFTWTLYLNTAY SYQDIEDDQKMGVNSSYVFAGSYIHYLLVLLAGLTLGALGCQLAVQGSEWLWGSWMGV WLWSFLGQLGRFDKTRPESGGGLHRENFLLGVWTIGICAVELVL ANIA_08143 MVFDTLNAPQPYQEHYPPRWNVHHSSSPLPNGHSIIPNGHSIIP NGKTAVAVTNGADNQHQHKGKEEEIISAPVHYISTLPGKDIRGKLISAFNEWFQIPDE QLEIIKRAVGLLHVASLLIDDIEDYSKLRRGFPVAHSIFGIPQTINSANYAYFQAQNE VLKLRRCEKALRIFTEELLRLHRGQGMDLYWRDSLTCPSEEEYLDMVANKTGGLFRLA IKLIQLESDVDEFIRDDYQNLQSDQYAKNKGFGEDITEGKFSYPIVHSIRSSSRTGVG SDSSLQLLSILRQKTEDEAVKKYTIQILEKTGSFEFTRQKLRDLTARARDMLTELRER AGAGAGAAGLLGILDFLELKE ANIA_08144 MEEGWDFDAAPAEFKQVQPFLLGLFAVSGTGWLMNYFTTIRAAF QDRTPGVSLIALSNNLAWEFVYAIFYPPPLPIATIIVRSWLLVDIFVIYTTVKFARAA PGNVNPPLLRHYLPLFVLGGILGFFSGHWALSVMLSPLRAFYWSGMVCLITMSGTALG LLVQRGHTRGASYGMWLSRFIASVFAVASLFLRSTYWPQGSALVRGKDGRIYAKCHVL IQKPKHCPRSAHAKLQRV ANIA_08145 MSLNAGLSAAHTAQDVISALNLTPHPEKGWYIETYRDPHNFTDT SNGTSRSRSPSTNIYYLLEGESGLSHWHRVLDAVEVWHYYAGAPLQLSLSWDDGSPVR DLVLGPDIWNGQRPQIVVERGEWQHALSLGDWTLVGCSVAPAFEFEGFEMAQPGWEPK GAEKKEGENA ANIA_08146 MAGNDVRDDLPMSMTMAAFTGVSWCLGVEINMSLFMVFKRWRGL YFWSCALASLGVILQPLFIILADFRVWTDPVPSIVMIYLTWLIMVVPQSWVLYSRLHL LMSSAKTLRRIKWVLIFTSVGFSVPTIVLGTVAQSTRISPHLTSVNLTWDRVQLVVFF VQETALSILYIFKTRTFLRGRSTLRWSIPEPSATAAPASHAQPPALTAHRAMKNEEKA VLWQLIYANTLIIALDITLLGIQSAGPRLFHLQGAFKPCVYGIKLKLEFVILNRLRDI ATRPVGGAIGNWNSDGIYLGEGSGSSNSQSHGHSQRNSHGYGSGLGHLAPKAWRASYR REASDEVQLVDR ANIA_08147 MTQPEDPLTPGLSNNDLWRLIRRFDKQVSHVEAIPCTDSHQLDL NRAADEQFPASKLQKTIERFYVSVLVKVGLFISHVNHLRSWDDPRRTGVFGAVYLIAW LCDFIIPLLSSILLAMIFSPSIRSSLFPPIPESELQTQGQPSSREESTLHVHVPPVNE GEAEDEAADLVNGIKSSIQQDAQEAIGIPPGIDGLEPEVVVASDTASADEPGKAKTSP AIRITLCVISDITDLCERFSNLLSPTPPFSLIAPRLQLAAILMLIFLASLSVSSHLIV KTSSLAIGFGFFGDPVLSRAMDFLNTKIPNWKTYLDIEKTLLKGVPTDAQLTLTLLRI GELNSSPLPVPPRSDSASSPEQSPLKLFRRKSSKTVETGSDASDAVESNKSQTETGTV SSEGESTKKSKAKKWLRILKFARRAITTAIKGHVAYNRAMGLTGAGSRYYAKSLLSAA LDRNLTFQHLSVLALGPPSSHHLHGGPFTFEAKFERKRGTAVLDFSDNEHPILYFTSK NAAKIQDDLRIDSQKGENVLFRVPVADIRELRKTEGLGWKGKLIVQLAAGGEGSTEGL VVLVKDEDGVERSYHLTGVRGRNVLFNRLVAGGGQCWEMY ANIA_08148 MPETACPRCLRTSQISFIHSALVVLKPLLISEPGRLFGSKSPAS QWLLVPSQHLPGSATTSSETLTTETRDATLCNNSPAPSKSDRHTSTESIASRARIVSL KGTATEQDAEWLALEYGLPSQMGLLDPSYSIFINEQKSGGISALMAEFRLYRHRKRWG VSFLGAGKGLVEYSTSAKEGTSTILQFGHNRVLNPLTNEVIHETCGKRILTQNRQLLN PSKGDLSLEIYTPSAQRTDYRLEWELSAIYHDWCIARNATKKPQAFITEYDPFLIPTL MTYIYARDSHGAVLGFAALRWVV ANIA_08149 MRKTTLFLAVTFATSNAQGRALRSSSPATYGTTDGSDYILKTGY LIGNGKLGVIPFGPPDTEKLNLNVDSLWSGGPFEVENYTGGNPSSPIYDALPGIRERI FENGTGGMEELLGSGNHYGSSRVLGNITIALDGVEAYSKYKRTLDLSDGVHRTSFTIA NRTTAALKSSIFCSYPDQVCVYHLESASDARLPKVTISIENLLVNQSLLQTSCESEAK RAVLRHSGVTQAGPPEGMKYAAVAEVVNPRSSVTTCLGEGALQISSRKKQLTIIIGAA TNYDQKAGNAKSGWSFKNAKDPASIVDGIASAAGWKGYQRLLDRHVKDYKKLMGDFSL ELPDTTDSASKDTSELIEKYSYASATGNPYLENLLLDYARHLLVSSSRPNSLPANLQG RWTESLTPSWSADYHANINLQMNYWLADQTGLGETQHALWNYMADTWVPRGTETARLL YNASGWVVHNEINIFGFTAMKEDAGWANYPAAAAWMMQHVWDNFDYTHDTAWLVSQGY ALLKGIASFWLSSLQEDKFFNDGSLVVNPCNSPETGPTTFGCTHYQQLIHQVFETVLA AQEYIHESDTKFVDSVASALERLDTGLHLSSWGGLKEWKLPDSYGYDNMSTHRHLSHL AGWYPGYSISSFAHGYRNKTIQDAVKETLTARGMGNAADANAGWAKVWRAACWARLND SSMAYDELRYAIDENFVGNGLSMYWGASPPFQIDANFGFAGAVLSMLVVDLPTPRSDP GQRTVVLGPAIPSAWGGGRAKGLRLRGGAKVDFGWDKRGVVNWVNIVKRGKGTSRVKL VNKEGDILAEM ANIA_08150 MSASETTPLLPPSPTLSPSFSSIDKEKNNRFVFYVCLITLFIIN GGGSMSVPPTTSILQDLLCERHYAQRGLTRPGTDELDCKIEPVQSSLSMLRGVVGLLA LLPGLLLGVPYAALAENWGIKRVLLLSVTGIILCDVWFSLICWFGRNLPLQLIYLTPL GYLVGGGPSVGGSLLPTRFFLMEAAAYSGAVLGYTASSAIMNTHLWASVVLGPCLVFS TFFLVLLFPNDCPIGAKARPDAGPDPAPAPEAKSMLHTMRTAMVLFKGQIGLVIILLG YALRCLGDGVMALLIIYASQVFDWSFSQSGYLLSLQNATHLVVLLILPVVDRLLAQYL GRARQTEHEFIEPATGLAKHFSLARGSALLSAIGSAGMALFRSPFLFVISVILYGFGS GYNQSIRSIMTLSTPEEHRAITYSVLGIMEAAGNLVGAPFWPFIYQIGLKGDGYWVGL PFVVTAGLMAAVWVMLRIPNLSPRGPIPALIAIHIASFGMRLVVSSDRRSWLRSLYGL FMLQASLISLTALFIPQIVFLSERELRSPTLRNAVSLPADILELLATAAALVLSYIHH CRSLRPSTLLILFLSARSPLGIARVRTLWLIPGANRAAILFTAGFVATLLCAILESTE KTSNILPARKIGKAIPATPESFSGLWKCASFAWLSGTFRRGYVHVLSVDDLPQLDPAL DSEVVRERLEYIWATTGFAFCQPFLINATVSWVGRPDAPADSGKALIGAFALVYVGQA VCTALYGYQNIRFIIRLRGGLISLIHKQTVHPRAVDLGGSTAITLMGTDVERIVSGFR LLHELWPSLTEIGIAVYLLERQVGVACLAPAVIVAVFILATFKLSTLTNKFQRLWIEK VEDRLGLTSYTLENIKAVKMLGLSEKIFSIVQGLRHAEIATSAVFRKLLIGTITLSNS PSDLAPMTTFAIYVIIALVRNDNSILAAQAFTSLSLISRYEPGADDMPAVAEGEEQKM LVTFNRYTVTWEKSVAPVLREISLTINHGITMITGSIGSGKSTLLESILGETLVTSGH ADWVYRGSVAYCSQTQWLQSQSIKANIVGRSLPDETWYRTVISACGLEKDLSRLPRGD ATAVGNNGMTLSGGQKQRIVCPPIPSLDLRAVFQSAYADSGQALSRALYSRAQIILLD DVFSGYLLRYADEIVVLESGQLVDKGSLEDLMAKSTYIQGLQTSLPKPTSSIDSRSSE TVMATPFSVEQDRTAEERANEAVPSENDDLLGHEAPSDPTRLLTGVQQSGSTGGQRQM PDSKCPGGHVSRCLRASGHIWNDSYDHGVSSALKLHNDLVDNTARASLQFFQRVDIGS ITNRFSQDLDLVDMSLPLDALSCLAAVCTCVLKLLILCVSAKYLAVTIPFILITIYFT QSLYLRTSRQMRLLDIEAKAPLYTHFTELVSGAATIRAFRWHASSQRSALKLLNLSQR PVYFQYCIQKCLGFVLDLLVAVLAVILVATVVLLRDKFQAGDVGVALVTVMTFNSSLM NLVRFWTEMETSIGAVKRVKNYVKTAEPEEDDVSQARLAELPYSWPEKGDIRFEGVMA GHLPSSPPILKDLTLSMSPGSRVAIKGSMMIDGLDLKAYRREEIRKRLNIITQNPFLV SGSVRFNIDPWGNAPDKRIVAALKRVGLWDVIVQQESGRDSFSGLDMQINANVFSAGQ RQLLCLARALVRPGKVLILDEATSSVDNATESTMQSILETEFASYTILSVLHRFRYIH HYDEVAVLEAGRLVEFDEPGILLKREGSRYRELYRSGEYNGNDANGV ANIA_08151 MASSTNLSERGLIAVTWTGAGLGILFTGCRLAIRLTRLKRLLAD DYAILAALFFLISNAILQTLQAPHLYYMVQTPQGGDIAHHAVMYVHYEFVIIALFWTV LWSVKAAFLAIFWKTTDQLPVYRRWWWAIAVFCTLAYIGCWLASALNCHPPSAYFKLG QCNKPIDQRGSLISISYSTAVDILSDLMIMTLALWIVWSTTISLRQKLALLVVFSLGG IIIAFAVVRAINILGRSYTDAVGLAVWGIAESSISVIVGCLPPFKTFLSRNNSTYASR YPPVYAQRTISQKRPVFRNASSDEVPLDPDYEMTRSRVRVSNGNARSNGSDEGEIRVT QGFVSVAMRQRSSQLTQTRVSSESEGGLPDEFALRGQLREIKKQLLMRYPKINRADGG KKEGNSILQKSRLSNLPASVSTDSHRDRWDQTAKETRIRMR ANIA_08152 MKSSLGLLVLAGLGQVSASSHACADSVQAPHDRCWKKINWDGLN STVSGKLIRNLPPAVSCYPGPLYSKQECEYVDEQWSNTTFQMLEPIGYCYPVDDSCPV VLSGAQAGDCRLGSSPVYTVNATEPEDLAAGIAFASKNNVRLVVRNTGHDILGRSTGY GSLQIWMRYLRKGIIHHESFESRCTKSDWKGAAFTVAGGYVWDDVYEEAFARDLVVVG GGDPTVGVIGGYVQGGGHSPAMRDFGLATDQILEAQVILANGRIVTASPCSHSDLFTA IRGGGGGTYGVVISLTIKAYPSTPMVAHSLVLSSQTGQNTSQLLDAITDLYEAYPALN DYGFSGYGSWSILDPTTTYGNSSAGYQHALAARNKSLSEAKATLAPVLKKLSTYSSVA VSINWFEFPSYAAYYRTLSGVHQQTGSPEMALASRMFDKTHLTANHNSLRSLIGTLAG APAETTINQVIMVGGGKVLEKPEYSGAHPAWRSTYLVHEVARGWLESMGEAYGNSVKK DITYNKYAAMRRFTPGMGSYMNEADINDPWWREDFYGAANYKRLLAIKNKYDPEGLFY CPTCVGSSSWSEHELPGLGFGPLCARK ANIA_08153 MPVRLPGRKSQDKGPATEMTGGNQLPLTGGTECAIQTVYEGPPK CTCCKNWVTEYPGSSRTVNEQQQYLPTKRMALIVRMAKSHRDGASNALTLHSIVVQSP SLKETLGEVFEDKGITSPALKKLVFYAPFHPFIHRWNRLGEILRRQKKRGQAAAAYTQ LLIDVLRTRLEGTVAEINNLLHHKIITYSMLWALFEPDVLVVSQVAGHERLFKVRSCE YDDEEGCLKITARFVDWDGKGFGYVMQSFEIRRFSGDKSIVELDVFPVIFHPSREEVE ANAASRGERFRDLCGVRYVAFSGLIRYQVEWKEIVRNLDDRVVIDAASYFETDYEHRA ALMPLNSDTDAPQIYAVDEQHCHESVTESEGRQYTMRQREEQRNDARFSEEASSTRIT NGSMPILAAPVSGDPHGPNEEIMEEHLRLCSPYVRGFSLKLKRWLEFDLDGITEIKWN DSAFAELMLPPGYRDLVLSFVAGLSNGKDALDDLIEDKGLGISLLFAGSSGTGKTLTA RAIAEELRKPLYILSAGEFEQDPSSAEERLRRTFMLAEKWNAVLLIDKCDTLLQERPS DRLEHNKILAVFLRLLEYYRGILIIATDQADTTDKTLKSRVHFTMHFPELSAPARKEI WRQSIARSKADAAITDKVYDRLSQLPLNGHQIKQVVKIATLLSYQQTQSLGLAQIRTV LSASQEVDAPAIAGI ANIA_08154 MAPLTLWKMPILITFIVALAALTNAVPIRHAHWDHGHHSRTRTL TSTHTFAPTPTFTPSVTPTATQATTVANADAAGPTTSSSSSNEIWQPEVGSPWQIILS SALSPNTASKKASPAFPIYDIDLFENTSPTIASLHSQGIKVICYFSAGTYEDWRPDAS SFDSSSLGAGLDDWPGENWIDLRSENVRAIMSSRLDQAVEKGCDGVDPDNVDAYNNGQ GGLGLTEEDSADFVNWLAAEAHARGLSIGLKNAGSIIPRVIANMQWSVNEQCAEYDEC DVFQQFVDEGKPVFHIEYPENGEVSTSGVDKVALCAQFKGAEGFSTVVKDMDLNEWVQ TC ANIA_08155 MSDSTVVLITGVARGIGQALAKAYLSRPNHTVLGTIRDPSAQSL SALSSHVPAQGSRLLLFTLESTTASHYADLVSSLKTAGITHLDLVIANAGVAYPAGTP ASVDVEDVKNVFDVNALGTLRLFQALRGFLEVGSNNGRRVKWCPLPVFSALERKKKSV RLSLVQTEMGNKGAQKMGLKEAPNTVEEAITKTLAAKLNRDLRLMRGRRQIDSATRED TSGKFLNIIDGAEVPW ANIA_08156 MSKVLGSFVQKAQSLKNAPSKFSSAAVEGSRLIPSRTKDADFQL RIDAGHYDPDSKKVNVALQVNSQAESPVLKEWVKKNTTHANLATSVFDTAAEDKQAEY ERMLRTLRHPLFRAFQSRLGRPSSIPLFPSTALPSRLQAASGATISTERRMDTELEME VKPYTYTSGRWLRQDKMETDSRYIQFSFIALCQKVIELCPEANHIKACRKIEGGFNRV FIFTLDNEKAIVARLPFRLAGPAKLTTLSEVATIRYLQTKTNIPIPRVLDYNGDASDE TNMIGSEYIIMEHATGVPLHEKWHKMAGDQQVRCIDAIYRTMKDIVDLEFPAFGSIYF DDTLGPASKQPLGDGFCVGPHCGTRYWDTNVGERRYYHYVNRNTGPWLTIGEYCDGLI DAGLSQVPPVDIESKRPIYHGSPEAHLALLECTRPVLKQMATDSRISNSAAPLLFHPD LHMRNIFVSDDNPSAITSIIDWQAASIEPAFWYSDEVPDFAVGSEICAKAFDLSSQFF TPKLAGPRLMNDNIFRPFHYCYRTWKDGAVALHYEMTETARLWNKLGFEGQCPFPLPT RDELEKQEKEYRLFEAAQNLRTDLASLLNTASDGWVPPDGWKAAQSAQKELFDGMLQA VLTNAGSDDDEPVRDEMTLRSIWPFDIDG ANIA_08157 MQLRDVQSMVFVLEPDGPGPLHRVLLTNITDGNRREIGYCSVFP LRLEYDQHGWGRRSLQEYTSIPLPSPYQAWILRPPGYGAHDIRRRELDGMEDTLWRLC HGLNQHDCHTVAILDLVHNYKITTSNFSWAKAGLRLQVNKQRGRARGVAEEQGETHEP RKRLRNAQMRHVAAWCRQLLITGGIKSLQAALKDKSDSSAIPIMRSPDAYLLQEKMLA SVHNYILSVFKSPRWSFSSPDLLDPTGSTHTDTDWKRLSDQVWGAGCLFREATQDGGS MKLRRILLDMENVVGTPDPQFMVRIWRICRYLHGICTSTGDEDHLKARFLHRFRELLR TSNGEASPIFQFFDALASMDMNCFLRALRIGNLRALHTFEQTIGPGHPMILTMWVYYS KQWRVAEQSYEKIIEYYNCALQTADASLGSESDTAISILHDYTYFVYYGGSRRDNTQA AILATQLYDRTYPHMLDSPCNWNNKTQYFTFASQILAEYWFLQGIPYWATGYIEKASS LLQVSDRECQIRARMLLGKLRGWLIRWGSLDEAQRVKQRQVNLMASIDELLQREIQDY PPDV ANIA_08158 MEPTPIAEDSPAHDTPNNRALLVKGLQDSAAAAIEYLHTSALPA QTWSLPAKVFPESVLILASCIVSGKEDGVRTIKLNIEIPGVNRPQSSLNALLEYCSVL GRRAFEQSCEGMLRVSSLAISAEKKVERIMRLVGRSDRRALLYAELSAFQGLTSDLTK HVDAIGMAFKEWSDFTDNLRVHLGDELGVDPTEDLSNDDLKLAQDIFERAEQKLDKAQ AQYQDQDRKLTELLTREIPRVCSPAEILEKLSEIIPQDSDKWPGLRRIWRAFWSGEKR TERAFDDRLKMHMQSLTEAIKISSAEAEREQIALREQTEVVSVKIKKAEADLDVAKQE YAEASENLIRVSRRVSQQNLRLLGGNKLDLKAIQQILSKSAQQLRILRQRISTLATMF TDLSNLIENTIHKYENFQRGLQLGQRYIRPGLDDMENFSRTDLELYKRQHQKLESWCT KSVQEIEQLTLEGHISPFPFHWVMGSSEKKKFPAATCIVRRLPYTKQY ANIA_11591 MSSFILSAFSLPCCKPSSTDSSNIKICIYKGFS ANIA_08159 MPETSNFDESCMVEACEAAQAKEKPNIALIAREYGVPRRTLRNR VRKGSQPCTARKPVNKALDRYQEEALICWIAFMRDINMPVMPRILEEWANRALKCAGH LKLGPVKQETKESKYIQAEDAGLLAHWYNQLANVVKDTPAWLVYNFDGCGFQPGEGTP DLAESEKDSWVIDLFFIFKGGGIFMESWFNKTGF ANIA_08160 MTELRLLLDIFRVIEVVTVSCRALLVSLSLKYLAASLAAGVDGS SYLDVPYVQEGIAKEEMRKKDCCCKEVYIGISSKKLRSKAAIKAMTTLNILAKCYSKY IFNPFWLFNKCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLATTILLSHFFFSDSF LVIQGDISLYSLLEYLGRNWLDLVFFLNNPGPKVLQ ANIA_11593 MVIAYNKDSATKVQAILSLQDDWRAWFQVIKDHANKQEEWKDI ANIA_08161 MPSHLTSSNHDSVLTKKRRKIRKGTTSCWECKRRKVRCSLVDSP GGVCIACQRRSTKCLTQDYPVEDEVENAPGGWRMARVPEPSSPAAADSPGVGPRFPSQ RIIADSERDRRSISTPLADPYASIFQELYASLPSRNDLSIICDVLDQVPILFYEFITC PYPIVQKDSRGSVESRSFLNIPDPVPHPALLARHMLRIVMALQSLDLKKCGAKLTGLS EAPQVMAKRLAETAIRLVTSRDELLGTVEGIECVMMEGSYQANSGYYCPAWIAFRKAM TLAQVIGIHRPGHSLRLLDRRCKVDGSFLWYRATYIDRFMCLMMGRPQGSMDCSMATG GPFEADTPLGQLERVHCVIAHRILEQNDAGIYSVEKVHDIDNQLQKAAEMMPSEWWTV PNIVQLDGSIGWEMRLVSQINHYGLINQLHIPYMLRFANAEQLQSYSQTTCINASREI LTRYIVLGNSNRVAYSCRVIDFFTLSSALLLLLAHLRQHTRSPGEFSPLAHQRQSDRG MISQTLDNLQKTAWVSQDRIIAKSADLLSCLLDIEGEAAQGSATYVVHSIGSPEEVKV ETERGPPPLTRGLRFCIPWFGFVRIVRGFIQRTPSAAEIMDGKDILQFRPTTPVAQDP LVNDTTNHPPAGEPPAVGPRYGQASAPSQQPQPWYADVGLGVDDWTMQGFDMSFWNYF FQGPSLSMPSDGIGMGIGM ANIA_08162 MHSSIGTSLLVFASIFLGLVPALATPESGNDDFPAGDNDIISRD VAIIGGGASGTFAAVRLRERGKTVVLIERDDHLGGHTHTYYDSDGTPIDYGVWVYSDY PVSRSFFAHFNITLTTEFLNGNPEATQRVDFRTGQPVAPPVGNVVEAMTRYTQILLQY PYLMDGWDLPYPVPEDLLLSFQDFIEKHDLQAAIETLALYMQGFGEVLQLPAVYVMKL FHLGVVQGVQTGFLRPASRANSDLYRAAEGELRDDLLLSSTVAHIQRSAEDSGPQQIV VRTPKGQQRVQADKVIVTIPPLLGELENFDLDERESEIFGRFDNNSYFPAILRMSGLP GNGTQFVNKAPETPYNIAPVPSTHVFEPTASRDLWTAFFGGGSAPISSKQARQTILDN ALSLRAAGYPISDPEIVAFRPHVPYALHVSSEDIADGFYRDLYGLQGYRNTFYTGAAF HSHDSAETWKFTENLLNERVLMD ANIA_08163 MPRLNNKVAIVTGGGSGFGAAIATRFAEEGAKVIVADINAAGGE SVAAQNPENLVFQKVDVTSPSDWAALVETAVTKFGKLDILVNNAGTTYRNKPTLEVTE AEWERVFNVNVKGIFHGTQAVIARLLEQGHGGSVINISSTGASRPRPGLVWYNASKGA VSNATKGLAAEYGPHNIRVNTVSPLLSGTGLFSMFTGMEDTEENRQKFIGNVPLGRLT DPADVANMCLYLASDEGSFINGTEMLVDGGKCV ANIA_08164 MDAESPESEGKEAVFRRACDQCRQRKIRCDKRLPCSNCRTSKII CSSTGAGQKPREPRKRVLISNEYEKKIDSINERLGGIEQVLHELKSSQGSGGPQIRAN SAPVSRPLSPSVQCYTANPQEAMDQAESRTGFEGNSLMATQSAYASDFLQTAVSRSPL PISDSKINAALSTLKQLVNMQDNQASSAREFAPPKRNRLTDCNPREMSMPPMNVVIPL LRKAKDGSDTSLQALCPFVQFERLSEKCREVYFATEDYSDATFIVANGGLYQVFVAAS FMAQDRALREEYQGYADICKHNLNTTLANLHLLMPANIDSIEALAMGAAHAIEISKPS FALTLTSTASRLCQELGFHQMPSIENVGAKEKQRRLTLFWSIYCMDRALALRLGRAAT IPDYDIDVPVSFEGDTMELARIQGLVYQKLYSPAALRQDEMARVAEARWLAAEMQDRV MLPFKKLYPTLENLNPIEYLYIKCDEVCRLSVLTLIYRAIPPQTATLGTFVKECIEAA RSALQAHKSSMSLLTEANEATKLSYLHW ANIA_08165 MSATRSLPLPEGWTDPDAYVEALLSFATSSDLFRHLCGGVHMLD FLTREPDLYTTLLPEDWRQFFEHHNIADIIDLLLRDDIQPMLAAYKQGDLAGQEWRGV AVLPPLSLIEFIQQIRRLTLRREFTPHGSGKATIPPRIAVGMKVKKYHEVAHFSKYVN SLCDTVHRERGEEITHIVDFGSGQNYLGRTLASPPYNRNIVAIERRHQFINGANRMDV YAKLAEKKKVRLYNKKLDKCKTCEDPDMPVEKLDSQPERTSDDVDEQDTGNDDEGVAE ISIFRDISVTSDELGTFPKAGGTVQKPVTNTNTDAPRGAMNYIEHEIKDGYLEPIIKD VVAPSSQKSAEPTDDVENTEQASDVNVMVVSLHSCGNLVHHGVRALVLNPSVKAIAMI GCCYNLMTERLGPATYKLPVLRTMHPRLSKDATAYDPHGFPMSRRLEEFKHDGGTGVK LNITARSMAVQAPYNWGTADAEDFFTRHYYRTLLQRVLVDRGVVPKPSVPKDLYSTEP EKLEDVGNPLIVGSLRKAAFTSFQAYVRAAVVKLSRDPVYGQKIKENMVTITDEELDR YATEYWPTKKRLSVTWTLMSFCAAVAEAIIAVDRWQFLREQDCVKECWVEPVFDYGQS PRNLAVIGIKK ANIA_08166 MSSTRPQTAPPFGFIADILFQIIVNGEVNTVLTLCLLNRATYDT IKVLEPYICKCFMRLHGIDAFSPIFPLDSGMGQQSALTVHALVRSLYRHELARRLSRH IVPAVWGPFYDDDKVDMNFEAERKLSRRLERGLHVLFHMADIARDIKREPQELQKPSS SSSFVSKRFTVLTKLLEDYDDFDPDFNFAFPLNKARTNNKHKKKQNLLIPPSSSTPSS SLDINHIHTKHHLTAILKWGHAEFEIGKRRLEFRSNYLTDTLEVDFHCTLRMLRELLE RMLLHHGPKFWHRDTRNEYSVVSWFLLNQTPRSLAKLLLTPQDECCHYSHYADASDTS ASKSTGTRNCVFSDPLDEYWDAWKDTPDIRYSSLGTPISTVPKAAEIPGTRTGSETGT NCNCNRLIRSWSVKPALFDNRGREYNRTAERYLKEMWSQRHVGLHEAFRMGVMATVL ANIA_08167 MPSKMRLDTSLVACLAAFSGVADAFWRLPCRGRSALARMDPLIS PGEPSYHVHAVHGSKGFGLTADMATLLDGDCTSCGVKQDKSAYWAPALYFVDNATGDS ELVDEVGGMLVYYLLYGDNVEAFPEDFRMVAGDPFQRNFTWPIPDPPKSEWTGDQASQ AALRQKAIGFNCLNYAKAAEPSLGRHFLPEKAYLDEHCTDGVRFEIMFPSCWNGKDTD SDDHASHVAYPSLVMDGTCPEGFETRIVSLFFETIWNTYAFKDRDGYFALSTGDPTGF GYHADFMHGWESGVLEEAVKTCTNPSGEVEDCPVFELQSELAQKLCSVDIPDILSSED VKKVKGGLPNKIAVEWGPEYAFPIKYVGEESSTAVPTSTAPQSTSDAGLGVSLSSALS DLAGNIFAADAKTTEAPTTTSTSTSTWTPTPTTSYIESTVTQETVWVEQEIVVMVDEN NVPLKTEVGGVDVVSTDYNTVTRTVSSVVQVPTAPAAEKRHHDHLAAHKRHQHGHAH ANIA_08168 MAQQKKTIAVVNATGRQAASLIRVAAAVGHHVRAQVHSLKGLIA EELQAIPNVTLFQGPLLNNVPLMDTLFEGAHLAFINTTSQAGDEIAIGKDLADAAKRA GTIQHYIYSSMPDHSLYGPWPAVPMWAPKFTVENYVRQLGLPSTFVYAGIYNNNFTSL PYPLFQMELMPDGTFEWHAPFDPDIPLPWLDAEHDVGPALLQIFKDGPQKWNGHRIAL TFETLSPVQVCAAFSRALNRRVTYVQVPKVEIKVNIPVGYREQLEAIEVVFGEHKAPY FPLPEFSRPAAGSPKGLGPANGKGAGAGMMQGPGGVISQRVTDEARKLWSGWRDMEEY AREVFPIEEEANGLDWML ANIA_08169 MVAADDTIPELAEGHMEPPVPESRVLIIMTGGTICMRPSPAGLV PARDFQKQCLARVPTFNDGSNSTMMDVVTNAVGEIKAHVSLRTPLTAYGRRVRYTVFE FDELLDSSSIDAKGWSEIARTIERNYTLFDGFVVLHGTDSLAYTCSALSFMLQNLGKP VILTGAQAPMLELQNDATDNLLGSLVVAGHFMIPEVAASDFNAFNSPNCAPLAVTTSM RTNVNWEIVNRPNSIEHFSIQTNLDTTHVACLRIFPGIKPEMVDAVLKLEGLRGLVLE TFGAGNAPHGQDNAMTKVLADAIARGIVIVNVTQCLTGSVSPVYAPGMSLSKAGVVAG LDMTTEAALTKLAYLLALPGADPKLVASKMSVSLRGELTESSQPVFRHPDGALPERVQ ALTILGYAIAQGDLARVEELLKSEHHWLLNDADYSGNTPMHLAATSPSVDILRFLLLQ GGSVHLRNRNGRTPLFLAANAGLSEHVLLLRKSGAHLHSDERTAAQLLARRRPGIWGL AGIGPREISDREMEEVDGDGYRAGSSHWPMAGSAP ANIA_08170 MSNDQQKDLAERISEPVVPPEVAREIGLLEQQFVRAEVEQMRRS IVELRPLYEKRAKIVAHPEVQSNFWVRVLSNASGDVDQYITPADAAILGSALKNLTVE RFEVNEKGEGEPRSIRLTFEFHTGEANPFFENEKLVKELYWRKQVFTTASGKKRTWDG LVSEPVRINWKKDMDVTKGLLDATCDLFEAEKKGGSRRELPEFKKLQEKLAEVEALER DEDDENDDEDPLGHPSGESFFNFFGYRGSDVTAEQSAAATKEEQEKFEKLLKGESVED DEADEDEDVDDDFEEIEAFPAGSELAVALAEDLWPNALKYYAQSFEEHPDDFDMDDDE DEDEDDEDEEEEDSRPRKKAKV ANIA_08171 MFGEVSEDGSRIYVPPAVEVEGQSDDPDATDLLVPRDVDTGHTV LPVWLRESSKSFRWAWVPLPIRKAARATADFVKGPDPPYPLLLTPLFPHIQELPRRYL DKFFPKRKHKISLLLLLYIAWFLPWTILFLLSRSAGHIEGYGRPITLSCSTTFWSSGN GCGLNGNDCRPFTGEATAFRCPANCRDTKILESYTIGNETISYRGLVVGGPQPGSDEP GVYRADSFICQAAIHAGVIDNDGGCGVARLEGAAHSFHASKRNGIKSIGFPSTFPKSF SFLKPSEDATCPQDPRWPLLGITIGALALLWLFCSSPAVLFFSTFFMLFTQVGLVSDP PPRAQLAALMSVFVARLLPASFVAYVLYRYCARPLLAPLSPPIYQLTKTFLYLPPAFV GGLNNYTFARLIPLERLTPHDIQQQPGAKLALALVVPTIICIIVTQTHHIRMGGLMPH YLKVYGTMALILLILLPLPGLRLRIHHYILAILLMYGTAFPTRPSLIYQGLLLGLFIN GIARWGFASIIETPAALGELAPGAGGSHGWWGATWPNINNSSVTISLPDRGSGELYHG NGNITFSLWEKERMDKLGVDGVSVLVNDVERWRGYVDEDPEGEFTWHRHGHSGLDLKH LSTIDDDIFASSELEIKQADSSDDAKPETLFFRFAFLNGAEAGTYDCCDWITKRQQRW TTQILFSKSKRKLPHPSHPAAPADIQSSDVLSTLGTTRPLFSSYLRIRSLARSPSNPE LQQARSELETTLTDLTADLDDLVESVRAVEQDPYRFGLEIEEVQRRRKLVDDVGKEIE EMRGELMKVITDSDHAEAKATRSTGLPNPADFDDHGLDGDDQGEDYYAAMEQQRQMEL MHEQDEQLDGVFRTVGNLRQQADDMGRELEEQAIMIGEIDTLADRVGGKLQNGMSRLK HIIRKNEVC ANIA_08172 MASLSPPLDLSHHFSLTTKRRAPSAVKDFYKYFMIPGIANLAGG LPNASYFPYDTLEASAAHPQRFPTIPGGKKAKGPQTSERIVVPKESRSATSKKIDITT ALQYGTSEGLPPLATFVNQFVREHLHPNIPYAGGPGTLLTTGATDGFSKAIEAFTETW NPDRDGIHLRQGILCEEFVYMNAIQTVKPRGLNIVPVAIDDEGMLPYGPGGLAEVLGN WNHRKGCRPHLMYTITIGQNPTGGTLSVERRKQIYAICQKYDVIIVEDDPYWNLQYPS AQELQARHRNSSVNPALSERNYNAGRKSSGYKFLDSLVPSYLSIDMDGRVVRLDTFSK TIAPGCRLGWITAQPAFIERLARIAESSTQAPSGFVQAMVAELILGQQVDDGSADSAK SKDTERAWKMDGWVRWLEGLRAGYEQRMQQMCSGLEEGKYIALHTRSDEFSLSGNEED SWEVLEKVQMYDFAWPTGGMFVWVKVNYESHPLRQIYGPERLSKALWVHFTQKPQLCL LGPGTIFSPTDEVQARGHQYFRLCFAAMPADDVVPITQRVVDGFRSFWQRKNLDGLEG DDGIAQTMEQLQMEPSANFLGMGC ANIA_08173 MPDLQLSNAELADEIEAINAIYDPETITISKTSTSCGTSTLDLG ASSSSAPTTGETVIKLQVPNHTHLSFLLAFESDYPDTPPKILGTASTAARGEGKIAVD VLKDILGRMYQPGAVCLFDVINEAIEAFEEPRIGGGTNDTSASTTDAKTITEDPSFDA LENINANMSSLALHETFGLSEPPPWVMSEVITEKKSVFVGRAAHVTSLDQAKAYLDYL LASDKKVASATHNISAWRIKQQSKPPGNSNSKEGGKGSSTEMIIQDSDDDGETAAGGR LLHLMQLMDVWDVVVVVTRWYGGILLGPDRFRIINAAGRDALVKGGFARDKENQAGEK GKKKGKK ANIA_08174 MSAAIALPLLNSIGCCLLLSVSNRRPVSPNPHLDSNAGSYSVGF KDIPQTMGVSQLNYPVALHCLGIRKGRGPMAVSLNSRDDMSSVPLQVEAPFKTTFSNR ISVKARQDSGRTLRKPAGSIFALVFEGFLCLIALCFIGTHLTSKRPFLTTSLITEYGH VALALVALCIRNRPTGDSLGSAMEQAMRLGPTIYPILFAALLSRSLKSIGRYCAQRSV RMSKLWALMNTNATADPILHLVSMPASILVWGLLVLWIMSPLGGQSTIRLLYKANITN ETHPELRYWDSGPLGNMFINVGIMEGNDGSWPLSMRDIYSASLMQSVSTKAGPLDQWG NVKIPRIEAGNESQVDSAGWMPIKKSLGAETFTSLFGMPIVGLSDMKKQGDLNLTIEA TYVVLSEPSTYSTSNPRTLRFNNTVGDTTVTTCQVTQRMVEAFIECVDGSCEATKVRP STTDLRDKNFTSFDYWAWIVLDMLTSVGSQKPQREVMWGVGSSVLFLNDSSSFLMQTG ISGSQAEVNISNIDPELFSTRASILLNTGLQAFMAPTGFSGELPTNLSLYGVPHVPGR GLQAMANQTALDTFEYTVYAPPKQLLNMLNDLAPFVGASTNATFVRYTEVYRPDYVWA VILIISSVLLFGVGVAGICVRFKTFAPNMFDPVAALTYSNPYISLTGREYDPLDADER ANLLGDKRVQIGEVDDYKGVKAVFGEAGYVTPLRLGIPYH ANIA_08175 MLAKSLAAAAILGFSLVDAHMVMTNPVPYNFKSWDNSPIAGDGS NFPCKETDYTVTTENYLTKGQEHTLEFQGGATHGGGSCQISITSDRAPTKDTQWSVIK SIEGGCMDPNEANTNQGGDAGMKNGFRPSFVIPEDFEDGQYTLAWTWINRIGQREFYM NCAPITISGGSSTKRSDVAAVEKRAQSYPPMFIANINGCKTEQNMSPRYPNPGSMVET LNEAHLIPDANNVCYEGSPTWGDAGFGSGGSSVEPTLGGDATGASSTPAAPTEATPAP TEAATPSISIGGTVAVPDFTGEPTAAQTTEPAAVIPTPSSTFVSVPAPTATPTSTPST GTTSTAGALSGPCTDEGSWNCIGGSSFQRCASGTWTEVQTLSSGTECTPGQNTNFAVK AVQIKSRMLKEKRSRRRAHGHINIHS ANIA_08176 MASRPTVSIASGEGKPTGATCPLPAVFNSPIRPDIVQQVHTGMA KNKRQPYAVSEKAGEQTSAESWGTGRAVARIPRVSGGGTHRAGQAAFGNQCRSGRMFA PTKVWRKWHQKVNLGQRRFATASALAASSVPSLLFARGHRIANVPEVPLVVDSKTFEN AAVTKTKAAVALLQALGAGPELVKVSKSRKLRAGKGKLRDRRFRQRRGPLVVYNPEVD GKELVRAFRNIPGIETSPVFSLNLLQLAPGGHLGRFIVWTSSAFEALDTVYGTTSSPS ALKKDYLLPSNLVANADLARLINSSEIQSVLRAPKGEARTKRANVQKKNPLRNKQVML RLNPYAAAFSKEKLGQKGVESEKPAPLADGFLKTLHEE ANIA_11594 MIPSSKAGQGLRYHAGRNQKNNAGAGLLLVWALTPTASIIPLSG SGRLNTYISSGTARPWGGIQYEQAVGP ANIA_11595 MGNTSDSSSAGGYTGRRHADYWKLPCTRNGIDEFLSRDELPMRG LLQDSSQSPPGLWTAGDYLVL ANIA_08177 MSSAYYIGPFGRMLPIADGPAEQEPDRPANLSVPGSHPYQLPPP RTSAPLQFGTDPFLRPRNRADRLDEREEPSVYSSSHGYQQTNEQLPSVSQLLTPTAQL SRSPSPYNPSAFGIYLAPHEPGESPQRYNETTAPPTQARSSIYERSRAFPDVATSPQS KNLPPISHISTHAPGRNTPTYLSNNLNSIHPPYLPSFHGYNEEPNGRNFMKTPSLSTT ELSQRGASGKSAKPQVRLHVVDERFIEGEGLCYIYADGSHCPKIIDGMPVNANWGVTK AGKPRKRLAQACLTCREKKIKCHPNLPKCDQCQKSGRECRFESALPYLMTPCLRLLLW IATITTLAIPTRQYATRPQHLPGERERMPRHSTGSAASSPSADYAEILTEIKDLDEHD PLATDWRTDPYAVDPESATHFTELYFTYVNDRLYYLFPRRRFLLWLKSCHTKSLADNM LLYCIMALGSVFSDRPGKITAMRRYSRIATYALEHSQHSLSLQLAQSRIIISLWYYAI GALVKSWDAAGAAVRTVCGLRYNVEMGGVIVEQSQPCEYGLHPQALIECRRRTFWIAF LTDRLSCFYAPSTTFISSQTAFLRLPCREEIYEAQEYTTVPFFQNFLNQVPSESDELS NLSVLALLIDVISHDGAIIQARNTDHFISIHLLYHAALLKLNRYARAQLLRPGMAKQY VHTARNHAAEILRTALALERYASDHNVSPMTADPTPRSETLLLDPFLGYIILSAVDVL SAGGLVIDLPECINLIRGGLDVVRDLSSFWNSTKPLVSATESRLEALIEAHRSVSTSR TTLEGRVAFLFDGPSLDSQIQNGVQKQDSSVNEDLLYGGLPREQLFLAFGVMDVSCSL RNVVWVRARRE ANIA_08178 MSPYTTGVNRPGPAVSESVLVNSSSCWDDSRVGSERGKEAALAQ KILDIVPTRCYSSLQPTTLGSCLGSPGTLEPKKWLAYWDPLEPIFPMREGSEAVFKPP QSGDGLEFVLKMRAPTLFPLRSLFEIQ ANIA_08179 MNNNSKQIPVGDADTDNNEAETYSLLMSYPEELDSLCRPWSSPR PSAYRRRNPLRLPDAHDAAKQQFERIREAYDTLIDPKKRVVYDLLGAEGVRREWGVHG AMGRGGEAERQEKQGQVGVKTMKPEEFRRWFLESMKNRERAVLNSLVRSKGSLIVGVD ARDMISVNEREGEVYLEIPSAKLSSFAVRYSFVTPFPTLRTGMGEDEREGAESEVGEE EEQKASQSPELEIYAGVAGGFQRLFNKVELEWEDGETELREVPLPLILSTQNVTLGAS TSRVLSDPNPKGILKRWPFSLFQDTLVSVEATALPSTTVQANVAKSIVVTPGTRPFNV ILGTIFNRSIFQAPPMLNLQVTKGIGQKKTAFCSWSSGFIGWPSFIETLLLPFAGDSL SLEDQAISQLQVGIASQLAKLAPGLADEDEDMPESAEDDEEEDEFEMLRAKKREEQKA AEAWHVAISASPATAGIIFKYSRNIFSGKPATDAALSQWSSEKHYSLPPANEPRSVRL EITSTVDMNLSLRWSVHGSRQVSELTRVGFGVSLQPQGLIMSLSWARLGQRIRLPIAI CPIDSVNADSATLAVLLPWLTYCAVEFGFIRPRERRNRRKLIAKRQKKLRKLVPQKRL ESTQAIELMADQVRRRQDKEYSRGGLVITKAEYGHYPSKRNADKGAKEPEVTDVTIPV AALVDHGQLIISKKTAKFQILGFHDPAPLLPKTLKIWYQYHGKEHYAEATDAEGVTCP MRSHLLAA ANIA_08180 MTSIAEGLFKSLPKPKYTGEEEELPQHGQRGPRIVGPGQLDDTQ IVLRRTGPPPYGNRAGWRPRAPEDFGDGGAFPEILVAQYPLDMGRKGTQSKSNALAVQ VDAEGKVKYDAIARRGHSDDRIVHASFKDLIPLRQRVDMGEVSLDRPSEEEVQAQMEK TKNALASLVSGAVAAQKPKNVKGGSRAEPTFVRYTPANQMGDTSRKNDRIMKIVERQQ DPMEPPKFKHKKIPRGPPSPPPPIMHSPPRKLTAEDQEAWKIPPPVSNWKNPKGYTVP LDKRLAADGRGLQDVSINDKFAQFAEALFTADRHAREEVRLRAQMQQRLAEKEKAQKE EHLRALAQKAREERSRAQSRASHSPSRGRSRSRSYSDASSRSRTPSEDEEAARERERI RRERRQDAERQLRQSRMGTERRIQAMAREQNRDISEKVALGLAKPTQSSETMWDSRLF NQTSGLSTGFNEDNPYDKPLFAAQDAINSIYRPKPQADFDDEADAEGEMSKIQKSNRF EVLGRAKEGFRGAADAEERSGPVQFEKDTADPFGIDSMIADVTGGAGGAGQKRYGIQE AEPDSRGSKRARVDEEN ANIA_08181 MENSLSLISAQVQFMEDRLALVHIPLDLYPFFLNPILQVLFHEV APINEIRTETRGCDSPVEVSRHVQPAFLNISITPVECSIMCPRQLADEYFAPLLERFS QLGSSSQSRLSISNDDFIAMQVYGEGLEAGQRVLELTTPLAMAGISIFFISTYFADYI IVPLKSKAQVIEALENRGFQFEISTEAFINNNQPSLNSPFSPISPLSTSTLSSPPATP PPSSLNELQKRTFSSLRRNNIIPYVDTSLRLVQCAAHHRFTNDDSSIAILRDALTTAL VVDRPRFLSLTLTAADPAASLLLEQRLLPRFSSDPTFPADDDDSSLLLGSKEDILFPI MLDLRKLPLEATGIVCGVASRLADATHDRSEDSLAASATLSIDSSSPTYERSAQYVDI PVSNGSRRASKPNKSHSPGRKTSPPTHRLQPDLDSSFDAVEISFLSTARAGTIIVGEH ELHRAMDALEAESQEPEKGIEALEL ANIA_08182 MAPVNETASPIGIANLPNQRHKIVAKRGAAFTIMVAGESGLGKT TFINTLFSTTIKNYADHKRRHQKQVDRTVEIEITKAELEEKFFKVRLTVIDTPGFGDY VNNRDSWQPIIEFLDDQHESYMLQEQQPRRTDKIDMRVHACLYFIRPTGHTLKPLDIE VMKRLSSRVNLIPVIAKADTLSPADLSRFKQRIQAVIEAQGIKIYTPPIEEDDETAAA HARSLMAAMPFAVIGSEKDVKTNDGRVVKGRQYAWGVAEVEDEEHCDFKKLRSILIRT HMLDLIHTTEEQHYEAYRAQQMETRKFGEARPRKLDNPKFKEEEENLRKRFTDQVKLE ESRFRQWEQKLIAERDRLNKDLEATHAAIKALESEIESLQGSSTRSHGRR ANIA_08183 MKTDFKFSNLLGTVYRKGNLLFTPDGNCLISPVGNRVTVFDLVN NTSYTLPFAHRTNIHQLDLTPRGNILLSVDEHGRAILTNLLRRVQIYNFSFKGRVSAL KFSPSGRHFAVGVGRRLQIWHTPETPTVGGDGEIDFAPFVLHRDLAGHFDEVQHIEWS SDSRFLLTASKDLTARVWSLDPEDGFEPTTLSGHRQGVRAAFFSADQESIYTVSRDCA LFRWEYVSKKDPDTMEDVAEPRWRIVKKDFFQQPHATVKCAAFHAASNLLVVGFSNGL FGLYELPEFNTIHLLSISQSNIDVVSINKTGEWLAFGSSKFGQLLVWEWQSESYILKQ QGHLDSMNALAYSPDGQRIVTAADDGKIKVWDVKSGFCLVTFTEHTSGVTACQFSKKG NVLFTSSLDGSVRAWDLIRYRNFRTFTAPSRLSFSSLAVDPSGEVICAGSPDSFDIHV WSVQTGQLLDQLSGHEGPVSALAFAADGNHLLTFWSVADAVQVAGIDGRRDISGGRKI TDRTTAANAAGTKSFHCITYSADGSCILAAGNSKYICLYDVLTGSLVKKYTVSVNTSL DGTQEYLNSRDLTEAGARGLIDETGEASDHEERIDRSLPGAKRGDAGSRTTRPEVRVS CVDFSSTGRSFCAASTEGLLIYSLDTEFLFDPFDLDISITPASILSTVEGAKKAAATG DANNDDTYLKALVMAFRLNEAKLIRAVHEAIPPSDIAHVVRSVPTVYLPRLLRYVAHA CEETPHLEFNLLWIESLFSSHGRYLKDNAGSLGPELRAVQRALDDINENIKRLSQKNV HNLNYLLAKPVLTGRKAPALPKAIDTLDAAATNGDATMSDAGTDAEGEWHGLE ANIA_08184 MSPMVLCTSLLVLLAWLLSKSPTDTTPVNVPTVTLGRFVPCFVD RLLFCAKGPFLIYDGYKKSVCGDYTNILSDSFLPAQTVVKKLTPALGRIVPDVIDELK HAFDVEVPECYDKWVTVTIYSMILKLINRSTSRIIVGDSLCRSEDWLNTVLDYTYNLG TIIFLLRPLPRFLRPLVAGLLPQVRHARKILDHVKNDIIVPMILERRQKEANDSNYRK PDDFMQWMMDTADNDYDKQPGNIAQGLMIIMALAVIHTSTITITQSLYDLLIRPEYVQ PLRQEIIDTLKHGWANATRADFATQVRLDSFLRESQRLNPTHEVNVQRIAKETLVFKD GFVVPKGTHICFAAGPLSRDPELIDDPETFDGFRWCKDRQYNKKGHNNSLVALSKLNL HFGYGRQACPGRFFAENTSKAILSRLLVEYDLKFPEGKEGRRPWNIRNGEQIVPNVYT KVLIRKRLVNL ANIA_11053 MAPLPSIPTFDNLTLDPNGPPGNAWGLFGPNNELGMLNLLTPEV VKRAASEEIREGVRISLDLPLNRLSHPSFNRKPFIQELVNKAPRIVNDDILTFNTQSS TQWDGFRHYGNQTHGCYFNGHSLDELRESRVIGIDAWSNSGGIVGRGILIDYATWAQR NSIALTPFQTSTIPLSSIQQIIHETSLTPRPGDILFIRTGFTEAYNKLTPDEEAAIAA RPTPNFAGVENGKNTLRWLWENQFAAIASDSPAFEPAPLFRPEQGVGHEVTLHQWCLS AWGMPIGEYFDLEELAKYCREKGRWSFFLSSIPLKVCYLPFSFYIFFVSYFVLGYVVC CNC ANIA_11052 MGVPKFFRWLSERYPAISMLIAENRIPEFDNLYLDMNGIIHNCT HKDSDSPTFRMTEDKMFIAIFNYIEHLYGKIKPKKLFFMAVDGVAPRAKMNQQRARRF RTALDAEQAKEKAIAQGIEMPKEDPFDSNCITPGTEFMAKLTEQLKYFISKKISEDKD WQGVEIVLSGHEVPGEGEHKIMEYIRHAKAQPGYDPNVRHCLYGLDADLIMLGLLSHD PHFCLLREEVTFGRQVQKKPKELEHQNFYLLHLCMVREYLQLEFQELEEEGIIDFPYE FERVIDDFILMAFFVGNDFLPNLPNLHINEGALSLMFKVYKEVLPKMGGYINEQGTIN LQRLGVLLDALSHVEYRFFEAEYSDATWMRAKQSADNGADVDAKPKTFTVTPDQKNIL KTVKKYVLNRPGKAADSKPLDFPPTLPARDRKFVEQLADDLRLPWSTVSNDDGDRFIR IQFPSNENDDSEEEEDEEASMAVRRVIRKYENAKVQELSAEEALAAAQKKYEEKFQDW KDKYYMEKFGWGLDNHEEMRKLTENYVQGLQWVLFYYYRGIASWPWFFNSHYAPMISD VKKGLNADMNFRLGRPFRPYDQLMGVLPDRSKKIVPKAYHDLMSSPDSPIIDFYPRDF ELDMNGKKMEWEAVVKIPFIDERRLLDALATREHLLTPEEKARNGFGVSLKFTYSPDT EYIYPSSLPGVFPDIPNCRCIQNVFDLPTMDGLEPYVGLVEGVHLGASALAGFPSLKT LPHAGQLGFHGVCVFQQESRNESMVITVLDPGSRSSSELAKKKLGQRVFVGYPFLQEA LVTRVSDELFDYTLPAGEEHPLATPHSDAQIEQWKKKADKIEGIYSRRFGTIIGPVEA MVHVQLLKGLIKTDSGATVKEFADIPGQETDYALQLVVDEVINPDERFIEREALPVEK EFPEGSRAFFLGEFNYGRPVHITGHDDGKVNGLIAVIKGREPEFGRERARNAEALSPY MPAFAVARILQLNPLTLAKITSSFSVDVDGQRVNLGLNLKFEARKQKVLGYSRRSESG WEFSAKAIGLVEQYMLKFPQFIAGIQRNPRNDRYQPTDFYPPDIALDKINEIKAWLKE IESKNFERVPLEAEQLDSDVVKLIEQDADQLVKTQPPMEAKKIRDIPRSALLRPADVE HRLGNQTFKLGDRVVYAQDSGKVPIATRGTVVGLTRTPRAILLDVVFDVAFMSGTTLG DRCSPFRGQTVLASSVLNLSYRQLVASTRAAVNQKQQNQPSPLTVKGYGAPLGPGGQG QLKEAPAPPPLRGSFRGALSGARGGRGDANGTATLPFRPHPETNGSARGSRGRGRGGG VARGRGGYVSVDNSDPNAGVVQNNPNFRPKNYSQVPPPSGLEYRGRGRGRGARGGDRG NGYRGRGSHRGRGAAATAGQ ANIA_08186 MPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIVK KGRDRGYRPEVSLRVQLEFVEDRKRSGRPVEITEATQNTVITSVTADRAGREKSSEIL AYEAGISHSSVLRILHSHGFVIAKPSWKPGLTEAARLRRLEFCLAHQHWTLEDWKRVI FTDETGVILGHRRGAIRVWRTVKDSHTRNCVRRRWKACSDFMVWGCFSYDKKGPLHIY KPETAAMRKQADIEIEAMNRELEPLCREEWELATGLSRVHLRPNRGRVPKWNWNEKNA RLPTVTESSSMSIKQKTCKRSLTGLAIHQDLNAIEPCWAWMKKRTTSRGAPRDKKTGE AEWRQAWADLPQETIQHWIERLIRHIQIVIELEGGNEYKEGREDRDTRSWAGRRIKGR LSPRVDLALQPIEAPE ANIA_08187 MESYEDGFIEEQEEQEEERTEEKIINEEYKTWKKNAPFLYDMIL STALEWPTLTTQWLPDKQDASDKPYSTHRLLLGTHTSSDAQNYLQIAQVQLPNPNAPN PDDYDEERGEIGGYGGSSKKAPMEINFKIVQKIDHKGEVNKARVMIWDRSKHQSVPTG TVNPQMELLGHKQEGFGLSWSPHVAGHLATGRDLTTYTKNNKALQPVRTYTHHSSIVN DVQHHPLHSSLIGTVSDDITLQILDTRVAETTRAAATAEGQHRDAINAIAFNPAAETV LATGSADKTIGLWDLRNLKTKLHTLENHTDSVTSISWHPFEEAVLASASYDRKIMFWD LSRAGEEQTPEDAQDGPPELLFMHGGHTNRISDFSWNLNDPWVLCSAAEDNLLQVWKV ADAIVGKDMEDVPTEELEP ANIA_08188 MGSGSPPLGPKPKAIPSHLLNGGSPLAGSVASRDVRERESLNTS IRSSFAPRVPVEFDQPESESHPTELASSYDSPRRRSSVTKPSLHDPARDPRDEAALTP PATTGSPTSPYALTPAIDFDGLSWPCPGTRARLESTPEENEARIKKLSGAVRTILECL GEDPDREGLRETPDRYAKAMLYFTKGYEENVRDLVNGAVFHEDHDELVIVKDIDVFSL CEHHMVPFTGKMHIGYIPDRRVLGLSKLARLAEMFSRRLQVQERLTKQVALAISEVLK PRGVGVVMESSHLCMVMRGVQKTSSSTTTSCMLGCMRSSAKTREEFLTLLNRK ANIA_08189 MAHSHPNRCEMDVARSLIHLAHVPDGNENRNQDLDASAGVDTQL QPLSQDSILKAAQSEPQHSVIKTEPTDGQHGEPIHHAYNISYLRSPAQHITPNSTPVQ CPPQTGAHAHAPVLASPMAGGGEALQGHLIRQQLLAGIPPEDIITIDRHYQDNPNTNI NTPENPSLIHISNQGVFFPHVPPATYTPQTAYPVLNVNRMKGEAPPSRYLTVPTETHF NHKATFERAPATFVTNAAGNTLGAYGDLEDHHIETTSFSLSMGSYAQGEYMPLEYTQP ASALNLNKAEYLFGSHSAIKNEPIAVTPRHILRSQETNASDPQFVGTAYVPHGFPSSQ YEGHSGLRLNRMQKPSTRDPRRGYGTMYEYDRATFGSYQSTGQAYTASESDTGQRKAD TPKCPSTDVQNIQTVIEGESYGVNLNTFNILLALFKHPEIAVRLTGELCVQDLINLQS TSRACHSFTVKYLPKIVRLQTLRRSRVASHIFPWRCYSKLRFRRIAIGNEIDPFSTTC SVDSVVASTASLRWLQMVRSRDQTVHIILACLQRAGYGFPLRYRFAIFKLWFLMDIPD TARRVWTVQNRNLWTDLDLFMAIFFIVRLDMYVKIMRKNQTGGQRRLIMAQPSLYLCR DMLLGRALRSDIELLRALIRWRYNPRPSEVPEGEIFGVPSAEVGSLQYEGYGKGREQN KKLRRPDEVILQEAQRRRLDVQQMYVRIFIHGQPQTFAQCERPLVPWDLEVRNATQDC DDPIGPFLVLD ANIA_08190 MRQTRTAAKDSSFVLISRSGHFLANQCLASSCCSSVNLLEPDAA GSEDYMAGSHRSPVLKDQWRGSSGEVGDQRGREKHSRPRGSHRDRGRERFRDQDRHHH HPPNDDRLHRSSRSPPPRSFPGPDNKPQHRDFSTNIASTRASPAPSRSEIRPSQNINR PDSPERIGYRVTNSHMRSAERNHRREDSPSAPPFKRQRTHSPPPDGFQGRPPTHSRGA PPRHGRDFDREPSHSKGRGGRPPGRGRPSRRPSPRRERERRKHDSGLRDPSRNRQPWS HDWEDKPPIHPSRRYRSPPLDGIERDSYLRSPSRVSAGTLDSRTSALSRRGSKGDIAM HPPPSPSRLSLDRVPDTGYGAGLPERSRASRSPVNSYHESTRPSSPYSTARSGRSGQH SSHPSQHSFRASNFSEHDSPSRLSHGQPTSSHHVAPSHSYRDGQQRYQETHQDRRISG IVPTAPLSHARNRFSSPQRDASASRSRAGPPSPPQGPAADDPPPPPPPPTEPRSHREG YQNLTNHRPASRETRAPDEGSGHDSGSGFRAGQTGQPDTRPPEPPSGPSKGGKISFAF KAKTGPPSAPKPVPDLAQRMLAREPPQRVAEPPRSKMSSGPPPRFKPEPRFDRRDRDR DRHRDRDRNRGRNDRRDYREPRGSREARDYRDSRRDDRRVDPRDKRRNDKASGVRPER RHDPSPEPVKRSKIMLRLKPRPTISEEFANSDSVYFRKPGNESVIGAGTYGKVFKAIH VYTQRKVALKKIRMEGEKDGFPVTAVREIKLLQHLRNHNVVSLLEVMVEKNECFMVFE YLSHDLTGLINHPTFTLTAAHKKDLAKQMFEGLSYLHHRGVLHRDIKAANILISNQGR LKYADFGLARFFSKSRQLDYTNRVITIWYRPPELLLGETQYGPAVDVWSAACVYVEMF TKKAVFPGEGGEISQLDKLYNTLGTPTRAEWPNIVEMPWFELMRPTERRKRIFEDIYR DILSPAALDMVSQVFRYDPAKRPSAEDVLAHPYFHSEEPSPQQPIELENIQGDWHEFE SKALRKERDREARRAEYQRDKEKRKILAAQDERETKRIKPDTSDGLTSSVPQPGQQ ANIA_08191 MENANLWTRRNNTSKLSLSMAGTDNKEGGARVDLSRTSKRFGPD SSHGRSNPFNALSPLSAGVSSPSTNASSAFGLGSGAFASFGAPKTPGGSSEVKTPGER RENPTDRTASSAEGIKSKASEPHLKEHVLRSTWIIWYRPPTPKYSDYEKSTAPLASIH SVESFWSVYSHLKRPSLLPTVSDYHIFKKGIRPVWEDEANKKGGKWIVRLKKGVADRY WEDLLLAMIGDQFAEASDEICGAVLSVRSGEDVLAVWTRIDGGRNIKIRETIKRLLAF PADTNIVWKSHDDSIAQRSAIDQARQEKAANNHHHHHNHHNHHHNQNPHHQYGGDRRR PTADDSTGDKGKGPAS ANIA_08192 MPSPVRDRGPMRETPSSSIADEYRLFGGGKQRDPNAVRVVPGQT FALKPWNTISRGAKGSGTVSANRKEKRFYTSHSRSDHPADAPERSSKRRRVEGPAEAQ AAINISDDETPAHSSTRGSPVTTRSHAKSPTPSFSSNTRQPREYNSGLTSEYRTIDKG ARVPRPSPKKKASSADPFSSEDPDIIFTRDAAKVRRKESALLQGDPDNVPWKAPAHAS NLSVEIVNKPSVSDGAFKTPPPRHEKPRALSSRDSPDELQGDVTVGPVPTSLSKPRRE SRDEPLSSDIRPTLFTSQVMSRLGKTRNKRGRASKGLPQRQTFRLVYYRGGSFLQEVP KGENDQLIMDPGGGDSGRQKKRSSFALVYANSRLRFRKRQESPKEAPKPPPGSTTKRM RLSDALQVTDEEAAAPRSSDTASGSRTSPSLPTTTGENTISKRAPSPTSRLHRDIGIE IPMKKFQSNSQAPTRTTRSRLMSRQTPTTLVCDDDDNENEEEYTPQPNLGGEQKWDRP LVYPRFGKKKAEVNALDLRRLAPHEFLNDNIIGFYIRFLEDHLQRCRPEAAQRVYFFN SYFFATLTKSPKGLKINYEGVAKWTRNVDIFSYDYIVVPINENAHWYMAIICNLPYLE GIGEEEKPLPSESRAEVEEVPETPEPHLEDGSSTTLQSIKEETARQSLASMSLIDTQV PQEEASKPGENEWSERDVYPDAARAKLAAPSSQPQPETQKDSEASGTPKKSRKPKKNR SYGVKYSTCQPIIITFDSLDLPRSGTISILREYLFAEAKSKRGIEIDKSLVKGMTAKE IPHQPNFSDCGLYLLAYAEKFVQDPDSFVRKLLRKEMRKQEDWPPLKSGLLRTRLRGF MELLYTEQEHLTKAKADESALVVDQQPVSYLLGDTAANNKDNVEGENYPQGKVQVERS PPAEASSKTTPERQPFAQSASPKPEIGHDVDPVNVDTQESVLCISQIPDAQLKSQKLE AYNQPSKHVVVEVPDSQDRTQANGPTAAALSTETPSSKPHPRREHSSAVYVDDSDDVE DAPQQGGVQVEETPPGLPSIK ANIA_08193 MAPQILHRVIHGSPTPQQWQKDLLQFRPAVLHGYRRHRVRGADY PGIIRESESTQDAATDGSESATGKAALPSGPETRSRASVLGTVVSGLTDGDIHRLDIF EGAEYRREKVSVRILREALSKDLNNQDGVRNGDENRAHVDADAARDKHIKDVLEAAGA DFADEEEEVETETYVWIAGRTMLEDAEWDFEAFKRDKMAWWVSADEKCVVSSHKKRSK TCKQSF ANIA_09460 KHSTLNRAQAVFGFFTTVALFVAGFAALSVLLFPTDKINTEVSL RDVKVIKGRPHYYSTKKEEYAQMRFDLDADLSPLFNWNTKQVFVYVYATYSSSNKPSE NSLTPQSQAIIWDTIIEAPESPYSFANLREQFFPTKSGKSASSRKRSSTNKRNDSNKQ DAVPGTLRLRNQKSKYQISDITGKMAERTNVTLSVGWNVQPWVGALWWAPGTGAVPRT GGSTGVSRAFDLPALKGTKPKTEGAEASV ANIA_09461 MLRSWPNRCRRNLRTQRGHLSVRVLHSESFVHNPPPWRPVSALD EYVERQIRPISLRQLTFFGRTLTESRLLSSANYVRTELPTRLAHRLRDIQKLPYAVVA NPHFSLVYELYYKAFERFRTVPEIRTLDDNDRFCDILRKTLKEHLVVIPRLAMGVLEC RGLLPAEAMDQFMNTLLRARISRRVIAEQHLALTETFNSPWHFPGSQDRTDLNADFVG EVFLKCNAKEVVERCGKLVQDIIRQSSGSDKIPEISVQGHLDATFPYMLSHLEYIIGE LLRNSIQAVSERYQGLNQTPPPIEVLICEAPQHVIMRVSDQGGGIPREILPYLWSFTK GPHSKVRLENLGQVPAMAATLQELSVSSDIKHADKETFRESSLDTLTSRPPDLRLGIG LPMSRVYAEYWAGSLELHSLEGYGVDAFLQISKLGNKNEQVTTRAAIDAV ANIA_08194 MRFTALLIGLLAYLVSSVTATALTYRLEANEKACFYKFVEQRNT KVAFYFAVQSGGSFDVDYAVVGPGEKPVLDGTKERQGDFVFTAQSVGEYRFCFNNEMS TFAEKMVDFEIALENESRTELPSRQGANPEQASAIEESIFKLSAQLSTISRNQKYFRT RENRNFSTVRSTERRIWNFSIIESLMMVSMAGLQVFIVRFFFQGARKGYV ANIA_11596 MLNNREKESKTNVYPSFLPKTNWILQIRIATTLAMQSDLVDG ANIA_08195 MPSASAHTASVSHNQDSGPPPGAMNANVSASNRNVIYPGQSGGS GGHSRRSSTTVEDYSRIMLEYTQRRMAGFADRPGDSGRRSATSRSSRSSNTSGQSGTS MSGFLAGQATGPGPGSGSGSALTGRTHSPADSKIRHVDFGAGVSDGE ANIA_08196 MQLQFSTLPGLLAVFVAFPLTSTFANPVEINSSPIPSAFPQSPS AGASASVNAGANANGPSIQEPERPIPSLKPEQATASQSLVHSIATLIASSAASSLDPT TCPPTHNSRQCCTSVDSLADDVVGEDAIGGLIPWFQGVKISSIVGFQYGTKANVDSGN RGDRTNLNGQPGTQSLFKSGCIPYDKAIQDKKEAIEKSKAEASYLAAQSSSVPTPTPS K ANIA_08197 MASHQVAIAKASLAAGLLRPDPTSVSRDEITTLHTTLDRALSHC SPANIQRCKSWLLNYVVASSNRMTLLAKYFVALSGSLDNSSATDGKQKETQDKQVAQA QTPSVKRKRLHMLYLLNDLFHHTKYHNSSSAAFSTLSGSLQPHMVELLSYAACYDREK NPKHHRRLGELLGIWQEHGYFGADYVGKLREVVANSAVSGPITSSATPGTESTEPNRK HRDAPYVMPSTHGDPSTPYYDLPAGNLIPHIIPNSTVPLRPDMIKPLQFLAGPADEKL VTALKAFLADVDRIYNPGCPQQKDGEIVDIDELGQTVIRDEITGDILEGETYYGWSRS FCQQMKKRNAKNRNESRSRSRSRSPSRRRRYSSVSDDSRYRSRSPRSRSRSPRRGRYS RDRSRSQRPSRSYSPVPAAPAPAQNHAYSQQQHDSYSQRSFAPQPHPQAPQIHHVPPQ NAFPPPPPPNYQGAWPPPPPPQMPNFPPPFPQMPHMQMPPGQIPPPPGPPGSYGFPPG GRGWHQQPPPPPPSGRGWR ANIA_08198 MCRSEAYNFNKLNSKKQPSYLGAAMTTSVLEREEESKHDSSSGT DPDIITGILPDTDTTNADDLILQANGHKPQLRRQFNWLSALGLGFSITNSWVGYLSNF GQNMKYGGPRLVIVGLLLAFIAQSIISIGLAEIGSAFPSSGGQYHFCFLLAPRRSRRF AAYVIGWMSVVAWWVTTNPCLRRIQEDLSYLPDHLASVYRRRSNDAFRSYRTDDGQIA LHIAEEMQHPGRRVPQIIITTLMIGLATTLPLFIALLLFSSDTVEIMDSPLPSAELIH QATGSRTGTMFLIIWILIVYISCLPSQWVTSGRLAWAFARDNGTPFPRYFSAISPTFQ FPVRTTTAAFVFVLLYGLLYLASTTAFNSIITSAVLFLNITYAVPQGILLLQRARSLL TSSSKTNTDMSILPPRYLSLGPLLGSLCNAFSILWIIVLGVFVCLPPEIPVNLASANY TPAVAVGIFGLILLFWGLGGRKMFEGPQVDWEGLELGLRVRVGKLACLSFPRFVSGGH V ANIA_08199 MQTALQRDPNTGISILIVGGGIAGLSFAIEAHRKGHNVRVIERR SAGKTDGEIIAITGPALHTPHKWPGFMDKARKEAVPPGITMRKYDGTTIGTFPVGDPS NPSLPIYRSKLHRVLGEYAAQLGIEVELETSGFGYFEGESDAGVILADGRRLTADLVV AADGVGSLSWELVMGTKQPPVSSGFVLYRVTFPVGPALENPVVAREFEGYKNRAFLHA GPGAHMVSWYCNPLYIPNSQSVDDGADANGFYGVKEDNTTAAEDWAKNTSIDKALEAV EGWEPFVSELIKATPNRTLLDWKLMWRDPQPKWVSDGGRVVQIGDAAHPFLPTSASGG TMAMEDAFSLAACLKIAGKQDISTATKVHNHLRFERVSCAQKMGFKNRELYHKTDWDA VAKNPKIMGKMVGDWLLKHDPEKYAYENYEKCKNFLLHGEPFANTNAVPGYTYKPWTV KELLEASERGEAIVDEGKW ANIA_11597 MSTIQLQAVRPTIRHFSVHRAQTTSRTSRFVTSSCLVSAVVLPF VPPALESSRERNQGSPNHSRPHPPLCFHAR ANIA_08200 MNTAVSNNRIDSIHLGRLGYALRRYATSPPQDTIKQIYVNPMTP AGGGAYYFAKKSINADRQSRFEADMKKKAQLAAMEAEHRRQAAASKPEPISTPSSNAS YKRANQARHQNASDDVASPSEEASHDPAPTRHEPETEEDRLLEKGKYEAARPFRPPPG NRL ANIA_08201 MPVKRKATDSGRSSRASKRATPVPDAPEIDSDDDYSDWEQDAKK DNLKGLVDKFSLESFNKKSQNQDPNFGYKDFSSLPLKPDHANRPLWIDPLKGTITLES FSPLAPQAQDFLTTIAEPLSRPTHLHEYRLTGNSLYAAVSVGLLPQDIINFLDRLTKT PLPESIKSFIVEFTKSYGKIKVVLKHNRFFVESTDPEMLQMLLQDEVIGSQRVQASEG ITQQAAPKMGGLVIPGTRDAAGVRETSEQKAVDESAGKRAEDDILLAIRDDDDDDEQA QVHSFEIPNEAVESVKARCQAMGCPALEEYDFRNDEINPTLDIDLKPAARIRSYQEKS LSKMFGNGRAKSGIIVLPCGAGKTLVGITAACTIKKGTIILCTSSMSVVQWRNEFLRW SNIDPGDIAVFTSDNKEKFRRSTGIIVSTYSMVSQTRARSHDAQKMMDWIQSREWGLM ILDEVHVVPAIMFRKVTSAIATQSKLGLTATLLREDDKIKDLNFLIGPKLYEANWMEL AEQGHIAKVQCAEVWCPMTTEFYTEYMREKSRKAALLYTMNPRKFQACQFLIDYHEKR GDKVIVFSDNVYALEKYALKLKKAYIYGGTPQNERMRILENFQHNEQVNTIFLSKIGD TSLDLPEATCLIQISSHYGSRRQEAQRLGRILRAKRRNDEGFNAFFYSLVSKDTDEMF YSSKRQAFLVDQGYAFKVITHLQGIENLEGLAYATPAERRELLQEVMLQNESSADVEN VTDDLFSERSSGNRARAKPGVKRSAATLSGLAGGEDMAYIEYNKSRNKQLKEKQHHPL FRKLERERQRRKKEMENFNR ANIA_08202 MAGEEDPRNTQTASSPGSSRQWTDDENPFVAFRRFADEQISSML QSVMGLPSSSTSPRSEHWAIFSDDQTYSDQTRQRRRQGQEYSDDGGKADDNVPSGSRL NDPSTLNDKYPDRPSRSRWYNPDNRFDIDMFFNSFFDRFWLDDHAMARLFSPYHHPMF SSMTSADSPAWPVNYLLFSPYSPLHLERQARYRSHREQGVFTSLMSSMSLSSEAECDP NEPKWREAFEDLLRLENGEPMLDRESGAVAKPESGKEWLAGLVKRGSLGKHWKFSSGS ESQPWTSITLDRLDHFDRPKNEASPSLPAPEKAGSDSTTEMSTEMSSNGPSTELDLYD RFLADIDAREREFFGAFHSPLLQFLLEDRRRGRFGIFPSETNNKDDTESWLDLVSGGN KHTVPEAKDESESTEAHLSREPASSPAATGAQAEIPPVQNYVVSNSVSTERIRLPDGS VQTKTVRTKKYADGREETNSSTEVVNPPQGEQGPDQQSGQQPNQTKSGWFWKD ANIA_08203 MGRLITLATCSLNQWALDWEGNCERIIESIRQAKAAGATLRVGP ELEITGYGVLDGFLEGDTFLHSWEMLARIIDHPDCQDIVVDVDGNYREMRHFTPWQRP REVEDYYLEQIVGKITGQYKVPFGDAVISTRDTCLGLETCEELFTPNGPHIPYSLAGV EIISNSSGSHHELKKLDTRVNLITQATKLSGGIYLYANQQGCDGDRLYYDGCAMIVIN GNIVAQGSQFSLNDVEVVTATVDIEEVRTYRASTSRNMQASRQPPFVRLDLDTRLSRS DEDADPGIAPSETLIPRYHAPEEEIALGPACWLWDYLRRSGAAGFFLPLSGGIDSCAT AVIVHSMCREVIKAVQQGNEQVIKDVRRLCAEPAGSTWLPTTSQEVCNFMGTQNSSKE TRDRAKELAAEIGSYHIDFNFDTVVTALMNLFTVVTNFQPRFKVHGGSRAENQALQNI QARLRMVLSYLFASLLPTVRQRPGGGGLLVLASSNVDECLRGYLTKYDASSADLNPIG SISKVDLKKFIGHCATSFDMPILTSFLNATPTAELEPITATYVQSDEADMGVTYAELG TFGYLRKVSKLGPWSMYERLLHMWGNEYSPREIYEKTRHFFYNYAINRHKMTVITPSY HAEQYSPDDNRHDLRQFLYPPFTWAYKKMEESVKYWEERGWTTGKAQKKSVKAD ANIA_08204 MSNNSQIPNPAHIQADSMLARRFGKETVNYFSSSPLNRLSFLRS DHPFLSAAFKHPSTRFVILKDLAPLTRSPTELYYAKYDEVRKLLPASIYDKSEEEIIK EHDSRKTEPHLIFLGVDETDKQQDGFSWKIYSGTPYFALDVSEKGSEEQQHNAKEVVN GFEAQGLSFLQARVAMTFSANEAAIYAQARALIDWNTRNSYCGTCGSRTISVNSGTKR ACPPTDAARVAEGKPAEKPACSTRTTISNLSFPRTDPTIIVAVISSDAKRILLGRSKR FPPNWYSTLAGFIEPAESVEDAVRREVWEEAGVTLSRVIIHSTQPWPYPANLMIGAIA QVSDPAHEKINLEHDPELEDARWFEIEEVEEALRVGTSNLDQAAGPGYKEGSLRLPPP TAIANQLIQAAINIDFLADKSKM ANIA_08205 MDNSDQPPVSELLRSTAAIPIARLSPDVDRLSQSSIHSVVTLLW PYSSSTRTLSVLLAEPDFRLRRSNGQVKVFFHGHVAEEVARTHIGIGDTVYLSLTGSK LSKNDANAATQTPGRSVSWDVHFETSAFLEIWRNSKLLSTVKVDFSRSTPPLADNVTA APSTPAAYGGAHAFGPPESSLWQSPAFLGGSWVSSHFTDSADDPFVEEDGYPESPGDR DLPEDWMAIFDEDLEKETETGVEAVVQDTPESTIAPAPAAVPDNAPAVDTDAVMVEAD AASSAADKHFDQINDNALFIHPNAIPQSVSEPSKLINPGQASHLPTNTPRLHPVPSPG LPQPSPLTTTPNSLSGYFGSAANTPAAAQSMTPVAPSSNEGSESDGHVKPRTAAVPGS GEVAELNGPDTLPPDQPYDESFTPAPSKPEEETRLDNSDSVPQVQDHGGLTHTAEDDV VTVYTDDMQVLASDRVSALDSADAMASPQPSNRNATTVNDIELAKTEGNLGHFTKTSK TETHSEGPDSETGQHSAIDEDDAARRFVNESDQEDEDRVSGESMHERQGRENDIEQKQ VSAERNAEGELSKARRLENFDIPRAEGESPSSDYSGDRSPNRSYDYDLEDESDGVDSD DIDDDDDDGSDEDASNQGELEEDYEDDEDDDEDDGYGEGYDYSESEIESVSEGESSPR AAPKNTAPEVIVLDSDSEDDLAAPLAAADPTDTANGQTQDTSGESSYDSEDHGPSGDG FSDEADHEREPIENQEGDDVGFSDRMAVHGTAEESGSSNAEGKGNQHIVNEHPVAGWQ SEPERMEEDVHDDLATHQEEGFHVQQNMKAESTLAESSWEPNQNASVNEFVATHAYRE DFQRVAEYPLAPKHDSLDYLAAISESAERLNAISEPAQPGHELAIDPSLYELGNPQGD NVKEPDTEQFERSVEDSKGPRSMHDRHLALQLDGAASETVAELTEIPVSAHETRQSIA SGPSQLVVTDQAAASVKTSSPIEALEDTQPTLNLTQETLSILEAGDRPRTPTVVDRAL ALEGESPSPIVAVNSEPEGALIVKREGSEPEQPMVVVHNKIAPSPDEDQALQASIEVD DQSEDSIDETIRTPGDRHYPGLRSKLSYFAPLATLIDHYNALVDTISIVSEVNPPTKA GSGSKDFIMTLQLTDQSMAGASVYAQLLRPYKSALPTPSEGDAILLRNFRVKSFNHSV ILVSDSTSAWAVFSSSTEGADIAGPPLEYGAEEEKYATGLRHWYLEDGVAMVADYQLQ ASVDRESRAETPASSLAHSDAGSIDMALRETRGDTSSSRGSRRRKSHRRITIHELRDG RRYTEVGSTPGEDSIHELRDGTLYANL ANIA_08206 MALSKHIHRIAVIGAGPAGLTSVKYLLAEKCFDTVDVFERRSHM GGVWNYSPGTLKEAVSTPVPQLSPDEDIQEPVWLPRDASRPNQEPTFISPIYDTLDTN LPKELMAFGEKQFPSDVQDFPRHFTVKEYVREYGEDIKKHIQFETQVLDVQKDSSTET WSVTTQSLRSGTTTTSSYDAVVAASGHFDVPYLPEIRGIVSWNNAYPGVITHSKFYDV PDPFRNKKVVVVGSSASGLDIGNQISKVCKGKVLASQRTDLYVSPSTAMDKAYYPEIV EFLPPATHERAVRFADGRVEDNIDAIIFCTGFLYSFPYLSSLTPPIITHGRRVENTYQ HLFYIHDPTLVFPVLPQRIIPFPLSENQAAVFSRVWSGRLKLPSTAEMKSWENSAVAQ KGDGPGFHLMPYPLDANYLNMLHDWVSTAESRPGLVNDGNGKPCNRWGRKERWMREMV PEMRKAFFSKGEERRLVKTLEELGYDFNRWRTEQDHFP ANIA_08207 MTSLAKALDLTVSSLQGRPRDLARELAARFVTLAKQDSPQQISQ MPVVAPPQPPRQMEQPNHPPTPEASKSPLNRQTSQPTTWASLTAPRTGQGNWQTIAPE HHMQAKQTAQRRLKQSNKTDHRIFLRLPASSSLRAIGPHGIRVTLAGKVPDGITQVQV ISTGYAITTTEQGKAFLLSEKAASLAGDGYFEIPTEYHQTLAWKQSALLALSLSWPSS QNTQ ANIA_08208 MRNKRKASLTQHSKPTYTSSSYKGRNQHSKRYVIVSSDEEDIST DEEEVTADEDWEINGILAENETHYLIDWVGNYSPSWEPKENANEVAVQIWEEKKRKEE QAPKGNGFSIEQSSSRSSNSIFDECAETPAQELVRQQSSDSDLFVPLDSVPEARSQET NELPLSSLATENSSQFYADIKPTVASYSHSVFESCPRASIPPEYRLPDEIEKPLTQDG DPIVEVSVRGLENPDLAGRAAEDAGTSRERQRRIVHPSPRAEIPESPFISLAPPQSES IQAGSPSETPGPHSLTSSDTNGVPSSAFPARTSLANGRLLTGNSSSSANSTPACPTGY LDFRHTISSAESAPRNIPTTQTLTAPALEAVAHSASLYPGVAPSRNSILNSFPVLDSI PISPQHPSGHPLLGSTSGVASRPLITPYPSTPAIMDDQGQKKQKSSLADTMEKYSHIE GSTPREKIMNLYDKMRDKSTVETLQNEASATPSSPGDIEASEPPPAPGTGAPLSVRVD KDSSHADPIKDLVPETSLDETEHLTHISETFQTIQPSALTINHTEERPPGSVQLGPSE FAVPLPMDSRVKDDYERVLEESKNALEVSLSGRKEIPESELQLERAALLIQQVLERLS NAATHPDINVADHMESAESKFEQEASWADYSSAKFLLLNYLIKAASEHDIHLVIMVRG EKTQGIVERYLQGKGFSYTRSREEMGSGTNAEVSLAKDALSFGVQLAQSDGIVNVYKA PSAIIALDSSLNTKNPSVEHMRTTFARNGHLLPIIRLMVANSSEHVELCYPGPSTPKH LSKILQYSEHLRDIVGDLQDDALGVHEDANEIMTCLLSDNFDAFWSLPPVEPLRKWTM DESSQDEEPSQPKVDNTISATSLVQKRVLEEDSTEPFPKKPRMGESQNTSELTVGSKA ESQSLDSQIQLLEKNLMQMRASNAADIQKLRKELSAAQTRLLERDRVLESLQHRYETR TKDLHNIRRERDRLIETKANYEQRIKKQNEEIIKLKDERAQLKQDLDQARDALKTGGG DMAELEKAREEIRRLTTENAALERKADVANKQAEYTREQYQTASNAAAHSGNELRTLR DENEALKRKVAGEATRLRELNIQNDESRHLTRIGELESLLSVREDLLQKKEDELREIR KNRPSTRSTSTQPRSPKLNANNYSRPTSPGINNNGSNFPGRGSALRFSSEMSL ANIA_08209 MEDPYRVYLFGDQTGDFEVGLRRLLQAKNHSLLSSFLQRSYHAV RQEISHLPPSERSTFPRFTSIGDLLARHCESPGNPAIESVLTCIYQLGCFINYYGDLG HTFPSHSQSQLVGLCTGLLSCAAVSCASNIGELLKPAVEVVVVALRLGLCVYRVRKLF GQDQAAPLSWSALVSGLSESEGTSLIDKFTRRNVIPPSSRPYISAVCANTLTISGPPV VLNQFLDTFISGKNKAVMVPIHGPFHASHLYEKRDVEWILKSCNVETIRNHKPRIPVL SSNTGELIVVENMEGFLKIALEEILLRQMSWDKVTDSCISILKSVGDNKPKKLLPISS TATQSLFNSLKKSNLVNIEVDGGISDFAAETQLVNQTGRAELSKIAIIGMSGRFPEAD SPQDFWNLLYKGLDVHRKVPEDRWDADAHVDLTGTATNTSKVPYGCWIREPGLFDPRF FNMSPREALQADPAQRLALLTAYEALEGAGFVPDSTPSTQRDRVGIFYGMTSDDYREV NSGQDIDTYFIPGGNRAFTPGRINYYFKFSGPSVSVDTACSSSLAAIHLACNSIWRND CDTAITGGVNILTNPDNHAGLDRGHFLSRTGNCNTFDDGADGYCRADGVGTVVLKRLE DALADNDPILGVINGAYTNHSAEAVSITRPHVGAQAFIFKKLLNEANVDPKNISYIEM HGTGTQAGDAVEMQSVLDVFAPDHRRGPGQSLHLGSAKSNIGHGESASGVTSLVKVLL MMKENMIPPHCGIKTKINHNFPTDLAQRNVHIALQPTAWNRPSFGKRQIFLNNFSAAG GNTALLLEDGPVSDPEGEDKRRTHVITLSARSQTALQNNIDALCQYISEQEKTFGVKD SNALPSLAYTTTARRIHHPFRVTAIGSSFQEMRDSLIASSRKEFVAVPAKTPGIGFLF TGQGAQYAAMGKQLYEDCSHFRSAIEHLDCISQGQDLPSILPLVDGSLPLSELSPVVV QLGTTCVQMALSSFWASLGITPSFVLGHSLGDFAAMNAAGVLSTSDTIYACGRRAQLL TERCQPGTHAMLAIKAPLVEVKQLLNEKVHDMACINSPSETVISGPKSSIDELSRACS EKGLKSTILTVPYAFHSAQVEPILEDLEKALQGITFNKPSVPFVSALLGEVITEAGSN ILNAEYLVRHCRETVNFLSAFEAVRNAKLGGDQTLWLEVGPHTVCSGMVKATLGPQTT TMASLRRDEDTWKVLSNSLSSLYLAGVDINWKQYHQDFSSSHRVLPLPTYKWDLKNYW IPYRNNFCLTKGSSMSAASASLQPTFLTTSAQRVVESRDDGLTATVVVHNDIADPDLN RVIQGHKVNGAALCPSSLYADSAQTLAEYLIEKYKPELKGSGLDVCNVTVPKPLIAKT GKEQFRISATANWVDKHVSVQVFSVTAEGKKLIDHAHCEVKLFDCMAADLEWKRGSYL VKRSIELLENSAVKGDAHRLRRGMVYKLFSALVDYDENYQSIREVILDSEHHEATALV KFQAPQANFHRNPYWIDSFGHLSGFIMNASDGTDSKSQVFVNHGWDSMRCLKKFSADV TYRTYVRMQPWRDSIWAGNVYIFEGDDIIAVFGGVKFQALSRKILDIALPPAGLSKAQ TSPIQSSAPQKPIETAKPTSRPAPPVTMKSFVKKSAGPSVVVRALNILASEVGLSESD MSDDLVFADYGVDSLLSLTVTGKYREELNLDMDSSVFIEHPTVGDFKRFVTQLSPSVA SDSSSTDRESEYSFNGDSCSGLSSPASPGTVSPPNEKVIQIHENGTMKEIRAIIADEI GVSADEIKSDENLNELGMDSLLSLTVLGKIRESLDMDLPGEFFIENQTLDQIETALDL KPKAVPTAVPQSQPITLPQSQSTKQLSTRPTSSSDNHPPATSILLQGNPRTASKTLFL FPDGSGSATSYATIPGVSPNVAVYGLNCPYMKAPEKLTCSLDSLTTPYLAEIRRRQPT GPYNLGGWSAGGICAYDAARKLVLQQGEIVETLLLLDTPFPIGLEKLPPRLYSFFNSI GLFGEGKAAPPAWLLPHFLAFIDSLDAYKAVPLPFNEQEWKGKLPKTYLVWAKDGVCP KPGDPWPEPAEDGSKDPREMVWLLSNRTDLGPNGWDTLVGKENIGGITVIHDANHFTM TKGEKAKELATFMKNALGVCERRLV ANIA_08210 MAAPTPQLPTRFPCWCRAIYSWGGEAKSDLGFIEGDLIECLNAG DGQWWMGRLRRDRRMVGLFPSNFVQLLGEDFVPVTRATSPMLPAAASPITNPTSAPKK QKTVFRKPFQAHKEALAPSGSGGSPSSTSLVGSTIPQTPPRDGSLSRRTKTGRTPTRA NRQQSSLSRPLSIARPPSRGVSPHPPPEPTPQQHDISSRSRSRPPSRAVSPRPQLPEV THSSPLVSPAGPTYAQTSHYPSHASSSRPQLPDFGRHSPLVSPSFPMYSHVQDTHSWS RSASPRPQLPEITHHSPLSPSVPMHNHSQLSHQSSREISPLQLTEREDSPPPPPPPPH RVAVQRQPSPGPAVPRQLDMNDRYVVFTRTPSPAGHSDANGQTPSPLRDAMEDVMTSL EDMGMPRDSRSPSPQPEFDNPWSPAAFDSLRESRHPQRNNRPLTSLGFEGEKEFYHSD AVHRNSVYTHDPFIEGPPQINNYVQRMESRLRQMQEQGRRGSEDIQPPVENSEEDDDM PPPPPPRHGSYHGRHNSIPAHLPSLRSRRSGHDLRNDMLNRSFTKSSNTTNSSSGVHS NATNQTSSTDRTSQSLMSGPSAGGFSATSAGSYARRGIAAGERPSTAVDAVRSRGFSD LTRMPRPESPMSGISYHSSHNTSRQGASSAIPWSTSATTPEEPNSVFGGLATPKAKKQ GFFKKILESAKTGAANARSSIAVGQSGGSFSPTKGRAISPIRSSHSHRDTAAREMGTG NNPMDWVQVRRDVNRASSPSRNERIERAERCQMMDHPVIYAVEELYETAEGEESIDGL PISEPTDFGNVNLTLVDKSARFVNSLPPMTNPLSLAQGYVCRPYKSDVQRLRAIFTWV SEKIAWDEPIEDADIDLKRVLQTKRGCAQEVAYLVREMCAAVGIHADAIEGFLKPPGE VFDLDSLSRPNHWWNAVLVDGDWRFMDCSLANPTNPIRNQFVTTNTTVAESWYFLARP LELCYTHVPLEPEGQHICPPISPDVLLALPTVCPTYFKMGLQFPDYDTSVFRIEGLEV LQVRILVPADVECAAEVEAPGFARDADGDFFESGEIVRKRALVQPDWVNGQKRITIKA VLPGDEGQGMVKVYAGRKGLMHSSRDIPHPLAFALPIIHTGENPPYEFVLRHPTPHAQ RHDLYIIQPQCAKLAVNNTFVFAVRQHPSSPTPQTSSNENSFSGRISPSVFSRPASAL SMVSSSAGGSTVSTVSNEFSASTSAISSTRSNSGREKPAKLAIQSPSGKILRLTRKAD HMISSPKTSDSPSECTADGSVWETVIKIGEGASPLQQQAFEFTPLLIPRDDIWHLALP RLASFEWTCTYLIYIEASKREMSPRLNLPDPFATIPRVSLLYPFSSPIHPLQSLSGLA SAPAPASASASASTTHSAPGSTRSQGARTEGIHVSLYAKREDHSSPLACAGNKYRKLE YIVPDILSSSPRYGAGIAADVPTPIHGKGKVTTLVTEGAIQSNHTVQVASVASRIGLE SVVLLHKGTGGGLASAKDKAAFLRTGNVQIVKLLGAEVRMFEKPVAPKTQDDIVEEVL NNLRAEGKVPYWIPSGASLHPLGGLGYARCAFEIAAQEKDILGSPNDRGSGGYGIKQP RFDYIFVACGSGSTVGGLIAGFKLLEKMENSQPHEQTRGERKRRVIGILTSPTMRKRY HEERILRFARGAGKLIGLNPQTDITMDDVVLDDRFAGERYGVLDAKTNDMLSLMAEKE EVILDPVYTAKVARGMMHWVAEGEIEKDLLASPATYRGQDGEQVNVLFIHTGGQSALG AYAST ANIA_08211 MVAPAAMGGPSIGTQPPASRQPARSSSTHPSHSHNVPLSTRRSA PLDLSTVERRGQPTAPREPTSRVRPHGLQEAPTFRPTEEEFRDPEAYIRKIAPEGKKY GICRIIPPENWQPTFAIDTEKFHFKTRRQELNSVEGGMPPRSALLAAGGTPQLSSNPD PGTRANLNYLDQLAKFHKQHGTNLNRFPSVDKRPLDLFRLKKAVEVRGGFEQVCKMKK WAEIGRDLGYSGKIMSSLSTSLKNSYQRWLQPYEEYLRVAKPGVQQQLEMEHGGPYTP SPNHSPMPKNPTPLEAETRSATPHGPSAASQSAPHDAAATPAKNSTPLVDPTPPRPIA SGFTPVNAGGFTAVNKSPSFIAVNSAPAMKRDPDHEIATPKSVSEYQKDSTPVSNGHG SVKRAISTESGSQADTGELDANGRRSKRLKKDTPLPTVAGSQMTLLRPAPSRSRKSDA RKFGDKCETCGKSEDRSSILVCDSCDQGFHRYCLDPPLHHIPEFDWHCPKCLVGTGEF GFEEGGVYSLKQFQEKANNFKKNYFASKMPFDPVLNTRRRESEDDVEREFWRLVESLT ETVEVEYGADIHSTTHGSGFPTVERNPLDPYSKDPWNLNNLPFHGESLFRHIKSDISG MTVPWVYVGMCFSTFCWHNEDHYAYSANYQHFGATKTWYGIPGADAEAFEEAMRQAVP ELFEGQPDLLFQLVTLMPPDQLRKAGVNVYALDQRAGQFVITFPQAYHAGFNHGFNFN EAVNFAPVDWEPFGAAGVERLQAFRRHPCFSHDELLFTAAARDTSISTAKWLAPALQR TCSRELAERASFAKRHQEATPHNCALLTDDSAPTGECQLGFLIEDKDLPEEDYQCHYC KAYIFLTQFKCHKSGKTLCLVHLDAHDCCGEPLSKKLLGPDHTLRYRVSDTELKSMVL KVQERSRIPEAWGQKLDNILEDDPKPQLKVLHNLLNEGEKIPYHLPGLQELAAFVQRC DKWVEEATNYITRKQQNRRKNEKAWRKTTSKASQLEERDREVRRVENIYALLAEADKL SFDCPQMAALEEKTREIEKFRLDVSAALANPHTRSIQEVEELVENSRNFNVDLPEVED LEHIVRQMKWNEDAGRRRGQYLTLKDCQELILAGEQLGLSEANEHLAHFKDLCRHGEA WEAKAKELMSVEAVHYQQLEALSAQANRVPVSPETLAAVDAILTKQREAQKRIQSLYE RSKDPDYKKRPLYKEVRELMESLEELNSRPTGAIDLEREQKRHEDWMRKGKKLFGKAN APLHILKSHMEYVEKRNFYCFDLEDRFRPPVEPASRDNSPDGQGGDVQQYYGQSTAKR DVFCICRHSEAGMMIECEICGEWYHGKCLKIARGKVKECDKYTCPICDWRQKIPRDAA RPKLEDLQEWQSEIPSLPFQPDEEQILERIINQATAFREFLQRYTNTACTTTEEVPTL VFYLRKIEGAEVLLAYETNLFRQEIHKWQPVAPEPPPILEQSLSTRKPRPTKQQKLMA RMGIQSPEDLPPHLRPKQTNPAKRKSIDTQASRPPTMQPASQTSGDNTSTDSRIGPTL APNDAQSAPYPFSANYPLPASDTASAFAPEASAFLPHVSADSASFPPRSPTPPHGLPS SLFSPPRYSRTATGGPSGIDIDHSNPFGSSPRNLDDVFADLTNQDADPEPEQDPEPME NTHANEALELLDVGNDRESSVPVPDVEVENRPQEVNGQPEPEVEATAAA ANIA_08212 MGTGYRTQALYIGSTPDPARRLAQHNGLCKGGARRTADEKRRPW EMVMVVEGFMSKIAALQFEWAWQHPAATRHLTADAPSKEQSKTHEGIEDDDAVKKPQQ KTKGLTDSRATGEREDDGKKKTKRKPPARRTRTSLKAHLEDLHLLLRSTYFKEWPLSL RFFAADVSQQWRWLCGRVNESIPTHIKMVADGNCADTSPQCDHSLGVGSVREIGVDYT PIRDYLEKATFLLDDMRGSYCKICKEQYVDNDWAVVCPEADCTSTTHLLCLSRTFLDA TEDPERLVPLTGKCPTCAQTVQWPLMMKELSIRTRGGRLLHNMLKKGGKRTRTIQKAQ DATAESDDNASAADIAVDASHEDSDDTDSLIDYWDRILGSDSESGASIHSQYGSKASG TEVNIEKNVFLDNGLLD ANIA_08213 MSVNGTHGGRGALIVVEGLDRAGKSSQCKFLHNILQEGGRPVKY IRFPDRTTPIGKLIDGYLRGQSHLDDHSIHLLFSANRWEVAQSIEADIANGTSVIIDR YSYSGAVYSAAKANPSLSLEWAWQPEVGLPKPDLCLFLSISPEEAAKRGGFGGERYEN EAMQTRVRELFNTIFDLQRATDIRIIDAGKSLAEVSQDILNVVTERIAGVDTASPLGK LTSIS ANIA_08214 MLNFSISRSSAAVLSPRLGRLTIAGRKPILTPHYIPLTSRGVVP HLAHDVMRDNTSIGSLYIGLEDFPTAPHESALRKFICAADDLLLVFGARREPPIASGP ANTDTSIAVLTSVGYAQLEANEYVGAIQRLSPDIVVGLADLLVGQKPGTKRRVKMVDR THAFTTHATDNLYGPQLSEHSRSTAAYFAPILPLENTQQQLYLEDLETDLRPSISGLA LYEPTSLSIVPESLGDLPRLLFSGPRTPHDLLREVELGADLLTIPFLADSSDAGMAFT FSFPAPSDTASASNPSTEPLPLADDLWSRSNTTNTGPLSESCACYACQSHHRAYIHHL LSAKEMLAWTLLQIHNYYTMDLFFANVRKSIERGTFEADVRTFQQTYVAEFPEITGQG PRLRGYKIPTSGPAQPRRNPPAYGRLNVAAEKFVESQSDLATPDTGAEGLEEHGFAEK A ANIA_08215 MEKITHKIAALPPGANYFSLEFFPPKTQMGFANLQARLERMAQA LRPLFVTVTWGAGGSTAARSLELAEICQRQLQLTTCLHLTCTNMSRALVDQALEEAKV LGIRNILALRGDPPRSEEYNMHGEDDSNKDFTFAVDLVRYIRKKYGDYFCVGVAAYPE GHPADSFQDIQDPKVDLPWLVEKTQAGADFIMTQLTYDIDAYTEFENLLRNHESGTFK TIPIIPGLMPIHSYKILTRVTKLSHVKIPPPILAKLDEVKHDDDAVKRLGVDILAELV DGMKKLPTPGLRGFHFYTLNLEKTVSFILERCKLIPDHDDDAEAVADGVSLLTVDAEI ANRRRRASSISSLPHNRVIVDKVSNGSSKDSVTHEATAASAGLPAGPPDRSTTLQISE GLGALGREATWDDFPNGRWGDARSPAFGEIDGYGPSLHVAPSVAHRIWGYPVSTDDIS KLFRRHVSGDLHMVPWSEGGAEENTSGLNAETETIRPELLALIDKKGWWTLASQPAVN GVRSDDPIFGWGPPGEGFVFQKPFVEFFCPASDFKTTLKPLLQKHGHEKLAWFATNAA GDFESSLPAETSDTEPVEMNPNNVNAVTWGVFRGKEIVTPTIIEEVSFRAWGDEAYRI WDEWRRIYPKSSATEKFLEKTKNDVWLVCVVGQDFGAGTEVGSKEEDDEKKWMWRLLA DC ANIA_08216 MTSEQTFIAIKPDGVQRGLVGPIISRFENRGFKLAAMKLTSPSR SLLEQHYSDLKEKPFFPGLVTYMLSGPIVAMVWEGKDVVKTGRTILGATNPLASAPGT IRGDFAIDVGRNVCHGSDSVESAKKEIGLWFTPEEIQNYKLNAFGWIYEKE ANIA_11054 MARSRLSSSWTLLVTLAILLGCFIVPGVTVKHENFKKCSQSGFC KRNRALADEVAAKGSSWSSPYELDPSNIQFKDGYLRGTILKTISPTEKVRLPLLISFL ESGVARVTVDEEKRMTGDIEIRHGSQARKERYNDAQSWVLVGGLDSSKSAILSPESED GYTKVLYGPNNAYQAVIRHSPFSVDFQRDGQTHVQFNNKGYMNMEHWRPRVDAPSESE QDVLGSQEDESTWWEETFGGNTDSKPRGPESVGLDISFPGYTHVFGIPEHADSLSLRE TRGGPGNHEEPYRLYNSDVFEYELNSPMTLYGAIPLMQAHRKDSTVGVFWLNAAETWI DIVKSKTDTHSHWFSEAGQLDVFVFLGPTPGEISKKYGELTGYTQLPQQFAIAYHQCR WNYVTDEDVKEVDRNFDKYQIPYDIIWLDIEYLDDRKYFTWDPLTFPDPISMEKQLDE SERKLVVIIDPHIKKQDKFEISKELNSKGLATLNKDGNVYEGWCWPGASNWIDCFNPA AIKWWVGLFKYDRFKGTLPNVFIWNDMNEPSVFNGPETTMPKDNLHHGNWEHRDVHNV NGITFVNATYQAMLERKKGEIRRPFILTRSFYAGAQRMSAMWTGDNQATWEHLAISLP MVLNNGISGFPFAGADVGGFFHNPSKELLTRWYQTGIWYPFFRAHAHIDTRRREPYLI QEPFRSIITQAIRLRYQLLPAWYTAFHEASVNGTPIVRPQFYVHPTDEAGFTIDDQIY LGSTGILAKPVVTEGATSVDIYIADDEKYYDYFDYTVYQGAGKRHSVPAPMEKVPVLM QGGHIIPRKDRPRRSSGLMKYDPYTLVVVLDKNGQAEGTLYVDDGETFDYQRGGHIHR RFHFQDSSLVSEDIATHGPQTAAYLKTMASVSVERIVVIDPPKELQDRSTVTVIEDGA KTSSSAQLEYHAQEGGKAPYAVVKNPRVGISKTWRIEF ANIA_11057 MYIVSQLLEYGAPIFIVTSPVTSYADQILSIHRNRSSAGFSLDI PLIMLVASILKVFFWVRRLLLSRSPGAGHLDDWSPSHTAKSCPGQSTGARAKKRNRAY SILVVPGLFHRCPFLHPHLPDAHLELPHLHQLPGILGTGC ANIA_11058 MASGQTIRIGYVPEHYLAPLHLAFRNPSVSSLPFKIALTPFPSG TGHMITSLRSGEIDVAIGLTEGWIAGLAGKQAPLDEKERGYKLVGQWVDTPLRWAIVT GRNRDELTGVEELEGSRVGVSRLGSGSHIMSFVLAQQRGWKPTSLTPVVTGPFGALRD GVTGNNLEAKKPTSEFFMWEHFTTKPYFHSPESPLKKIGEIFTPWPSWMVVASTSVFP TPETDERLKQLFGLLDEGIKEFRSELGRVIGLLGTGELGCTYGEEDAGEWIKDVRFVE GVRGVDESVVKGVVDVLKVAGVIDEGLEDGEALKRVVGIRR ANIA_11059 MAFFRRIFGAVAGFAVLQSVYAGLDLSSNSTVVVYWGKLDILWS LDNMHGVSVCAEKGYCADSNIDVIVLAFLMTINGPGGAPEIDFSISSQGCTTFNGTNL KNCPEIGEDITKCQAAGKTILLSIGGATYSEGGFDSATAANAGADLLWATFGPDQNDT KIHRPFGSAVIDGFDFDFEAAVTNTGVFATRLRALADADTSKKYYLTAAPQCPYPDAA GKDILNTNSSAAIDAVFVQFYNNYCGVNAYTPARNTPAGARSKAGYKLRAREDRYGRP HRNSGSGNQAAASNFNFDVWDNWALTQSKNKNVRVFLGVPANTGAASTGYLPIASLEP VISYSKGFESFGGVMMWDVSQAYGNPGFLDGVAKALGKGLTAHAPVQESPQQQQQPAI DEAQPPSAQQAQDANESVDTSPLQQQQQNAGGEGQTPTQLGQDVNESLETSPPLQQQQ EAGDEGQAPAQQSQVAYESVDASPPLQSPPLQQQQNADDEGQTSAQQSQGGDAPVTTS PPLQQEGAIPAALQETTEAGEQQLNQDQADDQDRPLNLLPSIFDPDDDLDWIQI ANIA_08218 MLLSRLLPLALSLAGTTLSAVIPETDYDVIVVGGGPAGLSVLSG LARVRRKAVVFDSGHYRNDPTREMHDVIGNDGTPPAVFRAAARSQISNYSTASFIDNE ITSIVPVNNTNLTTFFRATDSTGAEYTARKVVLATGVVDILPSTPGLIEGFGKGIFWC PWCDGYEHRDQPFGILGTLPHIVSSVLEISTLNSDIIAFVNGSYTDEYVSALEEDYPD WQAQMAAYNVSIDNRTITSFERMQDGGENRNDVGQQFDIFRVHFTEGEPVVRNAFLTN FETAQRSSLPYDLGLVMKDGKIDTSINPGMRTSRAGVFAVGDANSDGSTNVPHAMFSG KRAAVFGHVELAREEMAAAISKRSLSTRALHKEAERAMGNELETLWEKVNA ANIA_08219 MLTGDALAIAKETCKMLALGTKVYNSDKLIHGGLSGAMAGDLVE KADGFAEVFPEHKYQVVQMLQERGHLTAMTGDGVNDAPSLKKADCGIAVEGASEAAQS AADIVFLEPGLSTIIDSIKMARQIFQRMKAYIQYRIALCLHLEIYLVTSMIIINESIR VELVVFLALFADLATVAVAYDNASSVDG ANIA_08220 MYAHSTTRSTRNSSTPYCINTWLFNEYGMDPFPHNEVMSGPLRH PLPVKVPASRSPLWLTHMRYFASVGFPDVLELGLCVVSLGNSSLVYEAGVFKDHDEQV KILKGSLLRPFLWNGWYFGGIFTSALEQSASI ANIA_08221 MASGIEVAGLTLSILPLLIDQINGYVRGIEKNTAFRSYRRELKG CSVGLSTQQTILLNTLKQALEGVVDDVDQIQPTQTLNIRKSLKLVSRAVYNDLLYKIG ETNTILQTLIDQSAHREDTRKSRRPWSYLLKRYRKALKHAEGLFMAIIRGNCWRCRCK EQHCVHLQLQTNPLQSTDEYFHCKVDDNSQFRIAFSNTDSAEAKVQFDIPVVGEARPS KLNNEALSAPLIQDFCSYLCAAEPHIRQRESMGSISNELGNFVKCKMHTVKMLPKPVT EKPLSEVLSQISRRDRLYVAAGLACGVTQFCGNWLKPWWNSLDVHLAAVGDGTNVLLD NLYLSWAVSAMETNQGPRGDDMCSGFGNNQLLALGLALVELPLGTSLQQLLALQEENQ DTLVAKLKSASWLVKLVYMESGTNYADAVDSCLYWSALCVERSFEEPVIYSIISFLRC ANIA_08222 MDLVLTQRPGIRNHSLSLDIQVTPPGNQNQSSASTFASYATGEN IEGVISITSQRDLGFDYLHIAFVGEESTTIPSCTPDKAQHRFLKLVQYIDDSSLPSPK VFKAGRKYEFSFSFEVPDYLPSSSCRHSANPLVKAAHLHPPPSCGDASIAGFGGKLRD DFAPAACKVIYSINAKLERLDPTFGALQMIEEKRLKVRIKPAVGDVPLPDLLPMDGLS NEYSLNHEQAIHCGSSKSKSPVIGRLAITLEQPDCFYHPLRDPISLISKAVRLFLVYS PSSSDSTIPPPQLKSLRAHITATTIYTTKLDSTAHPVPRKRDFFNRPVNFRDAELPLS SIPSSPQLRWTKDQTGSYTGTLLVPVTLPKDKSFIPTFHSCLITRVYSLGFQLTVQGA SEPFRLKAPMQIAAERDPAVLPSYNASLGIVEMG ANIA_08223 MSRIVTEIVNIPFTQETDVDSVIPKTVAVISKQEGFRRLKWGRW EEDQTKVQMMINWDDISFHQKFIDSPHYPDLLGLLEGLVTGPPSIIHVHFDENSINRI VDGPVAELTTFYAIGEGFEETVEELLSVEKESEGCLGYVRGDVVEEIAVSEGEAKGKG HYVATSWTSLQARLDAAKRDKVKDGFSVVASKVGGYEVHDVKFQ ANIA_08224 MSQFQLTLATKANQAALLPILLVATSVNEARPAPVITINYEDAT VLPRGDKEIVEFTAANGTLVYGSAAFKELLLSFPYLNSKEEKLENEWLAQLEDFASLD FKAIEPKLQQLNNHLILRSFVVGYALSTADIALWGALRGNRVAIGAIRKGTLINLTRW FNFLEELCPWAVAALEALNASAKEKRAAKAEGRGRHPFPSGAFWIPPHRTRQGRASER LLRPREEFQDAILEDLALMGIKPDKTSYSSDYFDELQQYAVQIIKDGNAYADDTDKET MASQRMNGEPSKRRDATVEENLARFEEMTKGTEEGARWCIRAKMSVDNPNKAMRDPVI YRCNPAPHHRTGTKYKAYPTYDFCCPIIDSLEGVTHALRTIEYRDRNPQYQWFLDTLK LRNVQIWDFARMNFVRTLLSKRKLTKLVEQGVVDGWSDPRFPTIRGIRRRGMTIPALR EFILKQGPSRNIVNLDWTSFWATNKKYIDPVAPRHTAVLKKDAVKATIKGGPDSPYTQ DKPKHNKNPDVGTKKVTYSSSILFDQEDAKSFKQDEEITLMNWGNAFVRKIVTDASGV VTELELDLNEKGDFKKTEKKVTWLSTDQDLVPVDLVDFDYLLNKDSMQEEDSLEDVLN PKTEFHEDAVADSNVALLAEGDIIQFERKGYYRLDKVASPGKPAVFFNIPSGKTGK ANIA_08225 MSSFSALMPTGARALLSICRPSIRQGSMAAPLFFGYQQAQARGA ATARNKQAAERRKRDKAAKSAKSKKGPREFKQKDLKDVEQFALCDAMRYLRAFEVGRE PAVSKYEVHIRLKTRRDGPVIRNMIRFPHSVQTESRICVICPPGSKHAKDALAAGAVL VGEDEVFEVVKSGRIEFDRCLAHPDSMPALAKAGLGRILGPRGLMPSAKTGTVVEDVA ARVEMLRGGTVYRERDAVVRLPIGQLGFSPEQLRDNLRVTIDQVKKDAASLNDRITKE IYEVVLSSTHGPGFSLNGEFKTENSVDPALLTGP ANIA_08226 MAAKMNKFRFGDSDESASDDDTSSLPFPEPLSRSSFLAPDFDPA TYLSSLTNRHQSLEDLRQELRNLDQLLSRELLDLVNENYQDFLSLGSALEGGEEKVEQ VRVGLLAFQRDVQSIRDKVEARQREVAGLLNEKKRLRANANIGCALLEFADRIEELEK RLMIGEQTPQEKPAELSDTDSDFLESEDEDETEDEDRGDNAPPLVSLKRLERHLQKHL KKRSKRERSGTPKRWPFCVYTNSWGRIRVLCPP ANIA_08227 MPASSRLNQEHDRCPDKADYAYPMHASLSDTASARAACVSLCNV LDCPYVSVSVFVARHNWPPPLMEMDRTPSGRLSSHFDAMRRPLSSSSPRQRSQQHATA PSSSSLSSPETAGFLRQANTSPNPCRYESLDETACAYRISALRHLHGNSAPKPLSWKT RQAAAYNPSSSKSSSLASQPVLVRSYSGDPNDNSDPSKMPARRSFLFSRAPNNQQRRE PEPELPAGEEFSIDGILRAIEPNIRSTLDSIGEICGRSKLSLANEYGSHIAPLGEIRA PPGGLVTVEEASSVHEEHSGDNVVIYDDDNPVDGRDHTPFSNYTFFDALLPPITAPSQ PVPTNDHLDHQSTGQLPTKREFASKPKAGGRALLGIAERDNDRVQNILTPALVSEILL DAQANGRLKSDHLPGQLSQADLSSQWTDCGKSSDVQSLFRWLKQAAWSDGCSIEQQSA ESRLRATLERQSEPVSS ANIA_11060 MPLEGIKNIILILSGKGGVGKSSVTLQLALALTLQGKSVGVLDI DLTGPSIPRLVGLEGAKITQSSNGWVPVPVHEAEAQTASGNDAAVALSDDGGDENKDV ERAKPRGSLRCMSLGFLLRDRGDAVIWRGPKKTAMIRQFLTDVYWGDTDYLLVDTPPG TSDEHIALAEELLKLSTTSPSSTGVGALPRLTGAVLVTTPQAIATSDVRKEVNFCVKT NIPTLGVIENMSGYTCPCCGEVSNLFSSGGGEVMAREMGVRFLGKVPVDVQFGALVEG KSQEESDDEDEDRERNKVEEEKGQDFVDERPLVERYKECWSYGQFEGFAKTLIGEIEG ANIA_11055 MNPAEHDEAVSQFCAMTRARPDEAQEYLATNGWDLEAAVTEFFA EQDETAGSSEPTGQPSAKSSSSTPRESSSSRKQPPKKFATLGDLASGAADSSDDDDDE NQDFFAGGEKSGLAVQNPDDLKKKIIEKARRTQLPASDDSEPRRNYFTGPARTLGGED TPSRVIDTPSGPAQPQIPRRVRRTLHFWADGFSVDDGELYRSDDPQNAEILNSIRQGR APLSIMNAQHGQDVDVEIKQHDEKYVRPKPKYQPFAGKGQRLGSPTPGIRAPAPSEPA PAPQSSSGPPKPNVDESQPVVTLQIRLGDGTRLTSRFNTTHTIGDVYDFVSAASPQSQ ARPWVLLTTFPSKELTDKAAVLGDLPEFKRGGVVVQKWQ ANIA_08229 MLAGPGLKGRIARQQAAAFARSTRSISSFRPQISRFSPRNSKVL GGNTSWRAPVPFIALPTARFNSTSTTAPTEVTPETQPSSELDVSNIDLTQIPERIGYL KDLGLDYGWGPSAIVEFMIEHIHIYSGLPWVGSIIATGIFFRLAMAPLFWRAGDTSAR LANAQPILAPIKEKMLNAARSGNQVEAQKWRAEMAKTNANLGIVPRNTFMPLVFQLPI GFGCFRVIEGMAGLPVPGLAAEQFAWINDFTVADPTYILPILCCTVLHLSIRKGGETG SSMSGDMATIRKGMMYGIPAFSFFFVAFFPAALQAYFLTTGFLGLLQAYAFANATFRK ATGMTVIEKLNPVQPSGSNPAEPNRALRLITEALERENAKLNEAKKIAAEQPKISFID RAINNIKESKEKLTKETTQKMQELSGQGPKKNADGSLAEPPRLSEKDRKLAEDYERRR KEEEDWKREERNHARREAYRQAMERERQRAKAALNKSEVKQ ANIA_11061 MSQAAYLYTRIREALPWSGGDPNVKGDPRKSVKWIDGLRGIASF LVVLTHLARAWDYDLFAPRDSEDASPRLLQLPVFRIPWQGRIGVTIFAFLTGYVCALK PLKLSRNGNILGAFTAVGKSAFRRPPRLIMPATIAMIISWIMAQCRAFVVANRSDCWW CRYAAPDLEDSFGKEVIRLGKNFLSTWTTGYMAYDDHQWALLPLLMTSMLVYLLTCAT MFMRFRWRMFVYLIMALYFHQDNAKNTETFQLQGVYGMFLSDLSYEVSFQEFLEKWKW PRRVVAFFLFASGLFIAGYPGEHPEWSDWSNAMYKLAHYIFPPDVNIGKRYTAIGVDL IILAIYISPSVKEFLSNRLLLWLGGQSFAVYLVHGTLLRTVLCWMLYGITGQPFDPNV KQEGKDEPVWIPIRPPWVVAISIPLWICLVYFCATMWTKYVDPFCARMTQKMENHMFI QDEKPAQAETLPLTSMPMQTMPIQNA ANIA_11056 MSSPPPNPPNPNPLKRTSISSASTGHQSKRPRMHPLRQTSFPVD PDLRAFSATATSDAGSVTGSFTGSVGGASADGVFKRKRGRKSKAEKEREREREREQER ERQRDSEDMVSVARGGAASTAGGAGGNNGGEDGDEEDDFDDEGDLLGREDGGEREDVE GERKNLALLVDAFNPLQSERYDLFKRAKLRKETLRRIVNHALSQSVPASVVTTINGFT KVFAGEIIEKARTVQKEWAEAHDQAALARLVKEEAAADGTSDAAANNEAHPAVKQEPV DTNTDTNTVGAFRVSAPGGSARVKLPPNPHRGQLLPSHLREALRRYKRDGEGGGVGFS GLSLGNLGVRGSVTWSAGSVGGRRLFR ANIA_08231 MNGEHWYLYLTEPYTSLTPPATPTADSDDEVTQTKFIQLPDRSD LGMGPQDEASDETLEVLMTDLDEESAKQFYLDHATSVAEKRYSNFEKDDHVDVFSNGS DLEVDDVSSSQGSGILPAELTTEGHALGTVVSESCGLSDVYPKGKFPDSRIDAYLFTP CGFSANGVIPSPDGGKGTHYFTVHVTPEPHCSYASFETNVPHSRNGQTSAGIIQQVVN IFKPGRFTVTVFEAKPGVDGEWDADKEARYIERQAARRVSKGENVEGYKRVDRIVHDL HGYDLVFRYYERLDWKGGAPRLGEEVIA ANIA_08232 MSVWNPDNIRDVAESVGIVNLNNDVTENLARDVEYRIAQVLEEA LKFMRHSRRTLLTTQDIALALRVLDVEPLYGYETTRPLKFGEASLGPGQPLFYVEDEE VDFEKLINAPLPRVPREISFTAHWLAVEGVQPSIPQNPTAADSRNLELMSKGPNANAT LAAMSGNGNVAVKPLVKHVLSKELQLYFEKVCNAFLDESSEKYRTSGYASLREDPGLH QLVPYFVQFISEKVTHGLKDIFVLTQVMHMAEALVQNKSLYVDPYIASLVPPILTCLI GRQLGGTADLSEQFALRDLAAALLGLIATKYSHSSHTLKPRLARSCLKTLLDPSKPFG AHYGAIIGLHAVGGSEAVRVLIIPNLPIYGNLLKDGLADDSARRPEAEKVLGLLLGIL TALKEEKPVLTNGHGPGMVSEDIKRRLEGKLGAFVAEKVAELGDARVAHAILG ANIA_08233 MAEQAKLPDQAQPVPETQVPDNGKPEQQPTATESAPAPEPATTE PTTAATAPSAVDGTGETAPAAPEPAAAPVAAAAAAPAPEPTKSEPQPAVGEQSEPAKK DEPAKPEYFTKTPALEQFFDRLPTILSNTGHQEMWGVPLKHEVTDIPTINVLIKFLRA NAGDLKAAEDQLSKALTWRKENDPIALADASKNSYDASKFKGLGYLTTYQREGKGDLV VTWNIYGAVKKFDETFGDITEFIKWRAALMELAVQELKLDQATSVIDYDGEDPYQMIQ VHDYLNVSFLRMNPNVKAATKKTIDVFSTAYPELLREKFFVNVPAIMGWMFAVMKVFV NQNTARKFHPISNGANLAKEFPAGVAEKFPKAYGGSAPDLESSARTVALKEVKEEKKE EPKEGSKEEQKGEQKGE ANIA_08234 MAQNAEATPKRPKGILKNSSSQQLLHVAPNDPHHTPSPPPADFK ELTLQNTLVNAGRRPSASSRRTSLASAHGHHDDVSPRLKWDEANLYLTEQEKTAKMKI DEPKTPYAPRYDPTEDEEEMKLAEAQESLINAQGVVVDELDKNKKGSSSASHKKVSED DIPELELGEPEEEISQGTHLGAGDRITRARSLSSESGRSDRHVVVGADVSEANGDMRL SPEEAQEKHRQFEEQRKKHYEMRNIKELLAHHENLDEMDEEDDEGASSSAAPPPMPQI PQQYVNGGK ANIA_08235 MFDAQSNGLSSPLAFMSNLLPAALKTNRPCEPAPPGANATASMS HVQLSPRSSSSHITGESGDSDRSSWRYISTPISSEDETASSRKPVPMSVGERPKVSFE ELAGRYRRNQHKQARRRKLEQRLHATKVSIGVSARLLRLGAAVQRGLVDRLKHDDKAN FIALYHTMVDLQEPCSLVAQRYLCQPDDSEDWPSSREPAFDRSPDFFCQLSPQSQADL LDILQSVRTDPQFVFEKLCSLTHMQLSALISSSVSSWEVGGDSFPASSRSRIPSLSSK TVPASGIPFKDRVLAFERTDPLSTLLFNVYAASLDSDGPEAQLRLDMWSSVCAKLIAD GANRSYALVDHILTLWATGSDWKAKPKFELYLMDILQTGAFLLEHIEPPPGYDMDPID PLRTEVAEEYFASTVDALLELLDDSDAGLPRSILQLGKAIMQKLDRQDCRDRFLDFLF GQWFFPKFLYGALTYPEGHDLLLDFYIKKDARDKLLGQVGYQAYMQALAVLRPMHQYP MARPTVRQHFENMLNHVTRDGTSKATRISPGTPAAFPDQPAPSTAFLMLSNADVLTLL NALFPTAPSPAYTSQSPSSGLSLSPLGSQPDKHGVFTFEPGFYRGSVPFSPRSAFSTK NSLPTDVHFFSTQENNISSKADRIRFELSDLGEHDPRTHLEPPTAEEWTLFTVSRNDR RLAWGLFPDSQTNASESFPADDGSPSNLGTEDNFEALQTAIVKLILEHPADDRVESQL PRRSPQAHALSLKERFNSAMAYCHQKSDFIGAHYWWNAARLLRRSIANSSTQPVDDSW ILGPMHSACVHSLQTSSSVIERCEADFVAIDCHTRRLQSTVKDMMKTMARLRNKMWYM TDVRNSRRYEEAKHVALALKTMPYAHRYAQNDARSRNGARSFGGTLIQKPELQIMNVM KAPSSQAGPTKLADEQVELIRKWLAHNNIDNFCKGEERIHRFCYEVGTSINRLVGETM AETPVLWASELFHKERTKYEGSSNRGFFSLTSSLRSFSGAGDDSVHPASSFASTVLRP QETSRQEPPRLNLKPSFQSLDSDRWRSQAAGTDTSSILGDRAPSTTTGDSCSTFWSTP PPHAHYPPSASSLYSRPPSMLSDTAVQPPRRSDRKSNGKTVFLNDIRKTLTSLLLSDL GSPVWSCGTETDAWFSNVLDQKRIQTQMRKRTRIQRFYAECDERPARPSTPRVPSSRR SRSLDPFIRETRDHSSTETADVKSTSMEGNAPFSYRTVFRRLLDVFSRHGNPFVKLDA LRDLRSLVIASITTANDDQVSSPSATGSPYRRRMSVIHRKRNARSSFSEPRSCRPPEK DPLLTPTSPPAESIIFDSRPSDYSLPTEKQIVEALREIILDMKPKTLFRDLQFISAFV PIDTLNKTDSGTAFLQFGLAALSLKDEVCHSMVEIADEIVSQELTRRHPAHILDVHSR VGDPMKDAANMWIITAKEGHPVAQRELAILYLTHPELVPRVTFPLTLLRDTFKAEMMY RRDKDSKSDPHTMCLALHWMQLSANGGDELARNRLREREEFESIA ANIA_08236 MQVSVPDASSGSPSTPIPVPVLPPASASSVPPFSSTQPQTTVSK HLSSGQKRSAHELDAPNTSTPVIQVSATQGTSPIPVYTDNKENGTDISGLKDGPSTVN TASLAAPAHPNTGEGNTSIPINNMGQAQSQADAPSAAKKRKLSPAEREAKQQEKEAKE RQKLEEKARKDEERAKKEEEKRKREAEKEEERKKREEKRKARDEERAAREEEKRRKDE EKLKKERAQMKLNSFFVKPKVSSEQSSSGTVEGSPKKPSGDGVPARLSDRTRELSDYE REFPDFFLHPNTTLAPPHRFERDATALAHLREKLDSLLRSGSVEQLTFRPSEVFKLMP YKRRRGYQIMSVRDILLQMQNLNDLPETSEAARRLQESLKQVRMKSLKFGEDVRPPYQ GTYTKRLSKEAATKLMRNPFSRALPEVNYDYDSEAEWEDAEEGEELDSEEEEEGSEDG DEDMDGFLDDEDDHLANSKRRLLVGDLEPVCTGIKWQDEGSDPELEAYKLEIILPSVT FPIDPFCSSYWYKPPKASEPGLTNVNGLGPRPIWNHHTVNPVTRDPASMAVPSGKKVP INPKRAFPPDQLGEFKAVVEGSDLTKTGLIEVLKKRFPKVSKNVLKDTLDSVATRVGQ KEADKKWVCK ANIA_08237 MTDYRLPMHQIPSRKPVPSAHSGPQFQAYHHNAYQQQQYQPHQQ AQQLFPHPSVASLAHSRNRTTSSNVLPYAGHPQHHHPQQPYTTGPSPQHSMTVPYNPL ARRLSSATTSTTSTGNNGDVRRSTSSRSAGSQMSYVALLRRQKATVWCDRAQAEDPRL RQQKLVDKKRAYLEVHGAGAGRASTLGSGKGKHGNKVTELSPSALVGATVPVRLSANE VGDADDDARSDRDFPYRRTGSGRSSLGSGHRYPSGYQRNTASSGNTPPNEKTDLPGVS EDKAGEQKDTNIAKDDGATTNSRNSEQEDSFGTVGDMGAPNAATLAEQKAKKAADLRR RGSVDDRTTSMTNVRLFVANPDLSD ANIA_09522 MPTQLPLAGLRVVELAGLAPGPFAGLLLADYGASVLRIDRPKSI SADQLTRGKSSIILDLRDPASHKLLLELLSNADVLIDPYRPGVLERLGLSPKDVLLKR NPRLIVARMTGFRRDGKYKDMAGHDINYIAVSGVLSMLGRAGEKPYAPGNILGDFAGG GAVCFQGILLALLSRATTGRGQVVEANMVDGSAYLAAMPRLNMQTPLWSGPRGTNMLD GGSPFYDTYETKDTGKYFSVGALEPQFYAALIKGLGFQPDELPSREDRSNWPALRAAF TKRFKEKTRAEWEAVFDGTDACATPVLEQSELREAGFEQRPIVHLSDTPARPIAADDG AWAGGILKPGTEGRETLKTWLGWEQGREYGVRSDGALVQTDGKSKL ANIA_09521 MGFRDFITGVIEDKLEGGSSQAQAHPQPESSGYGPSYGSGSGYA APSGPPSAPQAPYPWVPRWDERSQRYYYLNEQTGETTWEIPAAASSYGGGGYGGGYGP PPQQQGYYGASAPQQGYYGERQYEEQQEQQQQQQSAGHGGAIAGAAAGAAVGVIGGAI AMHEAEELGDDIRHEEDKFEQGVEDFPTDAARWAGEAVGEVEQIPDKVENKVDNAVQD VEDFPENAAEWTGEKVGEIEQFGDNIENDFDNAVDNVEEFGDNMENAYDEGKEEAYED DY ANIA_08238 MAQTLIDPEGEVLIHNYKLRPSGQQRIFGVMVMDELKVVETPFG RVGLLECWEHFHPSMTFLKRAHAEAMHIGHSHACLTMEEQEQALGGRRGENAGCLFVG RAAAFYPSGLETAQISANASYTKHPYLLVSVNTTGFATIQPSVQREQSWAVLEQIWIG GLEDVPKVIGEFTKPVMNSVNDF ANIA_08239 MVTSASDTICAALDHGGGVRFGPQTFAIYSCAPLPPSIKQIRRN ATLHAQMSRLAARILKTLPVYVDLQDTDVAELASLPVPVSVPRDQPMPPIRANAINPN YLPWFLINAATFKKHCVVGRNSAVESFLIILQRPWCVLEICCCIEAQTIGAVLVLAKL AAQIDPNSYEAAVQLLSHEFRVRIEPLRNSANILTSGGTENMLYTSQCSEKAICDIYA AATLVSSYNSFWLPLVCCCAIDLHLSFSHVVRRIRDDAGGLNGGMNWIELHGCLVRRI GDWI ANIA_08240 MPRLAIEPWLRAMAVPLFAGLVEAKYPFSSEGPKATLRNESTVS FDPLGVAAVLHNPRAAASAAKLYVQFDNDVFHWPHMSMVGGTLPAIQMLVEYVTSGLP SKSLEAPIKMCAKYITMIPVRSDFVFRELPLDFSNGWLGGLISFRGGELNPGNDFMVI YIGDEEVAGDVHGRDHDHAIAKDRRNRLAEDPGLGWWMAARLSLYTLILVNGAMILAA MLIGILAADIWAFTLFFLYGSHWIASALITFLPMVHVSTPRIDQDTTPRYAAYERPEG GTVIFKGPKKNMEAWARTTWKYEPTTLQSTLHWSFMVTGALAAFSSIACMVNMHGYLQ LAFLAVLVYATVAEIIATKISRWLQTKAKGGVPHALLTDREKRTQSIIDATIAIQPIC RLEGFDWIGLGLLPNMPAFRALQPALARINAFQRKVETDGGLRSGIDEMKLREQMAAY YAEYQKSAIGIAEEGKEGETKMAARRGTEDLALRLSSQMENAVEQWIANMEKENTI ANIA_08241 MAPKLFTFVSALSGLASLASAFHAEAKSNIAVYYGQGVNQPRLA EFCAETSYDIINIGFINSFPEQNPLTGLPGSDFGNQCWADTFVVDGIASQLYSHCPNI AEDIPKCQAAGKKVFLSLGGATPTYWFDTIDASTKLADFLWGAFGPVTDAWTVADKPR PFGNAVVDGFDFDIEFFGSKGYANMIKRFRRRFGEVPDQTFYISAAPQCSIPDEQLSV AIKNAVIDFVWVQFYNTPGCSARDFVLGTKNGFNYDSWVEVIKAGANPNAKLYVGLPA SGAAANLGYYLTPEEVKPLVKKYMDKYPETFGGVMLWEATQARNNQIDGVGYNEKIRE ILYDLDPNHPPPTTSPTPTPTPSTTTTSTTSTTSTTSATSTTSTTSTTSTTSTTPTTS TTSTTSTTTPTPSPSPSTASSSTTETVTPSPKPSPSESSTTSETSSLPSTSTPVVSET PSETKTPTSSSAPPLSSSSPVGGSSSTASSSTSTPSETPSASSTRAVSETSTHISTST SSGPETSLTGSSTSVPATSSSVPSSAISPSSTPVISETPRPPVTSSSSSTFVSSTSTS TDCSESSTAIGTHSSSSISETPSASTPAASPSTSPETTKTLTVFPTPGSSVSTGTTSA STLSSSVPATSGGHTETSTVSTSSANQTPSASTSKPLIPTNSASSTSTGSVTSTPSAP GVPSSSAGSDETATTSTTDSEPTSTSSGSVTAKPTTTEPATTTTIIVTSYTSICPTGF TTITTTITSTYCPGTASATATAIAPTTDVPGSGSGSSPAQPTITADIPEGWTTTVTVC TVCAATPTTVTLTLPPATTTEESTSAQPTGEVPSSDGSGSGEVSTTTVVVVPAPTGNA GDGVPAPGANVGEEYTAAPGSATTSKPLIGGGASGAHTAYPYASSTFHIIPSASAHVP VPSGSGSSPSGTQGGASPTFTGAGSRYDVVKGVPALVALALSLLAVL ANIA_08242 MSISSTSPPYPLHPSVKDLLDPEYVAFYNAHVIDKQQVHLQPVE TSRTSGILIPGGGPLVDVGKTVDITIKRRATEGPEILLRAFTPIGEAPEGGWPVMLYF HGGGWVLGNIDTENVVCTNLCSRGGCVVVTVDYRLAPENPWPAAVHDCWESFLWLLSD GPANLNINISKIATGGSSAGGNLAAIITHKALTLSPPVRFLAQLLSVPVMDNTATVSN NESYRRYEFVPALPAAKMLWYRNHYLPNEKDWSHPEASPLFYTGDWSALPRALIMVGE LDVLRSEGEQYAEKLKQAEVEVDLQVMKGMPHPFLAMDGVLKEGKRSITLMCDLLKEV FSS ANIA_11062 MASKTPVLTSKAPKPLPGIYSQAIKCNGMVYCSGAVAMDAETGK IIDGDVKAHTAQCIKNLSAILEEAGSDITKVVKVNVFLANMDDFTAMNEEYMKHWGDV KPVRTCVAVKTLPLNTDVEIECTAHL ANIA_11068 MPTMQYRAISPRRGGAWTKVVIFVSLYILLLQSLIEWVVVLYLY GNKQVDSKMAPSLIFALIASSFTMPLVILHSFLAWQYNKVLNYSSHKPMLHTACTYIL RLTTIVWLGASVAGLVVVSQQAYCLPDGNTGSFWNVGVSCALHRAVVIISVLSFITVC LYFCSRELCERPYDVSLLGVYSHQPSSRDGSIFSASTLYSEKGLKGDTLCVCRHPDIT YGQGPYITPSDDSGDSKSMPSIRQPAPIRPTSSLRFSPDPEAEAVFLSKTTVAPGTQS ELQPSISRTPSTATAHDTYQSQEQAIPELPDATLQSQSAHTRNQSSLSSLRRFLPRTL PVSVPLSSDPQIRALAEATTHIDHTKQELQKEEPISRQPDALETHTEPPALPSKEDTQ LQTTQDCEPSTSKSLPRSTTMNSAEAPEVISPASAPDHNSLTNRRSNTTRATSLPLNM NPNSNPHPSSWSTLLGPSQHSLPRTNSSTVHIPRRHGQNLSQDQFGAPHIPRYTQSQR FPGPRGHNRYSRQLRRNASDVQYQYQYRYQQQGFRRPRSSTFGNMSIASVPGRLDCIR ETGASIDELPMDNSRGPGKTQGY ANIA_08244 MADTTSHDTVGASTLAAPYFSPSSPSPSEHPPIDSPDRLPSPVS SPGARSPSGSPPSHREASLERAALELDARLAQYTVDFSQFPSTHADDGIDEQQEIEDE PDKLSAVGGPEDFTANLERYLLGEDDSINQDIDDEDKDQGQPQEESKRETEPLQPHDK PARPDSGQSNQPAVEDEAELGEYSEFGPPDDMSTPSHFLRRNVAPTKDTTHLEDIEEN PNDELSPAATPTVRKFKSQTNQDVKQADKDLRRQIAELTQAVKERDEQLERNRNRVIE AASAGEEVTHLRAELQRKTALLEDMQESHAERVIARRSSLNASDFRALQKQIADMQKD LNSRSSHADIDTERLETISLLRQQLSLTQEQLEKRNAVLDDTLASLKQVTASKEQQLH EKDAEIDRLRSQVDAQRAEIERLETDVAEAHREYRALEDQMVLLETKNRPLEEKNSTL EADLNRAQSQVTAQENALKAMAADLPTDTPGGTYSEILELIKDLGQPNTNRSTRPRDG SDQDHDLEQIYEELSKLRTESSERAATNKNLEAQLSRAHDQAAESQLLIQSIEAENNR LVRNTEELKSKLDKTFNELNRLRTEHSEAQETITRLQESQQAQAQAQIQQPSPPSTPH ANPNTTSLSALEANHKAQIANLKTAHATALSTLRSAHADSTRKLRAFLSAAEARETEL RSLLEQEKEIHRNDEEEKDAEIQRLNSVIASKDETAAAMDLRIAKSVEKREREWERRV ELLLKERERMGKALLWSWGEKEHPTKKAKGSISGSGKTAEVSSCETDKENVDDGRRHG QGQPYRYKYVKKT ANIA_11063 MPPIPPPSYPHRIVLYHQTLHPDRGPYVSMLPLLNNHTGTTHVI LAAFHLNAPNPNHITLNNDPPDNPMYDRLWAEVSILQESGIKVMGMLGGAAPGTFTCL DGDEAQFEQYYGPLLDMIRRHGLDGIDLDVEEPMSLAGIVRLIDRLKADLGERFIITL APVAAALLGIGNLSGFDYRLLEQQRAGSIAWYNTQFYNGWGPADDPRMYAAIVAQGWS PARIVYGLLTNPGNGSQGYVPQEVIGPVLATLVEQFPNFGGVMGWEYFNSMPGRLERP WEWAAQMSLNMGLNKVLRIARELLVSRSR ANIA_11069 MLTSSSSFLLRLATFLFLRWAPGHAFVPIIFTSLAVYSTSLYFS SKKSNNGTIKTLLTGVPSRQSWATHITILINLLCGLFTADFLLRGFILYPTAGLRFSR VGYITHNTAELLLREPDASLLPLSVSYKEAQNEDSDPTSWIHAATIDALDESTDYTTT VTFTNLSPSSSYHYALSNNQTGFFITSPDPDSSAANRLSFLTSSCMKPNFPYDPRRHP LRIPGLEKMTSAISSLPRLPSFMLFLGDFIYIDVPQRFGSSVDHYRSEYRRVYSSPSW TWSAANLPWIHTLDDHEIANDWKHGNSTPPYPAAIDPYVHYHASVNPPAPDVTTENTK HSYTMFTNGPAAFFLLDTRSYRSPDDETILGKAQLNALLSFLARPEPPHVRWKIVSSS VPFTRNWHAGTADTWGGFLSERRVVFDAMRAAQRALDIRVVLLSGDRHEFAATRFPTV PEYDPLVDSESQSPRIQNQEVLESGEDLVEFCTGPLSMFYVPVRTYYQSGPDDVPIKY LPDGNTKFGLVEIEDGVVDGVPSSVLTYSLYIDENMVWKYRLSVPLALTSEAEEPAAS ADAAAGTSAAGALLPPGEVLVDKTVDGWVDVIAKRVFGWDVMRVEHASKDWVV ANIA_08246 MVLQDLGRRINAAVNDLTRSPNLDEKMLILGVKAFEEMLKEICA ALLSADVNVRLVQSLRKSIKASVNFASLPAAVNKKRVIQKAVFDELVALVNPHAEPFR PKKGRSNVIMFVGLQGAGKTTTCTKLARHYQMRGFKTALVCADTFRAGAFDQLKQNAT KAKIPYYGSLTQTDPAVVAAEGVAKFKKERFEIIIVDTSGRHKQEEQLFTEMTQIQTA VTPDQTILVLDGTIGQAAEVQSSAFKATADFGAIIITKTDGHAAGGGAISAVAATHTP IIFLGTGEHMMDLERFEPKAFIQKLLGMGDMAGLVEHVQAVTKDSAAAKETYKHIAEG IYTLRDFRENITSIMKMGPLSKLSGMIPGLSNLTAGLDDEDGSMKLRRMIYIFDSMSA VELDSDGKIFDTQPSRMVRIAHGSGTSVREVEDLLSQHRMMAGMAKRVGGQKKQMQRA QNMLKGGNKDQQLAAMQKRMASMGGAGGMGGMPGMGDMAKMMQMLQGQGGGGGGGGGG GGLPGLGGMDLQSMMSQMSGLMGGMGGGGRGRGR ANIA_08247 MPLTTSQKKRKVLEGFQGKTGRPNKKFRKQREYHSSSDEADDEP ADFKAVDLADSDEETEEPARKQQKQTKSKEAKKPEPQEDSSSEDDESASNDDSDVDDD TDDDAHSDGSTPTGAIGKRTVPKRNDPTAFSTSISKILATKLPTSARADPVLSRSKTV TQITSQIAEEKLDNAARAKLRAEKKEELDRGRVRDVRGISTGQAGAVAEEEKRLRKIA QRGVVKLFNAVRAAQVRGEEAAKEERKKGTVGIGEREKAVNQVSKQGFLELINGKKGK PLNIEEA ANIA_08248 MSTRDGPNPLRPYYVPPSIGLGQDGAFSSPPNASSAQVFGGSAR DLLPDLDYSDYLESSPSVSGWVRDALDSAIRRYTNVLTAQPFDVAKTILQAYVVPDDS STGQFPKGDRYRSGRGSRIDSYDDIGSDEDEDDLSSDDESSYFTSAAPTVSSPSARRT PKPRHRITDRSGYIASSPSSKSASRHALTIKNPSSLMEVLSQLWTTSGPTSPWKATNA TFIYSLLLPTLNTFIRSLLSAIVGLPEDDITTSMASDILTSTSPMATLVLSFISSSLS AILLSPIDTARTYLILTPVTHGPRSLLRAIRQIPTANYTIPPHLIPITILHSSLPNLI TASTPLILKLYLSLDPVLHPSSWNLFSFIGSGLELAVRFPLETVLRRAQIATFTSPSI RQNCAGSVRSTASDSAAVAADVETIVPTPQTYRGVIGTMWSIVYEEGVQPSPEAQRAH ELLGKPVPHRKRQGQGLHGLYRGWRIGMWGVAGSLGLSLLTGGAAGADDGPMPSGGRF ANIA_08249 MATSYAVRTKNPLNLKNSHERSDDFPFSVRTTSLDGWRLTVENG HERLRWEYLKTGKERTAQPQDPASRFYLNLPTSIPGCARAKTPSAAVLNGALYHSQVQ VKELGCWAADLSCIFFVTPMLIIAWYITQAVIDEAYAVELVNFILGVQNADGGWPTYS GESTTLMGTILTYVALRLMGLPADEDHLVKARLYLLKMGGAVYLSGWAKFWLAMLGLY KWEGTDPYPVEMWLLPEWFPISPWKWYIIPRQVYLAMSYLSAKRFTMPTNPLLDEIRK EIFVQPYERIDFLAYRGVSLQCSRELRKPWPLILLNWILQNIWIPWLRPIWLAEEGQR RVWDIIKDSNDLTNSTGSVSVDAFLNMIAFYCKEGPDSKALRRIQTASLEYLWMGPRG MQVMSIHAGHTWETAFVLQAYAEGGLSKVPEIQAAIERAYKYLVEQQHVVDYPEDSQC HFFSRLGGWPFSTRYQGNVCSDCTGEALKSILMIERDSRFTRLTTEHQLQLAVDNLIM VQNASGGYSSFEPTRGSELLEYMNGTELFGKMMVEYDFTECTSSCITALALYHQRNPN YRTKAVCTAIDRGIKYILKQQRADGSWLSSWGIACTYGAFFALEALAIGGLNYQNSPA ARRGCDFIVKQQLADGGWGETIDAQYPGQEPIRRGVQLLISRQRENGEWRQERAVGSG IVTCELLYHSYIYSFPIRALAMYKAKYGDDAVID ANIA_08250 MSTAWTNSWIAIAVTTFLVAVFVLLYSAPQSEIDIPLVRDRGRK RFRTSTFVSYLFNANDILQEAYDTYLKHGKTCRVPDKSLGTMILIPNRYMKWMLSQPT GVLNSYEAIAEIVQARWTLGDTRYLSDDWHLNMLRDALRPLSKSGIVEFQEELERSME DVLGNDHVNWKDVNPTIATKRIILQATTRYLVGSPLCADEQFLRWILIFIEWITPVGE LLRLTPRGLRSVVGHIFSIPRFIVIQKLKAILRPQYDKRLSFIRSGQSPEDGPQDLLQ MMMRDLYERNSTDLNLHFITINVLVFVIAAVVQTYMLAPSVLYDILESNAEYNTISKL QEELVCVLGEPAQGQRWSQNEARQMAKLDSVLRESLRTNTLVSQTMPRKVMVDSLQTP DGITLPRGATVALLARAAQTDPDIYPNPNKFIPFRHAVPGGKQFAATGENFLAFGHAK HACPGRFLVSVELKMLVAHILRHYHIELPAEYGGKRPKAVWFTDLNLPPQHGVIRVKR CV ANIA_11598 MPDDANDPSSSNARYVVYQDVCYSYDSATSPEIIDLRGRLPQYP EFLGARNKRLIMSNVIPEGLDISRSSPIADLP ANIA_08251 MAAQPALAIAPSAAPLAPALVAKPTVSPSPGPGTPGSVTSKEWI IPPRPKPGRKPATDTPPTKRKAQNRAAQRAFRERRAARVSELEDQIKCIEDDHEIHVA TFKEQIANLSREVEQCRTEMGWWRDRCHALEKEVSVERAARETLVKELRSSLPEKNTS GTDAVPLPPRSSRSSRMELEKSSPVDRRSELGEEVPLGCNRCSTSHCQCIEDAFGMPP IEMNRAPEPKIKPEPEEMEIDFTTRFAAPHHEEDTAASPVASPPVDPCGFCQDGTPCI CAEMAAQEEERRRNSTFESNRLAPIQNISQFTPPPSDSDVRSDNVTLPPISQATAANP CANGPGTCAACLSDPRRTLFCKTLAASRSASGTPSGCCGGKGRDGGCCQSQSRTSAPR RSNTDRSATPLTLSCADAFTTLSRHPNFSRASDELASWLPKLHTLPNPRDVSQTTPAS RAAMEVEAASVMGVLRYFDRRFADK ANIA_11064 MLIQHFLPMSLVLPLVHSQPPIAFAQDVPQTPIASSEPLSVFAH FLVGVAGSMSPAEWEHNIIAAQEAHIDGFALNIAPQDDYTDQVLQTAYEAAERIGDFS LFISFDYESGGAWPVDRVISTINRYKGRPAQYLYKGKPLVSTFEGSKSSDDWPAIKQA TGCVFVPSWTSLSPSRLYTVHGTIDGAFSWDAWPVGAQEKDTSSDKAWMNALSGKPYM MAVSPWFYTNLPQWNKNWLWRGDDLWHYRWQQVIDLQPAMVQILSWNDYGEAHYIGPI YEPGIPEGASWFVKGCPHDAWREFLPHYIDAYRRRSAMFRERASNPATIVYWYRLNPS HSGSADGTTGNNPNMGQPGLDPGEVSQDRVFVSVLVTEPSQVHIQIGPAASRVLIAKE SGVNHYSVPFDGHSGPVRIAIVRHGREVKTATGPAITEECTDGKVNWNAFVGSS ANIA_11070 MAKKILSDIHHHESNLAYRQYAQLPETLHLNYQPPTATATPAAH TSPIPEAINPDDYSQAYCDFMTEHPTIFHAVDGFSKQLESKGYKYLSERELWTPQLKR GGKYYTTRNGSSLIAFSVGPEYKSGNGLAIIAGHIDALTAKLKPVSKLPNKAGYIQMG VAPYAGGLGKTWWDRDLSIGGKVLVRNASTGKVESKLVKLNWPIARIPTLAEHFGAPS QGPFNKETQMVPIIGVDNSDLFQSTTPAADEGIEPGTFASTQPPKLIKVISKELGITN YSSILSWELELYDSQPARIGGIDKDFIFAGRIDDKLCCYAAQEALMATSDHTSPSSIK MVGYFDDEEIGSLLRQGARSNFMSSVIERIAQSFATSYGPDLLAQTVAKSFLISSDVI HAVNPNFLNVYLENHAPRLNVGVSVSADSNGHMTTDSVSYGFIKRVAEKCGSQLQVFQ IRNDSRSGGTIGPMTSSRIGMRAIDVGIPQLSMHSIRATTGSRDPGLGVKLFKGFFDY FEEVDREFSDF ANIA_08253 MVENTTTKSVHTIVLDAGPILKNNPPLSTLLSRCEELVTTPSVV AEIRDPDARQRLETMYLPFLKQRTPSPKSFAVLSEFAKKTGDRAVLSRTDIEVLALAY ELECERNGGDWRLRSVPGQKRVNGKPPVKPVEQQGGQQPEGTATTDEIAEDPAVKEVT EDLKATTLETKEDESNTEHPRGAEPEAAEDNQAADLAVQDSQDEDEAGEVEDGAASES DGGEWITPSNLKKRQARDEVGDATAASEVKVMQVATMTTDFACQNVLLQMNLNLLSTA TLQRISHLKSFIKRCHACFSTTKDMNKQFCPRCGGDTLTRVSCTTDSSGQFKMHLKKN MQWNNRGNVFSVPKPVHGSASGKWKGGGGKGGWGTELIFAEDQKEYVRATAEQSRKLR RERDLMDEDYLPGILTGERNKQTGRIRVGAGRHVNSRKR ANIA_08254 MHLMYTLDQDGKRVYTLKKVTPTGEVTKSAHPARFSPDDKYSRH RVTLKKRYGLLLTQQADKEAAQL ANIA_08255 MTSQPSNIAPSAPDQAVPAKPRPPRRQRPDYRHIHRFPLPLPVH PLPPLILHNPLSVISVLLSYLTYFIAPPHDHVHSAYFDLNTSSVHVTDEKTVRALWEM GFFGKGSLSRSEPTWLEQEKTRRGLLGGVTSEEVTRQRRAERRELKLQRARSEKLIIE QRLQAEAAAREGRTLLDAQADLLSVSGVTNGAAITTEKFSVKKARESKFLEARQLAER DREAASKNVRFATVEGDTADRTVINLRDPSGELAITNEEHLQLSNEEAFFLVYGLGAL HIFDHDLKTVLSPTSLLRTLCHHSYSPPRDLSMDLKTDDPFLVSYVVYHHFRSLGWVV RSGVKFGVDYLLYYRGPVFSHAEFAVVVIPSYDHPYWSETKDRKAECARKQSRSWWWF HCVNRVQAQVKKTLVVCYVEVPPPTQMLDAASSSIDIGALLSRYSDDSWSIDIAKLQR DALGLQLFKYPDAIGNCSSPVSHDSITVPIPNDHIVTSAPPKVTGESPVHGGSIGLDT NHNVTEPLDTNQPSPERATQPSSTGQAAAKMGSAETIPSDTQVISQSVYDEIIRKNKE AGNEEPDSNLLDRNTLMTLQEGGSGNLDLLSGFDAAQLQAPNTDENDDQNSSKLGESS PLSYERNNFPESQRFLAKTPLATKQGQLETDSTASPLVSRNPLASDLESASGVMALSQ VFKATQAPSSPLVNGLQSDLLSDRPSPNIPIQNRPLAPSFSSPLNNIAATFPRDSSDT QLNYVTLRESQTSRNDMARGRMTRSADHIYSDGCSDGGFGKEPLYTERRKREGRTDEE NAAQFSMVSASARPPSDIEARKRRRATSLSRKTKRCDMEGNSTAAVQLEQEGISYDLQ AVGTSEEETEQEEELPRPTPQSQVPHPSTEEDKENCDDPPAIIPHTGSAHDRLSQALS LHEGLTGTHRMPAQTVSGGHTPVRNDDLNPGTVDVVRSSPISVVKDSQWSPERDDVKP ADRTIVGSPSDQGQTRFRGPQWQSVAAAGHGRGILSPADQPFAQELQICSQNAPSAIL RPEIADIARISNPSLEGSGGANANMHRLRKATNEPENGNMALIFGAREKSSSMPSCVA ETPVHRRQRASNDLPHFATIPETSPTRLDNGAWMSDGDNDAANQEDDDLPLPYPKAME DAHKPHQFMSQSSSPVKRLLNSKILSSPSGRQRRALTEIAADASPQVGATIDVNIDIM SVEDYEFRDAIAQSPIRPRKKRRSNDGRNIPASDPIIPVTPRAESHFTPPREDDEMVL ALPSQPANPTNQRQSTSLRRPKPSRRAGSIWDTEDSPKFRLSSKERSKLFARSQARER QPPPAPKPELQEAPQPTPVPSRAHVEITSTPIHEAPSSNLAIEESTGYIGQRPPPDNS ILVPNQVLAPWRGQKRAYYPAVCLGTPFGTSQDQYMVKFEDSAPVEVPKGAVKRLELR IGDAVKVDMPHIPKITHIIRGFAHKLSAEDAVNAVTDIYGHATLVVGPKQRKSLTNSG LVGPENVINVPVSRIYLDTILWNKIRDRPYTYTSGSEGLMSRLQTPPDRRIAQTPPST RLSRSLRPSDGLFSGMVFAVSYGDKSEAKYRVTKMILENDGRILDDGFNELFELPSYA PIATPTGATASQPTELDSHLRLKPGVENAGFACLIADKHSRRPKYMQALALNIPCLSD RWVEDCVAKGQVMDWEMYLLPAGESSYLNGATKSRILTPYPATRARLSKTISSRPNLL NGQSVLLITGRNGKVDEERRKAYIFLTYALGASKIKRVPDIQSARAALQKQLQDGQET SWDWVYIDDDDKAAKVLAAGSLPSKRRKNYRLTETISGDDLGLNSNVRVVGNEFVCQS LILGRLVNY ANIA_08256 MEKEGNVYVTRDHAKLSIPKKKVAPDLSIANPSFQFGDNHTMML PRLLTPLRSLGRAISCPSAQLTRLPQQSTPQLLPRTFSASTTPSILTNLPRFSLSLSQ VRYASHSAQGAANKHSRDPAGKRLGAKRTGGEYVVPGCIIFRQRGTKWWPGENCAMGR DHTIYATESGYVRYYLDPERHPDRKYIGVVFEKDGKLPTPRNAPTRRKLNRVAVPMMT QVEETQSDLTVVTGDNVGTVVGAVASVDAGAGTQLRPGYMWREANWQIGRAAEKAGIT ARPHKRKNRWLAWRKRQARAERAAQMKSLKNKKKSSKKAKR ANIA_08257 MWRSRLRIPVARRVQGPRASASSFARRRATPAPSSRGPRNSIRR PPPIRRSPAPSDTPNTGAPVENYDPAKNTLLSPVYLPEDPHGVLKESHPATGILANSG LVVQRQLELMNVMIGFEQANKHENEVLRFHRPFSWINSRIRVYDPVEATHSPYLPSNN LQPQSPGALAQAADPTNARISQLGLDQMRVIGEAQQQWAPLRRKYNLFTYHHSPNSAT DMGTQQIPLAQTGLSNAQQTQLTHALGANQDFGEYNQFAYVDEPFLSWDFSLRSANSR LIGSVNRDFVGFAREIFTDTGVYALRMDSAASKSPQELDQSASVTGMTLDQRAVMLAT AVSIDFDYFSRHSGSGGLGFFPMWFPGLGGDLSAGGAAGGAAGEAGAVGEAAAGTVGR GAAGGMAEGAAAGAIGAGTIAGYDAMSRGATAQGQQQASTASQPQSQPQPHMEQNSSG NAPTGPYGDEWTPEERNDSWSSEDHWSDNDGNEGGDGEDGNDWSDFF ANIA_08258 MSSMTQNRLFREYKTLSTNPPDGITAGPVSEDDMFHWEALIQGP EGTPYEGGVFAAELKFPKDYPLSPPTMKFVGGGVWHPNVYPNGTVCISILHPPGDDPN HYEHASERWSPIQSVEKILISVMSMLAEPNDESPANVEAAKMWRERRAEYERKVREEV RKGLGL ANIA_08259 MDSLLQPPFSPKQRVQKYLENTLTTVLTQLNISKPGEGEGDATI TLKRRITSPTTTVFRIDSSTGALQAVRAETTVTYSFPGKDGYEAWRFTAKGLVAGYYR VTTVRDEVIDARESTEDCLIPRVQDIADLDISDVKWILIIEKEAVFRRLVRNNFHNHT IVGKGILITGKGYPDLATRSFVRRIFQSTFPRSTLTQAPPFYILADGDPDGIAIMSTY KYGSMAHTRENERLNLPCLQWLGLRISEIIGGLESDADETLMSLTRRDRRKIGTMLKR SPIWAVDGPEAEWRVELQRMLILNVKAEIEILYDRAGGLEGWIDRHMKTQGQ ANIA_11065 MPDHIGRWKNGGHLSPDANGDLKTDYSRWRLLDDDGRQTWHYLE TDEENHEWPQSIADKYFLGLPTGLPQLPKAKTPMQCAENGLEFFSKLQLPPGNWACEY GGPMFLLPGLIITYYVTNTPIPPEYATEIIRYLFARQHPEDGGWGLHIEAHSSVFGTS MNYVVLRLLGVGEDDPRMIKARGLLHSFGGAVYGPHWSKFWLSILGVMDWDCVNPVPP ELWFVTVNIAALDVRANLNRLLPDWVPFAPWRWWIHMRQVFLPMSYLWSKKFTYPLTP LTKQLRHELYTQPYDSIDFASHRNSIHKADNYYPKTWLLNSLNSLLVNVWNPFFRTSA IVKRAEDWTWELIRMEDENTDYAGLGPVNNPMNMIACLVHDGPDSYSVRRHRERLHDY MWMKDEGMLMNGTNGVQVWDTAFITQAIVVAGFADDPKWRPMLTKALGFLDAHQLREN VPNQEKCYRQHRKGAWPFSNKTQGYTVSDCTAEGLRSTIQLQEMHNFPKMISEERLKD SVDCLLLMQNPSGGFTEYETTRGSPKIEWLNAAEVFGGIMIGYDYPECTTASVTALSL FSKFYPNYRAEEIKAAKDKAVKYIKRVQRADGSWYGSWGICFTYAAMFALESLASIGE TYETSNYARRGCEFLISKQKEDGGWGESYLSSEKHVYVQHEKSQVCQTAWACLALMEA GYPHKEPLQKAMKLIMSRQQPNGEWLQEAIEGVFNQSCMISYPNYKFYWPIRALGLYS RKFGNEELQ ANIA_11071 MESPPISNIQQRAWSHGTRLSLPPISHSFVLQFRIVYYLRPFTR FSSADYCTISSSRIRPHSQTYSIVTSFLIHNMNAASQGDAALAKSDFVSALQHYTRAL CELPRAPAYYIKRSIAYLRLKPADGGPNVKNALRDAEIAVILARERAKRDLICSAQMR RAIALYQMERYGDAAFLFGLLEEKVLGDKKEGGDAADKVKDVMNASKASGPMKGVAQE LQIWLMKINAKLGGLEDGDERMKVTVEEVPVGVKVPSDKELKKQWEAMKSGNISGENT SAGAGPVAGKKETEETSAEAVKEKAAPPVSAPPQTQDKIRHEWYQSNDSVVVTLYAKG VDKEKVDAEIKSDSVSVQFPLPSGADYAFNLDPLFASIDESASKVTTFSTKIELVLRK QVPGQKWGSLESSSTDIKSSTITPTAASSAPKSAPSYPTSSRHGTKDWDKLASTLTAK KSKKPRAKQDEKAKEKTEGEDEEDSDNEGSVDSEYGGDPVDGFFKKLYAGADEDTRRA MVKSFVESNGTSLSTNWGEVGKGKVEPYPAND ANIA_11067 MISEVFGALPVIILSAKHVYYCSMTAPAIRIPFTGPLPPPIIVP ASASSVSGAIDAFLNFLTAPPSPYLRGVDVGRNSQTVLLTGAGISVASGLSDYRGENG TYVTNKRYRPIYFHEFATRHESRKRYWARSFIGWPGLLKAKPNSTHWAIRDLGVKGYV SSVITQNVDSFHSIAHPEIPSIELHGYLRSVVCLSCRNQFPRSEFQKSLERLNPSWAE FLARMVDIGALDTDNPEEQRRRGLKLNPDGDVELPEAPYSTFRYPSCSTCLEKPPRLA DGTPARVEVEKDGAWLPTSEAGILKPAVIMFGENIEPPVKLAAEEAIDDAGRLLVLGT SLATYSAWRLVERAYKRGMPIGIINIGGVRNESVLLKEADKGSDFLARYTRCSLHSDA ILPSVASQLPSLSR ANIA_08261 MTSQRPTSSSSSLLDIVANLASPKSLLFNAHHTNPPPSLPSQRA PTMDKSQQPSSFQQLEKLGEGTYATVFKGRNRQTGELVALKEIHLDSEEGTPSTAIRE ISLMKELKHESIVSLYDVIHTENKLMLVFEYMDKDLKKYMDTRGDRGQLDQATIKSFM HQLMSGIAFCHDNRVLHRDLKPQNLLINKKGQLKLGDFGLARAFGIPVNTFSNEVVTL WYRAPDVLLGSRTYNTSIDIWSAGCIMAELYTGRPLFPGTTNEDQLQKIFRLMGTPSE RSWPGISQLPEYRANFHVYATQDLGLILPQIDPLGLDLLNRMLQLRPEMRIDAHGALQ HPWFHDLPQLQAQLQQQQMAGYGGMMPPQQAY ANIA_08262 MSLSAGQLFAISATERTCSTVSLFATSIIIVSFVSSQSFRKPIN RLVFYASFGNIMANVATLISQSGIWAGTDSNLCQIQAFLIQWFMPADALWTFAMAFNV YLTFFRKYNSDQLRRLEWKYVMLCYGLPFIPAFTYFFIRTESRGKVYGSAILWCWVAP SWDFLRIAVFYGPVWFVIFVTLAIYARIGELVWRRRRQLKEVGGLDTTLDVSIPDDPP FSKVTEIRIEREDAASYRPEAGPSTEQDPPFSCAQSIPRPYSVNVQAGSSQLELGAIR SEEPFDGPPTREYRSSSTALEVNTAAWAYTKYAMLFFVALLVTWVPSTINRVYAFIRP DASNFGLNYASSFVLPLQGFWNSLIYISISWRCFKPALSDLHNRLLWYTHLGAGHRRP SSGQDSTRRLTN ANIA_08263 MAPEPNNPLRDDESPSPPPQPPIAQTPGPRVTRLQEIYAQALAR TLRANSYSNFAACFPTPAKHVPASLENVWRQLNAKLEESAKAEFEEILAERNAIAQLN ELDRLVGEARARRDAAAAAGENGNGNGEEERVPYVFAPFLEELMRNSQC ANIA_08264 MPKPKSFLKETKLKKKSAQQQAPRTADEFLAVGVEQEEAGEKWR AGDAAKSLRFFMRAIATYDEGLQKHPTAFDLAYNKARVQYEITQHPRLAAQLSIPLVE ALSVALQSHREALVLEQDNADALFNTAQVLTSLAETVTDTKRPVEEDLNQAAKFLQEA IELFQRCLVLQELKYTEMQEQIRQMESGEVGRMEQVQESKQMQESPDVESQESEQEQW AAVVEPVTKDTLVDTAIAQLDALSTLCNLLAFDPGVGLKWAEEYSRDSLQKKLSTLVE GSNRHYEVNLSRARFNAALNEVLYRSGRIDVATYHGVVVSSFGDLDVSADPEGLCAKA DAFISFNTASAEQPPHDPEVLKKSLGLRWQALSTAIDMLTKASKLPDAENLPKIHLAR GDAEMSRWRLGFAPWEHSMAQQYGATLLRNAQTYYRGAAALARRDGGADEERDGTCKE AIAAAIEGQKEKLAQLKGSAPKELLQVAEDMVEEGWVSGPDMEALLS ANIA_08265 MLFHSLVTLATLLVLAVTARAAPHPPDSQPTGHPWPRPNHGLPP CNEVYRPCRCPPGTTFKNLTTFGIIGAPAIDVQRVLGDFPISVTGDGHVPGATRTFNF TVPNAGSYLFTEELVEWTTSLDGSFTQVYQQSPNLPVVEVPGGGGSFNGMWQSVTGQQ TVIANETAISWKNWRCDVGEPFPAAASHEIGIMRASEILDEMGLRTGVDIPVFSIFYE PEAQKALSQFAVYLG ANIA_08266 MKLSFLACCLAVFVTAKACETDEDCSLNGLCGRRWPWAKSGGKV CKCDPGWFGEDCGHLDLRPAIKNNGYNHSADAVDPNHLGPYGNSSWGGTILQDPHDPG LFHLVVSQFASGCGLSGWRPFSFIIRAESKTGPQGPYHYVDSISEPFRHNPEVIWSPA DEKYLLYSIGADDEEQPREKCSSISYKQWPNNISVSTAQDIRGPWTPFELVLASTEPH STNPSPWPLWTPEKPTSKIALAVEDIAIFFADDFKSAYELVHTQSWNTSEYSTTWTED SFLWRDKRGHWHALAHWMIDLVEHDGQKWPRVGTHVFARELAGPWHWNMHEAFDSTVT YTDGTVQTLKRRERAKIFFSDDGEMTPLYLVNGVQEMDETSRSSTFIQPIGEGWRDFE RRLGFR ANIA_08267 MARQPSLDSERPIMTQSNRASKRFSTVSGSPSIASELTTGSLPS GDPRYTEYNNLREGLNRLENKPLAKQRFVPSAEKSESLSKLALGAKVERALGRRMTSQ DAVMREKPALNEKAEETTS ANIA_08268 MADTQIPVNGTYHSQPGYPDSYNHAHTAVNSVSNFQPAQSSTPS NAPSNDQKNGISKDEVGWYFVEQYYTNMSRSPDKLHLFYSRRSQLVFGTEAESVPVAV GQKAIQEKFKQLDFQDCKVRVLNVDAQASFENILISVIGEISNKQEPSRKFVQTFVLA EQPNGYYVLNDVFRYLVDEEEVAEDAAISSDTVEEPELQAPAQTAAGPITETEESKVD TEEAAAKIDEKLEKAEEAESEAPAAETAPQTNGTEQPEPAAEVVETPAPAAEVVPEKP ASPEPSPAPPAEKEAPTKEPAPPAKAVPKTWASIASKTGAAAPVVPAIPVAPVKPAPA AAPASQPAAPAPAPASAAAAAPAAESGPSQPSSNDGSGWQTAGHDHKKSQARASDNQA VLGYIKNVTEKVNADLLKETLERYGKLTHFDVSRQKNCAFIEFADRAGYNAAVAANPH QIGTEQVKVEERRPRGSVYGGNTNYGPGRGGAGRGRGDRAGSQGRGGFQRDGRGGFAP RGRGGNANTKARNQGQAA ANIA_08269 MASETFEFQAEISQLLSLIINTVYSNKEIFLREIISNASDALDK IRYESLSDPSKLDSGKDLRIDIIPDAENKTLTIRDTGIGMTKADLINNLGTIARSGTK QFMEALSAGADISMIGQFGVGFYSAYLVADRVTVVSKHNDDEQYIWESSAGGTFTLTQ DTEGEPLGRGTKMIFHLKDEQTEYLQESRIKEVVRKHSEFISYPIYLHVLKETEKEVP DEEAETKEEEGDEKKPKIEEVDDEEEKKEKKTKTIKESKIEEEELNKTKPIWTRNPAD ITEEEYASFYKSLSNDWEDHLAVKHFSVEGQLEFRAILYVPKRAPFDLFETKKTKNNI KLYVRRVFITDDATDLIPEWLGFVKGVVDSEDLPLNLSRETLQQNKIMKVIKKNIVKK TLELFNEIAEDREQFDKFYSAFSKNIKLGIHEDAQNRNTLAKLLRYQSTKSGDETTSL TDYVTRMKEHQKQIYYITGESIKAVAKSPFLDTLKQKDFEVLFLVDPIDEYAFTQLKE FDGKKLVDITKDFELEETDEEKAEREKEEKEFENLAKSLKNILGDKVEKVVVSHKLIG SPCAIRTGQFGWSANMERIMKAQALRDTSMSSYMSSKKTFEISPKSPIIKELKKKVEA DGESDRTVKSITQLLYETSLLVSGFTIEEPASFAERIHKLVSLGLNIDEEAEAEPAST EEAPAAATTGESAMEEVD ANIA_08270 MRPPVPEIIPPRSRKSSLEHQQWIDPRSTTPSTFFLARSQRSDE REHSPAESEGLRDSMYGIQSFDGTTSTASSVAVTLPDLDASSISKPVSSSASPAPPPQ WNNNLEEADDASSNARQSPTLKDLDSIQIPRLEASFHPSNLTSPRPLTPLNYLNSEDQ SSLPSSPKSITNQSTRHFDEISITDDLSSQAVASGDEEEDPRPPLNPNSDSTSQLIMP SITIPSRRPFTEKGKALGRMKLLLAGAADLEDSRVLRRRKSSGEVVLERNICFVDVQG HRLSQAGQIDTVIKYISQQLSRAVSALESTNADFQNMLAGNGGSQVDAVLYLISHDKL HSDVECISKLSTWTNVIPLIAKSDLLTRHQIINLRNSFNEKAQAASLRTFHLNASDID EQPFAVSSAKSNDDSIMDASTLMNPDYMQPLVASDLTALVQSLFDRETMAWIRHSAAK KLAQRQRDILSRRRNPLQDEALSFASSAYGIEPSYAMARVSDHSRHEEKRARIQLAKW ASDLQQSLQNERERYAALARNERAVWLTERLGECVVDGSLVPVTQTPGFCGLHMPSDK PGRSLLVQTRSGQRVEYHIANVSSLDPLGVVRWLDDLKQRGWAIVQIVGSFGVVGGLA LWLAKTWGLSSRSFSEWRFDWYCTSD ANIA_08271 MEQDPSMSWSDMPPPGEDDFSNFLEFGFPDLEGQHGPTDHFPSR SVAQAAAMATSTAPPQQQQVVCMNSDGVSDQSQSYNRMPGDFPVELPGQGQQGMLHNS SYSNGPMTPAFYAQKPQMFHSSQQQQPVQPQSSQPYNPQGQMMIPPTPNSIELHGNAA PYPQRVGDNSEMYDRYTRMMEEQALYTPLVSPAMTPLESQFRQPEYTIPGEYFSPLSS PAIEAQHPNPNGFPIHSGPLTEVNFVHSPVDNAFPLSSAPPSPGLARKYKRRSSAASK TFQSRAKKQQSPSVRPLARTRSRLTDEVMTTLSEGQINPTPNPPQNGSRSHFGSAESS GQDSVSPEPLSEPLMPPPALPPSRKSPAIAPQARQQQNPSEPATPAMLMRMQRSPLAR SAPDHNLTPTQRPMNQVQDEVMEDVILPEAANPSAQLFRPQVARIDTAMPTSTSSQGV SLNATAHSAPHQVSLSEKPPASIAPSPRTAAMPSPSGPVPKKSDTPKLGPVSRKRQSL SSSHPSPSLRPKISPNIQPLVAGDTSAAYLAGIKSNYQQILEGTLLPGVSYPETLAEN LSSKRTNHKLAEQGRRNRINTALKEIETLIPAAYVQMRLHKEAATCNGKGEKEKERTG NQPISKASTVEMAIDYIKSLKAELDATKVKLAAAEAKLGTSETAKEAHGSNGQQNEEK AVDEINTTDRTSDPNNAQSSTAGES ANIA_08272 MASQYAAELCMLLVEDNFGELFGRIFSTLHRYERLTLPRLLGLT RLSENRLRAALVAMIQHHLVHFYTAVEEGGVTYYSANMQAAYYLIRSGKILEFVEDRL GKYAATVMSTIMYLGHAQVSHLDTLPELRSDASKTNGVNEGEHDRPEGENETNGTNGE HVSDQPALLHPTLKALAGHGYIVRVREAHFQSIADNILNIERQIRSGDSVQSMKGKKL EEFVVEKTADMLKERLDGDLTRGLIVNGVPRGVKRSQTNGVSDDNETEKARFDYDDGE DEDEENEWLDDEVPMESSLTVRVNYEKLDVALRNRRFLELAERGAPPESAQIYDYLLR RIEYQTSKCRDASEIPREGEEGEQYSVPIPLSALARDIDPYLDLAGSLGPTNTPANNR LGKRTFDDTVDDNDDDHGATGNRTYEIDQHLSLLAQPPLNLTSKRLNGGIINWTVEFR HLARKLRHLELERIVEARYGDVALRVLRVLQAKGKLDEKRLQEISLLPFKDLRQVLAS MQTGGFVDLQEVPRDAQRQPSRTIYLWYYDPDRARDSMLQDTYKAMSRCLQRLKFERG RLKDFLEKTERSDVKGNEELYLSEGELDRLREWRAKEALLIGEVARLDDMIAVMRDY ANIA_08273 MMLSRSAISRNAPRALQNAASRRGMASAATPGLQYDVSEAAGVK IANREPAGVTGTLALVAKAGTRYQPFPAFSDALELFAFQSTLKRSALRITREVELLGG EFSSTHSRENVVLKTKFLANDLPYFVELLAEVASQTKYPSYELNEIIAKLLKLRQVAI ANDPEAVAVDAVHGVAFHQGLGETITPSAHAPYEKNLSAEAIAEFAKNAYAKSNIALV GSGVSSAELSKWVGDFFKGLPNAGTTGRYQVKSDSASKYYGGEQRISTKAGNSVVIAF PGTSAFGTSSYKPAASVLAALLGGESSIKWSPGFSLLGQATQGFSQLRVSTKNHAYSD AGLLTVTLSGKADQVASAGKTVVDALKKAAAGEVPADDIKKATAFAKFQALESAQTLA TGLEATGSALINGSKPYQIGEVAQSVDSVTEAQVKDLAKSLLSGKASVASVGDLSQLP YAADLGLTV ANIA_08274 MSTATEELGTAPKLEKKPVKFSNLLLGAGLNLFEVTTLGQPLEV IKTTMAANRGDSFAGAMGRIWGRGGILGYYQGLIPWAWIEASTKGAVLLFVASEAEFR AKVLGAPDFLAGISGGMAGGIAQAYATMGFCTCMKTVEITKHKMAAQGVKPPSTFATF MDIYRKEGIRGINRGVNAVAIRQTTNWGSRFGLSRLAEQALRKVTGKDESQKLSALEK VIASGLGGGLSAWNQPIEVIRVEMQSKTEDPNRPKNLTVGKAFKYIYDTNGIKGLYRG VTPRVGLGIWQTVCMVALGDMAKEAVEKLTGDKVTAKH ANIA_08275 MASTLRLSTSALRSSTLAGKPVVQSVAFNGLRCYSTGKTKSLKE TFADKLPGELEKVKKLRKEHGNKVIGELTLDQAYGGARGVKCLVWEGSVLDSEEGIRF RGLTIPECQKLLPKAPGGEEPLPEGLFWLLLTGEVPSEQQVRDLSAEWAARSDLPKFI EELIDRCPSTLHPMAQFSLAVTALEHESAFAKAYAKGINKKEYWHYTFEDSMDLIAKL PTIAAKIYRNVFKDGKVAPIQKDKDYSYNLANQLGFADNKDFVELMRLYLTIHSDHEG GNVSAHTTHLVGSALSSPMLSLAAGLNGLAGPLHGLANQEVLNWLTEMKKVVGNDLSD QSIKDYLWSTLNAGRVVPGYGHAVLRKTDPRYTSQREFALRKLPDDPMFKLVSQVYKI APGVLTEHGKTKNPYPNVDAHSGVLLQYYGLTEANYYTVLFGVSRALGVLPQLIIDRA FGAPIERPKSFSTEAYAKLVGAKL ANIA_08276 MSSAVAELDNYLQSMLALKAPGVSGSKINSITSLCTANVQNESV LIQKIYTHFKKAPGTHKLGVLYVVDSVTRQWLDAARKAGQPSGSAAPDGTFAAGVNRV TELLPVLMTDIINNAPEDQKEKIKKLVDIWERGYTFPAPMLASFKQKLNAPASNNVES TTPEGSPAPNQALFGGTQQQSSVGANGAASATPAQSAPDTSSILKALADMAKQNTAAP AAPAAAAPVNPLSALSQQATVPQPASSSVDQALQSQVSSAGVNPYAAVANPFAALGNL AQNPALVQPQSQSHTPTPLTVPQNPLAALLPQATAPPAQPPTMTPDALQQQLQLLQML AAQGIPQEQWATALQILTLSNPAAMSNLNPGQAPGFNLPGQNSNAWGGLPEQPRDFGD RERDRDYMRSPPGGYRRRSRSPGWDRRRDVSPPRRRDSPVYGEYHGDSPGRRGADPRG RRGNDYRQRSPVGRRRRSPSPARKDPTLPPPGPKFIEWDYSIGQGNIKVLSRTLFVGG VTSSEAHLRSLFSKYGVVQTCIVNVDKRHAFIKMISRQDAINAREGMESYKTGDMQLR TRWGVGFGPRDCSDYQTGISVIPIERLTEADRKWMLTAEYGGTGGRPIESGMIVEEPD IEIGAGVSSKAISRRIATDTGGKRGPVSTRVQHDRPPRRERDGPQMGDTSNANNVGVP PAVPGFGFSFPGMPLFPPGFMMGGAQPSNPSQPPPPGQ ANIA_08277 MSDPSPKRFETLQLHAGQEPDPATNSRAVPIYATTSYTFNDSAH GARLFGLKEFGNIYSRIMNPTVDVFEKRIAALEGGVAAVAASSGQAAQFMAISALAHA GDNIVSTSNLYGGTYNQFKVLFPRLGITTKFVQGDKAEDIAAAIDDRTKAVYVETIGN PRYNVPDFEVIAKVAHEKGIPLVVDNTFGAGGYFVRPIEHGADIVVHSATKWIGGHGT TIGGVVVDSGKFDWGKNAARFPQFTQPSEGYHGLNFWETFGPIAFAIRVRVEILRDLG SALNPFAAQQLILGLETLSLRAERHASNALALANWLKKNDHVSWVSYVGLEEHSSHEV AKKYLKRGFGGVLSFGVKGEAAVGSQVVDNFKLISNLANVGDSKTLAIHPWSTTHEQL TDQERIDSGVTEDAIRISVGTEHIDDIIADFEQSFAATFKVVRSA ANIA_08278 MAKFDFPLFYILRAVQAVFAVIVLGLTGHAVNVTDGHWWDTINF MLFNGVWTLFIVVPYFILAPIFVPAIAHRWVLVAVDTITMIFWFAGFIALAVDLGDAH GCSKWSPCRGLQAAAAFGAFNWVLFLVTTVLNTMGALRRDPAPGPQPPTAHVP ANIA_08279 MSSERDFNNAASDGGKAKMIDNEAVQVTAAQSSPGFDEADCEKH GAGRESNPLPDLKRKLKSRHLQMIAIGGTIGTGLFISSGSAISTAGPVGALIAYIFIG SIVFSVMTALGEVATYLPIPGAFTSYATRLIDPSLGFAMGWIYWFSWAITFALELTAT GLIIQFWNQDLNIAIFIGVFWVFITLFNMLPVSFFGELEFWFSSIKVLTVIGFMIFGI CINAGAGKQGYMGFDTWVHPGPFVAYDNISPDSTAKFVGFWAVLIQAAFSYQGTELVG IAAGETENPRKTVPSAIRKTFFRILFFFVLTIFFIGILVRILLTVVLSAANSNVYSAS RILIGLAQEGFAPRFFKKTSKAGVPYYSVAFASAFGLLGFMNVSNSGATVFNWFLNIS SVAGLISWASILGCHLAFMRALKARNISRDLLPYKALWQPWFSWYGLFFNVLIIITQG FTAWIPEFSVTDFFVAYISLILFVVLYLGHKIVYRPSFIRPIEADIDTGRIALENEYW ETTISDEKWYKRAYRSVFS ANIA_08280 MFFTQERAHYARAAELTQEPPKGKPYSVAIPGTEKDGRSPIYRS WWTQKELVKTLDPKVTTAHEIFESTANDTPKADCLGWRPYDPVKKTWGQYQWISYGTV QKRRTDFGAGLVELHHKHNCARPGQYGIGLWCQNRPEWQITDLACMSQSLYSVSIYDV LASDATQYIINHAELSCVVASLPHIPTLIKLKPVLPNLKIIVSLDSLEAGEPAGHSKR ALLESMAAGHDLTIYSMDQVEALGAASNRPLNPPKPSDIVTINYTSGTTGAPKGVVLT HENAVAATSGALVSVSQARGDTFPSYLPLAHIYERLTEHAALWSGARIGYFHGNILEL VDDLKVLKPTGFVSVPRLYSRFGTAIRAATIEAPGFRGTLSKHIVAAKSANLKNPDPS KATVKHALYDRIWAKKVAAAVGLENAKQLISGSAPLDPSLHDFLRVAIGADFSQGYGL TETYAMACAQSPKDVTSGNCGRVAPCTEVCLLSLPDMEYSVDDKPFPRGELLVRGPNV FKEYLKNPEETSKAITEDGWFRTGDVAKIDDMGRIIIIDRRKNVLKLAQGEYISPERL EGIISSELGYLAQVYVHGDSMQTFLVAIFGVQAELFASFASKVLGRTIDPTDVAGIKA VLQDNKIKKAVLRDLERVAKKNKLAGYERVKNCALMVEPFSVENDLLTPTLKLKRPPV VKKYRQLLDQLYEEANNEQSAPKAKL ANIA_08281 MSFAPPPGPPPPSVPEGWTTQYDDNYKQWFFVDLATGRSQWEPP QPTSTPAPSTQVHTPVPTSEQAQSSTHTDIPAPPPSYEESGPGNPSAVASAEATDKKT AHNFPSNNPYNGESASSPGTPGTSGSGSYARKDTVESDAELAARLQAEEDARAGSAAP GAAAGYYNNNDSPHSQTSTPSASAGGTDKGKEKKKGFFSKLMSKATSASGPRPGFAPP HPHYQQPAAFGRPPPGSYGIPSPGPGYGGPGGYYPPQHGYGPPVGYGPQPGYGFPPHG YHGGGMQPQRRHGGGGMGMAGAAALGVGGGLIGGALLADAIDDDHDYGGDYGGGDFGG GDDFGGDF ANIA_08282 MERDALSRYPRTRARLGSDDPSTRSEAQDLLSRFTGIPSKEAQD TRKNSGDSPISHMKSTVSKVRAKIPRGTVQQRPRPGASVNPAIVLPTVKSKPPLLSSS ESLSPERHIPRLAVTRRSRRARAGPVDYYKKISLSDSESEEVQANKTKSISRSVSRSR HSAIPALMPQAYPDRAKNIRRQDASLNSLFQRELGSHRPPRLNAKFVDNLRLCKAWKG ASNDVVSLAWSPDGTKFAAGATAQCDEHMMAYNRKNNLLLGDLVTNELHELSDHWIHR PKNNVVNDPRLFMSVTAVQWFEDTLYTASYDHTVKLWDTSRGRTSCYKTLKHDSEVVV MARSNFAENLLATGTLTNTVGYWDISKAQYTPLELQRGRLRKDIELMPTSIAWGSTHA TKDYLLIGMSEKEDSVAQHGLLAAFRVRESSIEPESFLPNAQNVFDITWHPVLPMFAI ACTAGQQASRRTRSTVNLYEPLSRRTRVMELECPALDMNEVVFCPWNTNYISAGCTDG VTYIWDKRNPDEILHKLRHGDPLNQLDETIDREQADTGVNMQLWGASYDSFYTGASDG MVKRWNILRAPEDVLVQDVASLQEGIMCGAFSPDQSNMLIGDVSGGVHLLSNSPFMSD ESTQFAFKESQYAIEQQELDSHFGVKAARDLVKSGQIERHPVFGPGKGPYYRGPFAAW ARPTGTHPEFMAVTALEPNYEIRQLGGIDPKRRKGLTSAAREEVERHITLARIRNQKR GGNKRRNPRITYPAKDIMIDISSEPNMEKKTLARGLVCDTLSKRRYTMRKASLPIISN VEPEVIDLTGDSDAESPETSNINWKPPGVSRRSSEFEDEACDLEEDFWWPDSGKIDPN FAE ANIA_08283 MGLVSLVLDNVCERCSALSVWALSGLGLLSVIIIAVVLNVLRQI LFKNPNEPPVVFHWFPFIGSTISYGIDPYKFFFNCRAQYGDIFTFVLLGKKTTVYLGT KGNDFILNGKLKDVCAEEVYSPLTTPVFGRHVVYDCPNAKLMEQKKFVKYGLTSDALR SYVQLITAEVEDFAQKSSVFQNAKGVFDVSRTIAEITIYTASRSLQGKEVRDKFDSTF AELYHDLDMGFAPINFMLPYAPLPHNRKRDAAQRKMAETYMEIIKERRKSGEKKDSED MVWNLMSCVYKNGTPLSDEEIAHMMIALLMAGQHSSSSTLSWILLHLARHPEIVEELY QEQLKVLGSDMHMTYDDLQKLELHSKIIKETLRIHAPIHSIIRAVKSPMPVPGTSYVI PTSHNVLSSPGVTARSDEFFPNPLKWDPHRWDSNPIANSTEDEEKIDYGYGLVSKGTN SPYLPFGAGRHRCIGEQFAYVQLITVTAALVRLFKFDTVSESDKSSVPETDYSSLFSR PAGKCFVQYEKRNVTTKA ANIA_08284 MALRNPFSIFRTFRSFQSTQQCLRAIHTEPKSPRQKPLPLPTPF VPNVETFLKLIGRDMSKHASKFPSWDKLFSMSSEDLRDAGIESAEQRRYLIRKRSKFT KGWYGPGGDLEHVVDGAAQLRVMEVPMAPTKDTPSVDLMNYSATLTPGMKRVIVNLPP DATEYKHDPSKELKRYKGMKIHNGVYIRGPYLQPIAGTNGSAAIIRVQEGMWEDKKGH KVDGGERRRAEIRAKKRAEERKGRA ANIA_08285 MDAIREVKYLQELSHPNVIALHDVFSSKDQNLNLVLEYLPRGDL EMLIKDSDIHYGAADVKAWMGMLIRGVWFCHENFVLHRDIKPNNLLIASDGEVKLADF GLARSFADPYMNMTHQVITRWYRPPELLYGARQYSGAVDIWSVGMVFAELLLRVPFVA GNSDLDQISKICEAFGTPTEESWPGVSKLPNYIPADNNIPLQGREFFLRQFPTAGPVG ADLLMSMCTLDPRRRTTAHQALQHRWWTTEPRPTNKQDLPQKPGGTKKMGDDLTRRGG ELDDQFKNAARQLDFGAIKG ANIA_08286 MSTLPQPSRTASGPPLGAAAQLPIPKSRKSLPTSVESPRAVSPS KLRTPSSPRPTLSKSPLSNSATNISAARSTTVARTPSSPDKSLRRTISIAAFPQPPRP GSRPSTASSMTAPHGLQSSGSVKSKRGSRPSAGTTSQRSSKTHSLLSVGAAVPGTDME ASPSQSRSSSAEGSYSTSATTFDEGEPASTKSKETKGNVIVSVRVRPNVGGESSANPE WIVDARRGLLVYNGKEGGDYYYDNVFSAMENNARVYDSAAKRLVRRVMEGYHGTVFAY GMTGTGKTFSMQGTATSPGVIPLAITDIFSFIRETPHREFLLRVSYLEIYNEKIHDLL SASTGSSSEDIKLREDSKRGVYATPLKEEIVQSPTQLLRVIARGDHARRTGSTQFNAR SSRSHAVVQIVVESRERVPTGTTQDRRSGLAPGGVRVSTLSLIDLAGSERAADDKERR TEGAHINKSLLTLGNIISRLSETKGKTAADKDGKHLPFRDSKLTRLLQPALSGNSLVS ILCTVQLSSLVASETLNTLKFAARAKNNIVSHAKRAEEAFGGGGGDAGSRVLLERYRM EIQALRCQLENQTKAQAEKELKLEEQKLEQEAQERHEEQMLEMQLARTALKERIEHLN RLILSSKSTGVNSQGAMSALGRLSRLSSIDPGSRSLRSSVSQSTLGTSMRPVSFLSVH SQEFHLSNEDEDTIGEFADGKASAQRQIAALQADLADKNRYIATLERRLLQARRSSHS RMSLGIKANSSTDNPNFVAQLREKDMEINELRLQLDDKDRMLTALRSAARHRDLAQLT LDNQSLPKETSSPDREPPPTSQAPDPGAKRKSMDEVSRILDEMIQDRVESGHLIKGAR GSVRVAPGSRRASESHQAAGPTGTTPLNSRPSA ANIA_11072 MDTEKVAQHSVTEADSAGDYSSQTARKSHLRGNEVLGRVTGNAV NGLSETSNNASGSIRGIESGTSNQQSKGYEKYGLHWAPLNIRFERRLQTLVVLCHTLT IAICLGVFFFTCAIPLSWPLLLPYLIHISLFSNAATSGSLKYRSDFLRSLPIWSLYAS YFPARLHRSAPLLPTRKYVFGYHPHGIISLGAFAAFCTEALRFSKLFPGITNTLLTLD SNFRIPFYREYALSMGLASVSRESCENLLSKGGIDGEGMGRAITIVIGGARESLNASP GSLRLVLKRRMGFIKLAIRTGADLVPVLAFGENDLYEQVRSDSHPLIHKFQMLVKQTL GFTIPLFHARGVFNYDVGLMPYRRPLNIVVGRPIHVVQQQDRNKINDDYIDQLHSEYV RELERLWEEWKDVYAKDRVSEIEIVA ANIA_11066 MGLAAPRKKTKISHDPNNTNWSRSTSGFGHKILSSQGWTPGSFL GARNAAHADMFTAASASHIRVIVKDDTLGLGARSKRDLLDEPTGLDAFKGLLGRLNGK TDVELQADQRKRDDVKLARYAATKWQAVRFISGGLLAQEKDESKETAECTLKSGAKNA NPVDNNCADDKTCATDLSQDSKSKNRRAKDDEKSEKEKERKDGKDKKDKKDKKDKKDK KDKMDKKDKKDKKDKKDKKDTNDKKDTNDKNDKKRKRAEQQEDSDNPVPGPSHAVKEH RPPGRHMIRGRHIAQKRKALMDDKSLNEIFMVKS ANIA_08288 MPSIRVFSRDHPVRTLILATSDDALVFQHSLRESANNHSSVNHD RQRCLVKFASLSSIDLASYRPLGSGYGTLGLVTIDHDVFVCVVTRSSKAATVRPGETV LRIEDVDLYCLNRSEYEFTPYYDAESSVNEDTISNTLPAKDPFLALKKLLTDGSFYYS LDFNLTDRLQDRSDKLTAFDIDSLDKDVLWNAYMIQPLLMFRSHLPPYEKQLLDASQI LTCVIRGFCGTIAIPANANVLHSEPKAHLPSMLTLISRLSSRRAGTRFNARGLDDDGN VANFVETETILVCGTSGVAFSYVQVRGSVPIFWEQATGFLPGQQKIEVTRSIEATQHA FDKHIQFLELEYGAVHVVNLLCESKPGEIELSTRFREHIRRNLSSKKSNLPSDHALLR TTEFDFHAEARGPLGYGAGNQIKHELTQSLNGFAYFLSKQNRPSEIPGTSVVLQQEGV FRTNCLDCLDRTNLVQTIISLLALESFLSQENSVLYSEVHHRHSILWADNGDALSKIY AGTGALKSSYTRHGKMSIAGALADARKTAARLYINNFSDKARQRTIDLMLGLLPDQLP VHLYDPINDMVSAKLDRISSEFTSKKLADIWTGTFNVNGRVQGPGTDLSPWLFPEDND QRKCPTIFAVGFQEIVTLSPQQIMSTDPTTRKSWELAVMDCLNSRAAARGSPKYVLLR SGQLVGTALMIYVREDILRDIKNVEGSVKKTGLSGIAGNKGGCAIRFEYSNTRLCFVT AHLAAGFANYDERNNDYETICQGLRFQRNRFIEDHDAVIWLGDFNYRIGLPNQTVRDL VRQSNYQKLYDNDQLNLQMLAGRAFQFYSEGLVTFPPTYKYDVGSDTYDTSDKSRIPA WCDRILWKGPDLRQSGYGASDMRLSDHRPVWATFTCTISIVDETRKESLRQSLHAQQW SKSQTVGTQITQSMRTDDNVLIPVEPTATGLPPPSSEHRKWWLDNGAPVKSSIRPPAK DYILNEHRTSNPFSYSESEKLEWVPIVATQRKFMVTGGAISRKPLVPPRSAAASVSPR SLQNKPLKAEEHQKAYSPPIPAKPSSLALNQSTPLPRQSASACGDATLPIASGSSLPT ALLDDDVNVEFNWQPLLR ANIA_08289 MTFNITIAPSQHDSDDSSFTDEEDSSGVQVSPPSEAESHGYHDL YDEWTNLPYPYELKPSDSASRNRTSYRTRSRNPIHPPSTSTSRRRSARHQIVPERESF HRRSRRHHSPDSPESMDSAEEYGDLYRRRSQERYRSQERYWPSLAQGSGHAHSPSPGP SYVYPNSAVPHAPFVPPTGQHPPSDQLIRVGAHSQVAPSNQYGHPFYGYNHPMQQPHG MPPLYMHEHGHAVAAGPLRSDGHNLAQHPFPPHGPSHGAPPYGGPQMNHHDLVTYGAG GFYPFREPYAMVPGMMPPYFNPYASAPSPPSQAESAAAPVSPEPTPAPAPAPAPVDPA KDEAIARLEKLILDERTEREARDAREAAAKAAADAEAAAEAEKKQRAAHEKKLVEEAA ALAKAEAEKKAAEEAAKAKKEAEEAAAKAADAAAAEATEKATADVQEKIAAAVAASKP PDKKPPIKFKDALGRKFNFPFDLCRTWKGMEELIKQAFLHIEVIGPHVAEGHYDLIGP NGDIILPQVWETVLEPDWTITMHMWPIPEKPKEEPAPPAGGGTSEGSAAPPSDAAPAE PAKKSGAKKARPKPGDPSPFAVWMVGGPARFKKQALKAGKKPKAADHAVLRVV ANIA_08290 MTQTPQQRRANEKFAKHEASKRGKPKTTTTKHNTKPSLSTGWVV LLAFVVCGGLVFELLRIVPQLWSAIASVFNRLLA ANIA_08291 MDKKATALLKLAYLEMFGYDMSWASFNVLEVMSSSKFLQKRVGY LGALQSFRPETEVLMLATNLLKKDMVCSNLQIISLPLNTLPNIITPSLAMSLLPDVLS RISHSSPSIRKKAVVCLYRLALVYPEALRLAWPRLKDRLMDETEDSSVTTAVLNVVCE LGWRRPHDFLPLAPRFFELLVEGGNNWMSIKIIKLFATLTPLEPRLVRKLIRPLINIV QTTTAMSLLYECINGIIQGGILEGEGALEDSHEIADLCVSKLRGMVVTDFDPNLKYVA LLAFSRIVVSHPHLISMHQDVIMNCLEDADISIRLQALELAARMVTGDTLQPVVERLI GQLEEPQHTFPKGDASDAGDVAVLANHVGRRELGKHPAYFPISDEYRVEILHRVLDIC SHDNYSRLTDFEWYVSVLIRLVKHLPTRVEEHTVSQGFESSSRDDAASRIGLEMRNIA VRVKNVRMEATRAAEFLLLVDNRQSVFANVSLAYNGVLGPLAWVVGEYAEYLSSPGPM LQSLIDVSTTSLSGRALVLFVQAVPKVLARIVHDYMGTWDVRQRSEVSLFLARIVEFY EGLATHPDLEVQERAIEFLEIMRLAADVMQTSTQQAQVPYLLSSIIPSLFYGLELNPV APNAQGKVPLPDQLCLNVPFNDNVRKLFESYQDGFYAQPYDFYRVGVTQLPHKQQGGF NTIEIQPNFSYQDPDILDEALAASLRQKLEWKQRNREDPFYIGADERNDATAPTERPF GSVHSEALDVDSIPIIDLKISGLGDESTPKARSYDSQGKLAGQPKKYEVIADEVLDLE ETADFSSPDEPVKAKRALLQVDSSGLKDLTLGDDGLAHANGVPGKSEDDAEMATAMKE IEKIRLKMQRASERVELEGAPSDGMVVKKRRKPKKTSHNKTRKGYSLQGENSQSEHGK SSSTVHKRTRKRKGDAERLG ANIA_08292 MGIPRVRRHLSPFCQAVLLQGGADADFECIKSVVIDGPSLVYNI YARLLSWFLTTSSNMVDALPTCDEVSRGVMLYLMHLKMLGVEIENIYFDGALPAHKRE TRVARLENQRNKLELFCAETRNGFDMSKPPVYNRIIKPDNVLRSRPTPAKYGNIPANP FMVSAVFEDLKCRWNRANILDSIRDTLPVHTIDIEDLPWASVTTMVSGEADTYCASVA RCMGACILTNDSDLLLHDLGKYGSVVFLDSVELRAGFEQPLFPQIKATMLRPSLVARR LGIRSLLLLAFQISKARPETSLVELLRRTKMGIAEVEMARYQQFANMGYPHVYLSVLK EDHTRQCAWAKGRKYRQLAYSIMNLSRPIDERHCFIAEFVRRGRRISEDKLRLLDEGS IFAELELLKARLESLQSSFKKSSDNLDYWAAFALCDVYLVADAELAQNDFQKLEQFLK HGYIGKKLKWEDIHLTAQIHAVLYSLRILKQILDLFHPMDVVVLNLRAILDKLPPLHI MMDPASRRAEVYMSILTTVQLSDCFGCLVQRRQSDYTTSTGTETAKREPRSFSHVGTT EQGAGDRIPSHTSNIYELLQEE ANIA_08293 MSLTNNDGFSLPLAKRQKVVVDEAAQRNTYHAGSRIFSPFRTLG LVSPTSVPFTCTRLGKATFQITTSVGRCLHTYDLRQGLKLVFISRPQTPRDITATFAW HDILFAAWGALGPGGSGGVWVFKRGKKIASLETPIEAYAPIEHLTVFGSWIVGCNGQS VNVWKNGSYEHYTTLILAHSRDNPGQAAYATQICNMPTYLNKVLIGKSDGTVDIWNVK TGRLVHSIFAAFPNAGPVTVLRPSPALSVIAIAYKNGSISIQNVETGQSIFSFQPQAP RVPAITSLTFRNDDAGAGEDGQQSGAMATACLASGDITLWDLNRGGRVVGVLRNAHKV SAGDPGSGINHIEFLDGQPVIVSTGKDNSLRTWIVDESPFSPIPRPLHSRSGHSDTIT TVSFLPSHSDGSETTAKWLLSASKDSSLWGFSLRKDSQSTELSQGSVEQGAKKKGVVI SDVNNGSISSQPNLRAPEVTCIACSLNRDGGMGVTVSGTIWSNPKTTDTTASNKTGWE SIVTGHRGDKYARTWFWGKKKAGRWVFETGDGTDVKSVAISQCGTFAVVGSAGGSIRL FNMQSGQYRRSYPCQKTKYDLGGEVRYSNKQIHTQHTKSVTGLAIDSLNRTVLSCGLD GKVKFWDFQSGLLIKELDWYPMTAITALRYNSMSELVAFSCDDLSIRVVDMETKKIVR ELWGCAGQINDFIFSSDGRWIIAASMDSIIRVWDLPTGHLIDLFRVSSTCTSLAMSPT GDFLATAHADGVGIGLWSNRSLFIPVSTRDLNVDNLLDTGLPTSSGEGGVGIIEAAFQ QDNEEHDGDGPISCTEQLDRDMLTLSVVPKNKWQTLVHMDLIKERNMPKEPPKRPEKA PFFLPAPASDGAPKHNANATLLEEGKLTESSRVAKLQITKNPNAVSRFTSLLRTGCIS GDFEPFFFEMKSLNPSRLDFRHDR ANIA_04289 MLDRHSAAKVSVILRTRDDWQSWIEDIKSVALLSKVWGYVDPSK QEDELEEIPIEPEIPADDATSAEIKIFEIKYNQYQRIDRGIAAISEAIHNSLSAPLKH FYINNRESPYKVLRALKKQFAPKDQELQMMAIRRYQKARSMPIKQSNPEAWLTEFESA YYGMKQHDLPEAQDMYVIRDFLGAVMKASKEWAMIRRNLLHQSEYKSQTIADTIAAYR EYLSDEMLYQDTKLDVAFAASPTLQGAGISNQTNRTNRVPYYMCGQKHFFRECPYVVI SIRKPDFMEEPEIRKKFDDILKIPGAKCNMLKKAMELNKQTTVQNKETTVQHAMAAIM VGFSASEYSMLKESFILDSGATVHICNDKTRFKKLKQEPAGYLRAGNAVVPIEGTGVG DISPNCRGTSNVMISLQETAYVPGFHTNIISASRMKRAGFIWDFAEDRVVKNGHEVCR LAEYQGLWVVEQNGGNRAYAPANENAHAVRPKTSIKHSSKPLILAGNTDIWHKQFGHL NHEAIRHLPNAVKGIQIDDVEPPRSCETCKYSSAPRQISRRPANRATQAFERVHFDLI EFNKAWNGDRWCTHFYDEFTHMHFVYTHGKKNGCVDAAIHLVALIECQFGTKMKFYKS DNEQTLGGAFRTFTDLEGIIHEVSVVATPEQNGFAERSGGVLTSRARHMMIKARLPLD MWPVSMKAAAYILNRTLIRMLGWITPFEKATGKRPNMASLYTFGCRAYVRDQSLNQQN KTLKSKPRRLGGYLVGYKASNIWLIWIPSKQRIEAARDVIFDESSLYDPEQPNDDWEP LATDIDEIDDVQPNTDGETTYEGVDDCQNKVVSRDLNAVSDSPENAGREIVQSEAGNG STSPPPGQLPTPESTSTAMPGSWDFNPAASSAEPPDRETVTEPPDRADSPSHWLLESL EEATEAAVEADVDRDVTPTPTSRSGGGILDNQSSSRPIRRRNREGLDQSLIIEGKRER RRNRDPNYEYGSFLPLPPRSWNELLKHPLKQDFILAAGKEYTGLKQKETFKVVDINEA ANHEILPVIWIFTYKFDENGNYLKAKARICVRGDLQARSAEENRAGTASARAFRSLMA LVAAFDLDTDQKDAINAFLNAWLDQVIYARMPEGFKQPGKVWKVLKALYGLRKSPKLW QEELSAMLQEYGLEAVPEEECLFTSINLLVLFYVDDIIIINRPTPEARTEANRFKEAL EARYELRHMGEVGWFLNIRVIRDRPNRKLWLCQDAYMDQMAAKFHLNDMTRWPETPLQ PNMKLLHNEEQATPGQIHEYQQKTGSALFPTIITRPDAALAVNELARFSRNPSPSHME AINQVIAYLYHTRYLALEFSASEYADEIFICTSDASFANNEDRKSTGGYLCKLFGAPI EWKSGKQRAVTTSTTEAEYVALAEAAKATYWWRRVFKSLEFDPGHTFARNEASYAMLI STDHGSGRRSRKGDFISNGSLPIR ANIA_10537 MDGGTPQNRPTVAREAKGKNRAPSEPGRSTNPSGAHRQTKVASS RQISHSDNVQQFSQALNYMTPTGNYAHPQSVFVMGNMASALPSYPSGPIQYEQQSIQQ QQFVPVCPNPASMYGMPQFQSFPASAPNPSMMFHAPYPQMYMPYVQQQQQHPDATISV ANNPNSGSPQNPMGAYGHGYLHPNVYATQGHHSRLANVLRPSPQLYNQTDPNTRKAND GRSKEDKKRITIVDGSAQMKSFVTQGPSAGKRTPCCKLSAVMGEEKEEEEKGKKLTVV VGSAAPRSNLPKGPSRKPKQSGNALWVGNLAPGTNIVELKDHFSQDATKDLESVFLIS RSNCAFVNYKTEEACIKALSRFHDTRLRGARLVCRVRRGLMSPGPHSELTGLADQSSM KEAEEMVKTTGTEDDGREGSYSMRVPNRYFILKSLTVEDLELSWQSGIWATQTHNEES LNRAFENADNVYLFFSANKSGEYYGYARMMSTIKDDESLTLEMPPRSEHHSSNEPDSP DVTPAPASRSAPNGRIINDVARGTIFWEADTSEDEEGLPRQHKNAYHPPNEQQSQAPA ELQLIGKPFRIRWLSTERVPFHRTRGLRNPWNANREVKIARDGTELEFSVGEKLVLLF HPKSSG ANIA_09416 MAIPRPSTPPEAPLEVTEISERSQSSRWLSRDDRICILTLRDAG FTYRQVQYTCQNEQSTPRKPPGQRPKLSEEDIDNIITFISSSQPTFIGRYKACFHTRI WVTRRAGEELDETCICLSTPKKHGWMFWGSFYGDTKGPCLFWEKEWGSINAESYCERI VPIIDGYLRLNRQQGNYLCLMHDGAPGHASKDTIAELHERSIYPISWPAFSPDLNPIE MVWNWMKDWMQERYPDDRQLSYDALQEIVRASWDAVPTDFLEGLIGSMQARCQAVIEA EGGHTKY ANIA_11662 MYKKESLKKKRKRDRTDQKLEMDGELRDHSRVRYADRDVLGAGL EINLHRSSILGMYSVRVGYNLQVLFFNMVVFLPLISWFRLLGGINRLQGN ANIA_11224 MDRKRKSIFASSHDDNVARTRVKKHHDYSPIKTSSQQPFPDSDL QNDIQTICMLVNKITTVSESRGVKPSSEIYEAAKKFTLALQKTSLFANTTTNLNGNDA DVSSRPLPCLARRLPPLPPIKDDRLERAVFTHPGVAKDSRTTYDRLEILGDAYIELIS TKLIWNKFQHIPSGRISQIRELLVKNETLAEYATQYGIDKKASVPAELYNQPKRWIKT KGDIFEAYVAAVILSHPDGYSVAETWLSELWSPKLDSFEGSVSTFQAKEALAKKINGR GAKLRYIDEKESVQHQGGTQTFFIGVYFTGWGWNNKHLGSGQGSNKTIAGDRAAQQAL ENTELIQEIVASRPTHGTGTS ANIA_11225 MTIVVERAIGLGVLEVIAVAARSPPRMRSPPSRFVADTWAPSPG RPYTRPRSRSPPLYRRRSRSPPPYNRAVGVVSYTKTYASRKFSPRRDVRARSPVSSSR RPRSPFREDRARDSTWSHNTSTSRQPGDSSGRDYGYYRANRRPPSAGRHTRPGSPPRN GLSFDDDQCPAPRARSPFTNGRRDTTADRYHGQRRRSQSSNGTFAKRPSAPGSMSNSR RSSPFDDRNNSIRSNRSRSPHTSYTPGRRRSRERETSTSRDHHASAAKSTIETPEARL KSPGSERMITETSSFSTNAETPQTSEQLGRTTLTRTSYPSNAPSQPRAFSNNRNRKSP SPPGPPHGPKTLPSHPRSSNISLLSAPTRPRGSSNFKDSGWAGPSMRRGPAPSGPHGM PIVARNNHLSASGTELQRSRSCRPNSVPGTSPNTPRHAKYLVGLNTIVPGGKLAPTEL DSITEKRLSQLDADKDRLFNQIAESQKLKRAGLRDWDKLDRESSICALKSELAEGHLQ CITDAEGIFGRALF ANIA_11226 MKSSSSCSGLSFKSQVLYLIVFVTRYLDLFWAFTDSLYNTTFKI LFIGSSGYIIYLMLHDYRPTHDPNLDTFKVQYLLAASAILALIFPHDYSISEILWTFS IWLESVAILPQLFMLQRTGEADTITTHYLFALGLYRALYIPNWIYRYFAENHFQAVPV LAGIIQTLLYSDFFYIYYTKVMKGKKFSLPV ANIA_09414 MSSFHRRSNDGQEGYFINPHLSLPIPGGHGEGHDFEAGSYHFPQ HHFTSYAQHFSLPYNHSNMSPHHSHGASMAPVPDHTTLHLPPAQCMPQHRYLQGPAYL PLHEALTETELESQDSRNEDTMLSEPIIPPLEGFPDVKEFDRLVKRYKFSLLCPTPIL SIAGFELTLSFS ANIA_09413 MAPSTVDVRPNSEGSIECWDDDDELQCYEDIHLRAASSTTSVTN SSVRRSGHRDSISSRRSARSDLDSTTGGDEDWQIQLLDEDEFVNEEAITSVQNAGIPL PVNIPRAALVGGTIKRLGRKKTKREFIDDWSDDLELPSPSVALELKRFHETSLPEALL HLGSTSPDAVNGPPFLKNDVTPQLPMHAIPGDYSTSIDVDDTEDIPTIKAAKQVPSQI PRIISNLDFSKETASAENFENDFELPANDSLQLRNPSASVLSPAPDDIDIDWSEGSIG VRFGGTTRDHRSNPSSSISVVSPSASSYLTGESDDEGLDGLVIPEGPLNLGGRLTQLE KHNFPDTPYRVPEGRRQEQLRSDDFFSGLDINSGDLFSARRPSINPNIKYKTEPLGSP ARRSATTLTFTNAAVSPKTRIPRLSGHDRTRSTHLETVSESGAPLSKFRTSPRRPGHV SHSSVSSLPIAGSSQGSSIQFTPTRRSVGSRVSKEALAGEHAPGKQLLKTKRSMPSMR NAPHTTSSTSFACPPGQSGLNWLNTGTWAATDRAGLDGKLSTRKAQTPFLPAGASERQ SHHVSVKSYRYSRRSSDGASDAISSQGTVTRLPRTSRQDGSGVTSETLSTTPKRTLTR PARRRNYGDGSELASFDDLPTSTAMESKFVKQPSGRGAPRSLKNRLSISQSGPSKPDS PQQMLTTNNPLQQQASIPRFARDTNASRNAREQRIASMSSISKTRENNPLTPLSSNWK PQNISRMPSTTGSVRSKKGRLGSSSGSRPQLIKPIGAGVQERKYTFRWEGNEKLVQGF DSATPKSPKPSPALITNVGAMQNVQVVGGMVFDPQRMCWLKLAPCQPGLDGVLAVEDE DDVFSNFADLEEAGVSNKRAAGAPEDFGPAVSGDDRSGEDSSDEWPITEEFDVGPEFI KRQHTEEEKWRRKVNKWMNHNPAGSGSSWRWAIRDLVRSNSTLGAQELSNA ANIA_10536 ILSSFTLPGPNPPNSSPTITATEGETQGEKLSRNGPDEWKKTLL HILGLLGEGAEDPELLTDVEASASVNATGEDDKELVITIRKRIQTITQKLGSLTLQQD EEQDIELFDWTNLAVTRADILEQRFNSLLDRFRTAESTIKLLNKQLEEFISSKNQHEQ QLFSGIVQLLNEKKLKIRNQQRLLASAKVDPERLSKVQAAAFTDNSRPKPKGAKGGRQ KRPAATLADESSDDGFEKMSVEDCENVSQGADEETADEGRSTPEPLEDESGTTAAAAD DDVVESALSTSRSQRGDSGSQGPLAQATANSPPPRRELPFAKKTEKDPGPGHLSSRAW QDSVESGGETDDDEL ANIA_04288 MRPQLFRAAARSVRVPKVNTARTFVTTVPRSAEVELTIDGKKVS VEAGSALIQACEKAGATIPRYCYHEKLMIAGNCRMCLVEVERAPKPVASCAWPVQPGM NVKTDSPLVHKAREGVMEFLLANHPLDCPICDQGGECDLQDQSMRYGADRGRFHELGG KRAIEDKNIGPLVKTSMNRCIQCTRCVRFMNDVAGAPELGTTGRGNDMQIGTYLEQNL DTELSGNIIDLCPVGALTSKPYAFRARPWELKHTESIDVHDALGSNVRIDTRGMEVMR VLPRLNDDINEEWINDKSRFACDGLKTQRLTTPLIRQEGKFVPATWEQALTEIASAYQ KLQLKENEFKAISGHLVDAETMVAMKDLANKLGSDNLALDQPGGSSPIAHGVDIRSNY LFNSKIYGIEEADAILLVATNPRHEASVLNARIRKQYLRSDLEIGLVGEAFESTFDFE HLGSDVAALKAALSGNFGTKLASAKRPMIIVGSAAAEHKDSKAIFEAVGSFVEKHASN FNTPEWQGYNVLQRAASRAAAYEIGFTTPSPEVAQTTPKMVWLLGADEINQSEIPREA FVIYQGHHGDRGAQIADVVLPGAAYTEKSGTYINTEGRVQVTRAATSMPGASRDDWKI IRAASEFLNTPLPYDDIEALRDRMEEISPVMRRYDVVEPTSLAQLSKVQLVDQNKGSQ VTNAPFKKVIEDFYFTDSISRSSPTMARASAAKVSGNPDVNYMSTGGEVSPQHMYG ANIA_04287 MVQFAFVSLWCLLLSYLSTKLPWLKTSIPALKNNIRPPSRDVIM TALPLAIFQLAGHILSSMATSQIPVSLVHTIKGLSPLFTVLAYRFLFRIRYAKATYLS LVPLTLGVMLACSTGFSTNFFGILCALVAALVFVSQNIFSKKLFNEAERAESDIQSAG RRKLDKLNLLYYCSGLAFILTLPIWLVTEGYPLFSDLMQDGAISLTNKAGSLDHGALF LEFVFNGVSHFAQNILAFVLLSMVSPVSYSVASLIKRVFVIVVAIVWFGNSTTPVQGF GIALTFLGLYLYDRNSHDDVADQKANADHFHFKNPILPLNTRGASKLRGSNGYAIPQG RPVDQHVLDRSFPISSNSKKDDGVGHARPRASSNTKAWLPPGTKQETTWQSSDS ANIA_04286 MFCLGHPPVLLARMLILIALCNSALCGRTPSQQDYTSPPQYSLN KDFSDVQLSEKWQVLGPFQYGTREAIWGADPLEYRGGFRNVSFDEEVEYSSPLSTDGF VKWTHVRANITNTNAEQSRAELAVAFPQVDWGLLQAVYGWSALQYQAWTRGYMYLNGS KSSSSRNFHGSYRRAPLILTIAPGKHLVELRLLRDVRALGGQGDPTINVAVEIEIRHG MLNIAEQSLLIPEATDWRLGKMETPLRLAPHQTRPLAFRFATGNPSAFAVPIEIRYRA FQHATMWNQTFMRITYMHPAGIVSYAILRPPPLHPSCALNQTNTFLPLIIGLHGAGLE ADDVQVRRMMDAAYGICAWMLFPSGVTSWSGDDWHTWGVADIKAAVKAIPDWVRAIGW SGPGIMNDEWMVIGHSNGGQGVWFLTTHYPDNVVAAAPVSGYTSIENYVSYNMWRDSE PLLSSILHQSRASFKHELLLANAVGIPIMQQHGSQDKNVPAHHSRLMHSLLEEVDWPS QYVELPNAGHWFDGVMTTSSLLKFYKSSLESTRRQTVLAFTIYVPHSGDMASKGGLYV DQLQSPDRFGRVHVTIDAKEETWHIQTQNIHRFHLSATACNSKKRLTLTIDDTATLFR VDPAKCGFTWYIKGTEGSWKCSEQNGWQSISQRYGRQVGAMDAILRTNGTFTINACSV KSEEIALQISRNLLQYFAADSYIARHCGSFDAEHHGNAITVSLGNELPGSVYSSYPIR VSDGRMTLHMCLSVPGGLHGPMDPGSSRSCGQYTINYERAMGAMFLRPLPNEGLELVV WGTDVEGLEQAARLVPTLTGAGQPEFVVLGDNCRWKGHAGLYAAGHFDKFWQISTGSY IANVV ANIA_04285 MSLLSAASPETGRRDHDERTSSNSGNVEIHTLSGGREISGHKHS NPCISSPDPLATSKLGNLQSPVGQKNDNASNKKQKLEHTSNTLPLTGNSEMERLLAKL SPKPQLSDSKQTINQDILSKLSEQQLLLDQQKSILAKNKVVGPGHLEERDKPLTMPQR MDAKQAASPKEVARFEAFDTEGSELLRLKRELQAANSKIALQEQELAQTRVIKHTLDQ ALGPPSEADFSGRDISEQTISNLQSAFNASIPAPHLLQDGWNTQEDSQSDISDALSAG AYNRSRGFWGPPAQQVYGVGLNSDKAYADSNMPLPGTAFGHDSSRFWGPSNTNPSIPA NSSFQPHRVLSGPSTAPCSFDGPFSDDQVDKIVCNNDQQASIFLQQKLKVGTTEQKFD IIEAIIQQAYSLMVNRFGNFLVQRCFEHGTSEQIVAIANAIKGNTLSLSMDPFGCHVV QKAFDCVPEEHKAVMVHELLRRIPETVIHRYACHVWQKLFELRWSGEPPQIMAKVNEA LRGMWHEVALGETGSLVVQNIFENCVEDEKRPAIEEVLAKIDVLAHGQFGNWCIQHIC EHGAPHDKSRAIEHILLWVVDYSMDQFASKIVEKCLKIGGSEFLDRYLSRVCTGRTDR PRMPLIDIAGDQYGNYLIQWILMNAAPHQRELVATHIRHPINMGVASAEEASGIPATP LFVKRLTNQVSQDLKHLEKYEPARPTQDIDSILDVICVHECPANQTSSFPDLHGCTRL KKLDILCLVLISYTHLVELLGSLLFILSIVRAPHVLLQGSRWRYSDGSTECLRQWSVC GTAL ANIA_04284 METSSPHPRESITTAQTESRNPQADIFEQLSTYPFSTDREFAGG LAIILGHPETPASDEEIARDDDLVLQAKCYYFIRKNNLALPLDVATYKSWLEARRTSS TSIDGSRETGSSQISASSLSPTTVPASKPTSQEPTYPTSFAHIVELITTGQPVPGIQH IPDILLTGQGAASAKPKRLKPWEKGETA ANIA_04283 MADSDDEYIGGLSGDEGDDDIIRETRGAVGQAPKRRKQKGGAEF ELARTWETLVEGADGTISSTVGGLLEASKRKRLLKDTTPLQRGIIRHIILILDLSQSM MEKDLRPTRYLLTLRYAQEFVREFFEQNPISQVGVIGLRDGLALRISDMSGNPTEHIS AIQDLRSQDPKGLPSLQNGLEMARGALFHTPSHGTREIFIVFGSLLSSDPGDIHQTIT TLIDDKIRVGIVGLAAQVAICRELCSRTNGGDDTYYGVALNEQHFRELMLAVTTPPAT YSQKQSASSLLMMGFPSRTIESFPSLCACHSNPTPGGYLCSRCNSKVCGLPAECPSCG LTLILSTHLARSYHHLFPLMNWVEVSWERAHRSTTCFACGIAFPTIPPKEQWQATQNL AKGMSVSSRYECTVCENHFCIDCDLFAHEVVHNCPGCQSRVVRHPQDDIPRVQESVDQ MDTSR ANIA_04282 MASKDRDILPNVVKPAHYDISLFDLQLGGSWSYKGIVNITSKVY SPTREVVLNAKEIEVHHAKVLGLDGVELTKTSEITYDQKSERVTIRFPKELPQSELVV SISFTGTMNNAMAGFYRSKYKPAVEPTSDTPKEGEFYYMLSTQFESCDARRAFPCFDE PNLKATFDFEIEVPKGQTAISNMPVKSEREGSKPELKVVSFDTTPVMSTYLLAWAIGD FDYVEALTERKYQGKSIPVRVYTTKGLKEQARFALECAHRTVDYFSEIFEIEYPLPKA DLLAVHEFAMGAMENWGLVTYRTTAVLFDEGKSDTRYKNRIAYVVAHELAHQWFGNLV TMDWWDELWLNEGFATWVGWLAVDHFYPEWNIWSQFVAEGVQQAFQLDSLRASHPIQV PVKNALEVDQIFDHISYLKGSSVIRMLSTHLGRETFLRGVAQYLKTHAYGNATTNDLW IALSQASNQDVNSFMDPWIRKIGFPVVTIAEETGQLEIRQNRFLSTGDVKPEEDETTW WIPLGIKSGPELTVQDPRALVSKADTIQGIGQDSFYKINKDLSGFYRTNYSSSRLKKL GQSLDSLSTEDKIGLIGDAAALAVSGESSTAALLSLLEGFKDETNYLVWSQISSSVGN LRSVFSQNEEVAEGLKKFARELSAPAANMIGWEFKPTDDYLTLQLRKLLIAMSGLAGN ESIVAEAKNRFGLWATAKDKSAIHTNLRSAIFSIAVSEGTREEYDSVKDEYLKTDSVD GKEICLGALGRTKDAALVNEYLDFVFSDKVAIQDVHNGAVSLAANSKVRHLLWEYMKN NWDSVEARLSANNVVFERFVRMGLSKFADHSIGDDIAAFFQDKDTTAYDRALVIVADS IRTSANYKERDEKQVLKWLKEHGYA ANIA_04281 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGVD FKIRTIELDGKTVKLQIWDTAGQERFRTITSSYYRGAHGICVVYDVTDMDSFNNVKQW LQEIDRYATEGVNKLLVGNKSDMEDKKVVEYTVAKEFADSLGIPFLETSAKNASNVEQ AFLTMARQIKERMGTATVNNKPTVQVGQGQGVQSGSAGGCC ANIA_04280 MSLSKVEDPKKVAAAAAAQQSDNIAHALAGAGGGILSMVLTYPL ITLSTRAQVESKRAQSSTIDAIRRIVQREGIVGLYSGLESALFGISVTNFVYYYWYEW TRSAFEKAAEKAGRSKKLSTLESMIAGAIAGSATVLLTNPIWVVNTRVTARKSAEDDQ SLPGAPKKQRPSTFGTLMDLLQKEGPTALFAGVLPALVLVINPILQYTIFEQLKNIVE RRRRMTPKDAFYLGALGKILATSITYPYITVKSRMHVASKDGPKESLNGSLKRIIKEE GYTGLYKGIGPKVTQSAITAAFLFAFKDVLYDAMASLRRSRVSK ANIA_04279 MVPRNEQSSLKRSRVTADHESQDQKKPRRSERISSQQQSQTPVI QSYLPTPLTHHDSTATDLRNEMTATPPSQALPRSPSDLGSPPGDTQALSQFVYPPRAF ADDVEDEAAEGVWGYLIPLDDKVRDALVLRKRDGCDAKPTKERSSKERKSRESSTKHA ANRRPGGYLIGRHPECDLSLNIPTVSNRHFLVFPENRRGDTVAILEDLSSNGTFINDA IVGRNKHRELEDGDEVTVLDEVRFVFRAPRTKNISGFRQQYRVLQQLGKGHFATVYLC VERTTGAKFAVKVFEKRADSKSQNDALMQEIGLLMSVSHPNLLCLKDTFDESDGVYLV LELAPEGELFNMIIRKQKFTEKETRHIFRQLFEGLKYLHDRGIVHRDIKPENILVADN KLTVKLGDFGLAKIIGEDSFTTTLCGTPSYVAPEILQESRRRKYTKAVDIWSLGVVLY ICLCGFPPFSDELYTPENPYTLAQQIKLGRFDYPSPYWDSVGDPALDLIDRMLTVDVD KRITVDECLQHPWLTGELPSVTDSTDGLTGALGNLDFSKRKIARERTLLSGINDVRSS QQKTGDGASVKVYHKNIAGQRMHNQRATRQHEFPNKNSGPKDFVNLGECGDPVLFEEE PTSRHN ANIA_04278 MQSMMPLLLVSGQKVILALKIDSRRLTNFSPNIRASALEKLAKL AASSTPDDSHESEIDQLTRQCQHLTNRLNGSLNGVLHSQSPMSRMPMDIRELCVLISL CKAAPSVTKEEHASRLVSQLSKYLPESHGQLFRPSPFLRDISPSPWEALSYHLTFALL HLGSKYPSLRQVVFDSVNEYVYKCVEAIEFSAEVDPAESVSILAICASIVGFLEAAAK FSAFWSATEKLRMVDHIRSMFSENFMVVIETASSIVRSAGASDNTLRNWKIYTRRYAT NGRPLGAMLLQEGYMRFIKSCITSLIGAQNMSDDELLDEYVDGVGIARSHDEAEITLI NRLTGIIAEEIQLLEDGSDYLQVGSPWQQQLAFSVKALALTGYLNCALLTENANTDDF LSWLEDTLIDPKQMSCIELATTTLKCITIISRMSVSSASSGSRSLLRFIMEGGINAGS IGPVAARCLSQILGVLSQDSVITTLYSLGNVLSPGSDKSYLTGEAVIQFRQASADSLE ITDNVPNIVHAIVTIATSCHDEQISALAQSMLLQKISKLNTAVDACIIKETAALSITT GQAEFQLLLKFYDRVFRDGVAKGYGNVVNAVLGAMNYLSMSLRRDSPLYRLYLVHLLE SLVNKGDATDEHEGHLAPEDISPLLKPLALLSSKGLQLEQDQYISALFRDAWFNVAVH GISLSSTVGQNHIEELRLLAKHSPALVSEDRMDMIESDVELNTVLRRGMSPQRHIEQK KRLVNEIPHRESEIKRLSYPKAVFLNAALLLENLRAFSGNCTKPLSYFRDPALATAEM ASCMSAIADRVVGCYLSLTLSGKRNSAFLSKELAGFFIACCHRIERIQKVAISCANRV IQECPSALCDKNSLFALLELLTVVWRSCHEEELDEFEWKSTFTSLIGHVKVDLPDNYG YRRRTLEVLLERARAWITSVMDIAPLDIKGLLQSYLSASDDNDGYGDISMGRSFALEM GSLIPQNDHRLGSIESLGVTRVNVASNFIAQHTARQKYRRTGQCPVWSTSDDADEHLD PGLKLLEQGDSSSFKQIHSGKQVALPKIRDTMQRAAALLCGSNAANAVIAHYLVSLPF QIFSKESIEIGVSLWLGVMHENPKLEPTILFEVVQQWENTILRRQGLFDPSFSHVDPM YAKIELLPTNKDSMLQQQKFAQNILAPHFQIIQLFESHFNAVRLGNAQTQHLFSRFID RTTVGLLHASNHPLAREVHFRIIHFCLRALRHCTSIDNVTLWRHKHQILSAALNWFKH PPRWSFGGNRLQLKAEDKILSDVVTALTKVSGVGCNTRWPYTSLQAQQDLLQVLLENE RTRLRVWLYPLEPDRKHNPPQFSKHLTEENMLRVAWAESPGLAIQLATRFPSGKLSNE IRRLLLSFPEKAIDEPSSLEIMFGSALPADVSSQLKYLLYWAPVNPIEGLTYFLPAYG NHPFILQYAMKALESHSLDVRFYFVPQLVQALRYDALGYVERYILETAKQSQLFAHQV IWNMKANSYKDEDSQIPDPLKPTLDRFMDTLITSFSDDERDFYQREFSFFNEITGISG KLRPYIKKSKPEKKEKIEEELRKIKVEVGVYLPSNPDGVVVGIDRKSGKPLQSHAKAP YLATFRIQKTRTTSLPSNELVQSSHHHQSDAHQETYEVWQSAIFKVGDDCRQDMLALQ MIAAFRSIFASIGLDVWVFPYRVTSTAPGCGVIDVLPNSISRDMLGREAVNGLYDYFV SKYGGEDSIQFQEARTNFVKSMAAYSVISYLMQFKDRHNGNIMFDDAGHIIHIDFGFC FDIAPGGVRFERAPFKLTSEMVAVMSGTPHSSSSGSHNPTQTQPYRWFESLVVKAFLA SRPYSTKLSHIVSLMLDSGLPCFKPETLKHFRDRFVLDKSEREAAEFMRELVRKSYLS MSTKGYDQFQLLTNGIPY ANIA_04277 MKKLSTIFLNNRSEEAQCRLGRSGEQHHQEQLDREKQDEMNESP VPFVTWRSLALGAFVSIGGIIFGYDTGQISGFLEMDNFKRRFGQRQLDGTYAFNNVRS GLIVSMLSIGTLLGALMAGPLADRLGRKWSIAFWCIILHVGLIIQISSPHPKWYQFMM GRFVTGFGVGACSLLVPLYQGETSPKQIRGAMVCSYQLFVTLGIFVAYCINYGTEGMS NSASWRIPLGITFLWALLLGIGIIFFPESPRYDFRHGRADKARQTMSKLYGVPQNHRA IIEELTEIREQLEAESSSRGGFHGWIEMFQGPRMFYRITLGIVLQALQQLTGANYFFY YGTTVFNGAGISNVFVTQMILGGVNFGTTFFGLYVVEHFGRRKSLVTGGIWMFVNFMV FASVGHFSLNVEDPSATPGAGKAMVVFACLFITGFATTWGPMIWAIVAELYPSRYRAK AMAMATASNWLWNFLIGHREIRFFTPFITGDIDFAYGYVFAGCLFAAILIVYFFVLEG KGKTLEEMDMMYVMRVPPWKSSKWVPPPPEERVTTAHILDQRAAREFANEDESSKRNQ VPAHQHIDQNDAGVGPSA ANIA_04276 MKLLHNEEQATPGQIHEYQQKTGSALFPTIITRPDAALAVNELA RFSRNPSPSHMEAINQVIAYLYHTRYLALEFSASEYADEIFICTSDASFANNEDRKST GGYLCKLFGAPIEWKSGKQRAVTTSTTEAEYVALAEAAKATYWWRRVFKSLEFDPGHT FAVYCDNKQTIDLLVKDTAQRSKLRHVDINRSWLRQEVQEGRLHIKWIPTNQMTADGF TKPLPVQKHQKFIKMLNLVDIKDLIHDQIGCSIELDFDAGNYVDPDALYESCCRFMML DLPSTGVSIKLRFPLERMLSTPPISVPPSSSRQRRASFASGLSFPEYKPGNQGLASPP TGSMASTMANAQANQRRRLSITTLGLSGSPTQTLPYGNRNFRQGSISSSVGSSPGNPE EAIAEDENSPPGTMPRSPFVRRLSFGAQALRDARAGSIGNGEGFNWSEALRARAERGP LSPNSAQAQQAPQTQHRRSASVASLDLPAREMPKQPKQVNKPDFFQEKILRGDFMD ANIA_04275 MRLPEKQRAIWGTLHGDWGMAAALAREATEQSWSRGHKGREKNP DGSGMGGRNLPQTTSPAAYKMSRQAERIVNREDENVGSPNEVKAHENLAPQLLSEHAS TQDLIIQ ANIA_04274 MGVVGSRLDDSGCLYFKDQNKLTIASVTISNSRRVLLNLIPNGF PATRYNARREPGDDTLVEYIQDPDCPPSAPHPSFLLRLNNDDELIFKFTFILRQTQTG NVSNSTVNGVATTLPEVADTILTGLTFAHAPNSKELDNLVTREFHANPNLQNNSNVQL VGDFSTGGSPSVQFDWTWKWKPPKSMEDKGGGWRNSCSFLDYDQRANRLNTLAYFSFW VQNTARFLPSPQITSPNLEVHMPMRNRVPSSQSVLSHSSDADISSNVPVQVVPETPPE ANDAPPTSTAPVPAPAPAPVKVDLPHSRPGEDMSVVEDGPLFRATMKALEQKTGNMRA KIKKVLKKAEAAHQAQTACNDAVEAFLSALGDASTSNANAIQPALDHYFEKISRQILN YERLNALQLQKLVIEPLLKLYTNDIKQAEAKKKEFEEESRDYYAYVGRYLGQRQDSLK EKKRAESDSKYQAKRRNFELRRFDYSSFMQDLHGGRKEQEVLSHLTKYADTQAKTFLA AAKQVDDMVPQLDALIHEVNQADKEFQFQRTEREEKRRALEKSSNTYLEPDAPVSSSA ASTLLTSGNGNQKPEGDLGRADSTGSQLRSVTSSNSTTSIQTSAAPNPSAGSSAMTSA INSSCQQRKEGLLWALSRPGSHIDPKGINKQAWHKFWIVLDQGKLSEYSNWKQKLDLH MDPIDLRMASVREARNAERRFCFEVITPQFKRIYQATSEEDMGNWIRAINNALQSAVE GRSMYPPPLSSEADKPSMGRDIGSVLTGKSSSVSHHSHHSSASSNVTRRTTVGARPSY VRGDSQGFEDNPSKLLQAVRDADQGNHWCADCNSTSKVEWVSINLGIVLCIECSGIHR SLGTHISKIRSLTLDVHSFSNDIVEILLQIGNRVSNMIWEATLDQAQKPVASSTREHR LRFITAKYADKAFVQPLPSPLSRFPTADETLLASIKRNDIQGVLYGIALRANVNVADR SRSTHAVFLALAAADPAHPGQMTSSISSRPSTSTKVIPFPVAELLVQNGAEIPSQPPP IPLSPAAQLYLNQRTARLSNFNHPVPAVTPADTLGSLPTLRGPGASNEQAPSSLDNKE REKLHKRGSAGARFAGKVASLGIDR ANIA_04273 MADTQSHIRPQHLGDKYGPRLVDKAEKLQNQAVAMAVGSKSDSS EPAGGFDSTPFPYAPPGFTLRFTFHRGVNLPVADFGTFSSDSYLVASLAVDVPQRHKQ DPLPTYRTPTVRKNRDPVWEKEWTVANVPACGFRLKCRVYDEDAADHDDKLGNAYIQV DSISAQWPGIQQQPFRLKKHTGSKRVYLFGNVAAIASRRLHTDPHLVISVECLGKTPG TNGAQVYTVGPNYWFKHFSPLIGRLTGTKDAVQSQDGRKTVNRYNFQAIQIQLKGPVP KELYHRYVEFKPFVAGMFTSHTLRGRILNRALHHQHERIYNFDRATLDGQFDEPCLEL TQKFLEFVHYAQGGRIFTYVLTLDGQLRFTETGKEFGIDLLSKHTMHSNVSIYIAYSG EFFVRQRKHNHRMQSLVSNSSSTEYIMDNDGEEMEVSTDPTKYELFIDNDSGTYRPNA KYLPLLKQFISSNFPGLHITTLDCQADAERMERLKNEQREQKNRARGQMAFLQQRSRS SSSLSISSSDEDELNEHSGLENKKRGDFARKVHEMRDVKSQVLRWAQADQDEGARKNA TMSSRPPEGAPDQKNPQQ ANIA_04272 MGRKKSDTNKDSAAFIVPGSCSSTSSRRTSLRLAQASSAEKLEI QHEISETQDESKSSGLSKTRRGMRLAKLEVTIPLKPTPCRSPSSVAADDTSADGINGY DTPATSVAVTPAASDIGPSKKRVSASVRARELRSGNMFLGGPKGSKRSLATETDNHFD AEIAESADAILARDLQLQEYQQNPLKRRMTSNDVFRRIDGFVDSPSILTELGDNARDD GSLGKKSQEISPERKLRTSRRSNVKLVMSDSDDTMDIDGSDYETDSDLSDVSSTASDY QPLIVQRTSQVNSVRNQGLSSQRQRPVTAASALAAGMSFRARRERQKLEKQHPSIITM WDDLKNDPPITPVPAAQPTGISRTLKRFQLEGLNWMMRQEKTQYKGGLLGDEMGMGKT IQAVSLLMSDYPAGRPSLVVVPPVALMQWQSEIQEYTDGKLKVLVYHNTNTKILALSQ SIEKNGKVGIAMMALLKKTVSFIPFIITGSSLTKLTVSSGFSHVSVFNQEILNPITER DDPEARKAALAKLRLITDRIMLRRVKRDHTASMELPPKRQFDTYVSRGVMLNNYANIF GLIMQMRQVANHPDLILKKHAEGGQNVLVCCICDEPAEEAIRSRCRHDFCRRCVKDYI RSFDAGAVVDCPRCHIPLSIDLDQPDLEQHEDYIKKNSIVNRIRMEDWTSSTKIEMLV YELYKLRSKKQTLKSIVFSQFTSMLQLVEWRLRRAGFNTVMLDGTMSPAQRQKSIDYF MNNVNVEVFLVSLKAGGVALNLTEASRVFIVDPWWNPAAEWQSADRCHRIGQRRPCVI TRLCIEDSVESRIVMLQEKKANMINGTINKDQGEALEKLTPEDMQFLFRGS ANIA_04271 MRRQTRNQISGPRSALTDFLASNNISAAQIHDDYQRRLREAESQ ANEGQEERLTDEEYEDNIGETPEERKKRKRKEAATLAKIKQSKEFARRKARRIGEPDD EDELIAREMLKERARPMPGQLENCEICSKRFTVTPYSKTGPQGGLLCPKCSKEVGDKE KKLQPKKKGPRTTRRQNQSDLLDGITQHGALSLVEMCTKKVADNIQDITEFGDLPWQP LRRLSQILSKRRALTPRTLDLFLRPDLGFIDICDSGKLETDDFRKIFTFMPALTSVNL RFAGQLKDDVIDYMLDRNLSIRHLQLDSANLVSDLRWRQVFQKLGDKLETLRLSNLDS SLDDESIEVMCKHCTGLRRLKLTQCWRMCDRSLRAISTLPSLEHLSLDLIQETKTDSL VELVSRLGSNLRSLSLRGFSSADDTLLEMIHNKCRHLRKLRFSDNSVCTDKGFNELFL NWLNAPLEIVDLSSTRDVDNTNPNGPPDAIGLASNGFAALMRHSGSAIRILNIASCRH ISYAAFEEAFAEGKNYPNLKELDVSFHGVMDDYLVGRILQCCPAIQKVVAFACFSVRE PQVPKGVSLIGGLRTQDSLA ANIA_04270 MYSSSNSFLGGANSTRPGQQPYMQQQPPFSQFGQQQPQNQQTGL APQPTGYNPQFSALGASQLQPQATGFPPGQIQPQYTGFPGVSPQPQQTQPTGFPASPQ QTQYGGFPSLGQAPQIQVTSNTNIPLRTGQQTSSEIANSFQNASVATPTPPPKASGGK IPNIRLSFITAQDQAKFEQLFKSAVGDSQAMTGDKAKELLLRSKLPGSDLSRIWVLSD TTKSGQLLFPEFALAMYLCNLRITGRDIPSVLPETIKNEVSSMVDIISFQVPDTQPEP VAKTNVPSFDAPLLENKLAPPAPQQPRPQQPSNSQLLSQLTAQPTGFPPSSGYQANVA VQGQNQGLVPQATVFPGQASSQNLQPPQMGILNNPQPTGYTGPRPPMPPMPTGFGSNL SPSQTGGLVAQPTGIPGQWGFVNAPASGLPNIEALKQQLMPQPGREGGFSAAGLSGNA SIPWAITKEEKKIYDDLFRAWDGLHKGFIGGDTAIEIMGQSGLARNDLEAIWTLADPH NRGRLNMDEFAVAMHLIYRKLNGYPVPNRLPPELIPPSTRNLNDSIGTIKSMLSQDAE HRKATGAFLQPQKTGVSYLKEHSFRGGAVSPGAGRKDATLFKNNDEAAAGYRSSARRR VGNSGRTPSPAASQASEEELSVEQLKKKIRETQIMLDAVDFEDENRAEEEDALDRRDR REAESLMDRIRRVQDDIDTHPHAALRSLDTGAERRTLRRQLQAYEDQVPQIASEVRRV EREIAEAKLELFRLKDAKAHPNSALNIVGTGPGGAVTEADRIKARARARMQARAAELA GRPAPASQDDEEAATRRLEGENARVKAEREKNDSMTRDVEESVKEFARSLEDSLKEGE ESSTREHERRRWEDALGVEDVIRDFIYDLNRGSRTAHIRKEEDSRGSSLEPRSRESSA HTSTAARPSPPASVGLTGASPVTHEDRVAAARERAQRRIAERMAAAGLKPQTDAIETL AQRQERERREREERVKRAEEEDAKREQERQRRIAEEQRTPSTQAAKPAGKKPPPAPPR GSRKGRTDSTGQADAKKAAEGSAKTEQLALEQALKEEQQAQEEETKRLETEAKQREDE FAREQQEQEARLRALEEQVRQGKIKKQEEKRRREEAKKAAQEQEARLARQRAELEAAK ERERQLQLELEGLDESSSDEEGPVDIATPQDSTPTQSQVLPATSDPEPPAPPTPVAEP PTVPEPEQTTASSSPESSRAVSLRLSPETESKNPYFRRNVSQPTDYPPPTPPEVPQVS STQMPDTQSTNPFHRLAQQQQPPPPPPTQPAFTGSAPLARKTRARPEADDDWSAAESD FESSDDEDDRAGGGSAKQLASILFGTMAPPRPLSAMDTQSPSSKSATPVQGSSIPPVP PIPAEIEPSSVADGASPPPPPPPPPPPPPAAAPPPLPSAESPAGIPPPPPPPMAPPAP PPPPGPPAAAPAGAPDRSALLASIQAGKGLRKVQTNDRSTSTSAGRVL ANIA_04269 MNAPDRYESFVLASGENKVEMEIDTRIPSSAIFTFNKEDHTLGN LIRSRLLQSSHVLFAAYKVPHPLVPKFILRVQTDGDISPKQAVITACNELVRDLGILS REFTKEYELRKMVGTTQQQQNGVSDAI ANIA_04268 MSTTQALQTSYPWTKMPLLASAPMLNIASAQLAVSVSAAGGLGF LAGGFDVSSLESNLEEAVQLFKQSNSPAQQNYAASGMLPIGIGFLNWGADLPRSIAAI ANYRPCAVWFFGAKAIPEDLRPWFEQVRAVTDNKTKIWVQVGTVSEAVAVAESLSPDA LVVQGSDAGGHGLTNSASIITLVPEVKDALEARQLRDHIPIIAAGGIVDGRGLAASLV LGASGAAMGTRFLASSEARIARGYQDEVLRASDGGVSTVRSTVYDRVRGILSWPSRYD GRGVINQTYIDAVERGMSDEENKALYEEELKKGDSGWGPNARLTTYAGTGLGLVREIL PAADIVANVIREAESTLQGLKV ANIA_04267 MPIKSFKFSRRKSSGTILEDGENPTQSSFRVFERPSTGRKSLSD GNLLKTGDSGSPPEDDNIFAGSDGPVYRNLTGGNTLESPAAVRFSSSTRRSTDLQTQF DSQSPHSKNLHDIPVPPLSSALRAAGRTFSFGARFSKTPAVPHHRPSSPDTTRSRATT NSTTSTATPPKLLDTELQIGRVDDGFENMFDGIGAQEMAQNSNASASKPGFSTKRDEK PAPINTDRSQEVDPSPYSWGSRHSGEGLLAAADSPQDHPSTAELSMVPPPLGPRRKSS PMFDAVPASTTSHRSLEKPRTATEKGLRRSIISPSKRDTVAIDDEDAKLVMASLNYSK RMSQAHTLDDSADMGAEDDIALFGSDKTTKDVSAQRGYFPPAGPTGDSVDASIAAHAR LAAEYENKPAPAPSSNKVMTPSQFEHYRLQQELKRANDGGSDTDDSAESDFDEEDEAE KNRETERQRRKQEAHLSVYRQQMMKVTGQQSPSPSLRPEDRGSSSTPNLANLSLHPGN PSGSGKSSEGDDDEEIPLGILAAHGFPNRNRPPSRLMSSNSMQNLRASYHQPHLGSAG SDFGGGNRSSLPVFARNLPRDPYFGASLVAPANRESLAFGGGGGSVYGGPSAATGSSP ALPPGGLVGVIATEERARAMRRGSPNTQAMYDHSQGIPGPTGNMGGVPRPHTMLGMNS THGPSFQPSVSATEQAQIQLSQQMSSMMQMQMQWMQQMIQLQGGQVPPQQLASPGNLP MPSFPGNTNSRPSSMPSVGGAFNNVSPSYGGGNQRTLSMLDPNVSSRLNSPAGLYAHG GNRPETPGGPGYAPSLAPSERSNVGLAPRYRPVSTLPVEAESGSFLPQSKPRNDENRR ATYLGPSTNTNPSNTTIRPLSSYGKTLTVPSRLSSHSPAQPDEDDDDEGWAEMMKKRE KKRTNWKVKKESSNFGEDLLNAVH ANIA_04266 MYTVLRTVYSSLHTGLGAHDDPVTSSTMFEELTSILPRASALRR RRYSVRSFYWSLAIFTVLAAFSWGLGRWSSPLVAHGFANPSLMKRENELECRLVRNAK DQCAFVRLNCLDEEDGFFSYLQLYYCALAHAKPLAFIIIVLWLSLLFSTIGIAASDFL CIDLSTLASLLGLSESLTGVTFLAFGNGSPDVFSTFAAMRSNSGSLAIGELIGAAGFI TSVVAGSMALVRPFKVARRSFVRDVGYFVIAISFSMVLLADGRLHAWESATMVALYFF YVVLVVTWHWYIVRRRRVQERNMAARSHFHIPDNQELDIEEMEDDDPGIATESTSLLR GATTEDFDALESAEAPAWTDPDEDDETRNRHFAEIRSNMRVSRPSAPRRNTLNPIRPS LVGALEFQSVLSSLKRSRSHNRSHSIGLERYSDNSESVQGDRSQFDNISIASHPQSSR TQGNSFLSLDQGTNRTRAVSANDAMGLKLDTNFFTSDNAPQPKLTVSRPSIEDGSNTE ISHILESQNGTEFTRSPTSSAFSSRSPSLGPRPGSSVHNPTLLAPPDVFQSLNYSEAA SDSRSTPQVSPKGTRYVTDGQDNNSSGAPSPFPPFQDVPGSPLSTAPSIRLPASFSPA EPLQYQEDTFDSGSRLTSPVNWWPRSRSLLRLVVSTLFPTLDGWKAKTIWEKILGIVA APSVFLLTITLPVVDPVSPEVTSATVPVIVTSAEDDPSAATPIVRLPEDSPQLQAHIS ESVAERTVAGQDNKFQLDRSRQRYDSELPAVQDPAPTTREWYPWLVYIQLFTGPQFVA LISWSAIDSDLTARTFLLLSLCAVVFTLVCLGALLVSERRSPSSLGHPPTSWRPVLAF LGFIVAIFWIATIATEVVSLLKTLGVILNISDSLLGLTVFAVGNSLGDLVANITVARL GYPVMALSACFGGPMLNILLGIGLGGLYMTLHTTKGHTSDAADITYDIAVSKVLVISG ATLLTTLVGLLIIIPWNKWRMDRKIGCGLIILWCVSTLSNVIAEIVS ANIA_04265 MPRVSKHRRSNGAATPQKNSPLKLEATLIISVRIPLNDDVEEKA ARMGARQALHDRQMDQIKAAVKTPMPPRRYTIHDRSSMSPSTPQGSGHRHRDSDAHGR RGVTPMKRVPILANFEEWMKMATDNKINATNSWNFALIDYFHDMSLLKEGDSVNFQKA SCTLDGCVKIYTSRVDSVATETGKLLSGLADSRDKKAREAEAEGEGAEDDEEDGEEGT SKRSRRKRTHEATLAPSFSSLQLKKFELEFSVDPLFKKASADFDEGGAKGLLLNHLSI DGHGRIVFDSSDDAVETAQDRDDTRAQSESLEDPESTSQPPPTEPPRDDSFEGGVEID IAPLASKFFPDLDRLDAQDICPSLKNFDLGDPSGSLDIPLLKAPEDWRQDKGDDDGHP SNDASGIMLDDDNAVGFDDDGSLAGFDLSDDAGFGGGGEAWAREAALEPMLKVHRVDL EGEEVQDGGSLDNDDAYAISLNHQPSSRDHENILSYFDNALQKNWAGPEHWKIRRIKE NAATTAASTAPKQRKEKEPFEIDFSAPLDPTVAELIYLPASSNSAISLPKSQWKTKGR NLLPDDKHFNSRQLLRLFLKPKARMGSRKLNGPRSFTQRRQEQTSGNGEMDEAFWANH KTEDQTGDDEAAPGAYDANFFADDDGLAFPNGIDLDDDDNLPFADAREMLSPPPGGVP GTAAGDAGGATGLAALLNMVGATPGGSQVQSASGGFGSQLVTQGGRRARPDYVAYARV AKKVDVRRLKTEMWKGMGERLISAMDSGPQLSAATSPSKEQHETDDDGPSTPTPIHKR PRLSSDLEPAKEGHLRFTEIMNSLKSVYPPEMLRDISTSYGFICLLHLANEQGLILQN DDDSSGLGLGKLEEIFVRKDTSAILDEMAA ANIA_04264 MSVSSDSQNDSPQTYEPTFPREFVSSENRRSMASSPQPATVGAQ DSSSESMAAPLKSPRSARFAEATAINSPSAAESSRSPFADPPNQSQNAPDVSDVGFGY VNAQDPTQHVPHHAAPASPLKSALRVPGTPARTLNPLSPTFREEFNLEKQEKQAEKAN AKDLAIKVRVRVAKIFLRFVSFGCSLIVLTIIATTLTIFHATKNLPPRSGTTPWAVGT NPWPQYLLLATASLSLLSCLVVFWTWKKRGYKRAEKVAVYYSIFSVGFFGFTLILWVV VSAIYQNSKSNGNNKDLWGWSCVTGNLRSTAYASDIDYPLLCRLQDWGLVCAVIEIVI EVLVILIYAVVFYRFWSKRKLAKSMDRRDKARSDLYLAQLRLQSAPNTPGFPLSPKSP WVSTTMQDPYSSAEKGEATPTQFATPASPTRPQPTFQLQPPPIRVQHATPKSEQEEFP ASAPTPSPPQEHMGAAPGERTYEAVPIPVAYASPMSPSFPQGAR ANIA_04263 MTSIYLALGHHHPSFRGSVKDPVPMAALMQSNNEPVAISTPLTA SSDPIASSSPGSATFLKQSKPDSNLTSIANAGLNVTRSKDSLPAMSTTAVPNSGSAER QLESHRDADQDSSQVAREALGASEKHQSSSVGDSLAIHSDQMQVDSHPGPGEAGDPVF NTAENGTSLINSSTVASPGPIEDSVSQDGDQPRHRDDGDLHQENNNKAFSYPMPTGAF NDPRRGLSLPSSGLHKAGQRSPSAKKHRCPYCATEFTRHHNLKSHLLTHSQEKPFVCT TCQSRFRRLHDLKRHQKLHTGERPHICPKCGRRFARGDALARHNKGQGGCAGRRASMG SYAPEDEYGDAAAAGADEAMDGLVYAEPERMDEDDERRYNMPSIKKHDVPSDSAVRSN SVSSYQARQPSTYPPIAASRPSPGGLFPPPTSHGGSSASPSPISQSGNMAFPSTNQPS GSSAFAPSNMAESPRPLSPNALSSHQLGHGPENGLQMHHRAHSAGISHPFPQQSYNRT GPSQASLSNHTAPSLGLPPPQPGAPQLPPPPGLGSSEPRFSLHSQSSVQASGSAAKHT PSHSHSSNHGGSLTSKTIPEAASTHNVHTSHDPSVFDQQREREEKLWEYIRSVHEELN GLKSEVATLRAQVASSSVNASTTSGSSVTQSSVETGTTNTVQR ANIA_10533 MTVYIASLFLPYTVSFRPPEESQALPSSPLSQHQAPESVSTTPN PAVSLFEKRNKTPNVGLTPGATTDHERIFTSDPNKAADPNRSEYPFPNPDNGYDYEHL TESEAHSPAWGVRTALNQPKPQSAFLASPSILRHQEPLNLSTDSLPKVDGPSSVPPKK HFRKPSGRSHNRKPSFSENEWKITTAEQGNGGLRNAVRAAAETGQLEDKVWVGTLGMP TDALTESTKATISEKLEGEYDCLMVDVSDSDFDGHYTHFCKTILWPVFHYQIPDNPKS KAYEDHSWVYYVKLNQVFAERIARNWRRGDTIWVQDYHLLLVPAMLRKLLPDAQIGFF LHVAFPSSEVFRCLAPRKELLEGMLGANLVGFQTEEYCRHFLQTCSRILSVEATNDGL QLEDRFVNVRKFPIGIDPLSWDKRRKAADVEQWIKTISDRYAGKRLIVARDKIDQVRG IRQKLLSYELFLNTHPEWREKVVLIQVATSTTEQPELEAMISDIVMRINSTHSTLAHQ PLVFLKQDLAFPQYLALISVADAMMITSLREGMNLTSHEFVYCQDGKYGAQRYGSLIL SEFTGSASVFGNHALLVNPWDYHQCADAIHTALSRSEEKRQQVWTQLHEAVLQNSTSN WVKSFSETLSRVWHEQSSREIMAVPRLPMNKLEDRYRQAKRRLIILDYEGTLASWGSP KSIIVTTPQRAITTLADLTEDPRNVVYVMSARMPEELERLFRLVSGLGLIAENGCFIR EPYSETWSKLTNQDQTDAWKTAVRQMLEYYRQRAEGSWIEQRHCSLVFHYEAAEDHAA ACRLASECADHINDACANQGVHAILVDGVLVVEATSTNKASAAELAWRSCLKQENDAG RPDFLLAIGDSRDDEPVFRWANKLESAHAVSYAMTVTLGSRSTEARATLTQGVAGVLT SLERLAKTSANQGVVL ANIA_10534 MDSGTAHGAQFEEAIRVTPLGHNRYSAFLQKSFCIGTVPHGGYT SAVLYRLALVHFATAHPNLYKGEPATPISMHLTFLRRTAEGPAKLRVHDMKLGKRTSS LHVELLQPKDQAQSGTEIDEREMEVKVAGYITVSPASSEVGVSAKTNWELHPKPVSGS RSDGGVDFDRLSKTGRDEAWARQDPPFAQFRKATTQVELYGIDPALKKRKNGIVDQWA RLKPEGQLTRWSNEAVVFLTDMFPMALDGFDTMADGRESGSATGGAGPTAKYWFPTVS LSIDFKKRLPPAGEEWLYSRVVTKEVRDGRTDLDVTILDAKGEIVALSTQIGLVVSAS RNIGKRARL ANIA_04261 MPTNHVPPPQYTPISTYSRLPKPATGEDGFFSETLSSATTIPTV HTFKLEHLQPNLPSQPPSWPSPTTPPDLIPVPGADLIMRLELATPGVCGHPATAHGGV LATVIDEAMSLGVTLYAPEAGEQYDPTAVGTASATRGVPGGRIRSKMFTSQLDIRYKR PVSVPGEIEVRVQVLAKQGRKLWVKAQVVQNGQIMVDAMAFWLLTLAKSVL ANIA_04260 MIYTPIFLALIAPIAAQSSSNWTLPAGFDLNKVDSTTRANWCLA ERNNCPKICGGVATDNRCDQDTLDFTCTCSNGTDANVGLYQGTIPFFVCQEEYAQCIQ QSTTQDGDEVCKEGLNSCGSLNASASTTTSTTTTTSTTVTSETSTATGDSSNTEATAT DSSDSPSETDGAMRLMQNYGLAGFATVMVAAFALL ANIA_04259 MPAPSTTLLIEGSFSELAEEFAAYLDALNKPDDTTVQTEVAPLL QPLREQEQNDTQLDQSKRDEVLKKLVSAATVLNTAPEKEITPAYNLLIHLVQQASDPD MFLSRICSYLAKPIPSSPQFGASLSIAILSTIFNTLAPTDSSRFHVLLAIVTVIRQSG SSAAFDALKPQLTAQLPNWRSTWELDDEEARRLHLAIADAAQAAGDLDWAQTHVVDAL QTIPPAEASSPAARDLAVRALTSALTHPAVFDFTPLTAADAVQALRSSDAPLFELLEI FTADTLDAYEDFISATPVENILPDNALAPHAEALQTKIRLLTLASLAAAATTTTGPSA RSLSYETIASALRVPQEEVEKWVIDTIRAGLVEGKLSQLRSEFLVHRATYRVFGEKQW AEVQGRLMVWRRSLENVLGVIRSERERFVREAAAAAAAAAAEGEKGDKNNKGPSERRR APQEIAAAE ANIA_04258 MSSDQKTPRFSPAKTTVVFLLGGPGSGKGTQSANLVRDYGFVHL SAGDLLRAEQIRPESEYGALIKNYITEGKIVPMEITVALLSNAMAAELDANKDKYASA KPRFLIDGFPRKLDQAVFFEETVCPSEFTLFLDCPEEVMEKRLLKRGETSGRDDDNAE SIRKRFRTFVETSMPVVTEFEKQDKVISVAATGTVEEVYERIQAGFEKRGVKPSS ANIA_11432 MYNEPSLMQRPEVLLRSDKLAKPRVENQRAAVREGDDESGFDAK EDKRLSERLAGPMKAGKVLKLVFD ANIA_04257 MLFSAILLSLTFGRVFADAGDDDDLMSFVTLPKVRALKFEISYH DRQAVAPGYWFVAPYGIIEPEVPTKQWMPYQVGPYIYDGDGVLVWAGSPMFDNRNTFD FKAANNIDGESHLSFILQHQYHDDGTDKGYGYILDQHYEQEYKVGVVNDLSAFNMHEF NVLDGGKTALACLYKSEYSDLSALGRPQDFSWIIAGGLLELDTETGEVLFEWSSLNNV LVDESVKVSTDSYPSDRPGWDYIHVNSADKNSVGDYLLSARFANTIYYISKEGEIVWR LGGKFNDFDMDFTFSKQHHARFIESNGTHHVISFLNNASDELEAEEDVSSALYVQLDT TVSPMTARVIKRINRPDGGLTRLRGNVQTLPNGNTFVGWSERGYHSEHDPDGKLLMEA KFVSTRLSSYRSYKYPFTGRPNTPPDVVASVYGTEPADLTTIFHVSWNGATDIASWQF YARSSEDGLPVPVGSTVKTDFETMYIADGYLDWVSVEALDKEGNILGKSEVQRTQTPS NWRLAGFQGEEQPTPDDPAILYSTKEEKPDVGEEEEEEGKEEDMADMDMDMDMESEVD PNEAAKAAVQAYEMIRGVGGLLIFILVTCSLGGVLAAIYYCLRRRRTRSYHEIPLDEA ERQPMAST ANIA_04256 MPTSKVQDKNGQTLKEGDYVFTRIRGGSHQGKIEKIVTDEAEAE QEDVKNPPKVIYNDQHGHRVAHNPGTLEKTQPDE ANIA_04255 MSSPLVKVLHRMQALFSNIVAALETMLLFPSLFRDSSRGGGKSR AAFRRRRALDALADEIEIIFSKPLTLKNMLAMSEKIREQFRAGLESSPINMLPSYNHA LPTGLEQGTFLALDVGGSTMRVALIELCGQGKMEVLRVSSSLIDNDVKLLEGTSFFDW MAEKIEEMLREVGTNYGREEAPLSMGLSWSFPIEQTSISSGLVIHMGKGFRCSMGTVG QELGSLIVQSCQKRGLNVRVDAIVNDSSAALLSRAYVDPTTRMSLILGTGTNVAIHFP VHAIGLGKFGKRPQGWFDYAKHVIINSEMSMFGGGVLPMTRWDDILNRTHLRPDYQPL EYMATGRYLGEIVRLIIVDAVETAQLFGGELPHSMRDAYSLDTSIVAFIEADTSPFLT ASAALLQKEHTMSRPPSPEDLRFLLRVCRTISKRAAGYLATAIHSMWCLRNEAEISQG PPSPSFKGPRDVTVTESGSNSDCLSIACDGSVINKYPGFRDRCQAYLDQLTQETNTSK VSQISEEGSCIRLEPAPEGAIFGAAVAVAVAVAGKLEQTIV ANIA_04254 MRTVAHNEDIQRRIRFLIQRQHDHEKQWWTGREALLQKQSARKE KKRELDEVLRSVGAPVDEKEVSTAEEDLAEIRNYDVKVHRAAKQMADAMMMELKALDV PFFCINKSLIAGETVSQNQGHRDSSGPTPGTQDRQGRLSRDELSALQRRMLELLQDLC KE ANIA_04253 MSRSAADATRFTATGPYASSKPSGAPYKLPGFLANESKSQNNGS GGNRQETPKEKVERLRAQARAARLAQSTSRVDTMVEFGRRFANKAHKTMVYTLITASG ICGVLTVYSMVSLTLYNRRQRALWIEKEMQTLRDAQAAYVTGTATAEQLELLKKEKIG EIYKQKKEEERAQRPWNKVKNYLLGGLKTEETAPAGAAAVGAENNKPGVLEALNAAAS KPDQAPSTPSTAAPAAPGQLDVLAENAEAAAKQTTKSWTSWLTGR ANIA_04252 MSGPYDHNQGYYNPNQYQQGYYPEQGQQYQGYQPQHSQQYDQGY PQQHGQPYDQGYPQQHQQYDSQRGTSNDYYGQQPPQQGYDQYGQHQQQQYGQEAPGGA QEGERGLGGAVAGGLAGAFGGHKVNHGVLGAIGGAIVGSLAQDALKKKDKHKDEPYYD QHSQYGGSHHSGQSGHSSKLDQLGSFFKK ANIA_04251 MIVRQLYARQSRQLLALNRQGYIPRRFSSTGTETPTPVETIVHR SIPEPGTRQSSAALTNNPAAKALAQEAEQRHKFQQLGTSVTNVYKPENLIRHPPFPSD ITLELLLANQTHLGHSTSRWNPQNSRYIFGIREGIHIISLDITAAYLRRAAKVVEEVA ARGGLILFAGTRPGQKRIVVRAAELSKGYHIFERWIPGSLTNGEQILGHCEKKVVNVL DEELPDLKESLADHAILKPDLVICLNPLENEPLLHECGLNNVPTIGIIDTDADPTRVT YPIPANDDSLRSVAVIAGVLGRAGQAGQKRRLEQAKKDLERKALHGDAGEVGSPQF ANIA_04250 MEPQEILEHEGGGALRTIKDLSAGAAGGIAQVLLGQPFDIVKVR LQTTTQYSSALDCASKILKNEGPLAFYKGTLTPLIGIGACVSVQFGAFHEARRRLEEL NKKKYADSALGYGQYYLAGGFAGITNSFLSGPIEHVRIRLQTQPHGAGRLYNGPLDCI RKLTNQGGFLKGLYRGQAVTYLREVQAYGVWFLTFEYLMNQDAKRNNVKREDISSLKV ATYGGLAGEALWLSSYPMDVVKSKMQSDGFGAQQQFKSMTDCFKKTYAAEGLAGFWKG IGPTLLRAMPVSAGTFAVVELTMRALG ANIA_10535 SSEENDDKRPPTMQRRTIVQLSRRHPVDWPGTARLRNAAIAYNP RRCFHPALSRLNSSDSSSSSNSDDPSSPSTSQNSAPKFGSRWAPRQTSQPAALSPEEQ AIRNALLTKSAAPSSSNTTTGSLGSPQPTPKFGPRWAPRQTSQPAALSPEEQAIRNAL LPKTSGSSTSDSPKQDNVPASSTSVSKPGSRWAARQTSPSSTLSPDEQAIRDSLLFRT TRKKERQQDEAPSRRPPGSHRHSGSKTKAPPIDKESRDLQPLKEYEVNGASKLPKALR NQDWVCAECGFRCFGKHSICPMCKARRPGLINPSQGESRFKDWICPNCGFTCFGKHRL CPRCKARRPARNTNSGLTEPSSRDNIAGTSSPSDVKQSRGDTVAGAGINLTTDSPLEA SEGSTGDSIEEPFKIRKKFAHDAEPDDGSRQSALRKHMEAKLAQAQEAGEEDLALRRR LKELRAAKRDKQEITELETDTWSPSTERRKSRGEKSRDEHNKKAKRRGGNRERESALE EEFDVDEYHRRREERKKKKKERRSKQADEQELSPLYLPEFISVSNFADVVGMRPAQLV ERMEEMGFEDVSYSHVLDAETAGLIAAEFGYEPIVDTGAEQDLTAAPEPEDKSIWPSR PPVVTIMGHVDHGKTTILDWLRKSSVVASEHGGITQHIGAFSVTMPSGKKITFLDTPG HAAFLDMRRRGADVTDIVVLVVAADDSVKPQTVEAIKHATSAKVPIIVAMSKIDKEGI NPERVKQDLSSHGIHVEDYGGDVQAIGVSGKTGQGMLELEEAIITLSEVLDHRADPDG FVEGWVIEASTKSYGRVATVLIRRGTLRPGDILVAGNTWARVRTLRNEAGVSISEATP GMPVEIDGWRENPTAGTELLQAEDEQHAKDVVEYRVEREETQRLGQDTAAINEARRDM IEKRRKEASEEEELTEEKLSGPKPINFVVKADVHGSAEAVENSITAIGNNEVYAKVLR SEVGPISESDIELAAAANGHIVCFNMPIDTTMSRMAQNLGVNIMDHNIIYKLVDDVKD TLSEQLAPSITKRVTGGAEVGKIFGISLKGRA ANIA_04249 MATDAESNYAVFRECVSSVIIARSNQHKPSRTKRKASKIKAAKR RGNSDSTDETSPPSTPAPAEENPEELADFIDFVATEIFTTLPTSLQTLSYSAIQHSPA LSTTYSLPLTHSTLESLSNPLPSTVTDTLSTYTPDLESPSLLNKVLAEYIPAVTRPPP VWAKTRASACEICERDWIPLSYHHLIPRAVHDKVIKKGWHDEWMLNSVAWLCRACHSF VHRMAINEELAREWFTVDRILEREDVQDWASICFIQEVLSEKGWARLEVSLFRDFLVA LDLVPYCEIGPVLEANTTLGVFAHLCHVLFDVLER ANIA_04248 MAWDLNTAAWLYPLRVAFLAIFQGAGAWVSGAFLVLGEGAAIVA GLFEAFFVDETLVDIFDAVLVSEGQGELVAASRVLYPQGDDVVKRLGKPTQSAVYSPF SLRQILEFIFLLPLNFIPVAGTPMFLILTGYRGGPFHHWRYFQLLDLTKQQRKERIRK RQLQYTTFGTVALVLQLVPVLSMFFLMSTAVGAAMWAVDIENRRPLLSGQPEREVLYH DNNDTLA ANIA_04247 MDQRTRLQKACDACSIRKVKCDTSGPPCRSCASLNIPCTYERPT RRRGPPNRHAEAFKKQKLGETPYGAANSPSPDQSTQLSPALSGTGLPPTTAGALSLES ICSLPTMLLLIDDYFTYIHPLIPVPHEPTYRAALERREDLTHNTFLAMTAGMIGTLVA SFPRRPKMHMKPEERAAFPHSTALVKRCRDVAVQARGAGYLDRNPTVYDAATSYFLGL CSGYIWNLRSCRAYLAECLTMIHVYNLCTLPQPRVPPLGPTSPASSSSFHSQEIEPSA NILEVELGRRLFYVTLVGYRTLQQMGSHDSAIHVPPETPTERYPPLPLEIDDEYIFPT HLDQQPAHKVSRLVGFNANVRVYSSYNPISAWETAFGAGQIFDWDRQRVVIYECLQKS KLALANVPKELSLSLTEGAPVSQGEDVKMMLNSEDAASSARRHIQYEIQKANIYASQL ATRSYLVEKYWSLHEAWKMYQNQSRQPTPVNSPLGGNGIDPTDHISNIMVEERQLVIR DLFVLLQSVNEINMEPNGASVTSKIRQIASTLLDLSKSSTTAPVTAGPQPLTKAEAEA YLSAFIDTLVRLEGLGPSSKGPSSPQTQGRAMSYLSDHDRDEEELRQWASLKAYQAKF AEAGGLLSEI ANIA_04246 MLTLAQSVSRLRSFSDLLAMIVLFYLLLSVCSAGPIFFTPTLIA EHDALDAASTAWRASMHFLMARDDGDDDMPLVVIPVTPAPNYTPATQQPTYTEAGIES ATNSPTPIPTDEDTPVTSMTPATPTTESTAFSPESATSVTAGVVEDLPSETSASRSTS MPTGVIGNLPKGSETIVSHTTSTPMTTGIVEDLPMTESETPSPTNAATQSASSPMPTD LEQDETGSGVPSTSHTPASTHHGPTSATTLTSVSATTSRPVIPVETSAVSTQTQDSST QPFVSGGTPTSKETPSTQAQAHIGSPPASNAATTPVDETASKGGISASTSDRTTTTQT TPVMVIVTQGADPEHPTSQTIFWPGRGSSSSAVTWESSSPLNPTTSTSAHAPTPTPVW TWAWTPTSASTVTAISTSLETSTLPGNQEEESTTTLMLSPTSTTLTPNSISEEPTSTT TVTEISTIVQTSTLPAVSASEPAPATAPTFSSSNLGEEPTSTTTVTVISTIVQTSTLP LDSEPSPTSTVSATVTPMSPFSSSSLGLEEEPTSTTTVIIITTIVQTSTLPADASSNT ETRAQTPQSQSQSRETITLTGTRTATVSHTATMTATATVTAANVDTNQENQVNACGTG GDATGADGELLLVPCTPPGFATITVTVTTTVTEREPAQTITVTA ANIA_04245 MANFRLYLAFVGTFIFAVVLLQLISVLHGFDDSPVLEASLQWHD RSSNYVGDDSIFVVGAGKADITGPVVEVGFGGYADLDQVGTGLRQRLYSRAFIVANPN NLEQTWIYIVLDTLTGDTGVRDGVLKGLAELGSDYSRQSYNAIVDGVLLSIRRAHESL APGRLTFGTIDVEDANINRSPYSYDANPEEEKARYPHNVDKTLELLRFDRENDNKTMA VLTFFPVHGTSLYGNNTLASGDNKGVAAWLFERSVQDDSRFANDFVAGFSQSNVGDTS PNILGAWCDDGSGEECRYSDSTCGGQSTTCHGRGPFFREDSYGAKSCFEIGRRQYSAA KELYSQMETNAIQIRKSSQVSSFHLFEDLKGYTFQSPFNSSTLTTCSAALGFSFAAGT TDWPGYFDFTQNDTTPAERNPLWYIARGFLHTPTPEQRKCQEPKDVLLDVGEMSLPYA WTPNIVDIQLHRIGQLIIVTSTSEVTTMAGRRWREAIAKSARDILSIFDPLVVLGSPA NSYAHYVTTEEEYSRQRYEGASTLYGPNTLAAYVNLTLTYLPYLDESAAAGQYPEPSG IEPPINTEKSLSFIPSVVYDGHPIGKAYGDIITSAGNTRYAPGDVASATFIGANPRNN LRLESTFAAVERQTDDGHWETVRTDSDWSLVYRWKRTNTVLGHSEVTLQWEIEDDYYA VGSPRPVQAGVYRFHYYGDAKGLNGRIEAFEGVDEPFTVVV ANIA_04244 MPLVDESHDSLPYIDATPSAEARAYAEKLIASELSSDYQTSVHP SIPEFPEPKFSPLIQQEIERKAAGLPLTGGIDLARYEAPEPPTRSADSAPNLDEWRQT LRRAYTASSHLSSRQENLSLLEESGKNAWLIGNSQLEDILRALEKELAETKEATESVN KQRKIAQESCQGEITGLEESWRRGVGAILDVELAAEDLRMKILEQRRQHAQQQAR ANIA_04243 MVRSVRFASTRSSLLNARSWLEKGASIPVIFRRSSTKRSSQRDL EAGKYPRGEDPAAIRALDLQPPRRDLLDLGVTFVKTDIVNEAAVQSAFSQPWPSAVAD LPLTVFHNAAVIRPADRHKAFLPLVRKVNVGGTVNVLKAAKAAGASCFISTSSGSVCM RRPAFWISPWAKTPRHAVQVLSDETELPKEHDEFFGTYPISKLEAEALVRAADNIKAN FRTGCIRPANGISGVGSESSATIIGLYLKMGGGPTWLHPVIQNLVNAENVSIAHLLYE QRLIEHTASPSALPNIGGDAFIVTDPNPAVSFGDLYLLMTTLATTPVRFPYVPPVIML ILSHFVEWYVLLRLFYFPWLPEVTSDLRRLQPAVFAISNPHLIVDDGRARKDPQDGGL GYNPPLTSLDGACKELVHWNQLAVEKGAAAIMGKAP ANIA_04242 MCLFIGAAFAMLSHFGRLRWLASYSRHLVFECASSALRALVLSC SVGHPARTSSQISCSVNLWWFPEMLAARKSLMGLMSRCPRAWGIKQTAGISGLGEHQE WGSRHNNEQNFRFSRSQLQKEAEN ANIA_04241 MAPLSEVYGRKPVSIACLGVFTVLIIPCALAKSIVALIIVRFIG ALFGSVMISTAPGMVADLVTDEQRALAISIWSIGPINGPVLGPIIGGFVTQYLGWRWM NWIALILSGVAFCFACIMKETYSPIILQKKAAQRRAETDDERWWSRYDQKASLVEMLK VNLSRPFVMAVVEPICIFWNIYIAIVYGILYLCFTAYPIVFRQIRGWSLGLSGLAFCG IGVGCLIMIACEPLVRRMINSHKHDPETGKVPPEAMVSIVCISAILIPTGELWFAWTC SPASIPWIVPILAGVFFGCGNAGVFIYASNYLTDSYGVYAASALAGNSVMRSILGGVM PLVGTYLYDGLGPNWAGTLLGLLEVAIIPIPFVFYKYGYKIRMKSALITRMQEDKKKL ERKRKRLEQRLAAAVAEEREKTEV ANIA_04240 MWSWFGGAAAQKRKEAPKNAILQLRSHLDMLQKREKHLENQMNE QEAIAKKNVTTNKNAAKAALRRKKVHEKNLEQTQAQIVQLEQQIYSIEAANINHETLA AMKAAGAAMEKIHNGMTVEQVDETILRDKLREQQAINDEIAIAITNPGFGEQVDEEDL EAELEGMEQEAMDERMLHTGTVPVADQLNRLPAPANAERKALPFPPQKRTPTDSLPAA KAKQKAEEEDEEAELEKLRAEMAM ANIA_04239 MPRKLRAAAQAAAQSMKNVAPPLGDGSDEEMIEAPPSRESSAPV VPDEAEDEEDAKEGENAGKEEQEGASSSKAEEPDTPAQPALAQGEGEEAAATPAQDSN PPSRPDTPTHLEAGRVSAIPRKRRIGRPPKNRPPDWDAPADGSPQIHVSTPVKRRRGR PAASGGRWGRGRGPSHVTQVPIDKEGNMMDVIDDEVAVPGDPEGDTKVDKNGILQGGR EYRVRTFTILNRGERQYMLSTEPARCIGFRDSYLFFQKHKLLYKIIIDDDAKRDLIER DIIPHSYKGRAIGVVTARSVFREFGAKIIVGGRKVIDDYQAQAARERGDVEGELAVPE DKLPPPGEPYNKNQYVAWHGASSVYHTSTPAVPIPGTGKVVDSKKRRVTVTGDNWMLE HAREAANFNAVLSHTRQQNLGGVYDIHTNIIHYPKIMQPTHARWERVPPSDARGANKL TKEMSTLTLSNGVVEQENAPPEPETEIQDSKPAGETSTIFSQVPSALARRFAVLDFYT ESPTYSNLGIPGPDGDVHDLGSNGLISVANPKHPEFVNPEILDELPPDCKEALIEAAS HEWEWKSRWCSEVDDGARVAPRKSYAWFP ANIA_10528 MPGHWLVQCSVAQSTRTRRDQFSEDDTVQPFQLRPQPSIAPHAN RRQLHGFGVRSAFPPPPHFVCIEEGGVWSTATPTTNWPFYETYGQSEIYSLTQCN ANIA_04238 MAAAQSGSENTELSKQPSPQNDGCQDDDNHDQIGSSTPSPSGIA TPQPNPADRRLPSIMHNYFQVGSSSAVMLRSLKTRFSLSDPSPSSADTMQSGSLANGT RDAQSSGHTSSSSGSFVIMERDEARLESPTTPPDNEAETQEISQESRPPAALPTPPCS SACSLLQKESEEPEAGPDKGMGSIFNTLKNYLSPSRSVSCSDSQARRHTSHPVSSISD DPVLASHFFNPSLSQTPESLCLVEAPLLDHEKPHVSASSENAAKLTANVSNPSHLKNT PPHTPRAMSDEDLQSNGKAPASPPRSSNPTHSPRDEPSDSADEITGKLNEVFPSSMDT SSSPSSGPPVASLKGKLHVKISEGKGLQPGFDPYVVCVFEWNEVISKSVQDEEQESLK RQQKELEQSALDSGRPMAIPMNRQSSHNNTLESTDHRGHAPITDPHWNHEAVFDVFGD QSEIDVSVYDRNDSEAFLGHVRLCVNLKEDNSRLDGWFPLKGRAAGDSRVSGEIHMEM RFEKTEKKQVGPNDFQILKLIGKGTFGQVYQVKKKDTRRIYAMKVLSKKVIIQKKEVA HTVGERNILVRTAMAASPFIVGLKFSFQTPTDLYLVTDYMSGGELFWHLQKEGRFQEP RAKFYIAELIMALQHLHDHDIVYRDLKPENILLDANGHIALCDFGLSKANLTQNDTTN TFCGTTEYLAPEVLLDEQGYTKMVDFWSLGVLVFEMCCGWSPFYAEDTQQMYKNIAFG KVRFPRDALSTEGRNFVKGLLNRNPKHRLGAQNDAKELMAHPFFHDIDWEALGRKEVI PPFKPKLKSDTDTSNFDPEFTNALENSNSLNDRAAALANGFMAASTPLSPGMQANFQG FTFVNESSIDHHFKNEVGDRMDEDYEPMHRSHRSGNSIDHRMAGVQKTGDAGEIFNVD DNFDM ANIA_04237 MSVVANRPESASMPYTAIPHSHSYSDSVYGSSVPSIPSRTSSVS SFKTNYTASTIPTSYSPTFSGSYRTCDSAASLDKILESVFKRVPQEVYENILDQLQFL HSGPNQSGCMTCFQRDLHALSLTCRPWEKAVRSRLYNRIHIVGSDSPAQLKKYRLKRG SRLKLLRRTLRERKLLANLVLELRVPQIDLLFTTGKHTAQWQEYRDLIASVVMVCPNL ERLLGLTIPFNHEFDRLTHALSTRRKLKEHTWILCEATETSEASPRSTSCPGSLGPLQ MFEFLNYHASWTNLETLMLYGLDRTALEPSVFLRTIDLLPSLRNLCVSNFDADAFSDT ALLCLPSLESLRLENLPGVTDAGLAQYTSRPESSTLKSLTLIEPNIDSLLVISKILAS LQSLERFKIVQTEKCPTLNTDGIVFQPLLASSSLRFLHWDVACPNPSTALSRLDFAPF AKPLQHTEAPNSHLAQSILCGGFPRLDALRAPSDIEPPGALQAVCQPIPRGQALLQPD RYSLPRSSHGSVQTRPMALPGGNNLTSARIRAQTFIDMAARDTETGLQVLITDHSDSY VPDNALEGSDDEAENELDETGMWEIPQDRPKPNPIPEDHEGPITVFDFRMPAYMGRTG AKISERDISIPRFILRPDIVGQDADGGLVSWRHILAANQSFTFAAGVGVNCFGSKGVS SPTIEEPLSPTSTSTPRFGWGSIASITSTMATSPVTPTTPPTPMSMSTMSVPPWEKDV CTGSWNYSHKGGRDWWFHMERERPVKAELYDVKRLF ANIA_04236 MSTLEDLDDLEREERDKKKEQGDGGDGKQPGGDGDAEMKDPDAK KKDEDDDLLDEEILNSSTADIIKRRRMLENELRIMKSEYQRLTHEQNTMKEKVKDNQE KIENNRQLPYLVGNVVELLDLDVEAEAAEEGANIDLDATRVGKSAVIKTSTRQTIYLP LIGLVDHEKLKPGDLIGVNKDSYLILDTLPAEYDNRVKAMEVDEKPTEKYTDIGGLDK QIEEIVEAIVWPMKEAERFKKLGIKAPKGALMYGPPGTGKTLLARACAAETNATFLKL AGPQLVQMFIGDGAKLVRDCFALAKEKAPSIIFIDELDAVGTKRFDSEKSGDREVQRT MLELLNQLDGFASDDRIKVLAATNRVDVLDPALLRSGRLDRKIEFPLPNEEARANILQ IHSRKMTVEDSVNWAELARSTDEFGGAQLKAVCVEAGMIALRKGHSKIGHENYVDAIA EVQAKKKDTNMGIYV ANIA_10527 MNRLALYGALSSLKPAGTPRKYGICLVSRNVSTALRPLTLSSPR VRAIGPQLLRWNSSQEPLPEQKVLKQELKEEVPPPKQEERKQEDPLRFSVKRDVAEGP SGERNNLSSLAGKPAFSLHTGEKTVTLPVNRFENSSRAFLKWWLRDNCQCDKCVHPST RQRIVDTFSIPDDIGVKDISNGRSQVTVTWSDGHVSSYNHSWLAQGGRRAPTSLGEYP LRFRKGMTYNPKHEGNVKEMPSVSYSEVMANDAGVLKWLERIYDWGFCLVRDTPINPE CTEALLRRIAHIRHTHYGGFWDFTADMSFKDTAYTNEALGAHTDNTYFTDPARLQLFH MLSHTDGDGGATLLVDGFRAARRLYAESKQNLNHLRNIRQPFHASGNEDSIYQPVEQQ VVLRAHAQFKHRLYQVRWNNYDRAVKWNWSLEEQEAWYKAAKHFNDIIHREDMEIWTQ LQPGTALIFDNWRMLHGRSAFTGKRRMCGGYISNDDFLSRYRVLKFGRDHVLRRLGDL RDYPTNPSCIF ANIA_10526 MSEIENSTITSSADRMVGMDHAEVRYFTSYDHHGIHEEMLKDDV RTRSYRDSIYQNRHIFKDKVVLDVGCGTGILSMFAAKAGAKHVIGVDMSSIIEKAREI VAVNGLADKITLLQGKMEEVQLPFPSVDIIISEWMGYFLLYESMLDTVLYARDRYLVP GGKIFPDKATMYLAGIEDGEYKDDKIGFWDNVYGFDYSPMKEIALTEPLVDTVELKAL VTDPCPIITFDLYTVTKEDLAFEVPYSLPVKRSDFVHAVIAWFDIEFGACHKPINFST GPHAKYTHWKQTVFYLRDVLTVEEEESISGVLSNRPNDKNKRDLDINLTYKLETQDQT RFAEGGCFYRM ANIA_04235 MIRSPAVRKVGYCFLFLLLASCVQESSASLGDHLPDFKSCVKIC EAENCQDGDSAIRMFLVSQWQSSVSLLAFLLRLMLWTCPAECDYTCQHVVTDRRLARD PPMLSPVVQFHGKWPFRRILGMQELFSVIFSGLNFLAHWYGMARLREMTPSWHPLQKY YIAFGYSGLAAWTFSMLFHARDFPLTEKLDYFGAGASVLYGLYLATVRIFRLDKEQPR YRPTLRRLWTTVCILLYTIHVCYLSFWSWDYTYNMIANIVVGMIQNTLWICFSVVRYQ KTGKTWTLWPALIVVWIILAMSLELLDFPPWYALIDAHSLWHLGTVIPCAWWYLYLVK DIQDDVAGERLKA ANIA_04234 MASPEVKKAIAEAASQYVKPEGKVFQYGTAGFRMRADYLNTVVF AVGLLAGLRSKKLSGQWVGVMVTASHNPAEDNGVKLVDPMGEMLEAEWETHATKLANV PMESIADVYEELIKEIDVSMENPARVVFARDTRASGSRLVGVLNAALTATDVEFLDLK YMTTPQLHYVVRCKNTLGTQYEYGEPTEQGYYEKLAASFKKVMRGVKVNGSLTVDCAN GVGGPKLRELIKYLHSPEEGGIDIKIVNDDVINPDSLNLDCGADYVKTKQRAPPSSKA SPLDRCASLDGDADRLIYYFMDEGNVFRMLDGDRIATLAASFIGDLARSAGIASKLKI GVVQTAYANGSSTDYIEKVLKLPSVCTNTGVKHLHHAALRFDVGVYFEANGHGTVTFS ENALKTIKTTEPQSPAQKRALECLDALTDLINQAVGDALSDLLLVEAILAHKGWTPTE WLATYTDLPSRLVRVEVADRSIFKAYDAERKLESPPGLQAKIESLQSRYNKGRSFARA SGTEDAVRVYAEAASRSEADDLATRVANAVRDAGAKEVVQ ANIA_04233 MSALKKRKITEKQPETNSDSEAESVSSRGSAKDETQTSGEEPAP AKSFKELGIIDQLCEACENMGYKAPTPIQSQAIPLALEGRDVIGLAETGSGKTAAFAL PMLQALMEAPQTLFGLVLAPTRELAYQISQAFETLGSTIGVRCAVIVGGMDMVAQSIA LGKKPHIIVATPGRLLDHLENTKGFSLRNLKYLAIDEADRLLDMDFGESLDKIIRILP RTRHTYLFSATMSTKVESLQRASLSNPVRVSVSSKYQTVSTLQSSYICIPHKHKNLYL VYLLNEFAGQSAIIFTTTVHETQRVAFMLRALGFGAIPLHGQLSQSARLGALGKFRSR SRDILVATDVAARGLDIPSVDVVFNFDLPMDSKTYIHRVGRTARAGKSGVAISFVTQY DVEVWLRIEHALSKKLPEYQVEKDEVMVMSERVAEASRQATIEMKSFDEKKGARGKKF GKGKRSRDDMDQEEG ANIA_04232 MAKHTKSHAHPKPPSGAAKGKGSAASVSKTPKSMVIRIGGSRVG SSVSQLVKDVRLMMEPDTAVRLKERKSNRLRDYAVMAGPLGVTHFLLFSKSSTGNTNM RLALTPRGPTLNFKVESYSLCRDVEKALKRPRGGGQDHKTPPLLVMNNFNSPNADENS KVPKRLESLTTTVFQSLFPPINPQATPLKSIRRVMLLNREPASESDKEGSYILNLRHY AITTRKTGIPKRIRRLDPKEVRNREKHKSAVPNLGKLEDAADYLLDPSAAGYTSASET ELDTDAEVEVAGTTTRKVLTKREMQRMKTGEKVEKKTTTAEVEKRAVKLVELGPRMRL RLIKVEEGLCEGRVMWHDYIHKSQQEVDALDKTWDQKIKEKEARKKLQRENVERKKQE KAKARAEGKEVEDDEDDEDVDMDDEWISDDEEEQNQEVDEEDEGDESIDE ANIA_04231 MFTGLVETIGTVSSLEPLDTSASGGGGTSLTITDCDTILTDVQL GDSISVNGACLTVTAFEKNWFKVGVAPETLRRTNLGSLTETSKVNLERAVKGETRMGG HIVQGHVDTIAKIIAMTPDENSLVLRLQPRDPAVLRYIVEKGFITLDGASLTITQVKD GEDGWFEIMLIAYTQEKIVTAAKRVGDFVNVEIDVVAKYVEKGVQAYFAGTAGGNMGI LEKMVGRIVDEKLNGR ANIA_04230 MNATFRLQRVLIAQRPSIQRSTRTNIFRHATQWRSYSSPLPPAD GTLPLQGIRVLDMTRVLAGILGDLGAEVIKVEHPVRGDDTRAWGPPYAKYANDSKEGP GESAYYLAVNRNKKSIGLSFAHKSGVDILHKLVKECDVLVENYLPGSLKKYNMDYETL REINPSLIYASITGYGQTGPYSNRAGYDVMVEAEMGLMHITGSRGGDPVKVGVAVTDL TTGLYTSNAIMAALLARVRTGKGQHIDACLSDCQVATLSNIASSALISGQKDSGRWGT EHPSIVPYRSYQTLDGDILFGGGNDRLFGVLCDRLGHPEWKEDPRFLTNRDRVKHRAV IDGLIEDCVKQKTTQQWLEIMEGSGMPYAAVNDIQGTLNHEHVRARGMVTEIDHPACG PVKLVNTPIKYSHATPGVRTPPPTLGQHTDEVLGELLQYGEKQISQLKQDGVVS ANIA_04229 MGVHIPKLSAATTELLARIAGNIKGTQQKGLRRDDPTTVTLSPS PLSSNSDIQNTASRRAGKMKVSSTIIELPTFPFVYPTRVETPVVPPRPACMPLPASND INGTTSKSDSLVNIAPKPTEPRTTSTSATAVPRVPVQTQLPLDQPPASNDVCEISARP HNLANIAPKPAAPHTSSIPALVVPHEQVHTRPPSEHQSPSSSRINGTLANQGSVNIAP KPAVLYPRLTTLPGGLSGQTQLSSDPPPSESIRGPLTEPKDLVNAAPEPLVTNLAPIS IAVSGATAGVQRPPEHQLSPPPPIARAPTILKVPNLFLLKSSTAVPVKTTSTVRQRRD SSNRKSGPKKRKRGNDSDSEDIIRAVDSSSDESDVTPTATQTTSGRQVKRPSLYVPPP LIPSLPREGSSLAGTSDRPQTSRSRKPVPRKLKSTNIRCCVCDRSHSPTSNTIVFCDR CNRAWHQHCHDPPIQSEVVAIREKEWLCRECKPANITILHPTVVRSNPSLISKPPAHP PLTIPKTEVGGERFSTDCRRRFLSTLSHAALVELLLTISNNHPTVPMFPENMESLPSS NFAASQAIVTGAAATSTSLPTNENNVASVVLADNIDEQTPEFASGRTSGRNYRESSDE ESEYEFQDHRVYPRAGNGLRLSTNEQDLDILQEDPTCSTFSYALHAPASGAVGHVSA ANIA_04228 MPPRLGYPVKTIHSVKLAATNPETTWDIAIGYLPDSPEGVISSI TPDYSSTSSRPLSPLALPALVHPHIHLDKAYVHSTSSYTDLFPSTGSFQEALTLTSTA KASFTGPDLLQRGEWLLAESVASGVTAMRAFVEVDHAVQHACLDAGLDLKRKWQEACE IQLVCFAQDPVISTAHADENRGLIEDAIQKYGPEKLAVLGTTPYVESSIEASKRNIEW AVDTAMRHDRHLDFHLDYNLAHLEDMPEPLVWHVLRTLRAKGWTARTTDKRVMLGHCT RLSLFTEEDWGRLAQEIHENDLPVSFVGLPTSDLYMAAAPSNVYKANPRGTLPIPSMI KNHGLDAVIGINNVGNAFTPWGSADPLSLACLGVGIYQAGTVSDAELLYECVSTRARD AIGLSSSSLSRINSSPRSTFDVRLKCGDPADLLLLYDVDDTGLLESGTTRARKTVAEV VWDPPARTSRAVVMKGRVKMPALSAGCGTAFQFVEQDPRLVESSG ANIA_04227 MAPQRILTTPSPSLNPTTPKQWHEHLTVDLFVTVLNRSVFHPFI AWIIVLCLRAQATPYTHPAFLTATAYATFLTILNVAFMINDQIAYGVPRQVDLSDEVV VITGGASGVGRLIAQIYGLRGVSVAVLDIAEQKDVKGWEDVGGVEYYKCDITVRGEVE EVARRIKEDLGTPTVLVNCAATRINGQPLLSLSAEAFQKTIQTNLLAVFHTCQVFLPH MLSRENGGTIVNVSSVLGQLCAAGLADYSASKAGLSALHRALEAELRVSGNADKVKMV LVETGQISTPLFMFIKTPNSFFAPVLEPIRVAQKIVSLIDNGRSGVIRLPAYAMFIDI YAALPAGLQQIARRLTGIDFAVPQASSQTKQPGNPKPTVKRK ANIA_04226 MKIKALSRSTASQQAPGSAVARQPRNLDPAQHPFERAREYTRAL NAVKLERLFAAPFLGQMGEGHVDGVYTMAKDPGSLERFASGSGDGVVKVWDLVTQGEV WNNTAHENIVKGVCWTPDRKLLSCAADKTIKLFDPYNSSSESPPLATYLGQGAFTSLT HHRDQPFFAASSSQISIYDLSRPSSTPSQTLHWPTSVDTITSVAFNQTETSVLASTGI DRSIILYDLRTSSPLSKLVLKLASNAVSWNPMEAFNFAVANEDHNVYMFDMRKMNRAL NVLKDHVAAVMDVDFSPTGEELVTASYDRTIRLWNRATGHSRDIYHTQRMQRVFSAKF TPDNKYVLSGSDDGNIRLWRANASDRSGIKSARQRTKLEYDQALVQRYAHMPEIKRIK RQRHVPRTIKKAREIKNEELAAIKRREENIRKHAKKSTLRARQSEREKMILAQEK ANIA_10519 MAPSSQAAQRIEEARALAKKDASQAASIYKEVLAQGPGSTESSS RDYENALVGLGELYRDEKKPQEIAELIKTSRDSFSSFAKAKTAKLVRQLLDLFSEIPN TLDIQIAVIRSCIEWAVAERRSFLRQNLETRLVAIFMQKQSYYDALNLINSLLRELKR LDDKLMLVEVQLLESRVYHALGNQAKARAALTAARTSAASVYTPPNLQAGLDMQSGML HAEDKDFNTSYSYFIEALEGYSSLDEGEKATAALQYMLLCKIMLNLVDDVTTLLGSKQ AQKYASPRLEAMKAVARAHANRSLEEYEKALSDYRFELGSDAFIRNHLRRLYDAMLEQ NLIKVIEPFSRVELDHIAKMVGLDTQQVERKLSQMILDKVIIGVLDQGAGCLIVYDET ERDQAYDAALETIARLSNVVESLYTNQASQLE ANIA_10524 MPVPMPQHAVPPQYMAPQRNLQHQNDAALRRSRKPTDKNIPDGV EDVVIGEGVQQYKSLRDLEKRLDAAIVRKRLDIQDSISKTVKKYRTMRIWVSNTVENQ PWQTGQNGSVPGTTPGSGRYKVRIEGRLLEDVSDLAEKDSDDEGGAQGPGDAMAEDGS DGKKAATTKRSEQRFSHFFKSITVDFDKSPSTSPAEMQTITWTKPQVPPNAVNLPPNA DFDSVQFSRASQENLNVTISLVRDETPERYKLSKELAEVLDVEEETRSGIVLGIWDYI RAMGLQEDEEKRLVRCDDRLRAIFGRDQMFFPQIPESIGPHTSPLDPIKLPYTIRVDE EFHKDPTPTVYDIQVAVEDPLRSKMLALTQNPQYAAGMRQIAQLDDQVALIIQALTHS RAKHSFFTALSKDPATFVRRWINSQRRDLETILGEATRGGGEDASGPEFRRGGSNSVW DTAVAHEAVRYMLAKPDAGMSR ANIA_04224 MLDPIPPPPEWLREYTEPWAQRFNLPALTDHSHEVIAAFIGYLF IHYVLSPWLSPKLFPRHYPNLNKRTKLNWDVHVVSLVQSSFINAVALWVLFADEERKS MTIGERVFGYTGSCALINSLAVGYFIYDLIISTLYVKMFGIGMLFHAVSALWVFSFGF RPFVNFYAPVFILYELSSPFLNIHWFLDKVNMTGSKLQWYNGMALLVVFFCCRLIWGT WQSVLVYMDMFAALRQTWSAGSSSPLDPVNITAQIFQTRDDGSLCINEACIKANAEIT KYSKHTAVGVPTWLVATYVTSNLILNSLNYYWFSKMIETVMKRFRPQKEQSKVAEKLK EHNKEASAVEELAQQAVLDAASKLEQEEGSLFLGDNEQPVASGVDASTSDLRNRKA ANIA_04223 MRYLKQRWDSSDPDRAPPPLPMNPGSTSPITKGNVSPGIQAVAA SFTEKMRENSPSPYTTNPMTPKSPEKSLIKGQFHKRMQSLQNTDTRSEFLNYVESRSP ERPLRASFSDQSAKPPEKAVKSEISPNQQSSEDLPNVLISNRYLSRPLFGESTPPSAT MLALQNMQLPPQEAPRTNGPDASSEPKSSQPNSFDFLSNQILSLTDIASSLQREMAQL SRRSKDNATDLISLKAATNARDEDIRKSLRDLSSNLAAKFLDADTATRWDLSALLGSE NAINQTEPDSSPNYKKSYSGPRMQSPSPFSMEREYCASPGPLTDGSASIALLEKVLRE MATKEGQEKLLELMDELKSRPVSDDSSKNGDNSMTEMLEEILNIVKQDSGARALVRAG KPEQDLESNMGVIRQQQSPVTDEMLDILKRVRSSVIEGGGLTNEVKHLVRELRGEVLG MGRNIASRLEDAERARAIENAPKGPGPEEIAEIVEQGLQELRTQLAAIMNDSKQQSST LSEVRAAMNSSEICSVVKKALDEFGMAELRDRPEGARMDKEDILEAVREAWETYKPEI ELQNFGLERDEILECLTEGLKAYQPQHEQAATYDQVLAAVQAGVQQFEQPPSITKDEI IQVIQESIESVEPRSLDGEQLAALRDEILNAVTDSIATQTTMKKDEIIHGIQESLENS EARPLDGEQLAALRDEILNSVSEAITTQTALTKDEIIEGIKGCLENSQPRGLDGEQLT AIRDEVLHAVTESMTSQSALTRDSFDSGLGRDEILSAVSDGIEAHMLAAKELNHSVIT KEDVTSIVNDVFSAQQSALTTTSAQPAISRDEILQAIAEGLESQNSIPREIELNKEDL MEAITAGLNEVTANANQGLGDQIFERLQQQFEGLKEEVKPQPAATDANLEEILNTIKD SIAVVRQDVEGYATTASEASGKYEILDTVKEGFRLLQADLEKTITENALVTRASSYPN TPELLDAMEKEFEHLRQTLSSLLRSNPVSDKEEILDAIRDISENHKPANQENVYELIR RDFEILRESLTMSLVPAEPKSDKDDIVAAVRATLEAFHEEKGQARNIPDKDEIITAVQ ATLETFHAENSQAIVPAEPKSDKDDIVAAVKSTLEAFHEEKGQARNLSDKDEIIAAVQ ATLETFHAENSQTAVPAETKSDKDDIVAAVRSALEAFHEEKSQAKEVLDKDDILAAIQ ATLETFHEEQDQNKNGVDKDEIIAAVQATLEAFHEEKHRTKDGSEKEEFLSAIKEISE SHKVSSEESVSKIVKQEFESLRDSLTMSLVPAEQRNDKDDILAAVQAALELFHAEKSE TKDRAEELSTAELRDALHDGVGIIKEDLAKILERPVESNYAELLDTLREGLSSLKAEL EMMRRTQAESENSDNSKGQELILADEASKASEIEGLKALISQIQVKVDAIEAAPRAPD FPENLLKKEDMDEVLAGLHELQTSVTEIASREKPTDANVAKKEDTDAIETLLRNTKSQ LDEMVFPAPDEIARAEQLGTLEEIVKDTKDVVTEIYGRLEAEVPTKTEIGTLETLLKD MWIALDESKGKEGGKDREDGAEMAAEAEAEKLVKADLQTVEAMIFEVKTQVEELKLPD VETLPTKSEIQNIATLITEFKEKVEAGQELVGQGFEARKIEHAGLAEKIDEARTVFEG LGEELKSKLDGSHEGLSELKQLLEGLAASSERFTTVENVKELTELINREFERARGEQD AAKLESEERDAAALIKHDETRAAIVVELGAKIDEKLGEVMTKYDEARSSIDTKFAEAA ERGNAHLEAVTDTKSLAEDIKLVIGSMGDSVNEACERMSADAKTFFEKVDISYSKMEE MHNEVKTQQELSRSDVERTAAATERVESKLHEFHPQVLESIQEILSIVGQHYTHAQQA TQDLRMDLSVIPTTMTKMLPALPPPEPEKYDDSQVHEKLDSLIERSMDNQVQESLNTL IERVTKDQVHEKLDQLLSKTTSTNGEIYEKLNELLEHATNSNGPIHEKLDTLIGHATN TDQSVHQMMKLDEMHKDIMETSRKMNEMMAAQSALIAEDTERRRKEAEEAAIVLERRT AQREQIEAEILNLKDEKDSMLAMMQRLKAEKDELVAQNAKLHKEVSALETALELRHEE MQVMEERADSLEKRILEGVLDHARTVLLSRPNSTHGLNMKKVRSARGRNVSVSSTAST AKDSRSVLGSSLGLALKKRAPPALQAGSATASTASKERRILSLSHVTGNRGLSDRQSG ASGGLTSLKRSQSVKSLYTYRKHSWGGRSSIANKENEGFPEVFPEEDENQSGDESDTG TERRTSYTGTYAESMTYGPGSVISTNRQVSTASTRNLESVADVAEEEEDRAMAKDEHE EPDKDDEAQSSKADEPEDHAAVPQEALDEETSKMVLYGHPADSGLGSEITSTAG ANIA_04222 MKFMKVGRVAIITRGRYAGKKVVIVQPQDSGSKAHPFSYAIVAG IERYPLKVTRRMGKKTVEKRSRIKPFIKVVNYNHLMPTRYTLELEGLKGTVTADTFKE VSQREEAKKTIKKALEDRYTSGKNRWFFTPLRF ANIA_10518 MPHFENGAMGENAVNGERAQSQFLEHLTSYPVVSDSISFYKGNK YGAKSLEFADQGYGFAKPYLSYLSKPYGYVAPYVTRADSLGDKGLQKVDATFPIIKED TKTLKNTIYDTAYFPLRLFGDAKSHVFSTYGDEYKKCGGDGVVASGKAIITTSLVLSQ ESLAFISSLLQKKKAQVKDLVNEQAQE ANIA_10523 MPLIRKRPAVAEPQSSDGESASSESTTQLRNHQQRRIRASPVES EDGSGDDSPSHAPSSTDVMVKKLVRLALSSEYSRQPIRRVDISNKVLGEQGSRQFKTV FEGAQKALAETFGMQLAELPQKEKVTIQQRRAAQKVERPLSSNKSWILTSILPSKYRK QDILCPTRGPAESSYTGLYTFIIAVILLNGGTLQEQKLDRYLSRMNAEQFTPVERTDH LLQRLCKEGYLVKNREMDGGDEIIEYMVGPRGKVEVGARGVAGLVREVYGRQAMIEDD DITPAERERLEEFEFRLANSLGFRKPNSRAVDGEQNGDDESVGEDRPTQPRRRRAASE EDSDA ANIA_04220 MAACQRGNQTIRRPTLELLYRLIQLQPKVFSDLQTILIRITRNS TDWFSSSKFVESEQHVRRGTPPILESHNNLIFTQGASPRELIVEACRRDQPHLIEQVL DSFEGRSNEEVAEIFNNVTDAMGNHALHICAQYGSYDTMDALFDIQFFECDPLTRLDS DTPLHVAVRYAMEKDAELGAEMIKMMCEAGCDPRVRNKHGQKPADLVYNNQDIKTTLQ QAEYVLAEGLRNEDLADGDEGSASDSD ANIA_04219 MPLTFCPNCSNALTISRADPSPKYPLGINRFECRTCPYQYALEQ AWFEKTPMKQKEVEAVFGGKAEFENADSMATQCPAEGCNGDRAYFFQLQIRSADEPMT TFLKYA ANIA_04218 MGKEDKTHINIVVIGHVDSGKSTTTGKTSSHPTGHLIYKCGGID QRTIEKFEKEAAELGKGSFKYAWVLDKLKSERERGITIDIALWKFQTPKYEVTVIDAP GHRDFIKNMITGTSQADCAILIIASGTGEFEAGISKDGQTREHALLAFTLGVRQLIVA LNKMDTCQWSEARYNEIVKETSGFIKKVGYNPKAVAFVPISGFHGDNMLEPSANCPWY KGWEKETKAGKVTGKTLLEAIDAIEPPVRPSNKPLRLPLQDVYKISGIGTVPVGRVET GVITPGMVVTFAPANVTTEVKSVEMHHQQLKEGVPGDNVGFNVKNVSVKEIRRGNVAG DSKNDPPAGAASFTAQVIVLNHPGQVGAGYAPVLDCHTAHIACKFAELQEKIDRRTGK SVESSPKFIKSGDAAIVKMIPSKPMCVESFTDYPPLGRFAVRDMRQTVAVGVVKSVEK STAGAGKVTKAAQKAGKK ANIA_04217 MAFVKRIPWIETPLIESASLSKTAGCRVFLKLDLLQPSGSFKSR GIGNLICNALQDPANRGKELHFFSSSGGNAGLAAVIAARDLGCRCTVVVPYSTKPMMI TKLREAGATDVIQHGDSWFEADTYLRETFIENQDQGGDAATKRNIYVPPFDHPQIWKG VGTMIDEIAQQMPPRDVSKGSFPADAVICSVGGGGLFNGVVEGLGRHLATKNGDAPGG KVRVLAVETEGTDSLALSLRKGTLQPLPAITSLATSLGALQVAPQTLKNAQCPPARVD VVSVVGSDAEAAQGVIRLADEHRLQVELACGISVEVGGSVKLRDYMPDLTPDSRIVIV ICGGSNVTAEMVVEYRKRLHDGWDSA ANIA_04216 MAFKPPLSVSKSILFRNCGQCIRNRYLRPTATSRYLSTSSPLRN NPLRARANANSREEDVAKYRRSMIVSGAGILACGMAMYGVIKLDLFGLELQQQQKAQE AAEKKKNNGTMRMDGPDGFTSSPSVIRIQGQDGVEQVTTGTSSVPYFPSTIRLPKYEG DGSSAASKLAPWDELTGNGEDEEEYQLLGLGVRTVSFLKIQVYVVGLYVAKSDISELQ QRLVHMAAHPPSDQEVITNQVGATSATSLVSTERQRLKDLLLDGEKGEDAWNAILKED GLRTAIRIVPTRNTDFAHLRDSWVRGITTRAQKANARAKAAATEAGAGAANPDEFQDD VFGSAVNDFKTLFGGGQRKHVPKGQTLLLLRNARGELDALFQPDASKPFRFMGRVSDE RISRLVWLIYLGGKNVSSEEARRNIVDGIMGIVERPIGTVVQKIL ANIA_04215 MSSAKVKAQQIIDENGVVVFSKSYCPYCKASKSLLSELGAKYYA LELDTIDDGADLQNALEEISGQRTVPNIYIAKKHIGGNSDLQGIKKDLPALLKDAGAL ANIA_04214 MAGTATATFSADVWTSASTIDASAQPWDFAVPVNQENRHRSKSR TSRKSRDRGSKSSRSSSLSKQIYGHEQSHFAPRGRRDASLSRKEFDSGGVQDTAATSH INGSRKSDIKDDEENDENWIHRDKLARIESEELQQAAILFQRRPGTGSTRGRGRSRDH HHGSVSGTTVISATHHEPLEPWPDLQEDQQNNTASAALAGGDDITEDERQYWDLRRPE EIAAERGSSTSSIYRNPGLRKSSSRIPIPTSSPVPLSPPTIDREYFTSRSRAPTSENE EVSSNARPRRASEPLTVDSASNSDPTSESRPGSRGWPASQNATTKKTAAKPATTNRKT SAPNPKKPSPRSRATSSNANQRPTTRSGEARPPMSINRPEGDPPWLATMYKPDPRLPP DQQILPTHARKMQQEQWEKEGKTPTMYDREFAPLAIAPDQPQPEVKTEKEAEKESQQQ EHLKPEGLGLQPPPKSPEPSRPGTSTGYSPMPKVQETPPTGLTPRFNSQTVVTAQGPP PEDEKAEKGCGCCIVM ANIA_04213 MATPGLDVIMSWTPNYDHPHEPLDAVIYGVNIPLMVLMTIFVAG RFLSRTFLVRNALGVDDWMMLVAYVGASAGFGRTSTVCALFCNNEDIDMFDIPSPFPV KDKQMVQLYFDGHSGGIWNFNDRNYAFAMHVGSACHAVTPYADASRPLSDLWAVFKPM SQKQCIESEKFYIAVAAINSITDFMVYLWPIHYLWKVKLSLAKRAGLIICFGVGVLIC IAGVVRITWQVKFANSWDQTYNGAIIFVIVAVECNLGVVCGCLPGVRPLMSKIFPGLT SSTYNSGRGKNSHVQVSSNNRPGGGYHDLHSIHVRKEVELSVVKRPADGP ANIA_04212 MIVLKGTLLSLAYLASPSLCLLNLGVPGISWSYDYVVVGGGTSG LAIAARLAEDPGVTVAVVEAGGHYEIEGGMESIIPGFAAASNTGTDPSDDSLLIDWNF DTLPLTAANDRVLRYARGKCLGGTSARNLMVYHRGTQKTYDQWADITGDSSWAWESVL PYFKKSCTLTPPDMTKRNKNSSVTYNADAFDNDLDGPLHVSWPNYGSPFSTYVEVGLE KIGILPGQDTNSGYLNGSAWAAATIDPSAETRSSSKTSFLPQFTLNFNVYAHTLAKKI IFSGTKARSVKVETLGISYTLTAKKEIIVSAGAFQSPQILMVSGIGPKSTLQELGIKV IKDLPGVGQNLWDHALFGVVNRVNVVTASRLVNDALAAAEALAQYAFQKGPLTAPGFG VLGWEKLPNDIRKHLTNSTREALSAFPSDWPEVEYLSLDGILDGWHSADDQNLGDGYE YGTIAAALVAPLSRGSVSINSTDTAEPPLIDLGYLTHPADREVAVAALKRARQAFNAS GVTIPGVDEHRPGPDIQTDEEILDFIRSTIVPVWHAAGTCAMGNSSNPDAVVDSNGRV IGVQNLRVVDASIFPTLPPGHPQSTCYMVAEKIADNIRNGN ANIA_04211 MITIASISVGSSIFFTLALLIISVLVLLLLRRFLTLRATPAYLS IPVFLALALPASVVLLVPIDLASSSRDKGDRPNAIWLPERLLLVSWRIAYWLIFVLTW AILPLLGEYIDSGHRDGKARIQYSVRSNARYQMIVLGCATVGLIYISIQNGFEFSTIK ALAMALAYVWGLVLAIYLMGHGLVSIPRTLFRNASASGRLRRLQSHAPLMHDRLMDAI NDLETLEAQVSQLQSRKTGTARDFQDWIDELAETSTPPELRSGLLEPASSPSTVPAVI TERYLADLTRRLQRARHQKARFVDAWDRLIYTAADLQAIINSSASKKLEFTHQSQRSA CLAQSKFLTPYMRYQLYTNVYPNLRLAFGALFAAASVCVVWSELIKSIAPRLSVVTMS IVSYHERPAPVGFGRQVIASMWLMYMCSAALVGVNDAKVWGNRALVRRNTYGESACWY ASLVARLTVPIAYNFLTFLPKNVRESTTFYRFLGQWIDLTPLGKGFDYFFPVAILIPI GATMFNLYGRVRNICGFGLIEEDDDDLENNPSGYGIGGWREGRELIERELSGLGSLGL SARNERSPRRPINADGNTQAYSSSRTPLTDASRPSRSIRSAVASTSVVQEEDEDENFF QSFAHRVRNTIETAGRPQWLQNDSFRLPRWMSNDGNDGNNGLARWFGGRPANGGKSKY MQNKHDTSRPKSPSELSRHDAYGGMSASTIRWEYSPIASTCQVFRWMGFCCTSLGETC AFYLDYITASLPPSLHSLHHKFLLPLISRPALPSTPLLRALSSPSDWASYYSTDYNKL SAALTLVTCAIALVVMSWRNLWRRPAPPHQSTNTGERPYTYLTPDDIVDPPSRLYKEE DDSEPDKLILNHRKVTYELHFPPYSINDGTLSIGQLRQAAAEVTRTADPNRIKLLYKG KLLDDDSLPCRAEGLKQQSEILCVVSEVQSGTRTPSDVSSIGRTSEETPRLSSLNISE ITPRSDGSKKNKRKKKNKGKKKSKADSDDNESSTPAQRPQPTSSSDGGSRYALPPPAP NLNTFPTPLGQVQGLTAYFRKEIVPLCNRYITDPPQDEKTRDFEHKKLGETILAQVMI KADSIEVVDDETRSARRALIKEAQSTLNQVDAVAKA ANIA_04210 MNPANFPNVNGGMSAGVKPPGQMQGVPKADMQHILNNVAQMLQS QGPWSGWRAEVSVRDRTFKVHQMITSLRLIQPQIELRNAAQAAMSFEVKAFKEAATKA DYDREFNDKLVHIRDTRARHAAAMQGGMMQQGPPTGMIGVGQSPFSQQLSRSMQPSPM PGQQQMQMSMNNPGQQAAVQQRQQQPQQPQAMLQQQRPQQRLGGAAALNDDLNSLTPQ EYENVCRIATQILQKTSPEDMNKIKMNLQNMSPDQKVYLSKKGMDPITYFFRCQAMNH IRRVKRSRLEMSRNNQNNGGDSANNLMGDPMINPQQQRQMFQNMVNMPQRNHSFSMGN QQTLDPSAFIGNVENIQGQQADGLRSQEAGQLVVPASSSQMNQQSFNATQNMFPVGQQ LGQGNQVNMNNAGISPQFLTQQHLPNAQPGPQDRPQQATQFQSQPQTTQAQRVQAAQK AQMAMSQANMQQPITQSPAMPMLNRPIAAPGQMSPAQAAAQVHPSSRQPSTKQLPANV QPMGTQQGIQNRPPMPANFPPHIQEQLARMTPEQRNAFFLNQQRRMMASNPALARQNA VQPNMAMQQGIPQPGQSQHMINGQMVNPQNMRASMDMQQQFASLGGAQQPNQMIPGQQ MTVQQRQQQLQQQQQLHQFQLLRQQAGSNMEMTPEEISRMDNMPFPPAIFNNNPNAAS IPKNIKTWGQLKQLAAASPQLLGGLDHQKLMTYQKFHLAQILKETSNRNPEQKGQPSW ASPNFQGQPQPFMNAQQFQPGQQQAQFPMPHMRQITPQELQIARQRLGAQVQSLSDEQ LSELLRQRHMQAVQARAAQALANQQNQKSQVHVPSVPPQVKPEQQAQHQVPQQIAQNQ AIKTQAVSPSKAAKGPAPKQTPPTAKRKLQNEEMAIGQASPIQNSNQPAISQTLPVTA PSRPAMPFTREQLAAMTPQQRMQIEAHLRRQQGQARGTISRAAAEKAWSNLPESIRQL YNELGKNAPEDKPVAVTPEQRAAMNQQLRDCTDYLGRMDALVQFIAKVPGQEKNLRSL LGMRIQLMRQFKPSPDWALNDQFTITPEYLIGTTNYIKKLFHHMIARVNQQQNQGPGQ RVGMSQGPNPQQTNQNMPPLNASNLQQLQQQEEALQRARRASSQTAPSGAIPAAPFGA PSPQGVPHAYGPGSIPPQELKLPPPKRRKQSHPSTTPVSGTSGAKAQANKQAAAEARP INGAFKCSVPECQYHYQGFGSQGDLDKHVEESHKAEEPIENALQFALQCFSTLVKDEQ KPDSQGLTKGFGFATALPAKQEVKAEGATPVSGATPMGRVSSQVAAKPASPASSQQLT PVRGATKGSGSMSVKPTLSEDGKRDSRKTAEQDPASMAKDLWADSAISFDAIRDAFGN LSDDHDLDLGVMDEFLNAEMFNGTQDTPDSVETGVVTQTPKDGEVLKNDEGVNKDSDA TDGSWIPADWCRLPGRFEEPLLKDEVCKEIDWDMIDLKGGTLSADDNGIAIYAM ANIA_04209 MASKAVIPFLVTMMLVTGVCNTILNKYQDMQCVRNCDSPDPKDH HLFEQPVIQTIQMFIGEMGCWLVVLMSHLYKRHLAPRLFQDQSPLLAGGYRPVNVVDG DDEDQTIEGHNDNIDPSKPLRLPDGRIPLRGLRTFLLAAPACCDIAGTTLMNVGLLFV AASIYQMTRGALVLFVGLFSVLFLHRKLYLYQWLALCVVVLGVALVGLAGALFGDNQG HDITQETVAAAVRSATTEVGATAQTPEAVRAVVGVLLIAAAQIFTASQFVLEEWILEN YAMEPLQVVGWEGIFGFSVTVIGSIILYLGVGRTEAGRYGYFDAREGWHQVFTHKAVA ISSVLIMISIGGFNFFGLSVTRTVSATSRSTIDTCRTLFIWLVSLGLGWETFKWLQVA GFALLVYGTFLFNDIVRPPLKACLPREGRGRVLLPEDPIEHN ANIA_04208 MSSAVSHQDGSAVLRPRAVPDARKVEDVLLSSQIEKLDTESSGH STPIPEDAPPSAHSISTARKQARARNRLFYTIHYVPRVSHFDPRSDYHNFRGFFTLFW IGLFIMVVTTVLRNIKDTGYPLRVRVWSLLTANVWSMGFSDIAMVCTSGLVIPLQYLS RTNGPFRWERGGIVLQSIFEVVWLGVWIKWPFMLRWTWTAQVFFTLHTLTILMKIHSY AFYNGHLSATERRLSELDKPGSQPTGEAVRYPEVPTSKQPLRRPSIHKRSNSVAQLRD DLATELTSPMGNVTYPQNLTLYNYVDFIFCPTLCYELEYPRSKERSWMEVGYKTLAVF GCIFLLTLVSEEFILPVLAEAGVRLHAANTPSDKALIMAEAISMLLFPFMITFLLVFL VIFEYVLGAFAELTCFADRHFYSDWWNSCDWLEFSREWNVPVHHFLRRHVYFSSLSHF SKPVAMFITFLVSSIFHELVMSCITKKLRGYGFLAMMLQLPIIAIQKSKYFRHKTTLN NVFFWLSMIFGLSLMCTLYVLV ANIA_04207 MASLKQFIRNVRSAKTIADERAVIQKESAAIRASFREESHDSSI RRNNVAKLLYLFTLGERTHFGQIECLKLLASHRFADKRLGYLGTMLLLDENQEVLTLV TNSLKNDLNHSNQYIVGLSLCTLGNIASVEMSRDLFTEVESLLSTANPYIRRKAALCA MRICRKVPDLQEHFLEKAKNLLSDRNHGVLLCGLTLVIDMCEAEESEEGQEGVIEMFR PLAGNLVRALKGLTTSGYAPEHDVSGITDPFVQVKILRLLRVLARGDTATSELINDIL AQVATNTDSSKNVGNAILYEAVLTILDIEADSGLRVLGVNILGKFLTNKDNNIRYVAL NTLNKVVAIEPNAVQRHRNTVLECLRDPDISIRRRALDLSFMLINESNVRVLVRELLA FLEVADNEFKPTMTTQIGIAADRYAPNKRWHADTILRVLKLAGAYVKEQILSSFVRLI ATTPELQTYSVQKLYVSLKEDISQEGLTLAATWLIGEYGDNLLRGGEYEEEELVKEIK ESDIVDLFDNILNSTYATQTVVEYITTASMKLTVRMSDASQIERLRRLLHNRTADLSV EIQQRAVEYGNLFGYDQIRRGVLERMPPPEIREEQRVLGPSTKKRQSKMLKDKTRKPI KTAEQDMLLDLMGGSDVPVTSPTMNGSQNTADLLADILGGDSGLSSPAPQAAQQPVSN NSAIMDLFGSNGGTPSPNPAPASASLDLLGGAGAPVSTPSPSTSTAYTAYNKNELVLS LQVQRGNNNTAQIQARFRNQSSFSQFTSVGLQAAVPKSQRLQLSAINKAELEAGDEGV QMLKVTALNGPLPSKLRLRLRVTYAKDGSEPTTDQVDWSES ANIA_04206 MTVLPDFDPYEALGVSKDATLAEIKSSHRKLVLKCHPDKIKDES LRSEAQTQFQKVQQAYECLSDETTRAKYDNKVKLAELKREMAARGASYTRPNTREYRD GRIYEERVPADARSSSENFFEEEGRYTESPRPTSRKHAEYGARPRSRATTDEKRRSSK AAPSSSAAHAAKKEARDSRKASRADRDKVRTKERKRESHDKYIHIIDVDSDDSSASSE VYFIPVKKPSDKRYRDAKTRPTESVPRSSKARYRDEDDYDSDDYKHDKVDVLSSRATD YIRRSKETIPEPDRRHRSSRSPHGYESGEHSGRSRRSTRPPTSHHSSYEHLDHAPRTV PSMPTASTFPGPQTSHHSRSSGHVRSDSRTRRTEHVYLAEIRTSKLRGERSDSGYASS SPTPEIPEISPKASRYKTGPEPVLIEPRSQGPPPPPLLRHSRTYSPPRQDRPNIVRST TYTYPVDSSQSSRRPLYRELDPVDARIKERELRRARDVQYIPSAHAARSSDYTRPVGS GRRTSAYA ANIA_04205 MLRNSLSIVPFYGHRVAWVFSFLSDPLKSNNSDHNQAAALGDIL PHPMLQADPTTRALSQLCLSPASTLVAGPGC ANIA_10532 MRRKTRFVCVSDTHGYTPSEAGFRLPAGDVLIHAGDLTNQGSSS ELRKTMNWIATADYEIKIVICGNHDITLDAPFYSQNSTKFHNKHRQDPEECLKTITTA SSSIVFLQHQSALVRLRKAGGPNTVFKVFGSPYSQSDGEWAFLYEPDGAEELWRDIPL DADVVVTHTPPRFACDYDNVSTSSEADKRMGCPALGERLRIVRPCLAVCGHVHEGRGY KRVHWGASTAASATNEGDEGGSKRVRDQVTQGTLPSQGSKKQCLVDLTGKRAPRLDNV GFGLSRAGSLFPSLDSPDVVILPHQRPGIGYGQTADVRSSESDTEAKVGVEVEYTGAE HHRSHKRETCIVNAAIMATSWPHHGGRKFHPGPIVVDLELPVWTNYDGSFS ANIA_10517 MSTPTPPRRGGRLRRACDFCQQKIDAEVASVRNSAKHLPKPKHG FGIWSPGYPNQQKPAASDNSLSIGYLQDPRDLDTGLAMFRREVSLCGVGSSGSAEREL FCSAVLQQTSCYFDVDQFLQGLSKAFGTRDPRDSEKATVQKLEPAFLDSDSDAYIRAV LSLIPRLLIEDPSMKADQQQATCLGPLGHTKTVDSLLAVAVPITYSLGAHRASVVREE EGEHLRALFWYIYGSDKTLAIRFSIPPLFNDVDLDLQPPDGYVESCSDDHFFLRPLSF KPVIYPSDLRMSLIKSKIHTLLYSNHSRAQPVAIRLQCIRELDEELSALKSSFPNSCW PDVFATGNTPDYTFHDLSLRGVNLHLDYYFRLRRIHGASSTQMSSPEAWSFLPSSAEL FHQESRIILLYLCRIRHAHNWHTFWIHAQYILTAVVSLFRYLIASPTAQTFNTDLRLL ESIADLFSDLDRKSRATRRFAPFFFTTCFTRKLILLAKQALKQVMTRQEYSLG ANIA_04203 MHLLQACKLAVIATAGIALAHPGAHEPSSYTSMNKRNFLHNARS SLDKCADKLEERGIKARAEARRAALLDKHRSAFVNTSRHSDLHVTLNTPADELSDKNP VCILAPEGEIGPFWVKGELIRYDISDGEDGIPMYLDGQLIDINTCEPIKDLYWDVWNC NATGVYSGVQSSMNGNGDDDSNLDKTFLRGIRKTDEDGVAGFKTVFPGHYSGRTTHVH VVAHLNATLLPNNTLTGGYVPHIGQLFFDQDLISAVETTYPYNTNTVAITENANDHVV IVETEDSNSDPFFQYSLLGDSIEDGIFAWVTLGVNSSVSHDSAVSYAATLISDGGVSI SNAGSGVSF ANIA_10529 MVSLRSVTRCFNDENTAGTTADLATGPAENLTKKHAEFGPLGDP SHLYTSVVFGGEIPDPVVDEPPYFIILTTYISFLVLIFLGHFQDFVSRWFQPHTYLRL RSQNGYASLYNGFESFFSRRMKQRINDCFERPTTGVPGRHVVLLDRISKDNIHFELTG KATDTLNLSSYNYLGFAQSEGPCADTVEETIYRDGISMAGPYPGTTKLLVEVEDQISR LVGKDAAIVFSIGFVTNSTVFQALVQRECLILSDEFNHASIRFGARLSGAAIEVFAHN NMTSLDEKLRQAISQGQPRTHRPWKKIMVTVEGLYSMEGTMCNLPQILELKKKYKFYL FIDEAHSIGAIGSRGRGVCDYFKVDPADVDILMGTFTKSFGATGGYVAANQPIIDKLR CTNAGQAYSEAPTLPVLAQISSSLRLIADEDPLYPGQGLERMQRLTFNSRYLRLGLKR LGFIVYGHDDSPIVPLMLYHPAKMPAFSREMLRRKISVVVVTYPATPLELSRARLCVS AAHTKDDLDRVLEACDEVGEALQLKFSSGKAGGLKQPRPCVTISPDKITEPPRWTLTE IIKWGVRDAKLTLY ANIA_04202 MSSIDPVVVIDGKGHLLGRLASTVAKQLLNGQKIVVVRCEALNI SGEFFRAKLKYHAYLRKITRYNPTRGGPFHFRAPSRIFYKAVRGMIPHKTARGAAALE RLKVFEGVPPPYDKKKRVVVPQALRVLRLRPGRKYCTVGRLSHEVGWKYQDVVARLEE RRKVKSSAYYERKKAARRQLAHAQKSASVPENTKSQLAEYGF ANIA_04201 MSTAKSRLSSLLGHFQQPLDANSTATPAPVNSFKNVNFHTLSPT FFLPRAAAIEPDHLLILVVMRKAEAIYHITANNQVLRRTYQETADRARGLAYFLKKHG YKRVGILCPNTPAFLESIFGIAAAGAVNVSVNYRLKEDDIAYIFTHSDAEIIIADKEY LPLLSAYRAAKPHVRVILDTDTDATEGQLAGPFDEAILEGLNYDRDTGRKGWSALESQ AASEDDVIALAYTSGTTARPKGVEYTHRGCYLAAMGNIIESGLNFQGRRCKYLWTLPM FHAMGWTFPWAVTAVRGTHYCLRKIDYPQIWKLLKQEGVTHFNAAPTVNTLLCSSPEA EPLPEPVHVTVAASPPTPHLFEQMTGLNLHPVHVYGMTETYGPITKGYYMTAWENLPR KEKFARMARQGHGFLTSLPVRVIQTEVPEGTIIDVKQDGKEIGEIVFVGNICARGYYK DPEATRKLFAGGVLHSGDLAVWHPDGAIQILDRAKDIIISGGENISSVALESMLVTHP DILEAGVVAVPDSHWGERPKAFVTVKPGRQLKGKDVVDWARNTSGISKFMVPREVEVV AELPKTSTGKLRKNVLREWAKDGSRS ANIA_10516 MARSRQPTRFSSEAPSESSSSTSPERAADDDTDFFTLQANDSQS SIGAGNPRDSHIQNDPETVLPPIAYLPPEILISIFSKLSSPRDLLSCLLVCRIWALNC VGLLWHRPSCNNWDNLKKIAAAVGEEDSFFLYSSLIKRLNLSALTEDVSDGTVVPFSQ CNRIERLTLTNCRKLTDIGVSDLVVGSRHLQALDVSELRSLTDHTLFKVAENCNRLQG LNITGCVKVTDDSLIAVSQNCRLLKRLKLNGVSQVTDKAILSFAQNCPSILEIDLQEC KLVTNQSVTALMTTLQNLRELRLAHCTEIDDSAFLDLPRHIQMTSLRILDLTACENIR DEAVERIVSSAPRLRNLVLAKCKFITDRAVWAICKLGKNLHYVHLGHCSNINDSAVIQ LVKSCNRIRYIDLACCSRLTDRSVQQLATLPKLRRIGLVKCQLITDASILALARPAQD HSVPCSSLERVHLSYCVNLTMVGIHALLNSCPRLTHLSLTGVAAFLREELTVFCREAP PEFTRQQREVFCVFSGEGVNRLRNHLNREAAPQRDANEATMYDDEEELDEDEGQVTGL MHAAAINDDDYINITPPHA ANIA_10522 MDQTKPPRRNPLAFTPWPVTLITAVVYLAFVIPLLVIHHVVPSA PTSSPDGLNITEAWNDLQVLTAGYRPYNSRQNDKIHDWLLHRINEILGAAPPATTDEK KPDVFVFDDTRSNLTFARDNLAVYFEGTNILVYIRGEDDDQEQWWELPEGSPKGKGGV LVNAHYDSVSTGYGATDDGVGVVTCLQLVKYFTTPKNAPRKGLVVLFNNGEEDFLNGA RVYSQHPLSRFPHTFLNLEGAGAGGRAVLFRSSDAEVAASYMRSKHPFGSVLGSDGFK AGLIRSQTDYVVFEGDMGLRGLDVAFLEPRARYHTDQDDTRHTSKDSLWHMLSTAVAT TEDLVSDTSDRFDGPARNDHKIASGTGHQAVWFDLYGSTFVLFRLHTLFALSVTLLVV APIVLLLTSIILTKVDKMYLFRTSIRPEGSLEVLPLYGDRGVIRYPFLLGIPTAVTIG LAYLLTKFNPYIVHSSQYAVWSMMVSVWIFLAWFVSRVADFARPSAFHRVYTLTWTFV VMWVLQVIATVYQDRWALGGSYFIFFAYAGTFLATWISYLELFALPRKSEYANHLRPV SRHASSHSSRRGLSEEDEEDEDEAPTESTSLLGSRQRTTFANYVRVNADTADLSDSEE HTQDVNVYGLEQRWSASLPKWLWLLQFLLAAPIVLILVGPIALLLTGSLHQTGQDGSS SLFIYIAIVALTTLLLSPMLPFVHRCTYHIPLFMLAVFAGTLIYNLVAFPFSDSNRLK LFFIQEVDLDTGLNTASLTGVQPFVHDVAVGLPSAAGQNVTCGPFGDRFKCSWTGIPP HVLTEDKPVEEWLSFEVSRSIDKPRHAQLQISGQNTRACKVVFDSPIKNFHVAGSAYD PRFPHTYAKGIKEIRLWSRVWDNTWTVDVEWFNPDSSSDHSKTSGSLTGQVVCLWSDY NQPGTIPALDEVRQYGPAWIGVSKLADGLVEGRKSFEIA ANIA_04199 MVSSSSPGNGITGGYSGDSLSLEIIIATLAGITWYNAIELIALV FVTFSDYRGLYFWSLLISSSVGLIPYSLGFLLKFFNLTSATWLSVTFITIGWYCMVTG QAVVLYSRLHLVLPNQRILRRVLAMIIINVVILHVPTTVLTYGSNLAAGRRGYINGYN VMEKIQMTGFCIQEFVLSALYISETIRMLRLDPDRGKRKIMYQLVAINLIIILMDIGL LVVEYMDYYIMETMIKGVVYSVKLKLEFAVLGKLVFLVRSHIWKQESVTGSPPEFPDF VDATRVTSDLTHATPTNRQRCHPFMDEVDVDIAMFEHSSLTRESGTGHSDISHALSGE TQTNNPPCSERDFTESSQRLPTSYFRRSASTR ANIA_11431 MEKIAAPRTKIGPNTNTGVYSVCLTASPLLSPRLEWIVQSKWTL FWAPVPRTANLVTAAPDGSSMWAHLRAPDPMMNLRNLILASGRPDG ANIA_04198 MAPSAVSFPPSTEFVIAPEAYYSTGLRARFLLDADRSAAKTQSK PATYAKIGYEFDEIAYKKRVQASLAAGNLPRKVPAGWPTQLSGPLVWDRGDFPDENEY VYYLTDKDKIEISDALETFKGFGLGGHEVSQATFPLPSLGKELIKVRNDVYEGRGFAI VRGLDPDAYTMEDLTVVYLGISSYIGERRGKQDQRGSMLMHVIKRGDEQRDIQYSEDK PFHTDTVTDCLCLFTRSLSEKGGRSILASAWTVYNEIAATRPDIIHVLSQPNWPFDTF GRSPPYYTRPVLFYHDDKLITSFSRRLLVGHVPFDKRTPGIPGLTEAQAEALDMIHFV ARKHEITPRIERGDLRFINNMAVLHRREAFENSAGNARHLIRIWLNNEQMCWKLPRTL QLSWARIFEDNEREEHWDLEPPRRDGKILRVAGSCD ANIA_04197 MATILSPNKVSRDTRNNPAAVDALNPPPPLPSLMITRDVGRHWS DSRLRPSAVSGLHGLASKFDAVNDAKEVVDDQKLVFQDSGLDIDGGMSLSWSHYAGSW DDPMFGAQSAFISQHSGPTLQPHNCSPVLLSSGQGDLIAMPLDYGRPIRSSSHASSSW AMSAAGSDSDSSIASDGEQQDTSPGLNERSPSVVNPPPSGPCSKTWSLPPRTRRKRKR TPEDTTKRKHRKASTRRRGPFKDESKRTETALTRNLKGCVRCRMMRIRCEPDHDDPYT NDCLTCQRAHQSKAPSIRKLPCLRMIITDVSLYREQDMPCQLFSRRWQSMEIVDITDW ASSEIKTITLSQVHVDAPYEVQVRKFIPKEGDMLETTWTSGPYVRRHPMPQYALADME GAAKTLKWLTANYVGAYIKYEVGNLDLLIWRTYYFAFLYQQKAKSAVQPPRERALIRD CLQFWVGCRKISNPEYIKYYYEAVGGTPVDDPNSRFHAKVPMPGIMIAQMECIMYTRV LRPLCGRVLTALKDLITENKREHWLTIYLTLFILLHSCAMLTRRDWETAREFGLPSVY ANRLSIEGMQKGMQTALAHFHYLNKGVLPFHLTYDEKSLRSLATAADLDSEELEFVKE TSQHINHPARAARMAEIRANREYGDDLYWISQLYDVEWAPGPTV ANIA_04196 MELSHLIVDAPASGRVGPPSWDSSFLNPRNRVDNLDTTSSRWRI DGATICGTCVYAIPLDLLPNLPPLRVLLYISDQTEYPAALRQSLDACTGVPLRDGNAI SRLGLAKHLCRALDYHCAKNPGFLQEYSKLPFGSRLIFEDVTPDVADMMLYVERDYGI EKNMKTLQYLQQSWSDIAQDAWPPAVDINELRLVKQLNEAVILVEFSGQSRAIFKCAS DSLHHMYHELRFLLTCPPHPHIMPRPLAVVLKKSAFGGRSGVVGFLLQYFEGGSLRDI IPARQRSATLSDTVKLRWCRQVASALTHLHEMGTFYSDLRPDNVLLDAEENAVLCDFE QRGNWYEWSPPEVLYRQYVENIRARLPERVVNSPYDHLLKEYACSHSLSTSACYTPAE SPIEARNRAWFALPPSAREKATVYNFGLFIYCVFEGLSNVRRNVANQFPIEPEVEYPT FRRTPEAVRKIIQQSIGNPPDWDTSELQSRSSRVVRVNGLLYPETRTDLEPDTRQAFD AVMDTLLGFWSTELIRAERFLEGADWSAGDFGRDRASLRDVVDALESQAEDLY ANIA_04195 MAAKFRTLILTVALFVSALTLLDWTYDMWKIQEIFSSWPARWQQ IASAKREANMAKIPREWILEPQVLEDGRSRNSIVGDYMDGLLDAKSRYVTDMDVPELV ARMGDGTLTAVEVVKAFCKRAAYAHQLSNLLLEIRFNEAIERAQELDDYFNKHKKLIG PLHGIPLTLKDQFHIKGLDTSMGFIGWIGTFEGEMDTGKAKNVDSELVREFHVLGAVP IGKVIKAPETNNNILGYAFNPCNQNLSTGGSSGGEGAMQALRGSAFGIGTDIGGSVSM PASFQGVFSIKPSAGRISFKDAANTGKGQEVMPTVVGIMGRSIDTLQLILKSLLFLEP WLHDPYTLPIPWRSELEYKPQDELAYKPAFGFLANDGVLTPHPPISRAMEIVKEALQS KGHQLVDWDWPHNRETIDIHCSIARGDGCHDVYDAVHLSGEPFVPEITNLFPNGKPRA PLPLPEYEGIVRKMKDYRHRYLDYWISTAERTGDRPVEALLSPVTPYAGVLPGKFYPS TYTTSVNVLDYASVVIPVTLADKTIDVVSPNFTALNEVDQLNMEYYDPEKYHGAPAAV QLIGRRLDEERLLSLAKLVVEALNDYRSGYGG ANIA_10521 MAISAQRRSRNLFARCTKNLTTALQTLSLHTRDDPTASTTAPNQ YQSTNPNDPALHSIALPPEIIDYVDAARNPDIYTREFVELVQRGNQDLKGKKEAFADF RDVLAREMRSAMPELRGEVDKVIQATGGKKQSERERG ANIA_10515 MASYHSQPYQSHAAQPRPVTSYSPVAALNAPAGTFLPNTKVQVG SHRVVVEKYLSEGGFAHVYVVRLSQPVDGSDKAVLKRVAVPDKIALANMRTEVETMKK LKGHRHIVKYIDSHASQLKAGGYEVFLLMEYCAGGGLIDFMNTRLQNRLTEPEIIKIF SDVAEGVACMHYLKPPLLHRDLKVENVLISRHGNSVIYKVCDFGSAAPPRPAATSAAE GRLIEDDVQRHTTLQYRSPEMIDVYRKQPIDEKSDIWALGVLLYKLCYYTTPFEEVGQ MAILNASYKFPSYPPFSSRLKMLISSMLQESAQKRPNIYQVLREVCQMQGREVPIKDI YAHRSTSEARRYQELPPSPTEAPQVGAVFSPPIQETQISIPEIAPMRRGRPTRPDASQ HNSARPSPSPFRGASTDPFAALDGKKPQEPGDEFSDRFPSLDQFNILHETKSKFDFEP STADRNEDERLAQRLTNALADEAFAKRSPLPRETASEPASSHTQPPTLKTSSPVEYNH YRDESSRQAPLYQPAPQRPTMVSTGTMTSPPQSPGLSELKPPSRPIYRFPSSEKQPQI SDNEKRKSRSGVSPVSSKLEVDPRISSDRLSNVSASPQPSMEALRPLQPEADHSLPRS RSSNNRSRPVSMHAGTKLELPPRAADSARSSLDSPKSPYDDGLPLQHARTEVDYDRSN ISSDVDFLRAKEEESIRKREKRYSGSSSKHTKRSSLSTLSLSGTKTLLAGRFGDAFRR FEGSQDNKAQSPGKEDFPKPLLSPVPGSETAGESIIYPGDDDDDDDDDDDDISPEMRR ELERRRLSQEEKRVANAAAEYRRRVAEQGEGGRRSANDVTRSPAILNRVQTLLGEASK PPPPKTATGYGKYTESSDPALQAKQQEGQPRPNTRGGAAPPSAPKQEIPSAGYAATQR TGPRPAAPPKPQSLRSGNTGTDPTALSGFDRLPNQPAQGIPASPGDDWEKNFSRRFPS LSGLEMVETEIEAPKFPTVRTKEV ANIA_04193 MDDGPPPPPPPHGEKPNTTHGEYRKASDLPQGNYDIFIIPPHSA GSGFLYLPSLQCQRNSFIAGAACALVAVYIWVTLTPMIKLWYATTVASGGGAGIAILA VGVVGLAGWAFGNYQAGSGGIPRPGPGFGGNWSGSSGPGASGRNASGGSGTNYSRGGN FEGQAGGAHQQGNYSGHFAGGPPPGNQYSGNQYRTNNEPPPQTTTGPNGNTNTAPGPT PGPKPGGAGTKPASSGPQSESKPQTAEDWEKAREETRRKEDLRRKMEEFKRKREAEAR EKERQREKERMEQELRERREQLEREMAAAREAAAREAKLQAEKEAAELRARLEREAAE AKLKAEKIAAQARQREIEARMRAAREAAEAKAKREKEEAEAKAKKEKEEAEAKAKAER EAAEKEAAAKAAAKKEADAKFAALKEAAAKKYAEKKARDAQEAAAKEAAATASKVSSA SAPPPRTPLPKKPTVPPSKASAPAMDEEDAYSFRPYDRPRRPYGGSVYSESSYAPSQS TARTTPPPSNRSAYETKDPDKIVIRGVYAFNQAFLRTPVAQLVSGQNMVTDGLVLRIT TEGLFIDDDVRGVPQREWDVKAWTMKLVEVWCPQVGPPKPNAPKANQAFFRRSTNEAP SSEESDAYLSNFLKVCKNTCRLASPGFSRSSTNNKESHPYGGLHVLRATIRDQEGRSH VSCDVNCVVYCSPSR ANIA_04192 MVHSKSFFGFSRSHSTNQHQKQKSSSSSSKTSSKSSSPSKSHSR SSSSPSLPAFAARPRNSHRRSHDPDSHPLNLPPDELRRLSAMAAAAADPRSSMDIDSN DPRLTTPSEPQPNGEQFHQSPTPPPHRSTGNTDEADSFKLAGNKFFKDGNYNRAIEEF TKAIELNPNNSIYRSNRAAANLAAHNYLDALEDAERADELDPGNNKILHRLSRTLTAL GRPAEALEVLERMQPPASAADRQNAEKMLRFINQAKETLAENRGASMAVFCIDQARQL LGPGVKEPRAWTLLTAEAQLKMATGNSFGKAQDIAINMLRDNNQDPDALLIRAKAYYG LGETDQALKSLKMCIGLDPDHREAIKLLRMLQKLTRTKEEGNNAFKAKDYRKAIELYT EALSVDETNKDVNAKILQNRAQAYINLKEYDEAIKDCTEALRLDPTYIKAQKMRAKAH GGAGNWQEAVSDYKAVAEANPGEKGIREDIRRAEFELKKAQRKDYYKILGVSKDATET DLKKAYRKLAIKYHPDKNREGEAGDEKFKEIGEAYETLIDPQKRAAYDNGDDLLDPAD MFGGGGFGMGGMGGMGGMGGMGGMGGTHINIDPSVLFNMMNGGGGFASAGGNPFGGGQ ARGFPGGFPF ANIA_04191 MDLSSLEGPPIADTRFSNVAQGAVSIPQIQFDTTLSPLLAKHAS QHHRTKLPHASKPVHERKTVPKQFTPHHYPGVLISIFPSSLKQPRTNTTLAPPERSHS SMSSLGPSRGMASISHFQPMNNYNSTDLLADTHSDDVPPPYEQHVFDQPLGPILPAVT RVNHEESPQRGSRWTAIGRRVT ANIA_04190 MRFSAAAVALFAGLTVALPGGDIETIYQTEEVTITSCAPTVTDC PGSTTSTPAAVETPVVVPTGTSPVEVSSSVVPPAVPTSSVPQPPAPVQPSTSVIAVTT CIPTVTYSTVTITPTVAPTTSKPVIPGVPTNVAPTDVPSGTATPSPSPPSFTGAASAV TNSFGFAGVAAVAAFFLA ANIA_04189 MSSSPVPLLKAPVPGNRGSSNSPRPPKLTLGIPPSATSRPVAGN GVPIMSDAPQPQRPSGRPAPPQLRLATPMGSTQDVPPQRNVRPMPPPLATTGLNDANG HSRSGSSTHLDGKGSGPASASSSNYSNLSFAMGGLRQPHGGTPDPSSAISSVYSDREG GVQMERDNSVNGLIPDLDKLSLEKGRALDVEDLDDQGWLAASEQKKIVELGSLGEGAG GAVTRCKLKEGKTVFALKIITTDPNPDVKKQIIRELNFNKDCASEHICRYYGAFMDKS TGTISIAMEFCEGGSLDSIYKEVKKLGGRTGEKVLGKVAEGVLNGLTYLHGRKIIHRD IKPSNILLCRNGQVKLCDFGVSGEFGTKGDANTFIGTSYYMAPERITGQSYTITSDVW SLGVTLLEVAQHRFPFPADGTEMQPRAGLIDLLTYIVRQPIPKLKDEPENGIKWSSNF KYFIECCNSLEKEPPRRATPWRMLEHPWVLDMKNKKVNMANFVKQSFDSTVL ANIA_04188 MTDPTSLSGAHAILLAIHLCATGNPAVLPHLQARFPATLTTERL LRIILTFLPESTEPRYYVPVVQTLVNGLVSRSDNDDIDISPVKDLPEAAARKRVRKIR LLPLRYPGDEDTRESADLLVIFLVHRAHRIDSETSLQPLILDLLLPFYQRSPILRTWL VSCLLPLLRLNYEYYPNRDKSCSLETLSSLDDQTATNILLSMAGTRKNDTDLIRNLRG LAGPWMYGSNRPMRRRFSQTVRRNSIPASQSHINEDVRTSGWEYVNEWLLSRSSADPE AVVNAFINWDGPGDVDLGGYVEEETLSMDQSKQLLYRYGQTGLAVIYQSPEVSLDGSI RVLERVSNLLGLEKSLFVASDNSTLPSVEFDAGPIQSVSRATLFQNALLVPTNPLTYP SPSSISFISGLLLSLRVLKELGHHIPCRTATNICLHSNQDMQLYELRSMMTSIAQSRS IRDWRTVRQKLLWLRDWKAETERTAESERCCHGLFFRVPLSTIEIEILKILLEVKEYD LAANIYIRSNSALNSMQVEDAVKESIFAAYDNASNGNRSRGRMQRAYEILQAFQPHFP GSTSLKQLQALISATHALSFYSLTLQHGVPFQPVSIRVHPDPLSLIEKVLDQNPKSYT KLDDLLDIGRNLVLAGFPPRPADDTDLHSHYTRPPVLKQDDALITAERRIMSLAISSA LSSDDFGTAYSYILTRLTPQTSSATFSLNSPSGPKDDITWRAVYNAGRYRPTHSQSSS TPPPLHIQITHLSQRMELLSLALILAPTPDPLPEILGAWRRADEELSLLRARESDEED AWDTKGDRSAAATFLPGGFNQPNTEQDVLETKQQHARRAARAAHHNRLDSGEAPMGLF EVARGAARALHKNVAFPLSASASASASSSMSLVDRTSEDDGENPNGGTGDSDAGRIRK RDVVSNMVTGGLASGIGWVLGAQPVNMNNRQ ANIA_10525 MASGFGLNGGPSRCYNFWQEVLGCYVVNAGEGESGKRKCLPALE DYHECLHHRKEALRTMRMQAAYRKAEAAHPREAGAQAEQVRSLGLLTR ANIA_04187 MTSRLDRLVTLLETGSSPLIRNTAAQQLADVQKQHPDELFNLLG RILPYLKSKSWDTRTAAAKAIGLIVANADTFDPNQDDGEDVKKDEEDVDDVNVKLEEE PISVADELLRLETLDLVSILKRGRKLLGSGSKDQELSLAAMDSAARLQHQKKLLTRRL GLAGECSDDDLLDDSELLPKTPGLKEAEPRLFSPKEKSHLNSSAHPLPSPSEPANGEE SGLSRRQLNQLKRKSKHIARLGANKVRVVDLSSRRQSENVTNPSISTPHPVKTEYGDG QNGDAKPDYFSLERPADHDDESKIVSEFKGTTLPDKSIIQPDLAEDTETIAWPYERMC EFLKVDIFDPNWEVRHGAAMALREVIRIQGSGAGRMHGKTRPENNVLNRQWLDDLACR LLCVLMLDRFGDYISDNVVAPIRETVGQTLGALLSHLPSRSVVGVYRCLYRLIMQTDL DFDRPVWEVCHGGMIGLRYLVAVRKDLLVKDSNLMDGVLEAVMHGLGNYDDDVRAVSA ATLVPIAEEFVNTRQSTLGSLMNIVWNCLSNLQDDLSASTGSVMDLLAKLCTFQPVLD AMKENAAADSESSFANLVPRLYPFLRHTITSVRSAVLRALTTFLRLEGEGTNDWVDGK GMRLVFQNLLVERNEDVLKLSLQVWSELLKSLDSRGVIKSDPELPNHIQPLLALSMAP FGVPLYPIPMNASLFIKPSGLPFSMVQPAPAKASPTSTTSSTDGPKKRGRKSEKKEPP PSSSSSTHDVDAHMLKGDIDMVGADTMLRSKIYAARALGELLSLWDKNGLDSLWETIV GGLGHPASSSQLASAMIIEEYAKVAGPDSKYAGALCEKLQTIVEGERPPWYSDISCYL SVARAQCHSLLNTFRETAPLLRSEKLASLAVVVQGDPDAGPNAFSLAKAYEVIGPDFE KLKRLLSPAQRITASKVLNETRVTAENAINFAKEARDTRDMRIKAAAAGALINFGAIP KKPGNLIKGVMESIKTEESAELQQRSATAVAVLVEHYTSAAKRGPVDKVIGNLVKYCC VDTSETPEFERNKEHEGLILSLRKEEDRREHADAATFEKEAREARIMRRGAKDALEQL AVKFGAQLTEKVPNLASLVERPLKEALSGDLPANIVDSDNVLGQEVVDGLSTLRALLP KFDPGLYPWVVSLMPLVVKALQCRLSVIRYAAAKCFATICSVVTVEGMTMLVEKVLPT INNALDVHHRQGAVECIYHLIHVMEDNILPYVIFLVVPVLGRMSDSDNDVRLLATTSF ATLVKLVPLEAGIPDPPGLSEKLLEGRDRERKFMSQMLDSRKVEEFKLPVAIKAELRP YQQEGVNWLAFLNRYNLHGILCDDMGLGKTLQTICIVASDHHMRAEDFAKTQRPESRK VPSLIICPPSLSGHWQQEVKQYAPFLNCVAYVGPPAERSKLQGSLADADIVVTSYDIC RNDNDVLRPISWNYCVLDEGHLIKNPKAKVTMAVKRINSNHRLILSGTPIQNNVLELW SLFDFLMPGFLGTEKVFLDRFAKPIATSRFSKSSKEQEAGALAIEALHKQVLPFLLRR LKEEVLNDLPPKIIQNYYCDPSELQKKLFEDFTKKEQKALQEKMGSSEKADKEHIFQA LQYMRRLCNSPALVVKEGHKQYNEVQQYLQEKKSYLRDVSHAPKLSALKDLLLDCGIG VEPTEGNLGAGASYVSPHRALVFCQMKEMLDIVQSEVLQKLLPSVQFLRLDGAVEATR RQDIVNRFNSDPSYDVLLLTTSVGGLGLNLTGADTVIFVEHDWNPQKDIQAMDRAHRI GQKKVVNVYRLITRGTLEEKILNLQRFKIDVASTVVNQQNAGLNTMDTDQLLDLFNLG ETAENAEKPTEIGGKEVDMVDIDGELKEKGKKGWLDDLGELWDDRQYQEEYNLDSFLS TMKG ANIA_04186 MSLPQLLNGKVAAITGGLTGIGRAIALEYLRHGAYVAVNHLGGS NDEPLLTAMKKDVSELLQQTSQTDKERFITVSGDISKPETGTEFIEKTVQAFGRLDVF VSNAGVCRFEEFLDVTPQLLNHTITTNLTGAFYAVQAAARQMAFSQSPPGGSIIGISS ISALVGGGQQTHYTPTKAGVLSLMQSTAVALGKYGIRCNALLPGTIRTQLNDEDMSDP VKRKYMEGRIPLGRLGQPPDLAGPAVFLACEGLSGYVILVDGGLFVNLQ ANIA_04185 MSHRGKYTPRACEECRRRRAKCDGKKPACSRCVHWQISCQYSTA EDGRRPASKAYVLSLRQKIDSLERLLERHGIDPRKDNECGQAIDELTESFEGRLAFEE SLNFEKDGELRYFGPTSGRLQFQSSSALHAEETVPSIADCDRADAVTAKNGISEYIQD HLIGLYFTWEHPWFSVVDERLFRDGMSSGGRYWSPLLHFSILALGSRFTDRVDVRSDP SDPNTAGKLFLEHAKNYLHKEMETPSLTTIQALAILGMFYIATGADAAGWLHHGMANR LCLDMGLNLDPAGFQEMNILSHREIQLRRQIYWSLYFHDKLSSNYTGRICSMLNSQGA VKAPEDEEVPDTDSSAQKEFKQLRRAIIGISQIQERIILSLWAPKPLLKEHQRSSFLH SCLLDLKSWFYDLPTELRINRPTPNTYPQAYTLHMVYHTTRILLAKPYITRKQPETDR EAVNIALTESRESARAICLTAQKYRHVFGGFQKSPITATHCTLSAALVLLAEVEAEAK TSLVSPAIASLKNKLNLCLTVLDELSNSWSPAKYIARNLRRLCLSATSDEMFSTATQL NPVDRGDFDATIPLDLNAELSYDSYEMSEHGVPELSPMNSLPSHLELSMPVDSLPVDY GFFDILNDATWDQMW ANIA_10530 MSYHQYLPDLSLPRFQVMRNQDAHEYAEAFKKGRNPPWLHALYT HWKDLAQEPFKGVTTDGNVRPNLFTLQDEQVPIDTIVSATKHLFSLLSPDQQQTLSYH IDSPEWRTWSNPEFLLSHKGLRLDEVNPEIRDAILAVLQATLSPEGYDKAIKAMRINH FLGELVESPRVMNEYSYNFVLFGNPSTTRPWGWSFYGHHLCLNIFLYKSQIVASPWFT GAEPNLIDSGPYSGTRILHVEETLGLKLMQSLPPHIQRKAQTYALMKDPAMPPGRWNR DDQRHVCGAYRDNRIVPNEGVPVTLFTEDQKATLYEILNQYLLYLPTYARALRIAHIK QYESETYFSWIGGYGDHDPFYFRIQSPVILVEFDHHSGVFLNNEEPKKFHIHTLLRTP NGGDYGFALRPLVPPIEDANGKEISW ANIA_10514 MYHDHPVRSVQDLIGFSGLISHAIRHPLDFHYSVGPTLSELSGG LLSSSFEPERDLPGLSGKVIFVTGGNTGLGKETVLQLARHRPERIYLAARTAIKATNA IASIQEALPCRADIRHIPLDLASFASIRAAAKQFQAECERLDILILNAGVMGHPPSLT EEGYEIHLGTNHIGHFLLTKLLLPTLRETVSRSESPDVRVITLSSLAANTAPSYDSIT STPALLSLGWASRYGASKAANVLFAAELARRHPEILSVSVHPGAVVTDLYQQTKKAGL FYEAGVGLMMKFFRSIRTGALTQIWAAAAPREQLINGGYYVPIAVKGVSRYENDVKTA RALWEWTEREIAKHY ANIA_10531 MPFDENQIPLHSRLQIQRGEAEPQPLYYRYPTPVHDTLAGLDWV LGNLQPTRLGVVGTHIGGSLALMLALTEPRSIHAVAAIEPVCDWTALDEYCTSTNSPA TSRRRRHAPVDLVPLLEARERFFASHERYFDSFASPILFLRSPGRDTPKVFPRYRTGP EYPIPVRVVGEDDPEEEPDLWDPYELYDEIDLSNSKSSAVDYSGQEAQPPARRRKALS RWPPYGLDYGNSGPPERYSRQPVKRLNVTLPWVRIFTFADHQSKESTSPSAIKSPKAS TPTSQVSEVDETQGQKAPTRRRRTRNDTVLSHQATEMVDVMRRACFFGKESGFGEKRV TLSSVGVSSSDTSTSDAATSAPEQREYLSEAITMRTGSWLAETLDLDIKENLKADNTR TRDR ANIA_10513 MATLDREDDFTDMVYTPPLSTRSRSNSRLRGRPQQPPQENLKQF WEQFNSRFPGKVYTVLPDNPYARTKAARAPKGIIKGQDAGKSYEQARKECERAVNRIA KECRRLNQKYSDPHFDIEMDLKGGTRNCLDGLDKQNDEMRPMGVKRVTEIFEKPQFYV NGPTASDVRQGRDGDCWFMAALCTMGNKAGLIEKICVHRDEEVGVYGFVFYRDGEWQQ CIVDDKLYLRAADYDESIDERPVWDNINRKDTEEEYRRAFQVGSRALYFAQCVDENET WLPLLEKAYAKAHGDYSAIEGGFVGEAVEDLTGGVTSDLLTSNILNKDKFWNDELMQV NKEFLFGCGTGLYSNWLTPNHRGPPRDRKGISENHSYSIMDVKEIDGVRLVKLRNPWG KKEWNGAWSDGSEQWTPQWMEKLNHKFGNDGFFWISYEDLLKKYQHFDRTRLFGPEWT ITQQWTSLNVPWSADYHTTKFRLNVTKAGPVVLVLSQLDTRYFKGLIGEYDFVLKFRL EKEGEEDYIVRSVNNSLISRSTNAEVDLEPGSYHILMKITAYRCGSESTEETVRRLAS TRREKLVQIGLSYDLAHAKGIVHETEAEKQEREEREYRRKAAERKKLREETKKRLQRE WIRNQKLVARRARAEERLATKAAKLQISDRNAGSERVLSDSPVESPSDMNGHVDGTDV PVENGSVPSIRFDETHMVLTPQSSHDTYSMRSCRHRSTNSISRHRRRTHNRDQDLLEG FEFDSDIDMPPEEPLTIRAPSMQTSLSDGHDSTTDPWNAVCVVGLRVYSKDEMLSLEV VRPVPEHETEAALDIDDPALSATSEKGSRLFAMQFE ANIA_04182 MENYQKIEKIGEGTYGVVYKARELTHPNRIVALKKIRLEAEDEG VPSTAIREISLLKEMNDPNIVRLLNIVHADGHKLYLVFEFLDLDLKKYMEALPVSEGG RGRALPDGSTLSRNLGLGDAMVKKFMAQLIEGIRFCHSHRVLHRDLKPQNLLIDRDGN LKLADFGLARAFGVPLRTYTHEVVTLWYRSPEILLGGRQYSTGVDMWSCGAIFAEMCT RKPLFPGDSEIDEIFKIFRILGTPDETIWPGVTSFPDFKPTFPKWKREDIQNVVPGLE EDGLDLLEALLEYDPARRISAKQACMHPYFQHGSSYYSGRARRNGFH ANIA_04181 MRPKLPFRKPRSRAKAGPAFVFVDATDGVAGGPHDEDTRVLIRR QAARSGRKQLRAQSASQRHDSTLEDSQAMAIHDVELTDNILAELDNDDRLIDHSIAPQ PSFTGYEALRATYNFDITYLASFTDVDLGKTAALRLQSQPGLLSNLLQQRSTSFLSYL PSRYGSSRCLDDAIHCVAARAGQMFGYTDGAAAIPRLYGKALKNLQHALSDPKSCMEV DVYCATRLLTLYEFISPPEENHWVLHNRGGIKLLELRGPENHKTRFDWLLLKSVAPSI LLDEMYRLRNSGIFEASEWQNLFKHASATESDCDSSLWWEFFRLTCHVTGVVASTRDA FTSPMSESEYISRTSKILERARWVRQMLHDGHVRYQTTEPYPPSLFDLPSVPESSDRI RLRGFYFHPFMQICRAITTLSPDEMERASAEVEAQTLATQALLIQQATVGLDPAMSWY FAQKNPFAHSVIRTREEWISHSELPWEELRGVLAQRWLKWHYSWRVSHLSESLEDKSG ANIA_04180 MAGSRQQAAEKALHDQTNILPRGRLLVVFAGLAISLFISFVDQN GITVTLPTVAEDLNGKNTISWAGTSSLIANTMFSVLYGRLSDIFGRKIVYLCALALLC VADLLCGLSQNAAMFYVFRGLAGVAGGGISSLAMIIVSDVVTLEQRGKYQGILGASLG LANVVGPFIAAAFILRATWRGFFWMLAPLAACSIIVGYFLIPDTAVKDSFRTNVKRID YFGIIASSIGIIFLLIPISGGGSYFEWNSPMVISMLVIGGLSLVTFFFIEWKIAVLPM LPMEFFKNRVIVALFLQSFLLGAVYQAYLYYLPLFYQNARGWSPIVSAALTSPLVACQ SMSSVLSGQYISRCKRYGEVIWFGFGMWTLGAGLTLLFKTTTHPAAIAVIVAITGVGV GCTFQPTLVAFQAHCTKRQRAVVISDRNFFRCMGGACGLAISGAVLQATLRSHLPVGY EYLSDSTYSLPAQSSVPEAVWGGIVDAYTAASRAVFILQVPLIGVCFLACLCIKDQGL ERPKDPAELEEERRALDAKEQSANLSVTTSQVITPERHSVEQVFEDEKTRQNV ANIA_10512 MAIQTPTRLTARFIKRTSFPTSNQWRRQFSAARPAFKEIQDAYI LSAARTPTAKFNGSFVSVSAPQLGAVAIKSAIEKSSVPVEKITDVYMGNVLQGSVGQA PARQASIFAGLSPNVESVTVNKVCASGLKAVVFAAQNIQLGLAEAQIAGGMESMSRVP YYLPRSSQLPPFGEIKLEDGLIKDGLWDVYNQFHMGICAENTAKKYEISREMQDEYAI ASYERAQKAWAENKFAEEIAPVTVKSKKGDTVVERDEGYENLRADKLRTLKPAFLRDG TGTVTAGNASTMNDGASALVLANKELAREFGAGKRALARIVSSADAAIDPVDFPVAPA KAVPIALERAGITKDQVAVWEFNEAFAAVIEANKKILGLEGARVNELGGAISLGHALG SSGSRILTTLLHQLQAGEYGVAAICNGGGAATAVVVQKLDRVD ANIA_10520 MATPGLLYVTMQPRPSLPAAQFHDWYNSEHGPLRLRLPFITNGF RYRAVDGVEPEWVALYDITDMDELTRETYLSLRGDTIKTPREKATMAQIDVGRKLYDL LQDEKASDFKPLEDQPDTAASGHVLISNTSTLPADKEDDLKAWYKEEHIPMLARVPGW RRSRLFVTASIDPKAQREFVALHEFTPQNGLGGPEHKASMETPWRARIADALTSKTRR VYNWAYTFGPAPRELGSLASPDTIGPWSSNDGRTRTLPDQTNPAVESYITTPDGVEIP YRLEGSTDPHSSVIVLSNSILVDYTIWDSFVKSFLAEERNRKFRILRYNTRGRSAAAG ETPINVDVLAGDIIALLDALRIPQAILIGVSLGGVTVLNTSLLYPSRVKTFISCDTNS SAPETNRKAWNDRAAIAESEGATHPETKEPIIGEELSEVTVRRWFTEKSYETQPEVPA KVKDVVRANSLVGFKKAMQALCAYDVRERMQKASVKGLFVAGDSDGVLPKTMKQMAED LKVEGGAELKIVPGAGHLPMVEQPEAFKAVVNDFLHA ANIA_04178 MATESVSKKPRIDSPTIGTHNGHFHADEALAVYLLRLLPAYSQS PLIRTRDPALLQTCHTVVDVGGEYDAATNRYDHHQRTFNSTFPGYKTKLSSAGLVYLH FGQSIIANHASLPADHSNVSLIFNKLYADFIEAIDANDNGIGVYDPEKLSEAGIEKRF KDGGVTIASIVNDMNTPSPEDEAAGIDEDGLFNQASQFVGSVFSRKLRHAVNSWLPAR ETVQAAYTDRKNVHASGKIMVLPQGGVPWKEHLYNFEKEAKAANINGNAEDAEVVYVL YPESAAEGSKWRVQAVSVNEGSFVSRKPLPEKWRGVRDADLDGVLAGEGAGIPEGAVF VHASGFIGGHKTREGAFAMAAKALE ANIA_04177 MASAIVTGATGITGSAIVHHLQKDASYKKIYALSRSDPGYKDPK LQHAAIDLQGSADDMAKTLSGISAEYVYFCAYMAHDDPAELCRINGTMISNFIQALEK TGAISKLKRFILTCGFKQYSVHLGNAKQPFHEEDPVLEGEVGGETWPPNFYFTQQRIL AEAAARSEGQWDWVVTLPQDVLGFARGNFMNEATAVGLYCTVSKVLPGSELPFPGCKA GYFAFNTWTSANLHAKFCLWAATAKGAGNNIFNVINGDTESWQDLWPRLARRFGCKIP NPMFPHGGTADTKGYKNYESSTVRMPNKHPLSTRVSDLGVSSDPSKEEPPTLFCQINP EKWAAREDVNKAWAQVRDKYGLDQKAWEKATWDFLTFALGRDWSCVGTMSKARKLGWS GYADTWDELVDVFETLEKEGILPPLERLKSDF ANIA_04176 MTTTLTSLPLEALSLICEYIAISHKPSIYAFSESTKSCHAASRS ARFRRVRIRVKTRRGLDHDIREWYIILLRNSAFGCVQHLTIEGRLALFWEDGETASSL PAKLLDNLLTEYRHEDEFTRPGTFYDHILRGPFYHIGVQEDQDEDAWAPMAKLLAKLT GLRDLVYACERRFPERLFEVLQLQNPQCKLHIRAFDPPCLAKEETEGEDEEWVEDEDD GDEDEDEDDVVSKYEYALVTSPALSTVIVPVAHDDIYRCSNEEAARLMARGLAPNLKQ VHVVDSGPGFKYRPINRDMFKQGLLSRKEVSQDIREKNLGLGELEGLSLDPGNLTRFD LWKETVDFSHLRSLQLWRVHLDTLEMATACDFRSLSTLVLGLFPRRRNPSQAHLSDQA AGAFIASLRPLESIHLTGPFFQESFSAVLSNHGSSLRKLSLYPTETSRIPPIDQFLIT STEIRQIRQQCPNVYDLRLQIERTLGDHKEQDIYRALGELPGLRHLSLQLEVWNAVDL GAMDKAALRQPCYAEHIFINIALDAELALEIFNLIASNSRIETLELMSGTEYLLAMKT TM ANIA_04175 MSESGKPKRPPRKHVTTACVPCRESKIRCDGASPHCNNCERKGK HCKYQHGDDKRKVSLRAATELFSARIDQLVQFIYDHGLDPPSMKPEDEAGINRVLDTL QIPRGVSRRKDSPSSDGVVAPKQPSPVQQPAHSPLPVPLFNGQSPENGIGRSESSALP LQNVLSTSHAEQPGANNQESLNSFAFQLPQTSWEFALPTAESLDSLYANMNGGGGYQA SLENGYSPESFHLSQDIAQQPGALLEQAQNDRDRDEDSDSGEEDEAEKDVIEQISHRI GTLKIAGDGHLRFFGATSNLNLVDVSATQQRQRPDARTVRHDGQDILNHLRVGQSVDQ ALEDHLLELYFTWQNCSTYVVDKDMYYTARQKWREEFDDTPFYSEVLTNAMCAIGSAF EARYHPTFITFPKSLAEFFADRAKALLEIELDSPCVATVQALVILSSHEGASNRDARG WLYSGMSMRLAFDLGLHLDMTPYVEKGDMSAYEADVRRVAFWGSYAADHFWGFYLGRP FRMNAGDITVPKPASSLTVGKEGTWRPYGLPANQEALSGELKNPNELISRQFAVLWEI ISPLGHVLYGCSDIPRHELQRFCHRVTDDLFAWKANLPSILDIDLADDTTPRLPHLLM LQYTLTRGSLQYHQIVIFTHRPWVSKSYIQPRAPRQGPGYHHARKMCIESSIAIARLL HIYEQHYTFRRMNNQVVSMIFSAALILLYVTISTTPLASRTGLEQLEGSSSEMTAYLN LCFRALDELGQSFENAKRTRDFLVSLQRRWQARMRRSGALKRAGSSQRLSKSSAKDIG GGKGSNHRDASSTRKKSRTSPPTKPHPRPHHHAHNTSLFSLSSSPPHAHHLTTGLETR STSTFLPSLDLKPVNLHGQTQSLAQRNDIDSWIRDSNLSLLSDNITDTTTAAASAFQM GGASTNPNPGEVDDVDVALPSLEDIDPWWEPGIDGSGHADDTGDRNGNVVGAGAFDDK M ANIA_04174 MATDTSTYKLNHTMLRVKDPKRSVEFYKFLGLNQVQQLDFPDNK FSLYFLAYNGPQSLQGDRHWTDRNAVLELTHNYGTENDPNYSITNGNTEPHRGYGHIA ISVDNIEAACQRLEDAGYAFQKKLTEGRMRHIAFVKDPDGYWVEIIKRRDEAMSTSTD PATYRLNHTMLRVKDAEASLKYYQEVLGMTLVRTIENKEAAFNLYFLGYPASNPQATE GANNPVAEWEGLLELTWNYGTEKQEGKVYHNGNDEPQGFGHICVSVDDLNAACERFES LKVNWKKRLTDGRMKNVAFLLDPDGYWIEVIQNEALKRTSNW ANIA_04173 MSFLIKNPFTTESRVGGNHPPTGGEDTSLQKELATVDEVLAKKM ASVNSAIDEIGMTPFQWKLFFLNGFGYAVDSLLVVCQSIAQPAVTQEFGNPSKHIAGV ALASQIGLLVGAAVWGFSADVIGRKLAFNSSLFICATFVLIAGGMPNYVSFCAMVAIY SAGAGGNYVLDATNFLEFLPVSHSWLVTFLAVWWAVGYTITGLLAWAFMSNYSCHPDA TVAECTRAANMGWRYLHITCGGLVLVASILRLVLIRMAETPKWLVSQNRDDELIEILS NLSKKYNRPFSLTLEELSSQGRVQGTEKSVFSALRLASHFTGLFSTKKLTYSTVMIII NWLLIGTVSPLYSVFLPYYLQSRGADTGDNSNYTTWRNYAINQVAGLIGPVIAAVLVE LRLIGRRRTLAIGAAVTTALQFGYTQIKTPAQNVGVSAAITAASNIYYGTIYAYTPEI LPSSHRATGYGLCVVLNRVGGIVGVLVGSFANVQTTVPLFICAGIYAALIITSLSLPF ESRGKRTV ANIA_04172 MAEFKHPVHTGFSKPGGFADPHHRPYWARSQVKYAQDLLDYSPD PIVYEHDDQDYWDNHFFFPEDCLWSPPKDWVLDEEDDDDNSEEDIVAGECYDHDDTMI PGLPDIKMLDAEALSDLLEDNLSPPEITSILVFATNGAIFAYASPLPSRQLRNLSATY GAAYTCYAKNASSGNLTGVNPASHPSSYVTAQSVSLGDVGSIVFELDDLVAVVTKIAD KVLLAAVGPSKLADAAPENQTLANSKPDSPKSAAYSHTESAQGPGTASGTGNNSTIST AASTPQTQSAASANMNGTSPYTNNTSSSASTVTPTAAPAGGYMTEAQLESQYEVDRSR DLERLASLNLSTPPSILLALESKSAALGRFLSQKLEDLESPEDF ANIA_04171 MSATSSPVEARLPTRSNTLRTVSTGTERRASLSDDEAIPGGDSN ETTNLLVERLRAWKHMCGYLEDYVSVTAKVQKGLSKDYEKVLKTVNEPLKEGHHFSQS AGGVASFFENIRANTQGMINLYADGEKNLRNSVLPTLEKLHKEIKAKAKELQTGASKA AKAVEKARNVTQKHIELLGQQTAALDSAAGNKLDTSHDPYIVRRGVIHRLNKQVIEEN NNRQEIITVQNNFQQFEAHVLQTIQAAMEQLVVFITGQTERQKTLYSDILGNLQRIPA EFEWVNFMTRNASSLVDPDAPPRSIANINFPNQDHPATQPLISGTLQRKSRMALKGYS SFFYVATRARYLHEFKDNDDFNRDPSPEISLYLPDCHIVSVDEVKHTFTIKGKDVSSN AIGNAFHTNTEFVFKAGSAAEAKEWVNILRDAAKAPIATTTAAAVSPSTVTSPTSPGA ASTATAAPVQPPAYEKESGAGTPPAVSRTNTTATTASTAEKVPSPVSEKTEATAAAPS TAAAAEKAPLEKS ANIA_04170 MALSFFSGGGSASHAKYFDIRLDEDYIVFRGGEQEAASAHLSGK LVLCVSEPISIKHIRLHLTGISRVCWHLPSSSAGGGRKNWRERVFYEKTWKFRDAGKS KTEILPAGNYEYPFDVILEGSMPESVEGLSDTYVTYRFKAEIGRKYAKDIVVRRPLRI IRTLESSALELSHAMSVENIWPNKIEYSISTPTKAVIFGTSIRVDFKLIPLLKGLGIG QIISQLIETHDLTLNPEDPDAIRNTYKTTRTIINDEHTIDEENSLEIIDEAAEGFQFS RTLDLPKTLTRCLQDTDTRGIKVRHKLKFRVQLLNPDGHISELRATLPVSIFISPNLA IDDNNNLVDSSPQTTQRALDDLAQQAPPLYGEHQFDQLYSEVDPSGYRTPGPGSGPGT PFGTLSRNLSAENLASMNAITHTDISASALHHRLVNLDLRGHGRVSASEHDHLGVPSD NGPPSGSNTHGSNTHAPGSPELSRRASDEDVHDNIPSGMATPFIPHSAELETLSRVPS YSTAVRSSVRPHDSDLPDYQAVVAETVHMSAPQSPQQAHIRGSGTGRGSDSYFSAPMD FFHRPAFLHSRSHSHSDDERRIRLTQARGRA ANIA_10508 MADAYEREQQNNELLNSLSGKVSALKNVTIDIYDNARDQDTLDH SNQVFSSLSTNLKGSASRLTRMARQGDTVAVLKVAGIVICAGIFLWLVLGWIF ANIA_04169 MQTTASSPEAGGGYTARRGHVPQLSISDPSHHVTEAIGHMYDDD YDKRESRRLSYISSPLSEAISIVPQNRTGEQPSQSLRVPDDSDRGHPRLSNGHSHPSM VRTKSSDDSPTSPGATDTATTSFPLNDIDYESDPAAVAQELNNLAAIRRMSMDVTATG DPDLPSFNFPVPSIAPSPSADENDTSRLFWVPARLHPELAPKEFKSFLESKSEHIKRR SGEFSTLSPERQNSNSSLTRKRSMLSKQIDNGQGYTDGAERLERKRSQNRDHQGPNLQ EIESLVGETSQKTPIAATLLETVQALHISPEDDRPILPPAPPGHSLRRSTRTQYRKAG SLKKGERPSYARRTGRIADSRDMPGSLTGPSGREPILGLTRVSTDPTPSVTRSQALAK SQDSDTGAAFDSLLEDTQQTKEGLTGQPLTDSGNRVNLSQQPRQWDSRIDSNGRPSAV MLDQKIPEIVETPAPEIHTPPQNSQRSSTSVRVSSHDTPLQSKPDKRPVASRTHSTHP TEPASTLSEFASNPQVLPGNTTRTDNLSFIPTFPEDRKSESKKSKDKKDSDGGRKSSW HWFLGSEDKEKEKKKDSESKKTKAKIADKVHDAANILPASNESAQRRESTVFDRHDAR LEEERKKDNARRSSAETKKEKESGLFSSIFGGSRKKNNEHHHKKSLSRTLSPEPPVRI LQPDVDYPWTRFSILEERAIYRMAHIKLANPRRALYSQVLLSNFMYSYLAKVQQMHPH MMVQSSASQRQQKSRDQSDEYLQYQRYQESQDQHYDDSSYDDPHMYDYGDDPHQYQQY SHGGKHQGYDNGNAYGPGHNQYGHMSFGDDVQLDDDDDDMW ANIA_04168 MPLGIHNPLPSSLSSECKKAGKILASFVDPRQAFGPDKVIPPEV LAGAKGLAILTVLKAGFLGSGRFGSGIVVARLADGSWSAPSAIATAGAGFGGQIGFEL TDFVFILNDAAAVRTFSQAGTLTLGGNVSIAAGPVGRNAEAAGAASTKGVAAVFSYSK TKGLFAGVSLEGSMLVERRDANERLYNSRVSARQLLSGTIPPPPAAEPLMRVLNSRAF YGVRTNGDSMYNDIPVYDDRHDDVVWEGRRGEAYGEGIRRDRTGYHGPSDDYEYHDRP RRATTWADDIYDRPAGGLSRSSTARFSSRNDTFDTYNRQRSNTYDDDYVYSDRKPSRP TAPKPVFGQRTGQAAPLREDQAIALYTFDADQDGDLGFKKGEIITIIKRTEKKEDWWT GRIGDRVGIFPANYVDAA ANIA_04167 MLCCMRICVCGDEGTGKSSLITSLVKGVFVTNKIQPILPQITIP PTIGTPENVTTTTVVDTSAVPQERSNLAREIRKSNVILLVYSDHYSYERVALFWLPYF RSLGVNVPVVLCANKSDLAADHTETQVIEDEMLPLMSEFKEIDSCIRTSAREHRNVNE AFFLCQKAVTHPIAPLFDAKESALKPAAVAALQRIFYLSDKDRDGYLSDKEIKDFQMR CFEKPLSEEDLVHIKETIQKTHPDSVTPSGIDCRGFIHLNKMYAEKGRHETVWIILRA FQYTDSLSLQESYLHPKFEVPPFASAELSPEGYRFFVNLFLLSDKDNDGGLNDAELAS LFAPTPGLPPSWADGSFPSCTVRNEAGHVTLQGWLAQWSMTTFTSPKTTLEYLAYLGF ESSDRSNPSTTAALKVTRPRKRRKRPGRVGRNVVLGHIVGAPGSGKSALLDAFLSRGF STTYHPTIQPRTAVNTVELPGGKQCYLIMDELGELEPAILENQAKLLDQCDVIVYTYD SSDPDSFAYIPALRAKYPHLEELPSVYIALKADLDRTTQRAEHQPHEYTALLNMPGPP LHVSVTWSSIQEVFVHIAEAAMEPSTAFPRSEEDVEGKWMSWGIALGAVVCAGAAAVM IWRRVSGSGV ANIA_04166 MFVLPPPPPRYTVPVAYAAGASNGMAVPIVETNNIITHPEKGCP LQVGEGTYQLQDDLHLATPPPHPSEAPIINPNPLATVPNPPTSGVKLSLISLGLRNKT AFPSKVQVTARPFGDGNSALAAAPVKDPSKKRKPKNNIIKSSSSFVSRVIIHEATTKR LNDRDPEGLFAFANINRAFQWLDLSSKHKDEPLSKILFTKAHMISHDVNEITKSSAHI DVIMGSSAGDIFWYEPISQKYARINKNGIINSSPVTHIKWIPGSENFFIAAHENGQLV VYDKEKEDALFIPELPEQSAESVKPSRWSLQVLKSVNSKNQKANPVAVWRLANQKITQ FAFSPDHRHLAVVLEDGTLRLMDYLQEEVLDVFRSYYGGFTCVCWSPDGKYIVTGGQD DLVTIWSLPERKIIARCQGHDSWVSAVAFDPWRCDERTYRIGSVGDDCNLLLWDFSVG MLHRPKVHHQTSARHRTSLIAPSSQQPNRHRADSSGNRMRSDSQRTAADSESAPDQPV QHPVESRARTALLPPIMSKAVGEDPICWLGFQEDTIMTSSLEGHIRTWDRPRENISDN YGDQKSSETLGTGKEAGHPASSMGSL ANIA_04165 MGSSRLAMRSALGLFFLLFVQISLALKFDIAAGKGERCIRNFVL KDQLVVVTAIVSGERGDGQMVNMHIKDSMGNDHGRPKDVIGETRQAFTSAGDTTFDVC FENTLVSRRGISNPHRSIELDVDIGADARDWSNIQAQEKLKPIETDLRRIEEIVAEVV SEMEYLRAREQKLRDTNESTNERVKWFAFGTMGMLVGLGVWQVIYLRAYFRSKHLI ANIA_04164 MSTIRLVHRLVRPPMSHLSSTRSAARSFSAAFHPQANKDSDRAH STTPQHRVNQTDKPPNPAVPSTTSTLTKDFPKAGEKNPPPDLLNSADPNYKPVDTYPG KVEHYTGGRQQHGAQKPELEVGEMEGITFKVEPLRRVGEDISTMRARLLYQSRKRGIL ESDLLLSTFADVYLADMNKEQLQEYDRFLDENDWDIYYWATQDPPAEGGAKSDVSKDT PTETWQRTGAKSGEWAQTVGAFKAAYRPVPSRWADSDILRLLRQHVRDNSANGFHAAK NRKTGGGGLNRMPNIQIFNN ANIA_04163 MAEQLVLRGTLEGHNGWVTSLATSLENPNMLLSGSRDKTLIIWN LTRDEQAYGYPKRSLEGHSHIVSDCVISSDGAYALSASWDKSLRLWELSSGQTTRTFV GHTNDVLSVSFSADNRQIVSGSRDRTIKLWNTLGDCKYTITDKGHTEWVSCVRFSPNP QNPVIVSAGWDKLVKVWELASCRLQTDHIGHTGYINTVTISPDGSLCASGGKDGVTML WDLNESKHLYSLHAGDEIHALVFSPNRYWLCAATSSSITIFDLEKKSKVDELKPEYIE KGKKSREPECVSLAWSADGQTLFAGYTDNKIRAWGVMSRA ANIA_04162 MMLSAAAARRTSCAFPRNSRTPATLPSRTIAFSYRRPLQHLPKP QPSNRYNQFRSPFPALPRHVLAFPPQTRSLSYFQRTRLGLRQASKGIWRKYPVLLPFA ILGVVGSTLFFAYIVYIEVTHNAPQYHKFPPEVVKPLRQAVYYTDVVLQPQTAMKYYK EALKAAAQVGLHPFSDEVLGIKLQVADMLERSGNVVPAVKVLENTKKEILQYVEFGRK RAAEQKKAQDEHKKKFENESKQRHAQTDIKLEIDNPDFIDTYEQMKAMDEYDQQQQDK AMKKAVGISLKLGQLYASDHIQDLKKSEAAHESAIELSMNELKYRQSTGLPLSNPNPN AENELTPWLTRRDAAMALTNLAQTYGELDKHDLALPVFLRALELLREDEGPKPTAFQF YLLGIIASTLNVQSLKPLRADDPDAARNHLVGHAREWAMTANKIMDKIPDNEKDESYL LGCISVKNTLGQLAERQGELEEAEKYYREAIDTAKVYASHSTMVDPRHEEVVDDLRAA VERVSKR ANIA_04161 MCGRYALGVRMSFIRRRMQEQGMSVDEAPEDDDIRYTYNFAPGN VGAIYRADIPDHNKGAEPHPDQEQTESTEPALSETDSSPPVNYKLQGMKWSLVPFWTK RLLDYGTLMRTINCRDDSLVDDRGMWTSMKRKKRCVVVCQGYYEWLKKGPGGKDRIPH YTRRKDGDLMYFAGLWDCVTYEGSEEKLYTFTIITTSARPSLSWLHDRMPVILDPKTE AWDAWLDPKRTSWSKELQAVLKPYEGELDCYQVPKEVGKVGNNSPNFIVPVDSKENKS NIANFFLNAKSKTELTKDEGEGVPAEKKDDTAHSVSGVKREHPHNADAEAEEDPKRRK TLSPDSSSKQPSKSGIATKPKQMRSATHNQKSLKRPEPKKTTTGTPRITNFFSK ANIA_10510 MWSFRNIYRQIPRRTQFRSVSTLEGHPHIYVFPSNGTHVLSLLP TEPPSADLAIGVTSKLPPTTDSFRENPKFLETLQEVVAQHGHEDPDAISQAQVMVSTS GANIASGGVLMPGSQTRRRRGGADMTSGASGQGGAGSAGRGGWIHISDSRRPPEYGRI AWPEDIFGSLEVDGDGKFVGGTGNYQASGTYRIVTRDGILGLSPFLREKLIQKLREQE AQ ANIA_04160 MATPSSKPNPGATPTHLTSSPRPSGGPMHRAMSLKSPSTRTPSA SGHGQLNQPASTQQYATPLAVTAENDNAISFSSPSALLLGAYPGISPSPAVHDALVGT GMNDSDIQALGMPGLKLGAARDNDEERRRHIIEVVQKLRERVAGRGVSRKGIERLSHL ERFESIWQDDNLNIAGNFVDLEIDFYRGQNVVRDVSLKYATPDTADGERREEATAILK RDLVQTPEDGARGDWKSLDNFHKNLQWLARHDKLSEEVNCFEAIEGLYESLKRLWNEE SSQRKFGGDYEHICSGGIGRPSLHRGSRVGLWLDYWVPRARVMDAKQRKSADAMDIDQ SENSANGELSGGNGEWRIAVECEEGYPSLRVSKDWLGSEVFTTAHDDAEASASDSATL EVRVINWAEPPPALNGNQSSSGNMDLDSNMLGSSSPNRRFVARLEPALDIPFLVATEV YRHLGIQMPQDFRLSTYDGLLAPGWSLGSEDSHIDRKRSKISVQSFDEEGKPCIKRHS YSFQTFEPTSGKTLRNLPFSHPRQLADVLPTLRQYALLANMIQGTFPSHDRVKPEREK PKSIVQPQDEKYKTTRNGDITILTNENPNEKKLNMLLGLADDLKLEDEVQESGSDELK VDVTLRTQLGQAPLIMLLFTVNRASSSIHGPEHSVSKVSIAFEIGLNARVSVVEVTGL LDDENSSTSNASPDTQAAEDPTRELQAKLAYVLETSQDIGVLVEWVLRWLSANNIPIE K ANIA_04159 MTDSTNVSNTENLMKYMSLDQRGSVMAEYIWIDAHGGTRSKTKT LSKAPSSVDELPEWNFDGSSTAQAPGDNSDVYLRPVAMYPDPFRRGDNILVLCETWDS DGSPNKFNYRHDCARLMETHAKEEFWFGLEQEYTLLGPDGWPYGWPKGGFPGAQGPYY CGVGTGKVYCRDIVEAHYRACLYAGVKISGINAEVMPSQWEYQVGPCHGIEMGDHLWI SRFLLHRVAEEFGVKISFDPKPIKGDWNGAGLHTNVSTTSTRAEGGIKAIESYMKKLE ARHVEHIAVYGEGNEERLTGRHETGNIDKFSYGVADRGGSIRIPRQVAKDGKGYFEDR RPASNADPYQITGIIAETLCGGL ANIA_04158 MPYRGHCICGSIQVSLKEQPPGSSINYLVDEPDFTVEDTTSLKT FADTHYASGNIVACQFCGNCGRGVVLMRVSPVVTRSPRYPGKAFVKASLFDVISPPTM EVFTERRPKWEKPVEGPSQA ANIA_04157 MSTTKEASVRVGIANTEEDIEMSKPDTLDANDFVIGSIQPEYSD AYMRRLRWKIDLFLLPLMWFCYGTQQADKTSISVQAVFGIREDTHLKLHTGKFLSVVI VLWGMIVLCVAFAKNWAHLMVLRTLQGALECTISPTFMLLTGSWYTSREHTLRSLIWG TSNAGISFFLGGLTIFCGIMVWFILGTPREVRWLSEEEKNAAIVRVMTNQTGSDREKR SEFRWEQVWSAFRDPLTDFFFFVTIVNALPNGRTRHSRNSSGSLLGSRLLRRCSRAQR RTTPSALCDSSLLVFMMMGSLVPAFVGVIALSQLPTDSMQWTRWGMYIMQVFGTLPGL MIWTFLPSNVAGRTKKTVISTVLFIAYCVGKAVGAQMMVPSDAPKYTRGITACGVLTY CPEDNPKEFKKFKIESHTCKRRVQASISNSAKKVTMIRPRSLICLDCTYNWPAVTIAA ANIA_04156 MGSIGTGSGVDLSHHINRKSKARHPSPLKDIIRFMSQDTMISLA GGLPHPTLFPLHTARFDCLPPSSSIPDPDKGGTPDDPVSLMLGRNSGPGELDLTQFLQ YGSGTGNEHLISLCKEITNKVHSPPCEYECLLHPGNTNAWAKVVGMLCEDDDYILVEE YTYPSAQVLWIPLGVRAVPVSADAQGISAIVLRDVLANWDEKSRGAKRPTVLYLVAVG SNPTEYPTPDIIIVEDDPYYFLQYPPYSPSANFSATEFQPLDTASSLKSLTPSFLSLD TQSRVIRLESFSKTVFPGLRLGYFVANPLFTERLLRATEVETQDPAGLSQAFILALLK KWGRQYRVRRDWIVGAFHKHFTILPAANSRLLKADGYVACLADCTGQLKPVFSFIDPG AGMFIWSKWYFAGVKRFAELSASDKLDPEQAFATELWNSWASELFLLTPGSYYHHWQG KNKVTRRERGAEKGTAHFRFSFATPTEKQINAEVERVKTVVDRYWN ANIA_04155 MKDAARRYAGIPYALPPTGEHRWRRSRPLPQSYTYVGSTPDSAF DATRFKPVCPQKAYHVGGSTEGGDGAYSEDCLFVNIWTPVPDPQNSEKKKKLPVMLWL HGGWFQMGDPNQEAGMDPTELISTGKLNAIVVAIGYRLNVFGFLAGPDILAESERGEH GQSGGNFGLWDQRLAAEWVYENIELFGGDRENITLAGRSAGAYSVEAQMLYEFRHCAS LDSPRLYRRFFMDSNAIPAQPKSLSDTKEQFNELCLHFNIDLQASSAEKLARLRQKTA QELVAAIPNLKNHTFRPVTDDHFIHQGMAEYLESREFASAFKASKARLPIAEVLNEET LYSTYNSPSEPTVEALRM ANIA_04154 MSRDRTLSPPGEALELEPLVTSDDEQPDSPLAMQPPAPPRPRWL TDTRRRHWLSSAAALSARLLRPRLTWRYLLFSIFCLYALYCLVRGSPLLASPLPSYAG PYGVGAIDLEVPLAGGPLRVAEGVIKSTGQPAFEVETLLATIYYPTDRGFRSRKPRYP WIPRPISLTAKGYARLAHADNFLTRPIFTFVLWAVGGPITIPAEVDAPLLSSDKGLEA FPVTVFSHGDASSRTDYTHFLGELASRGHVLVAIEHRDGSGPGTIVKTSAGSPGRQVL PFRFSDLATDLDADSFKRAQLAFRDAEIHAAIELLHIIGKGALVHNTRDPVTTLTSWA GRLNLTQLTIAGHSFGATGALQALTNSDAPAAGLILDPGKSSGPLNPNATVPILVVHS NTWSRTVSLFYNRPHFDTVRDLVRAIPAPSWFLTSIGTAHPSVTDAPLLEPLILSWTT GATLDVKEALREYVRVAQDFSLFVRSGERRGILKEKTTHHQYGVWVDEERKKEFPKEL ARYWEVHVTPEDQEKPLEKPVEL ANIA_04153 MTLFLGYNYSENTSLTKVKPEHIVVTSGGGSALGALMRSICDER DSVLLVCPIWDGLGLYLLIHGNIEWINVTVPWLEIGPQRSLVEELERAYLNHPNPDRI KAVVFTNPNNPLGRCFAPSVLRECLAFCAEKALHCISDEVYALSSFSSSAPFPRFTSI LSLLDDTLPATFASRVHVIWSASKDFGCNGLRLGCIISQANDTLRLGSGLTSYLEVSS LTTVMTIALLDSPHLPLLIAKSSERLTAAYNLLTRGFERLHIKFIPANYGLCVFFRLV DNCSSAKEETAAVHELAQLGLVVSQGQNYALGDGVWGWARIIFAYPPDVIQRALDVLE KFRRGRGGCI ANIA_04152 MSHADSQKAIVVGNLLATLALVASSLAGAYYHIGFPIFSRAVWG LWGSQFVIWNRIFLGVVWYGFQSWVGGQCIYLILLSWDPNFAVDVPNTIPADTGTTTA EFACYIIFCVISLPALWIRPHRIQSFFYISSAITILFFLVVLIWALVTMGRDSFGETL SSTSSIPATGGPNSTAWLMLYGMMSTIGSIAAGILNQNDYSRLATRPRDAIWGQALAF PFYSIIGSLIGILVTAATQNRLGGEAIWNPPTLFASILQKDPSPGTRAAVFFAGLALS VSQLGTNLPGNALPGGLDLASVFPGYINIRRGAYIFALLSPVVNPWRLVNTATTFLTV LSGYGVFLAPMTGLMAAHYLVVCRQKVNIDDLFRGDKASIYWYTAGVNWRPPVAWIIG VVPTMPGFVAAVNTSITVSDAATELYYINYLYGFLVSAAVHAFLHWLIPDRKLHAFVT DDTSASEIQELSNARWDVFDGQPGGQVEEEIPL ANIA_04151 MPSQQPSYDVVIIGAGFSGIYLLHHLRKLGYTVRVYEAGSDLGG VWHWNKYPNCRVDTQGSIYQLSIPEVWETWSFSEKYPSADELRGYFAHLERVLGVKKD IEFGRTVTGAWFDKAAEEKRKWTVETDDGRVTQCQFLLSCVGLMTERYVPEIPGLETF QGRICHSASWPKGGVDVAGKKAAVIGTGASGVQIVQAWGKEAGSLFVFQRTPNISLPM QQESLSPEAQKALKAEIPRLLAAREKTFSGFLKDPSPHRTFEVSREEREARFEALYQE GGFALLLGGYSDMLLDEEANREVYEFWVRKTRARINDARLQKILAPDEPPHPMATKRS SMENDYFEQFNKPNVHLVDLRESGCAIAAIKPDGLVLQNGTFYPLDVIALATGFNSYT GSLTQIPRLRNTSGTTLAEEWAQDGASSYLGLTRRGYPNMFLCYALHGPSALTSGPVS IELQARWIIEAIRKIDESGLTYIEPTEEAEKTWKATINKITEMTLFPKADSWYMGANI PGKKREMLNFPGGIPMYEEMCFKALQNWEGFVTV ANIA_04150 MTALQTSDEPTKVLGREYIQEQLRDSSAHDASQNDLESGDIDIA QIERIYRKIDLRIIPAFWVLYFLCSAIRSNVGLAQTMNAESGHDLGSVLNATPHQIST GLALFYVCYVVFDLPSNLIMTKLSPHVWMSRIVIGVGIIGSCMAAMKAAWSLYLLRLL LGIVIAGMWPGMAYYLTLFYPPSRTGKRIGQYYTAAQLSAAVVGLVSAGFQEMDGDRG LVGFQWMFLVYGVITIAVGIGLLWWLPDRPVAPGEVPPSRSKWLRWLPKSPPVLTGKD AELHYRDLTRVYKRPRWTLRHLLQVLLDWRLWPLLLMYFGVVGVGIGVQNYATVIIKG INPNLSGIDLSLLTAPIWICDLIAILLVTPISDRFHHHRALFFSLAALLQILGLLLTT YAGTDPNPWPRYGGLLIVGFGLGPTVPITMTWTTEIFQPRHGEVGVAAASAVVSGWGN LGSIMTTVVDGGKTVEHEGAVDGAARREGRQRGFEGLGNGFKQWFTKQNGHRV ANIA_04149 MAGMNSLSLELIELIVLELVKLLFHDSADGIPGRETHHLLAPYA RISRKFQVAVERFLYRSVQTSSSVQRELDAIRASPSRRAALRDLVYVIELPAYDSELL YAMERRREHRANQDSFRNGLVKIWHELSGWDKPPSLFLDLSASSPSDDCSAFDSENRW LLPEHSLSIDTNESLKLPKIECMISLAVGKQGRRIHPATVYEMILTLPNLRVLDWTMA SVQLRYKALRAEYSAILAKALQAPTLVKLEVLSLSLTECPPNNHDFDMGLERDPTYPD GDMLSLAIRELAQRNLRELNLDQVPISPALFGSSDTDVNTSFPHLEHVRIKFPIITYD GRWYYAGNRDSVNPEELDPEEQMQIDNGPSLEEPDSDIESDISLNMDRADFLNGKIPW YNWRTHPDPTMFNTLIRSVVAATHRMPKLKNLLLTTKVRGLSTCDYEDELERQWTIKA NYATPGCYIGTLRYPNMSVQADSRCRWVVNLGPRVQWEVPSDIQGMMKEKVGDGGDLV IYRSRS ANIA_04148 MTRRYLGLQGQRLQLAISIIAGMDFLLFGYDQGVTGGLLTLQSF IKYFPTIATNGSYYESLDSAAKSTQSTRQGIVVAAYNLGCFAGSIPTIWIGNWLGRRK TIFLGSFIMVIGALLQCTSYHLPQFIVGRLVTGFGNGMNTSTVPTWQSECCKANKRGQ LVMIEGAMITCGITISYWIDYGLLFADPNEVAWRFPLAFQIFFALIILSFVMFLPESP RWLVLKGREDEAREVLGALVGDRSDPSFIQTEFVAIKATVLEMASGSFRDMFTFTEDR HFHRTVLAYVNQMFQQISGINLITYYIPVVLENQLGMNLQNSRLISACNGTEYFLASW IAVFTVEKFGRRTLMLFGAAGMSISMAILAGTASAGSNAANIACIVFLFVFNTFFAIG WLGMTWLYPAEIVPLKIRAPANALATSSNWIFNFMVVMITPVAFDNIGYQTYIIFAVI NAFIFPVTYFFYPETAYRSLEEMDRIFRKTKSIFSLVRTAKEEPHMYGKHGELMRQLS DVEDEAVRRASYLEHHAGKKEHESSDETSTEKVDNVEHVK ANIA_04147 MGDDASLQSFRQRPLCVIIWRAAATSPKTTQMIQQSVRHHLSLF FRHSCDAALECKCQVGHRQLHSVAFWPCQAASCHPGLGRHCSWNRKIFVDVTLCGIQS TYRLGRHRHCMTWLMPDRRLAPCVAVVAVVSGGDISCKSYMPRDGLRGKDMDCEAHSP GIANYSNPSSLARPTQIGSDGHSRSEECGQIRTPWRSAQSADEAKSAEKEHYDSTTSN RSNAASQSLT ANIA_04146 MSYLVASLQHSSTPCNVRPSPFPSPSKAERTRTGISATAVAPNV EQRQLEEVVVVKLCAQRAVCSDASQWLRIRTLVASTVAG ANIA_04145 MASQSPLFQPLRIGNVTLNHRVVMAPLTRLRADAKHVQLPMATT YYEQRASVPGTLLISEATIISPQHGGYPHAPGIWNSEQVAAWKKVTDAVHTKGSYIFC QFVAVGRVADPATLRADGGYEVTAPSAIPLDAENGVVPKELTEEDIQALIQTFVTAAK NAIAAGFDGVEVHGANGYLVDQFLQDVTNKRTDAWGGSVPNRARFGLEVARALVEAVG ADRVGFRLSPWNTWQGMKMADPVPQFSYFAEKLKELKLAYLHVIESRVINNVDCDKTE GIEFLLEIWGKTSPVLVAGGYNPANAEAAFTEYKDNDIAVVFGRHFIANPDLPFRIRE GLPLNKYDRDSFYAFMQEAGIGAATAIAFAAAGCTRIAITDLPGSGLNETHMKILAAT SNKPNVMSYPGDISDESFVNSFIDQVFSAFKRIDYVVNCAGVLQKEFLRSTEVSCEQF DFINGVNYRGTWLVSRAALRKMVSQEPLSDGIEDGEWRQKSRGSIVNIASQLGVVSRA GAAAYCASKSAIIGLTRADAIDFSKDLIRVNCVCPGVIDTNMTTGDPETKEALAPAVQ IAPMGRMGDPREVADAVLFLSSSRASFVQGHALVVDGGAWEGVSLQTSPLIRCGMSST FRVSS ANIA_04144 MASSNAYGPPSGFAIRRWGSCSATEVTCDQPWDLWHDCCPEGTH CSSDNTCCPRTADCSPFIQQDPHCANNVTWDLYWDDEYFCCQNTAYGFIQGGLVYNGT STEGIGCTNVLPDGDLRTAIPPVARGNESEAAQSSMSSSTPTPSPTPSSTPMPSEESS SSTNSGAIAGGVVGGVAGLALILALAWYLIRRRQKAAQSSLLASTSDSAPGHDTKEHA GFFQAELDNNAYRAELYGNHDGLLHELPVEGGR ANIA_04143 MPSKTQKSLEALVAYFKQSKSRRATIVRGQGEPGHRAAPARGGN NDARFGSRIDIGEITDKAGKEYRRYKFQFNLNAGDSTLKKKAAQDSHEGYSTADVEIQ DDRTEGEEEQAMREFEEEMSKNLRESRLVT ANIA_04141 MYMSVTQQNSPIVLDALGFEKSAALLQHRESLTSSFYARIARFL SVEVSFAHETSAVQPFKRLGTIQYLVGTSCNDILIFPLSPDLPGSPFAKVHPRSSDRR QKPGRIPIGHSGVLGRYWALCSGIGKLYYPSIG ANIA_04140 MHLPYALGVLLVSAAGSIASPLTGNLAVSSLSTEVESDQTAFYY GTARSRSFLIGNDGSAATGGIRSFSLQNLNETARVKPGRTKVAGLLYDVGHRDLVVSI AAPDSIIRVFDVNGLGEIPSTRKKALGDWSCLCTWTSSSGGKYFYLLGKKQGIQFLVR EKGSKVEVLEVQTFPLPVEPSSCAVSPEDNVVYFAAEDKTIYSFAAAESTTAPDIQTL GQVSDEISGLAVYVSAATQYLFVTQSDKVEVYTPELEQVGSLAVTGVEDLEIAGTSIY QSNSSQYPYGLLGFAIESDSGNAFGVASLEPAFTSLKLQPNTSYTPRRSSGQSGPKQN GFPSANNTLSCFAGWTGSDCTEITCHNNCSRHGTCLGPNECKCRSHWAGPECSWIGVE AKYETDANGGDGDDPAIWISPANLNRSTIITTTKSEIGAGLAVFDLKGNLLQTVAAGE PNNVDIIYGFQAGRRTIDLAYAACREDDTLCLFEITPDGLLTSIPGGRQPTPEDYTVY GSCSYRSPSNGKQYLFVNEKSGLYLQYELTSSPNGTLATTLVRKFTGGSGGQPEGCVA DEENGYIFLGEEPLGLWRYEAEPTGSPNGTLIAKVGDGTIYADVEGVTLLPGQTPEQG LIIVSCQGVSAYSVYRRAEPHDHVLTFTIGESGDGSVDGVTNTDGVTGVSTGLNDDFP RGLLVVHDDANQLSTGETAELASFKLVSLEDVLAAAGKRTWLFEEVDETWDPRA ANIA_04139 MFASTLRKTFVFLGLATYSAAALTTTSNSTHYTISNSRFSVAVA KSNGHVVDANLDGQDLLGPLSGNSGKGPYLDCSCTPEGFWTPGAEPALVNGTDSTGTP YVGVIMTDTYETTNQTLSQYLFLRGEETGLHAFSRVTYYNESDYFLRGLGELRTLFRP NTNLWTHFSGSEGNYGPMPLSSTEKITVQDATTYLGDTTDDPYVSQYSDYFTKYTLTE SWRDHDVHGHFSNGSTSGDGNTYGAWLVHNTRETYYGGPLHADLVVDGIVYNYIVSGH YGAPNPNLTHGFDRTFGPQYYHFNSGGPGTTLEELRADAAQYASPEWNAEFYDSIAKH IPNYVPSTGRTTFRGKVNLPKGAKKPIIVLSENEQDFQLNVFKKDSLQYWAEIDGSGA FTIPRVVKGTYRVTIYADEIFGWFIKDNVKVIGSNAHTFTWKEETAGKEIWRIGVPDK SSGEFLHGYAPDTSKPLQPEQYRIYWGKYDYPSDFPEGVNYHVGKSDPAKDLNYIHWS FFPSQGNHLRNEPYYQNVNNWTITFDLTASQLRNTKTATFTVQLAGTRNANGNSKWNP DPAKYNNLPWTVNVNGIYEDTWEIPYWRSGSCGVRSGVQCQNTEHKFVFDAGKLRKGR NEFVLSLPFNATSVETALLPNSLYVQVVSMEAVSVSNDMRVLVQAFMPLVTWGTAVEK RVLLTGIVSVSAMAKEDYPMISRPCPRKGGTRRRKKERKKEGKKQGRTVLDALLQRSE QDSFWSRFCRSPIESVAQYVYGQGSTALRKKTTDNLVRVVCVSDTHNTKPNLPDGDIL IHAGDLTESGTKEELEKQIYWLDSQPHRYKIVIAGNHETFLDRNYHSHHGNERVTMDW KSLIYLENTSAILDLGAGHQLKVFGSPYTPKHGNGAFQYPRTDTTTWEEIPKDTDLLV THGPPKAHLDLGHLGCRVLRQALWEMESRPLLHVFGHIHGGYGKEVVCWDLCQRAYEA IMDGESRWWNLCVLFYCWILRLFFDWTADGRATVLVNAATVGGVRDLKRREAICVDIQ AGSKRFLSGCT ANIA_04138 MSTMGKSSRETWTSEEDERLVSLRYQYWQLTWSEFTQQREHEGE LAAGKTENGRNAAMLDEVSVASAASIAAVPQAQPGQLQRHIEQETVVPATSAGPRQSV EKIGVSSTMSPGRKGTDVTHHVSPSVSFLSEMVPGPSYAQTSPPVTAGRVNWQIEGQP APDPDHAMQGQATSSGLFTGSPRTVIAVEAAAGTLELVTRSPDQHWLPPKCPDYPKLP NSKRQ ANIA_04137 MSAGFCLCDPFSPSPCERCIFPASDDYNESVNFLQDPLFQYAQA VEYSSTERTTTHIDPMVWAQAVTYGSVADPTYYQTAYTSACGGTTSNLSNADSTYVHR HMAEHDNSMAESEEAFETHVKDQHLSRRHALSDEDHFV ANIA_04136 MSSSAYSKRFELPWTASEKQRLWKLKTTGETKDLGWNAFHKLKH FPDRSNSAVQVQWSRLRAERGLPRQRRARGSLESAIPEKRSVACLEDVTESVKFPRTL ENADDSEVIGNHVSITSDGEYTEHEGATPDDSVLYQNHSQAPGKTRRLSNPGSDVQHG AAAHSTLSSATIPGSTSTTSEPPSSLSFTPLNFIPIVQETIKKDSRSTLSQAPATCQK QTPGLLDSDESNQLECSMISSRENPHSQPTGRSGMPTHLGPPQTTGPTTVGQAQLPHR LHFSQEEEQLVAQAGHPPTALRTCSESPRLEKASEELVSHVRTFAEDVEHRINDLISS WSLKQKELSILRDSHKKVILERDELRGKLNVEIRENEGFKKEIEDLKAELKLMKEEMR RVEEDKKKITGVYRTLEELIRYAKD ANIA_04135 MAGSKVVEKGSVDGAPAAKLHITELPMTWSNWYQHVNWLNCFFV LFIPLVGCIGAIWTPLRLHTAIFAIIYYFNAGLGITAGYHRLWAHCCYKATLPLQIYL ALAGAGAGQGSIRWWSRGHRAHHRYTDTEKDPYSVRKGFWYSHIGWIIMKQNYKKVGR SDASDLDADPVVIWQHKNYINTALFMTLIFPTLVCGLGWGDWRGGFIYGGILRVFFIQ QATNCVNSLAHWIGEQPFDDRNSPRDHVITALVTLGEGYHNFHHEFPSDYRNAIEWWQ YDPTKWMIWIWKQVGLAYDLKQFRANEIEKGRLQQMQKKVDARRAALDWGVPLKELPV FSWDEFIAESANRALVAIGGVIHDVSDFIKEHPGGKTFISSAVGKDATAMFNGGVYQH SNAAHNLLAMKRVGVVRGGGEVEIWKDAKQPSKVSFPEMVRAGEQITRRTVPVLDRS ANIA_04134 MMHILVAEDNKVNQKLVTRLLQRLSCTVSIAHNGQEALDYLSAS PATHHRPDVILMDTAMPVMDGIRATSILRTSLPFTVDPKIPTTPIIAMTPISLRVPGQ TEEWLKRGFDDVLPKPFRLETLRMMLLYWSKRRIFPRQGTLSAPETGKVVPIPYGFLL RKGLEREWDRV ANIA_04133 MPWHAGDGWGGGSDENREDEDNAEVLANGFLVTIMSGFLLSLSN HTKAECHKPRVFKGSCRICNQEGHPAAECPDRPPDVCRTVNKRTSNCTENRKFGLNRI PDMLPERAWELLRKASYDRDLEGFREGLKIYSKAVPNATFADIEEKMRAESFNIYLIA MERQTSDCISLISLQGKLNCTYIVGLFYSPRPQRANLRECWPPSVEENIERLRDASLP YERQIPKCSNCGEMGHSSRSCKEERVVIERVEVNVLTAANPDTALMIANNLVWH ANIA_04132 MVKAELIRRTNKKLDNRVADVLPNIQTPTECTAQVEYRFAAKRQ RKADTHKFSDAQMPVRCAAHQASEIQGGISELGYQRDSPENTEGGNCSHIEHYGSCGN GCDGDAGNTKLTTKPHKKVSWNLTGNISAPLQSSVAPLLPAQHLQSAAVPDTLPQQDL STSSKPLSALEMAESAIFVQKTNFVALGDTPIGCHEQPQTDTSRLSVTSSGSRSQEEF VTPTVSENTLKEHHERIQELPTVFPAQQDADLGEGKINDMEDLASTDADPNARIQELE DCVVAQALKLVSQAKEIKSLVETVNELRGTIQSSSGKGYRPCKRSKEYTDEKIFEPQK DENRDPRLI ANIA_11430 MSSLNSCEACTHRGLFCSGGPQAAIRVFEVALSAPPKTTAIVLS SLSRHDFISMQYLRENEVIRAPQVAH ANIA_04131 MPTLVVRAFIILYALASVKIKNHWYLGEARRSSSAHSSPTPNSG ATVPALLIGIVLGPYAARFLDASEWGSAVWGQQDAITLGLCRVVIGVQLVIVGFQLPA KYQIHRWKEMLICLMPNMALMWLCTSACILLAIPRLNLSPADVQGQLSALVLGSCVTS TDPILSQAIAKGPFADRYVARDLREIISSEAGANDGFGFPFLMLATYLLRYTQGDPAH NPDQDEIEHRSISLYTRAGQVGHQDGGLTKAMEMWVVETWCYYVVMGAVYGAVVGYAG MHALRFALRRKWVDGESYLLFPAALGLFTIGTCGVIGTNDLLACFSAGTALNWDGAYL SETEARHDEVNPSIDVLLNFAGFMYIGAVIPWADFHQPETTGITYPRLILLGFMVLLF RRIPSLLVLWKLMPKVCTSWKEALFMGYFGPIGIGGIFYAEHASHLFPEDGEGSAVET TLIRALKPIIHGLSIPVLSIAYKILNVPHQIDPLGPAEVRPLSRNAHLPPNSTVNTKQ HSILMYNRFSRSKFPGAGVGMGWGLPHFQSVEERDREESKKRGLWGDSFYLTTGSEDS EGSGHGPVGEKGWGLGGGAIGGKRFEIRPAHVVPSVAYRGIAFKQFFELNVTSGKSMA KNMFTVFQSRKSMYENPGTKVKEHDLQQGPGNTPPARHLHLATNANATAGESQLIHSY ASRAPPTATDQATHAA ANIA_04130 MPGKPCLLQSQAGTVGVCGLYGPVMSLMSRDLIQMTCMCVRNRT HKMQGMQYALLLPYLSKAVFHCFRYTDCVYRPMLQPYKCITAFDHFLAHELATQFSTS LPPSGDKNIGAGLA ANIA_04129 MSAKQPTVCTTPVNGSTPSGSDIESLRSKGASEDMARVPSGATA PPPMAPALASQQSQRMESVLGDAILRFLRIRKDKKPEYDLDAIATQPSIWDSENIEEY KSLYIHPKWENWKAFDPSFRWTCREERAVRRKVDLKIMVWVCVMFAALNIDRNNISNA VSDNMLDDLGLSHSDYNTGQTISRVGFLVAELPSQIISKRIGPDIWIPIQICIFSIIS GLQFFLNGRASFLATRYLIATFQGGFIPDTILYLSYFYTSSALPVRLAWYWMSSQLVD IGVGFASVGLLAMRGILGYAGWRWLFLIQGAFTFSVGVASFFLMPQCPAKTKSKWNPK GYFTEKEEKIIVNSVIRDDPQKGGMFNRQGLSVRQIWECIKDYDMWPLYALGLLFGIP KYPVNQYLTLSLRELGFNVIQTNLLSIPYIIGSSITMLLITALSELINNRSFVSMAED AWLLPCFVALIALPDPIGPWVYFAIATVLLSFPYTHPIQVAWTSRNAGSVQNRTVSAS LYNMWVQVSGMIGANIYQSSDSPRYFKANKGLLVICIWMCLVQYPGTYLYYRWRNIQK AKKWDSMSPEEQHHYRQATTDEGNKRLDFRFAT ANIA_10506 MDLKSWTDPPSHVVYAGISELVVEGSCARLALVVRNGSDIVSFF QYELPLLPSHDPSDAVPDLVLRKLFEHRSLYDEKFAAVAFPRSLADQCPSLSLQLWKE LDAIPYVVARKPHQRTQDDQGELATFAGWEEKQIDEKADSIARKCLRSFGIGHVPPIQ LDLHGMVAVDMNFRAPLVSAAEYEKTVESRTWSLVQHYASDLRKRQVRVAFFSATAYG RPDVYTRHALIRLSQCLGVDVRWYVPRPRPQLLYTIRRVQRILHCVENPTQPLTADEE LRILEWVYKTAQRYWLSKDGPLLPALDGGADVVVICDAILSSLALIAKQSDPRRPVIF ENRLHIHHGIGSDRRQPENQTFDFLRERLRDVDLLVSQEPKACAPRLMPFKRVGYMPV AIDQLDGLHKPLHDWDISFYGRELNAICRSAGKPVLDYPRTPGRYFLHLTQLIPNEGT IRLLDGYQAFFHQRQAAGNTSDTLIPQLLLCHCVSAANPETAPVHASLLSHIKSQMPD LAHHISLVQLRPPDQLWNSLVSEAVAVVQLCDYEGIPEMLLGAVHMGKYLIVSREFRD YPFLRNWDRTLFLDDDEVQGISQYLLDLGTDSYIKSERQTKRLSKQVLGDRATTVGNA LNWFFLASKLSKREVVEPSGEDIFALAEQETRAI ANIA_10507 MLKLARSRVPARILYPGYHHTHITQSPFSQYRTMSSLMHRRPGG GLFSLMRALDDFDSSLANRSFDNQFTAYAPRFDLRETKDSYHLDGELPGVEKKDLEIE FPDRNTLNIKGHSESSSSKEGNEGTWWYVERSTGDFRRSFNFPTPVDCDHVDASLKNG VLSIKIPKSEGAPTGKRIDIK ANIA_04127 MGEKHIPEHLYHVLFTTSHIHNNPFNVVEKVRVPGTYISLSAAK AAAHSCLFDAGYEQEWFETYESRASQTDKETSVSRLASEAGLMVYAKAPDGTIFRVRI RNTPNDAGLTSDLPDGRVSVPLYYVIQASVEYSGDEGSLMRDIDVQHTSTSYEKAREL ASKVLLSPADGITKESFAEYSEAGPNETDCGYGENVIVHAASDYGTNYLVSVVKTQEL KAVSIAEAAMRIR ANIA_11428 MLGASPSGNALNRHFFYIELDTQPKRANPSLEITNKPLTFILKI ELLKR ANIA_04126 MAQPVQLTAPNGVSYSQPTGLFINNEFVPAASGKTLTTVNPYDE SIIATVSSAGPKDVDRAVAAARQAFASEWRGLTPSERGLLLLRLADLCDRDKEILATI DAWDNGKPYEQALGEDIAEVIAVFRYYGGWADKIHGSTIDTGDAKFAYTRHEPLGVCG QIIPWNYPVMMAAWKLGPALACGNTVVLKAAEQTPLSVLYLATLIKEAGFPAGVVNLL NGEGASAGAAIAGHPGVDKIAFTGSTNTGRVIMKAAAGNLKAITLETGGKSPLLVFDD ANIDQAVKWSHVGIMSNMGQICTATSRIYVQETIYDTFVEKFKQYTIENSKVGSQFDP SVTHGPQISKAQRDRILSYVQSAKSEGAQLVLGDEPVSEKGYFVPPTIFKNTTREMSA VREEIFGPFVVIQSFSTQQDAINKANDTEYGLGAAVFTENITRAHRVAAAIQAGMVWI NSSQDSHFAIPFGGYKQSGIGRELGEYALAAYTQVKAVHGKFSLPLEFNLGTWL ANIA_04125 MSSSNFTFYNYPGTEANSESFHYSQAVKVGNIVKTSGQGGWTQD GSIPSDIERQVALAFENVENALRAVDARLSWQNVYAVRSYHIDVSKTFDVVTANFKRV LPNHRPVWTCVEIGKLGIEGMEIEIEVEAHYPF ANIA_04124 MSKSARKLSVDSLRRLETPQTGTVHNAYSSVLPRNRGLATILFM SLSIAAVPYGTGSALMNAVYGGGQLSMFVGLLVVCILDGCIAVSLAELASRYPSSSGV YHWSYCLAKGRKSIRFLSFITGWIWLIGHWTITLSVNFGFASLLAATVAIYHPSFEIA PWQLVLVFYALCLVTFLICATGDRHLPLIDTLAAASTLLTCIVVAITLSATAKTGRHS ASYGLGHSETGLSGWGDFSFFIGLLPPAFTLSALGMVTSMSEECVDAEVQMPKAMVLV PVISGAASLLFILPICFTLPPLTELLNAPYGQALPYIFTLVTGSRGGALGLMSLVLLV TLTCSISITTATARCTWAMSRDNAIPYSGLWSKTIWERPLPALCLVTVLEMLLGLIYL GNTSAFTAFASVGVIALAVAYAVPIAISIANGRREVLAARWNAACRLHCL ANIA_04123 MPPRTPPKRQRTVAGSCWQCKTRRVKCDLTSPECRRCLVSGTSC SYGKLRVRWSSKPAKGLPPGYQLDVAGSPLLRSPTSQNSLTESERKALEYFQFAVWPL FSTSFDPCPPPIRLALDCQPVLLTMCELAEAHRAHREQWSRQGTEVIPSKRLNCLTAV RKQLEGSASDTQGLSCVLLAVLLLYFLDGYIECTAQSASTGSHRVGVRAIVENLGGFS AFYDQGHQDDVHMLLSQFASTDLTRALLDDRAPCLPADIWLHIEQGTVWWEKQRYGET TLASIFHTMAEMGFYRQSLRVNSLEISTEQVRRFESVLQPRFVTFSTYHLTNETAHLV KQGGALEAMQPLAFARAFQHSALIFLYRAICGLPARHFLVQQHVQSCLECMGGIKRTS KTHNCIVFPLYVAGAHVFHPEQQGFILQKMDDIYETLRFDSLLSIRAALEELWLSPQH EGSWAQMFSRLGQDVLVL ANIA_04122 MASIASPEDVIALVSRHPLPLAGTALFLAALLVVVLQPFSKKPP KISPAPTSTESSGESFVTPVPEPDLDFDPVARTPKLYRPFRHGPNFITMGIRKMDWNN WIEMDSYFLRYHETKAAELKKDFDEHIKYVDNEVTKHACFELYEELVQYLVHRYPKVF QLGANTVHNALTGETFRFPAQTPSEALSSSALLVQDDLVIMVENDGIYSSPFFCSTAA TDSVDGHYHLDAGAVCLPGFWRLREKFRMSLDTLHFEASVPHYAEKLQKSMNRFFKTL PAARPVVRNNYFIQLDDGLHWSHRMGDQTGTEVASWATANSKGLTIDEIHFRSERQSL RRLPRSGAIVFTVRTYFEPITTIAREPHVPGRLAEAIRNWDETVSKYKGKSHWEHILL PYLDEQHRLQIESGVLESQTEGEFPF ANIA_04121 MRSIALFFALLAALLVQSSQASVNVVPALGLNARPSPRTFCPMP LLRLENWAPVPLGTALHQEEFLHPIVEGQSVARVYCQPGDCLPNGPKRPATCLMYLEY DNNRVLSSGSYSYVPGVTPGWTNISGTLTASATEHSFVMWGYCGTTTLHGPVLEFDNS RFERPLVDGEPKESCSTLTDSSTVTYTPTPTPSPNPTHTPTPSPLASTIVLTTTTPSS APLIISLSSATTSSSATPSPTPSKSPVTRSSSIPVMPPQSSNPANTFTQIPVSVLLQS GYRLCRQSGALARSLQCPLILAPYSGSDHRHFHLDWFYLQFSAVQWVARFLLQHHFPL SIRLLRTEPRRLQLSPRSPLGTDLLRPLVLPIPHHFHGLQRLPQPLHEHIDCSDHAYR HNHRLSANSDRPSRTEHLCLNRDTRRVNYGGDGDSQCSCNCHRASDRKLRLCSVPRRF QRRAESSASPAGAESALTSSSGLASGFASIPGSPSGTKLLTAPKQDSEVASGPGAIRP SPPVSGSAYYPTHSFSVFPFSFP ANIA_04120 MSTSLSKLAAQFPWAAVPLIVSAPMRVMAGPKLAVAASTAGGLG FIGPGVKTANMLSDLEEASTLIKNSRLASLGPTLKTLPVGVGFQVWSDDLDTATHAVR EFKPCVVWLFAPKEFTDLTIWSNTLRDVSPNSQIWIQVGTLSEVKRLLTMGTSTSTRD GPDAIVVQGSEAGGHGRASDGLGLVSLLPEAADAVAASQTPEIPLLAAGGIADTRGAS AALCLGASGIVLGTRFLAATEARISSGYQHEILRADDGAVSTTRTLLYNHLRGITTWP EEYSPRTIINQSFVEYRAGTAFEELKKRHDEHLQLGDAGWGPNGRLATYAGASVGLIH EVKDAGDIVRDQGGREEDGSVYRLGETWCLRALYWRNNLPAFCSPY ANIA_11427 MCPIIFCLFARDFCTIYSVTVFGSPGVDAIYLDWADIFTRRWQH RPAFKNRPYTYLMNSLFLKALNELYSGHYRRSSKAFNILSYTFSITQDR ANIA_04119 MTLSDAQQEWAPTEKCSIGAGKPFPPALPDQERYTVDFEGPDDP MHPQNWPLTIKLISSIMACSGTLIASLNSAIFSTAADQASRDFDVGREVISLGTALFV LGFATGPVFWAPMSELTGRKLPLVIAILSEGIFTVGCAAAQEVQTLIICRFFAGVCGA SQLTVVPGVLADLYNNAHRGVAIGLYALTVFGGPFIAPIAGGFMASGHLGWRWTLYIP AILALAIGALSILFLKETYPPCILAVKASGIRKKRPENWAIHAEQETVELDIAVLVEK YFNRPLRLLVTEPMLLLISLYMSFIYGLVYALLQAFPYVFEHIHGLHPGLAGLMFVGL IIGVVLALCFILSQQAQYAKALAENGNVPVPEWRLRPAMLGAPIFALGLFWFGWTGFT PRIHWAAPAAAGIPIGFGILCIFFPCFNYLVDAYLPVAASAVAANIILRSAFASGFPL FTRQMFETMGVQWAATLLGCLATVLVPIPFVFKALGPRLRRTAG ANIA_04118 MTSVPLKRKRARVACEPCRSRKRKCDGAAPCETCRDWGYDCYYA AGRSSKHNAGASPSEPPPGGSGLGGDSHRLAVDPQRVARGLTANSSAVFVRKMGLKVD PANAPRVNLFAWNVGARRLSSGLVPAPAGLPLVDLVPLGSMRQLAEIYFSKVDPCYGF IDRQVFLQRLDARWSSSGSGRSGIYDSVLAGVAALGLLFSERSASATELQLIENAKSI LESYDGYAPPSLDLITGWVLHVVYMRMTAAPYSTWLASSTIMHLIEAAGLQHENPSTA YDPDIRRRLVGVALHQNMWPSYDIGLSRVQVKADLMQFTDVRSKPGDYTAELLGLLPI SARLDPEETDADGDSDVHLHQSLLETLDRTHTQPPSILAQTNLVLCILRRLNLLNIST SPALPDRILSLFQRALQSARSMLTACCPWQHIANVPFHIITILLEMDTRSSLELLPEA VQTVKMVASIYNTPTMREAYTTARFLVFVYQQRRSRDVRLLTSVLDANGQPGTELEPG RAASDGMQPALPRPSPNLGEMPLLEGLVADMSELQELDFDQFLSLDTLRSA ANIA_04117 MLEPFHLLILGAITAIGYILSQRGLDPREPPEVHSKIPIIGHIL GLMRHGMGYFSRIAAENSSHPIFTINLLTNKQYIVTSPSLMQAVQRNKRTLKFAPLVN FTAERFAGIPESGMSLLRDREAGGAGLSAGTVHAMEKTLIGPSLDRMNEEMARMLSPL VDELVASPQTVDLYAWCTRAITAASTNASYGPKNPYKDSAIADAFWTFETNIAPLITG VFPSIMARKAYRARETTFAAVLTYFQTKGHEQGSELTKTRYRVMHAGGLSDTDIARAE VSMGLGLLSNTVPAAFWVLFDLYSRPSLLCEIRREVMKHAVRVENTQTPMGRKRIIDI SALRDSCPLLVSAYQEILRTRSVSAVTRVVTEDTVLDNRYFLKKSGVVSIPASAIGAT ESVWGPESASEFNPRRYMRQTTPHGSTSPRRTGGFMSFGVSPTICPGRHFASSEILAL VAMVILRIDLTPVDDGAWKAPEKNSMAIASGMCPVKGEFWVKVARRESEGEGVEWAVE AREGSGMFNLMVG ANIA_04116 MQVSVVSGQDAIAINLPARYEQRLRLDTIYPFQTEFTRAAFSTA AAVYKTEPFTLRDMVSSAENGCRGCRFLAAVLAGVGSVYAYTELELAGMAFKWLGSSF TLDMTMEDGTQSSGGCFSETIPDSCILIPDPGWPAVYLGVRDCQGAGTIVQGPLPALH AIVGVSGTDALTTDSLLHKLEFQVGCQGGLSYECGGDSIAPHFKPVPTVMNVAKLKTL SELKAAEGGGGLVGDDFTMLIYRNWVDIVVSYAKLDITVPSDRFSAISVTAKIVSRNM KSEYLAGIWRATFMEEGLLWAHSAVTVEADGPLNQDWTRCTFAEPALDLMGAKLSFYA DAYIDRDKYGFWNALAKGRAGLRHVIYFMFLERDALR ANIA_04115 MYPWKALSTWAPFEIDALGLVTLLGANEVDIAVGRLAPSYWLEY MPLLAGFRHRDRNLAAWFTRWMQTQEFHVSRSLVYWEVDKTPRPRWMYFIVPALISAT FNGFLLAMTVLSGDWYGFANTIAIILLIIVRAYMIQENRHAINKTVAAAKPLPTTFAG ALEEWKEKRKRDPQAPALRRDSRQWRPEVAKILVVMPDSRAVTMFIPEHLLRGVFVTE TPVRSPGIYRLLQWTGWVAFTVHVVTLGMAQLATQLYVVALMVIPTVLICYGYGCDDS RIAKGWRWLLGEEAAPYVYQAGPQLKATVFEWPEDVEFARDGKGVLYRRDPGPISPDK RSTARQDLYAWLNLTEEEQRSLWDWHLLPHRRGHDDSWWNIFNEKQRLIRERPPDLKA LKDRIQDELRAPRFKRRVSNWRYKDLDVEKGFK ANIA_04114 MPIGAYLQYSRPASSLARAVSALARRHSVSSAPRSRPVSHAAAR SRAYSIALVGTGYRGYRSHFLSLLGSPTLSITAVCDTNGTALESFSVEHPDIPTYNSL SSLLHHHRPDFAIVSVPHCAHLECISALAAKGVHVLKEKPIAESVDEYEWMTGLPVKV GITFQKRFEPHFLQFRSLLPLVGDVAAVDASLALNITNLEETWRASAGVGVTEDLGCH MLDLLVWLLGLPASVMAQQVSSVRPSQRYGGDDVCDIMMNWGTRNCIGHVRLSRVAHK PVSSIVVTGTNGTLIINGQAVTHYDTQGCETISSTHQPDEKQVIHSMVQEFGDWVTGR GPSFSTSLANVRNTVSLVDAVKMSLASRQLQRPLPLSASSTAVWGAINNRNGLSTKTL TSRVSAATLFTSTQARNRDRSFRLNTGALIPAVGLGTRRAQKPGLVYRAVRSALKTGY RHIDTAMSSGVEHEIGQAVKDSGVSRSQVWVTTKLDNRWHTRVQEALDMSLSELGMDY VDLYLMLADWDFVKTWYGKIFLSLMAGFLFLSSTGKNCKILRQPKCEILLHPYWPSRK LLQYCRNHEIHCTAYSCLGSTDSPLLEDKVLLEICKRRNKSPQQVLTDNSRIMWGLQR GTSVVPKTVNAARIEENFDLNGWALSDDELDKLNRCTTRFKSCNDDWLPATVFSEDGH ANIA_11426 MTSEMWPLSSQEPIPHTWAAVCCINTPFGITDQEGNVDICIDVS ECLFKMFFISRFYALDLGKYWQRRRCNTGLV ANIA_04113 MDPDSYPFNLAKEREFYSSYPFAPFDDSSRKTFSPASSQDHALT SFAQLGAMRLGAQRAIISLFGPTNQYILAEATGSPDDELRLGCCVLPKENSICTELTT TPSNLPDNTTVSHGALIIPDLQGENKERYAVPKQLYAARFYAGVPIISPRGQVIGSYG VLDSQPRPSGVDESTINFMKDMAATIMRYLDMMHLEHENIQARKMITGLGSFVEGKST LRDSWLESNEQDAARGRHGDETIEGLLDKRQQDLQEARDNTRKQPPHRPARKDSSPGA DDDETDARPGTHKRQNSHLQRRAICRSEPSGDSLPDDDGIQGSLQEIFSRAANLIRES IAVEGVVFFDARVESFGGLVGYEYCEDHEARSESETTTSSEESPDTGLENGTTICRIL GSSTSVFSTINEDSRARSNSQDNGYALREFLLKAMMNRYPRGKIFNYNNDGSLSDESG SNFSADTSKSVSTKDSRRRKRSHKQDANDLHRVLHGPRSIIFLPLWDSHKSRWLSGLL VWTNKPQRVFAAETELAYLRAFANSIMAAVHKLDIEMADRAKTNLVSNISHELRSPLH GILGTADLLSDTALNALQYGMVHTLEACGRTLLDTINHLLDFTYIDKFRKDRNSKHKH AKKAETSSQNHDTLERNPPNSSENINTHVQLDAVLEEVVESIFAGHTFYHHARRNGGS PKTAVVSAKQVTIIFDIQESNGWGFYTQAGCWRRIMLNVFSNALKYTQQGFVYIGLKV GEAETPRGESNDSSQSDSNAKYTVTLTVKDTGQGIGTAFLRNGLFVPFSQEDALAPGS GLGLSIVRKAVASLHGTIELSSEKDKGTEVTIQVPMSPVSDNPDESSTTAAYRSIRKK AQGKTLGLIGFGSSLVSERDSTLSNSLTRLCEDWFHLIVKRINLNDDPPAACDFYLMV HTDLDDPDAKGNQVLDLAEPSKVSPLIVICHCPEAAHKLYARSTSMSHNPKPIVEFIS QPCGPRKLAKSMELCLKRMGGQETDQHEETRWVELPESSHLPLDIGPRDAPDERMKVS KRLAEEKNDEQHSRKPINDNISLPDEPGTVPEVTAPDGIAENGPPSVLLVEDNPINLN ILDAYTKKEGWSSTTAQNGLEAFERFQEHPGKFALVIIDISMPVMNGFEASQRIRQFE RKYFDAHPELRPSWHPTTIVALTGLDSKDAQHEAFASGINVFLTKPISRAKIRTLLKN L ANIA_04112 MAVRRSARLRSRQSPAQDTPAELNQNNSNNDRSENKLDAVMERE EPVESPKPQSTPAKVAHTPKGKLKKSPAKTPVTSLTRPTHFEMHPSKVHQSTTKQADS GLILGFNPIKKDAHGNVVKDDPAQSTPSKTNASPASTFYGTPAFEFKFSAQDTELSDE AKKLMESVREDVARIKANMVNEKANATGDAPQTSERKIAKPKGKAGRFSDVHMAEFKK MDSIAGHASAFRATPGRFQPVQKTLKRTSSKARLDEPESQKSPAATTLKASAPSTPSA KRTKQDRAAVTPQSRLPKSAIARPRSSIRSSLLTPTRASLARQSGSLKPPRTSMIPSL ARSPLSKPAEAPNTPRTEFNPRFKSNIPTLSSLKSILRPHQPLFSKDPSKIAAGTHRA AQDFTPDLLLQPSRESSPGAAPTPSPKKRVEFTPCTKTQLAEEVISPSPFKKPATITY PSTYDVVYPTLPVLTPDRNAQKSATIRSVRPSDVPVEATLPEVPGMPHGLSHKKRHRA AEDEPDRENVPPADHSPGRSVKRVKINTPQPSKTQASSPLKSRSQTPSRLGSGAIRKG TPASARSRSRALSMSRLNMLAQPKNRS ANIA_04111 MAPAPIDPSIINVAEPRKDTLGLPATARERLEKGTVDLSNGYPY RPSRPLYLDDVYRIRDYDRQHIDPGTRADPEKKALLSAAKEVVHLTKHIGTEIVGLQL KDLTDQQKDELGLLIAERSVVFFRDQDISPQEQKKLGEWYGEIEVHPQAAQVPGVPGV TVMWPALQATEIPASFRRPGGASRWHTDLVHERQPAGVTHLHNDTVPSIGGDTLWASG YAAYEKLSPAFRKIIDGRTAVYRSAHPYLDRNDPEAGPKYVEREHPLVRVHPATGWKA LWVNRAMTVRIVGLDKAESDLILGYLYDVFEKNVDIQVRFKWTPRSSALWDNRWDYEG SEPRHGTRVTALAEKPFFDPKAKSRREALGLLGKEEIEELERLKLEQ ANIA_04110 MTRPQIRRVAVIGAGISGVVSAAHLIQAGLDVTVYERSHAAGGV WLYDERVAPEPSYTSLKPLESERYFDKNEHNIALTHAPPGPCYDGLKNNVPTPLMRVK LNAWPEGTPDFVSHSVMKEYIQDTSRKTGVDDITIYGARVKNLIKQGDSWQVTWSRLE QYDDELKEQERKTTFDAVVVASGHYHTPRIPETPGLAEAKARWPDRIYHSKRYRKPEG YEKKNVLLIGGAVSAIDIAREIGPQADTIYQSTRNGEFDISASILPENGVRVSEVTRY EILDESQVVDGKLPLKVHLKSGQGLCGLDQVIICTGYQFTLPFLADYHNDRLSPAEAD ETILVTDGTQAHNLHKDIFYIPDPTLAFVGVPFYTATFTLFEFQAITAANVFSGIADL PSKQEMRAEYNEKLKRKGHGKRFHSLKDVEDDYVNNLLEWINTPRVRIGLTPIEGHTE RWHEAKEAQRERLKAFFETPTATNDRRDSGIEGLPVLPACSA ANIA_04109 MSLSFPEYINLEFTTVTERTASRLLQRSIRNTMTSSKVADERAT IDASETIIQETPKRRWVSYIWDTFDKSPEERRLLTKLDAAILSFASLGYFIKYLDQIN INNAFVSGMKEDLGMYQNQLNYMQAAWTVGYVIGEIPSNIMLTKVRPRYWLPAMELLW TVLTMCLSRCNKASQFYVLRFFIGLAESTFYPGMQYIIGSWYRKDELAKRSCIFHTSS GIASMFSGYLMAGVYNLGGRGGFKGWQWLFIIDGVISLPVALLGFVILPDVPEISNPW YLTKQEVQLCQKRMELEGRKNRGPYTKAKLKKILTSWHIYFLTGLYITFNNANGGQPV FQQYLKASTNPVYSVGQINSYPTTTYAVQVFTTLVYASVWDIPDGWKWTCYIMSGAGY GLSGLCMAWAHEICSGDNEERALVVGSMNEMAYVFQAWLPQVVWQQIDAPQYRKGFIT GTVMSVLLIIFTLSIRSLQTRENRKTRRGGAVESEGSVGSQTEESVNVVVDTQGK ANIA_04108 MSTTLTKEETTPAVVRAFDDTAHSELQYAYSAYLPVYDTTTTFP PTEPFDHQDRGLSADKSKPHLFQTGDPSVSITKLTPRVGSEVRGLQLSQLSDVQKDEL ALLIAERGVVVFRDQDFKDIGPGKQKEFAGYFGRLHVHPVGAHVKDHIEFHNIYLGAD NLYRLQTRSTKLTTTGYHSDVSYEHQPPGVTLLTLLSVPSSGGDTAWVSQVAAYERLS DPIKKLLEGLRAEHSGFPQAERARADGKFVRREPVKSEHPVVRVHPVTGEKALFVNSG FTKRIIGLKDEESDAILQLLFKHISLSQDIQVRVKWDDRTVSLWDNRVTAHTAISDYD TSTDGLRHGIRLTTLGEKPVGLDGLETVW ANIA_04107 MAEAVSNKETIVVGESSKDSTDSPTPSRRKTLQPQAVNVKPPQP AIASFFSFSKRYRDRCDPEEIATPPSVYDDPKLAPYFQPNPKYENLHRFDPAFRWTWG EEKPLIRKIDWKVTVWAALAFFALDLDRSNISQANTDSFLDDMGLDTNDYNLGQTLFK VAFLLAELPSQLVSKKVAQMCLWSIASAAQFWLNSRASFLALRVIIGVLQGGFIPDII LYLSYFFKSDELPLRLAIFWMANRLTDVVSPLIAYGVLHMRGTQGQEGWRWLFLIEGL LTLLIGIWSIFQMAPSPTQTKALWRPNGWFSEHEEKIMVNRILRDDPSKGDMHNREAI TPKLLWRSLCDYDLWPIYAIGLTFGIPPAPSDQYLTLTLRGLGFDTFESNLLSIPAQI FTTINVFLSSFKPNVKWNQRAFLGLFTQFWFLPCLIALAVLPEGTPRWGSYALVTVIL SYPTPHPMQVGWCSSNSNTVRTRTVSAALYKAGTDESSMMVQIQSIISSNIYREDDKP LYRRGNRVLIAINCLNFVLYLFAKWYYQQRNKKRDAVWNRMSSEEKEEYLNITTDQGN KRLDFRFAS ANIA_04106 MDTDKEVTRRLLDGHEGSSYTDLPGIKISKDGSLAGVEALHEPE AESETGTSPNPFADPEVAERYAALYEKANYECRHVFDPTMTWTREEERRLVRKIDAKV CLWACVMFFGLQVDRSNLIQAVSDNMLEELGLSTNDYNSGNIVFYLSFLLAELPSQLL SKALGPDRWIPIQMSLWSLVALSQSCLSGRGSFFLTRSILGLLEGGFIPDIVLWLSYF YTSRELPTRLSLFWTTLSVTEIIGSFLAFGVLHMRGVLGWSGWRWLFLIEGLITLLVG VASFFKMPASAVETKTWFRPKGWFSDREARIVVNRVLRDDPSKGDMHNRQAITLSALW DSLRDYDLWPIYLLGLIVYTPMVPIRTYITLTLKSVGFDTFSTNLLIIPYNITHILLL NLLTHLSERLNERALVSSLQSLWVLPCLLILLFWSNAMIDPWGTYAAMTILLSYPYCH AILVGWTSKNSNNVGTRTVSAAVYNMCVQMGSIIGNNIFRENDAPLYKRGYSVLLGLN LLGIILFIATKLYYLRRNKERDTIWKGMSEEEREDYIRNSPETGSKRLDFRFAH ANIA_04105 MSDLTALFRTTIRPLPAIHDPSFALPFDSYAQNRLVLLGDGSHG TSEFYAARAEITKRLITHHNFTMLALEADWPDTEALDRYVRQRPGHRGKIGETKGDAD YEDEGEAFERFPTWMWRNKEMQDLVEWIRDHNASLPAEKKVGIYGMDLYSLGSSMKAI ISYLDKVDPAMAKEARRRYGCLNPYTEEPQEYGLDALRGFKDCEKGVVAMLRDLLERR LKYAAHPGDGEEFHSSEQNAYVVRDAERYYKAMYYSSAGSWTLRDSHMVDTLKRLIKH KPDGKVVVWAHNSHCGDARHTAMGTRRREVNIGQLCREVFGEQNVALIGCGTHTGTVA AASEWGEDMQIMDVKPSSRDSWERIAHDTGVESFLLDLREDRMSREVREALAKEHRRL QRFIGVIYRPDTERISHYSAADLVNQFDGYVWFDTTSAVRPLEVVQPKTALGDEETYP FGL ANIA_04104 MHQIRLVTTCKFLGLQLHRSSIRPSRKALSQQQHSISTTPTIMQ SQSQSQIFQTFTSALSTLYGPLSPSSLADPSTWVPPQKSGGHKGRYLWTDAFGVLALL TLHAETNRNSNSDIQGSTNHYLTLAARLIDTVHNVLGRHRSGTSFLPGASESNPVGGG LRIGKMDDSGSDGDGQYHHYLTLWMFALNRMSMATKDPKYNQQAIALAKAIHGPFFIN RRSDKPRMVWKMTVDLSKPLVASEGNLDPIDGFVMFRLLQATACHFEGRNGEGEKVLR DEIEDYARVMKRKGEHFVSSDPLDLGMTMWTVHWVLEDDWGQRLAERCFEQIYELLEI RRYLDRSMKYRLAFREFGTALGLRCMAEQEVEKERAVDLRAYSEQILNVWRPVMEASL SDDATPEDLRPITRVMYAAALIPGGKHFPNFLQHKSSS ANIA_10511 MMPPPSEPPARPPPPTTLLIYPATLLLGSLFSVLSPIARYPEPY DDAVTASASAPINYFARKNNIFNLFFVKLGWIWFTLAFVALLLTYPPSPHRGRRQFQA FVRYALATTAWYFVTQWFFGPAIIDRSFVITGGKCEDALSELNNVRNEKGVIGAGVED FGIYLTATACKAAGGAWRGGHDVSGHVFMLVLVTAVLGFEALGVLAQESEAEKDAGAS ANAKTTAEAGNEGRTWTLRFVGGVVGLGWWMLLMTAIWFHTWLEKANGLLISFGAIYI IYFIPRRIIPWRNVVGIPGV ANIA_04103 MSGFRHAFSLSKQQVRDALPPGTVSLSSKPSTPISQATDTKHAG ELVLQPQPSSDPCDPLNWPTWRKTVVLACMSVFAFVGNFTSSSIASVFPLYATPLAFN PPVSIGRLSHLVAVNVLMMGASNIWWVPLANTFGRRPISLLSILILLFCSLWAGLADT FDSLLAARFFMGVGVGPADTITVKVVLMVVVAPNVIGEIYFTHQRGKAMGFYTVFLCL GSLVGGISGGYIAGHGLEWLHWVNVIICAVLFVACLIFVPETLYKRDEPPPVMSSEKS TSKEIESAQVEEVVYPPAQQYQEFTFVRSLKLYTYHGNLGKNFLAPWLTLRLPGVWLV MLWYAGLVGGVVTLSTVGPTIVAYPPYGWGENAGLINVGGVIGSFLGAAVTAALADRA IVTKKTIKDGEFSEPEARLPVALPGLILATTGLWTFGFCAENGSPTMWIGMQFGIGML AFGLMQAPSVGFNYVRSLLFHHGISQAKSRALTVVQVIDSYRSVSADCFVAITCMRAI ISFSWTFFVGTWVENAGPAVPFGVFGGLLGFFALLLFPQWYWGKRTRIATAAWV ANIA_04102 MKLGWLEAAALTAASVASAQQDDLPVSPPYYPSPWSNGEGEWAE AYNRAVQIVSQMTLDEKVNLTTGTGWMSEKCVGQTGSVPRLGINSICLQDGPLGIRFT DYNSAFPAGVNVAATWDRQLAYIRGHAMGQEFSDKGIDVQLGPAAGPLGRFPDGGRNW EGFSPDPVLSGVLFAETIKGIQDAGVIATAKHYLLNEQEHFRQVPEANGYGYNITETL SENVDDKTLHELYLWPFADAVRAGVGAIMCSYQHLNNTQACQNSHLLNKLLKAELGFQ GFVMSDWSATHSGVGSALAGMDMTMPGDIAFNDGLSYYGPNLTISVLNGTVPQWRVDD MAVRVMAAFYKVGRDRLATPPNFSSWTRAEKGYEHASIDGGAYGTVNEFVDVQQDHAS LIRRVGADSIVLLKNEGSLPLTGKERNVAILGEDAGSNPYGANGCDDRGCAQGTLAMG WGSGTANFPYLVTPEQAIQQEVLKGRGNVFAVTDNWALDKVNKTASESTVSLVFVNAG AGEGFISVDGNEGDRKNLTLWKNGENLIKAAASNCNNTIVVIHSVGAVLVDQFYEHPN VTAILWAGLPGQESGNSLVDVLYGRVNPNGKSPFTWGKTREAYGAPLLTEANNGNGAP QTDHTEGVFIDYRHFDRTNQTPIYEFGHGLSYTTFKYSNLTVQKLNAPAYSPASGQTK AAPTFGTIGEAEDYVFPDSITRVREFIYPWINSTDLKESSGDPNYGWDDEDYIPEGAK DGSPQDVLPSGGGAGGNPRLYDDLFRITAIIKNTGPVAGTEVPQLYVSLGGPNEPKVV LRGFDKLVIQPGEERVFTTTLTRRDLSNWDMEKDDWVITSYPKKGVRGKLLTQASS ANIA_04101 MNEEKHPRDPEAACATGCSPEADADDGPVSAFKQLGLLDRFLAV WIFLAMAVGIILGNFVPNTSETLQRGKFVDVSVPIAVGLLVMMYPILCKLGLAWAFLP DERGLREGLILVGIARCIAMMVLFAPLAIFFINVISGSNEGVTIDYSLAAKSVGVFLG IPLGAAILTRFALRLLISEEWYDRQFLKWLSPWSLIGLLFTILVLFASQGKQVVHSIV SVVRVAAPLIVYFAVIFLVTLAVTRRFGFGYKLSCTQSFTAASNNFELAIAVAIAAFG VDSDQALAATVGPLIEVPVLLGLVYVVKWVARRQKWA ANIA_04100 MTSALEKKRLDKLARVRENQRKSRARRQDHLQDLERKVLSLQQE LDRRDVEHRLAAQRLEAENKRLRDLHFFLGVPPNALEEYLRMVDNPVAAQKVAIPAIR RDPSEARSRVAEVKSEPSCSTEQSPRSESVPSQEPVIGACKPSANKNESQSRPQYDIL RHRREAREPVQRSRTGRLRNPAKATKGVHPQQLRRSLQSAESGSISSLG ANIA_04099 MVSTRHHPDDFPPPTSKSSSPLSPTSEPSGASSKTWLHTPTAIL TLWLLISCPLVLWDAGYCLLRPHSMPGGKYHSFWSGYKWYGTVDYIYGWPAFNANNGF TNAQAVLNLLETGGYLYYLWVVYRHGTVAGDSKGSRGSQGTLSWLLATDKVVAGRMGA TALLAAFSSSVSTVSKTVFTLTISGLQEYFSNFENIGHNEFWPMTAWIILNGLWIVVP VWNLHVLGEEIVSLLANGTGAPRQIRYPSITEWQLQREYLQTMATSNRKRIAVVGAGA AGMSCATTLSKHPAKFDITLIDSVSQTGGQATSLPLDSSKYGASWLNDGVQGGSSIFK HTFKFFQDYGYSPREVKLQVAFGKGPDSFFTNVFPSPLVDRFQPEIKKLGRVLKVIKY GLPVLGILPVKVILKLFRFSTEFGNRMLLPLLALFLGTGNQTPNVSCALLERLFQDPG MRLWEYDSEGLMTNLPRMYTFPQLGMFYAQWKDDLEGNKGVRMLLGTEVEIMHRAGDA VVLALHTPDGKKTEAFDYMVLCTPADEALKLLGRHATWKERWVLGGVKFFDDVTVTHS DADYFNSIFETRIRDELVSTATGPSTTLSATTVSKRQDQLAFANAPSKCEEDGWAGFN PMYYTHSYANEQDKIEMGFDCTNYQHQFRDAVGVGNSPYPAGQHVYQTIFLDKTRQDL WTWDSIDEDKVIGKKWWHQFGHRWQHYARVVPGMMFVNSLKNRTLFAGAWTMVNMHEI ACISGIAAAYRLGASYEHFDDFAQEVFAKYLFVCHGVWYKGEGKKRA ANIA_04098 MPRSTQPVFEAELASESPNPTQSHGVSWAFPEGGRQAWTCLLGS CLLMFPSFGFQTAIGSVQDYISTNQLARYSVRDVGWITAILVFLTLFLGVQVGPLFDR YGPRTLLVCGSLASFTSYMLLAECSRYWHFILCLSVLGGTAAAVITTVSIAVLSHWFY RRRALASGLCMAGSSAGGAVLPLLLRVLFPRYGWTTSVRVIAFIALACYALGVMLVRG RLPASGSRASKATIDFRAFRSLRLCSLTVAVFSFEFIIFGCAALLPTYVRFAGLSTDV QFYALTVLNSMSLLGRVLPGFAADQLGRFNVLLCLVAITMVAMAAVWLPFGTRDEATL YAVVAVFGFGSGGWLSLAPVCAGQLCSTEEYGRYYGTVYFVAAFGVLLTVPVGGALLQ STTPRVLIGFYSAVLMVGFLALALSRWALLEWRWRWKVKV ANIA_04097 MFITTTWYTREEIPVRTGIWFSGNSVGGLLASILAYGIGHIDHP LQPWMWLFIILGTATFLWGFVILVSLPDSISKAAFLTAEEKAFLSRRVVIAGTGRTEG TVWKPDQAAECFRDPKTWHLLGIAALTQIPNGGIQNFSNLVIKSFGFTALESTLVNIP VSIISAATITLTGWLAGRFQGLNCILIACVLAVSGLGSTLVYARIYHVPLGVQLLGYF LLATGPGALPLTMSLAQTNYKGVTKKMTMTGTMFVVYCVGNIAGPHLFSASEARHETS FRAILICYALSAALALSLYVYLQRLNSQRNREEGTVRAGPVAALPQQPDRPTGRSATS TEISPLIRTSQGQDDCDLTDWNTFGFRYRL ANIA_04096 MNPFKPDESSSAHSALPLAPGHDDVALPDDFDSNSPASSREPGS AQTGGDAAGFQDGNRDNAADRGDDTPQTHQEQAGDKPPWSETKTKAGKERKRLPLACI ACRRKKIRCSGEKPACKHCSRSRIPCVYKVTTRKAAPRTDYMAMLDKRLKRMEDRVIK TIPKDEFRDMGAIGRANVRPAQPGQAVKNQKKRSADEAFAAELEQWARGSRSGPQDTF PMRREGKPDGPSLMTEGAEFLPSLEIQEHLAEVFFDCVYGQSYLLLHKPSFVRRLKAR TVPPVLILAVCAVSARFSTHPQINSEPPFLRGENWASPAATIALKRHDEPNITILTVF LLLGLHEFGTCHGGRSWSFGGQAMRMAYALQLHRELDYDPLLSQGNGGGVQLSFTDRE IRRRTMWACFLMDRYNSSGSQRPPIGNEKFIQIQLPIKESHFQMEIPGPTEDLEGNVL NPVPEDVGQLSNAKSNMGVLAYIIRAVVIWGRIVDYLNLGGKRRDNHPLWSPESGYSR LRKQIEDFSASLPSHLHFTYENLQVHAADRVANQFLFLHIIIHQNTLFLNQFAIPLSP GGRPPRDMPRTFLSNAGRAAVEAAHHISALINHAAPYPLTVPFAGYCAYSASTVHIWG IFSKNTQLEARSKENLRHTYRYLNKMKKYWGMFHYMVESAKDRYRQFADAALKGTVAS QNGPVAPMFQYGDWFDKYPHGVSRQHWEDSSHAARQKDDEAVMSQKPDLQSVEDFFAS LSPTPQPNDSRRKHSRAGSRIDLTTMDQTTPTPTSQQIVDVNLETSPGILGTSGTGFP QPMFPQNRGHQTFGHSPFDFTIPVTDQLPQLDRQFVYDSFGGFKPSTNSYVPNPNDQP PSFSTMNGADVAPPPGPQDTGLPNQDIFTGQMDPNAPSGAGEYYQPSAWFLPFNLDPI GAGVSLDPGSQPDAGGDLSGFGATGNMPMGAYDLGMSGMDHNHGSQMKGPAIDLGGSA LTSILDVEIAQLLLVA ANIA_04095 MKPLTSRFCFLLASAFTSVYASSDSSGSGELAPCVARSPTTGLY YDLNALHVQPLPSDEKSRKYMRDESWHAKGYDYNANFTLNVCGPVVENITDVVGIEEE RWQNVSAYYQTNGKTYSIGQQSSDPFFRGRKLVMNYTDGSPCDDEGSHNKSTIISFLC DRDVTTSTPIFSFVGTMDQCTYFFEVRSSAACGGYGHNPAGQGLSPGGVFGIIALIAV AAYLVGGCAYQRTVMHQRGWRQCPNYSLWAGIVDFLKDMAIICLSSLGRFFNFKRSTT NRASAGADGLQHGQFAGNRRRDVDAENRLIDQLDEEWDD ANIA_04094 MTSKKDKTPVPVQPVPEKRGYEFFGPYGAFAITFGLPVLLYGFT FLCNEVSGCPAPSLLHPSTLTLDKLKEEVGWPEGGIRALYDTKVFLWVLGYYLLQLVL YVVLPGQVVEGTELACGGRLRYKFNAFPTAVLILAGLAAGTYLDGADFVVWTFIWDNY PQVIAANLIICSSLAAFVYLNSFSVPAPGKPNPELRQLAPGGHSGNVLYDFFIGRELN PRVRLPIPFVDEASRTIDIKVWCEMRPGLLGWIIMNLSNIARQYRTFGYVTDSIVLST ALQAFYVLDGLYMEPALLTTMDVIMDGFGYMLSFGDLVWVPFIYNLQTRYLAMFPNEL GLRGIALVLAPTVVGYMIFRGANNQKNRFRTNPNDPRVKDIKYIETASGSKLMTSGWW GLARHINYLGDWLMSWSYSLPTGVSGFVIVNSVSPSTGELEKRAVQTPESRGAALLIT YFFLIYFGVLLLHRERRDEEKCKKKYGKDWDRYTSLVRSRIIPGVY ANIA_04093 MPESCPDANSLPSRIAVLVHTHFDGLPKRSKPVLRDDGTAEWIP MAGIVLVKGANTPAEQLTCVTVTTGAKCLPASQIPHCNGLVLHDCHAEILAMRAFNYW LLSECRAVLISEQHQSFIDGEGELNPPAPSPYIRRWRRQSQKITGPESNALFPPFEIC PDVDIYMYCTCAPCGDASMELVMEAQDDPTPWALPNTTSTSDTDPELPRTDAHILSGR GHFSKLGIVRRKPARADAESTKSKSCSDKIALRQVTSLLSYESSLLVAVTENAYVKGL VMPEEEISKSGCERCFGGGQNGRMRGLNGKVWPVNAEVDAGTSLGSNEQAGRNKGSES DSQRCHRHSYAFRPFEILSIPNSLLKSLWAFRKPRATDPVFDGESKDTSRPQVKKTKP GTISAVWVRAPTPTRPSNPATDSQDSGTNLPTPVTDNGTKILPVLRGSKTGLFESIIN GVRQGHKASGPGPGIRGASALCRARMWELWRGITSDTFQCTGVSETGALNKNDDRSLK ETSLDFENKEISTAFQASTYREFKEPKGVLSGVVLARRQAMNAAKDILDGWVPNDGDE EWGWRWNRGELFDARGDPVVMDPKGSKKRKR ANIA_04092 MSAHPPSYSPDRRFSLESQGSYNLYEAEKEQSLLERVLQRLYLL VRSVRYGRGYRPLAPAFTQSRAYRRVLFRLAYYVVVALSAVLVLGLLFSTFFPSYTRP PSHYISLRDQVSKSSHPGRGNPRNETVFIAASLLDPDGSLARGHWASSVLELIDLLGG DNVFLSIYENDSGEEGESALKELDARVECNKSIVYEHLDLDELLKVTIPGGEKRVKRI TYLAETRNRALRPLDQSTTRFDKLLYLNDIAFDPVDALQLLFSTNVDDNGVAQYRAAC AVDFINPFKFYDTYATRDLEGYSMGLPFFPWFSTSGNGRSRRDVLAGKDAVPVRSCWG GMAAFDAQFFQNSTGPIFDIAGEVTPARFRALRDEDLFWDGSECCLIHADIQKPLHTS SEDDEPQAETGIYMNPFVRVSYDATTLSWLWTTRHFEKLYTPIHTIGNHLVGLPWFNA RLDEIPGEIVQDTIVVFDENGEGSLQTIERVARHDAFCGRLGLQVAVPRGEGKKGWQT IPLPTEDMYPS ANIA_04091 MQGIVALAVSLTLGAMPCLTSLKTKRSFPPAPLSTATGMRTERL QRTLRIYRLPDSPSQHRHLAIHANQTGFSVGDDCVVYASDGSGTMIQSLQVPKLILDS QNSQEDKMQRVQAAAKLAFAHDFIQNLPQGYLTRIGERGSLLSGGQKQRIAVARSMIS EPKVLLLDEATSALDPHAEGIVQQALDSASANRTTVIIAHKLATMRNADKIVVMSEGK IAKQGQHEELAQQLAALKDQEDYKLYGETGIIHNIWKLLTGTPGLWLWFVVTTATYIA GAAVNPRQILLLGNILSVFDSPNFIARGNFIVLMFFVMSIGILVIYFVVGWSTNTIAQ TLSRKLRRVIFLSFLRQDLQFFDQPENTVGALISRLDSYPQAILELMGFTVAIILMSA INIVASSVLVIAVSWKLGLVGVFAGLPPMMVGGLARARIEAKMDEEVGQRMSASASVA SETALAIRTMSSLVLENTALKIWGSKLINDGEISLYQFIVSFMVVYFSGQATALAFSS PAVSLAKRDLVYRDHWQVLSPGFTKANQAANYYFWLDMIDGTVRGTDDNRNEGPKDGC RSIDFEDIRRGAFIALVGALGCGKSTMIFASRALLRPDKRLHRYKHVNAAANPKPAYR RQLSLVQQEPTLFLGTIRENILQGIPDFGLNASRTETGSNSDWAIEEACRAANVWDFM SSLPEGLDTPCGSGTSIQLSGGQRQRIAIARALIRKPNVLLLDEATSALDTESEKLVQ GALTEAAAEQNRITVAVAHWLSTVRDADCIFVFHAGRIVEFGSHSELLSRGGMYAAMC EARSWM ANIA_04090 MADKLRTLQNLEAQQARYIGTGHADTTKHEFLNNIVRDSYASYI GHPPLLGYMALGMGESREKVRAMMVEKMVRGVGAPPESSALRRETKGFDIRQDTFPPD EKRYGRFNQ ANIA_04089 MASLQDSLLSLNATTWDAIPSDQSSLREYIIDLRAKARLITDSV PEPPPSDTISTLNDIKLKPSPARLGTKNESTLANQKQWSKPIVKPTPNKDNPLGIPVY KLSGTDGQGHWFGRRSVHKGLPYSVWEKKLSSEMAETLRTNQERVQKGQAPDMAVRGI GAQRGLETIEVKDEDGEELVGKVNVYHVSASFPKPTTSRDFVVMIVTWDKGFVEYKRD DGDVIQKEEREGRSWMMVSKPVEHPDAPEGDEYIRGQYESVEMIREILMDKDGSETDA ESETNPVEWTMVTRSNPGGTIPRWMVEKGTPKSICTDAAKFLEWASQDPEAATKAREQ AQSPAGSKTSQVDGTAASAAVGDSSDSDSSLSSEYEQEEHHGLIASVGHLLNAGLERY APQAVLDYIPTQSRQSSSSHATPSSKSNIINEHTPEPQQAKHEDAHDHDDGLSQASIT SSLRAEETTPGDMLDISAAEILQRNRKGKLSSHERQLAKLAQEKRSVEAQIQLVRSDI HALGLRAPDEQEVKKETTSARASVDKPGSPISPNSSTNKLTSDSTSSSSATKNRSRSG TPAPASDTPQMHKVASGLFREEAKLVRRLSKIERHQIKEAAKIEARHRKEAEKEDKAR SRDETEVLKREVEHLKKECERLKGERKQWLSLIKALQAENTKLARQGNAAAIDGNTK ANIA_04088 MPTVLLPSSAAAFAPRSSPNVVLNSRIEPWLTAALKRVNRVKRP LNNVAQHTRCLTETLSSANAIWNLCSMMLPKAPESDLRKDENPLVEAIFNYQMIHIEA YVVHVDMVSQNEVAFKLTPETIEALVEHHKEVYSIDAAANTWDWAEKDNQLKKLQEEF IQAANKFVYRTSAQALEGLEEDGAGELLGGRSEDAKSAIFALFVPLLPPPPRVVDVLR STPLLPSSTGPETWWHDSMQQPVSMDSWKVLPSSPAPVTTADANSSMWTGLNGMSDMQ MVSTAPTTSAYSQAYTTSPYNTLQYYSAAATSAALAALPLPSMLVQPCSTAANMTGFG WGDRYQDLALPYGTTM ANIA_10509 MSRCKFATVAARNGSIQTGTSGSYPRCDIAQSSEPYIDMTLMSA NKVGKLKAKTLCTNRRRVQNLHRHAMTGHLDPLKSEIRHPQNLGLSVHCHGNRYSQFR QAAMSGIGKRAE ANIA_09533 MDQLPLSPPAESASSADTGLVPLDSPIRTTPIHELLPDIRVPSS PHQPHRYNPVTCAPIDADDKRVRSEIDQLRKDFPTPDAALQAQEQAARELRQKLDDAE KKREEVQRAMDKKIKERNTELKVLEKFQDGKGPALAAP ANIA_04087 MAAVQGAISKRRKFVADGVFYAELNEFFQRELAEEGYSGVEVRV TPTVTDISTTHTQEVLGEQGRRIRELTSLIQKRFKFPENSVSLYAAKVQNRGLSAVAQ CESLRYKLLNGLAVRRACYGVLRFIMESGAKGCEVVVSGKLRAARAKSMKFTDGFMIH SGQPAKEFIDSATRHVLLRQGVLGIKVKIMRGSDPEGKAGPQKTLPDSVTIIEPKEEQ PVLQPISQDYGAKAIAAQQAAEQQRLAEQQAAEGQEGGAAETYAQE ANIA_04086 MPTISVDKAALFKELGREYTTEEFDELCFEFGIELDEDTTNSDR PIVDGVQEPPQLKIEIPANRYDLLCFEGIALMLNIFLGRKPLPKYRLVEPPSGELQKI IVKEDTSKIRPLVSGAILRNVTFDKARYESFIALQDKLHQNLARQRTLVSIGTHDLDT IKGPFSYEALPPKDIRFVPLNQTKEMDGEELMAFYDKDKHLGRYLHIIRDSPVYPVIY DANRTVCSLPPIINGEHSKITLNTKNVFIEITALDKTKVEIVNKIMVSMFSQYTSEPF TVEPVQIISEHNNETRVTPDIAPRTTQAEVSYINQCCGLQLSAEEICRLLTKMAYEAT PSSTSPDLIDVHIPPTRADILHQADIMEDVAIAYGFNNLPRSFPSKSGTVAQPLPINK LSDIIRTEAAMAGWSEVLPLILCSHDENFAWLNRKDDGNTAVKLANPKTQEFQVVRTS LLPGLLKTIRENKSHSVPMKIFEVSDVAFKDLSMERKSRNERHFAAAWYSKTSGFEVV HGLLDRIMSMLKSAFIIGEEGLENAAVKDSQYWIEELDDPTYFHGHSASIHVRIAGKD HTIGTFGILHPTVLENYGLKYPVSTLEINIETFL ANIA_04085 MEGQGENDELYPIAVLIDELKHDDVLLRLNAIHRLSTIALALGP ERTRDELVPFLDDSVEDEDEVLTALSEELGSFIEYVGGPEYGHVLLSPLENLAAIEEP LVREKAVESLNKIGEQLSEKQIEEYFVPMVQRLSKADWFTSKVSATGLYCVPYRKSSS SLQQTLRQFFAGLVRDETPMVRRSAGNNLAKFVKEMTTPIVIDEMIPLFQYLASDDQD SVRLLTVDILIAIAEEIPKEQQPSHGVLLTSLRSLFEDKSWRVRYMVADRYEKIAKAV HEEVVTRDMVPSFVKLLKDTEAEVRTAVAGQIPGFCSLIDRETLLNEIMTSVEDLVSD PSQHVRAALGTQISGLAPILGKEETISHLLPMFLQMLKDEFPDVRLHIISKLELVNNV IGIELLSQSLLPAIVQLAEDKQWRVRLAIIEYIPLLASQLGVKFFDEQLSDLCMGWLG DTVFSIREAATQNLRKLTEVFGVDWAKESIIPKVMAMGQHPNYLYRMTTCFAISTLAP VVTLEIIENSVLPILERLTSDDIPNIRFNVAKSYAVLIDTLRRLPAQGTLTDLEKEGK TEAPSPRGQELIQQSVLPSLEKLQGDDDVDVRYFATTAAGGHEEVMQTSP ANIA_04084 MATRSHRLLGVLVTSLLVCLSLIFLESPSSFSNRNIAPSPPSTS LTPFLQPSEPLDDTIDRFPSDIHPVTALVEDAEQRFNKLLERQSRTLSDAVKEYRRRY NMHPPPHFDKWFSFARSKGVELIDEYDTIYHSLLPFWALTPQTIRARAREALGYDNGL FGVLIRDGKVSLAEGLDGEHEWQREATLGMMKNFIRYLPDMDLAFNAHDEPRVIVPSE DLQRLVAIAKNSVIPNAFKAKSLVNEWSARPEDLNKGDRIDEVRTTRFNKISHQPAWT SSRISCPVDSPVRSLDENSPDDTTGYASWELGFIHNTTAFSDVCNTPSLRYTYGFFDR PNVFAVVHDLFPVFSQSKVSTFQDILYPSPWYWANKVPYNMQNDYIWEEKIDKLYWRG STTGGFSKGGGWRRHHRQLMVSNINALDNAKVLSKTDGGQWEVKEMDRSEYRDLFDVQ FTSVGQCDRNDCAAQMEFFNITESVEQEEAWAYKYLLDIDGNAFSGRYHAFLKSNSLV CKTALFREWHDERLKPWVHYVPISLKGSELLETMRYLSSEEEGEVVASRIAQNGKAWA QSTLRNEDMEVWFFRLLLE ANIA_04083 MASPLLALLRSVFVLGYGLFTMGVYFVVALYHGYQFRRTTEKEK MELQLAHHLQAIRLTFVRQAHNRLWDLSRKCWGVWRHILSEESLQSSATLVAVDLPGY GGSESLDRYSATAVLENLTEFIIAMRKKYGIDGPIATRQQRTIIIGHDWGCVLAMRLA ADAPQLADRFILTNGPLIPLAVSNISIRLSSLVYMLRCFLRSPVRERSLLFNAAKSLK PILFQLWRSGYIFAFQLPPLLIAYIGNGANQATLKHIHKMSYGNRNYTPTDAAECMAS TLGPSIHERMTQTADGQAYAESAVTRPAISKFVEMCSYYRDGTATAPWKKSTETVTSL CGIAEENGARCIDSDARILDDGPPGALKASTTVVWGQKDHALTRELCLDGISDYLPQR SQVVELPVSAHWTPMELEGRVALVKVAEWAVNGEREDIGAVVETCYPEATVTVQK ANIA_04082 MIAPLPSSFDTDPLLLDPGAVVLAHSLRDNGTKAKLVALYTPDT LQAATLNELQTVYDELIPVYRMTNHTPANLWLMERPDLIATFTKIELWRQTKFKRIVY IDSDVVAIRAPDELLDMDVDFAAAPDVGWPDCFNSGVMVLRPNMQDYFALKALAERGT SFDGADQGLLNMHFRDWHRLSFTYNCTPSASYQYIPAYKHFQMSPFDSPYNQLLGRWW TVYDRHYHSVANETIEPSSHSVPPHVQHAEEPMLDMHIHNFPVTAPQQHIGRQTPLLP GSETTSRHPALGHEVPSDQHPDYHPPEEASNNSVQASHYAERSVAPLPVKDLSHEIHA EYQSEKPSHEPVFSVVPQYVRGEEHVRAYIQQQPVQEHSHHDLQPPPVQEPSVPTEPA HPFEQNDSRTTRAPAPIGTQRAPSPQPASQEEPIFEAPKAEWDASREPPPLNSKPEGI ALESKTYTMSDDRKLFQPPQSYPEAPKNMYYQVPETKPKPQKLRQIFPWETNAPKPTR VFADDASQHQPMVSLTPTEEDSQIFKSTQPTFWKSEAPSLPSESFDSYSRSNAWDEVP EIQKYIRSIQQARRARVQVLSGAPNQQKAAFPSKSSRQHQPGTPTTTTGSKGPNYGTR ITDFPSEIERPSLPVTPAPIRRGPAVGNPDDYTTPQFPAAKGVPNQEDWNPTVRLEEL RRRQNIVLDNPNLLIERITRALEDGRRDCADLD ANIA_04081 MPYLKSGSTSSSDAGNSPRNAFEELVQDLSRALGPSSGLDSDDV DPLDIQRLMELYTSNPEDWLPYALGDTNKSYTRNLIDEGNGKSNLLILVWSPGRGSAI HDHANAHCVMKVLKGNLQETLYTWPDQDKVQHGQSSPPEVTKVTTYGENQVTYMSDKL GLHKIHNPDPNEPAISLHLYTPPNAANYGFCVFDEKSGKASHIKQSHFYSIRGKRT ANIA_04080 MSTTVEKIKQVEEEMARTQKNKNTSYHLGQLKAKLAKLKRELLT PSGGGGGGSGAGFDVARTGVASVGFIGFPSVGKSTLMSRLTGQHSEAAAYEFTTLTTV PGQVLYNGAKIQILDLPGIIQGAKDGKGRGRQVIAVAKTCHLIFIVLDVNKPLVDKKV IENELEGFGIRINKQPPNIMFKKKDKGGISITSTVPLTHIDNDEIKAVMSEYKISSAD ISIRCDATIDDLIDVLEAKSRAYIPVVYALNKIDAITIEELDLLYRIPNAVPISSEHG WNIDELLEMMWEKLNLRRIYTKPKGKAPDYTAPVVLRANACTVEDFCNAIHRTIKDQF KQAIVYGRSVKHQPQRVGLTHELADEDIGSRPFCEAYGTIGLTV ANIA_04079 MYSGIPRTPPEAPLEVTEISERSQSSRWLSRDDRIRILTLRDAG FTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKLSEEDMDNIITFISSSQRTRR LSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPPLSDDTKRTWVTPGFHTRIWV TRRAGEELDETCIRSSTPKKRGWMFWGSFYGDTKGPCLFWEKEWGSINAESYCERIVP IIDGYLRLNRQQGNYLCLMHDGAPGHASKDTIAELHERSIYPISWPAFSPDLNPIEMV WNWMKDWIQERYPDDRQLSYDALREINPKESYPCFSNRGQLRREKVLPSMSIKTELGT ESSPSPDSIRNKVAIKMSCIEYGVESSIGSIRGPPSSLAGLAATSMEKECFFYGIASS LVLIYNGDCEVV ANIA_04078 MTAGLFSPSKAGLSLRPPTHPFPGTPDGYSRDKHNALIRLKGLG RTECLGEGKTPEQALSAPLRLYSLWYSAERGKRAPSWVDITASQRKKYGRPEPRFVDS SPNRLSSPARFVKRLMTVLARWERFPNDLISLQRLSRGRSTPLEYRSSRWGALRTGNQ PQDEATVRSQGVASVAFRLLEAARSC ANIA_04077 MDTETPHAQENGFAQSPWMDMGGFHPSHQNSPPLDYHGFGYPVH VPLDASYGVTIPPPYAPPALPMTVPSNAWPSMLTTSSQPPFQQSEHPVAPVPIAPSVS PIAPVPPPRKSSTSSSTPRRTLTDDDRRRMCLYAEENKTAKQTDIGALFGVERSTVSK VLRQKDKYLNPEDGSRSPIKRAKGRVPDIEKALSNWVRNYQRGGHGLTDEMIREKALF FASTCGSDGKEKVLSNSWLEKFKQKNGLLGAKVRKGSFGAKSDSESPTGLSINSALAS AVHSPSVLSPISPTGFVSPSALSPAQSHENIRGSISVGPTDLGIDFQHSHSRSTISLD TTASFSPTSTLLTESPFTPSSQSRISPTDVNSSRPRSQTFPIANADPHSMPTDDLSEP TSTMKGLLPAAMEDAVGDDCDQKVALNIDTSPGTIKRNRSNPDIRARTIYPPSYSRST TVSPISSPGSPTQDEARKALELVVNYFEHQSSGLGAQEAVTIGKLLERLQLAQQPPTL PGGLTRIDEHDDASPPHQSSLTKKRSIHNMG ANIA_04076 MTTDPAPSGLQPFSQLKAGPTTSSSKSTTVPAATTTIAPSQSSR RLQPKSDSRNEQLNGANDRALAALVRRVLCPQLGSYGGATSLYAPEELLPPLTSSNDV DRQLYALVAMMVKEFISSWYSKITSDQALISEVLQLIAHLTRALEQRLREVDIVQLVL DDIPSLVETHITCLSPVPDPSDANSVAQQRDSEAIYRRLLVNGVLTVLLPTEDLENAC LRTLLSDILSDLILGNQVSERVCEGWFVWETTTKLLDMLSRDKDGREAGAAETKSPRP NRLHQFNLLGNTDNDNDTTSSQPSGWIWLILQYAFYAYVTLRFIVVGLFRKASSSTLN PSLRPPDSFVNKSTTKYAVTGKRPVLDYRLFGMLSQLLDLSRRMPWLGGLIALFQYLI LAGPGKVGETGSVLDRFLHENIEEYVLTPTLLPNLLQALREALFPHNTRPKSESSVNR VEALTSTSTPAPLISGAQPFINTKQTTTASGGNTITPSDNATEELPRLSPDQQRRPSP ADVASIRRKCALGILSLIPRPIARRLFGVPTEPSSGVISTQVESPALSPSQFQAAGPG VRSDSPSSPSPEVLGSDIRSDAEESLLASAIESNILDLFADEYCNKHLVYSIIETVLA KLMPELSDRSIAELMDDRAVFTSAV ANIA_04075 MTLDLTHQVLASRDVQTRILHGDGDPSTAPTVLRQMLYELLLFF ASTYEAEFGLTTGPPLHDPLAVAAVISTLNPDFARRYPEQALKFDDRNGERFAVTVVT DGLHGTDVAMVGQLGRSVVSSHATGVTIPRGVDIDAFWNIIVDCIRRADELNSARTAA ANIA_04074 MPPVNSPTDAPSRSPLAATSSSHRSHRHAGFDDAHNDNVNFLRS RVRSPPGPSSSLLGRQLRRRQQILSGLEMELDERLSVEINRRIPILRRQRSGPNEERY STMHSNLPNYEGRVSNSRTLYGWAPTSDDEDDNPYPPIQSNALSSWLGRLSERSTSRR AARRDPQTNSSDPIENSHQPYESTAETQPQSIPRQPRFTRTRPLHDYLFERTEEPRSS AVTSRAWRFLPSGRSEPHRPLTYSDLRSRVSAHRQLHLENPPSPRLKETIRYLDRLRY SSSFEESLRSATDGGFVQLDLISWDEDDFILDTSSLCPPPECSWLRPGMTFSGFQRAA SSASVILPQQGPSSSSNDPMIVNGSDQNRITIQTTNGRRYFASQVYNLRTGKEENWPV KVTIHDVNTHDMTLSGTMEAYNIPDKTSPSQNAVIITFLEGEIIDFNSHTLETKNFKA DAEIDSTYWRELQPFKDLTDDEMTRNLVSRKWITEELCKGWILMRWKDARQGLTISGF YYISLHRETGNIEGLYYDPGSSPYQQLSLKPETKMMTRPSYSFR ANIA_04073 MSDGEETQSNPPVAAEEVEVPAESGAGGQMSVLDALKGVLRIAL IHDGLARGLREAAKALDRRQAHMCVLNEGCEEEAYKKLVVALCSEHKIPLIKVPDGKM LGEWVGLCTYLEPPKNNYQRTLEELQKFTIGRMLTVIYLTGQLDREGNARKVVNCSCV VVKDWGEESQERSVLLNYFQTEQ ANIA_04072 MSDVDTAPSLPAVLDPGKQNAFAVKANNQPALRPSPRRSSLSPP SQNENVPAKGLDSVAGDKEGPPSPKADSEAETIIQSGRESLSPEKRRKFIKHEPKRRD GDANDRDGENELPSSDVQVRKSKPADYSHDVSDREQRQLSPQWRDGSPPIVKLEKSDD ARSVSSRSETMRTSRKRSLSESVNGDSDVPRPARHRDSPVRSQEEHILSNGVNFTRPA STDRSVSPVRRAHKRTASGQQLTNGKKKKAPAAFATGFRRQSSEDRQSVSSANGSPMP NAYARKIASADGASASPARHTNYKKIRDQNGRTRLARACAAQELDQVKQRYMERPEDL NVPDNAGNTPLQIAALEGCAPIVEFLIAAGCEVETCNIDKDTPLIDAAENGHEDVVKI LLAAGANPRAVNSQGNEPSDLVPDDCDEIRQLLEKAKAQRRPANRRSEETSVPPNRDS SSRRISGASPRESPPASGQRSPPYPSTMATKRKSVRSEATRNDLLWTKATPENLQAFA AKGDIMGVANILNVGQKADPESMIAAAKGGHDEVLSLLLGMGDADPDPAPISSMKTGH NTPMLAAIGRGNLAVIKLFLDQKGFNPTRRLCDGMTYYELSRKRRADNWEEEYDTLKE AYDKYIKNKKQRRSDHLSPRRTRDKEKDSKRSTRRESPSPARSRQNGSPGPRDKDSAA MPREKKGIAQPRDKAGSGIHRPKHLHQDMDTVRSEPSRQKAVSTVKDSDPNRGEDVIK RRRLIAGRPPQDRERKVPSLPSSDSTSSREDGVKPRPDRSSEPTSKTSQLKRGRSSAS PERPRSRGTGADSNNRDMLKKKRRVLSEEGAPNVTNGALKGHYTVAVDDVKSPPRQKL GISASDSKSDRSQDSRFVSPKEQNLVKEEREKQETHGLVGIPMEEAKVVEVDKESPPP IHQVLDRSEPNGDTETEIPPSQDSEKKMAKETEQERLAQEARAADAEKARAQEEEERA ARAACIALEKEEENKRKEAEQRRIKQAEDEHQKRLEQERQRLAKIRREQEAHEQRRRD ALPSRLCIAANLVGSNNPQSRSHTWLKKFMPVVTAETRQLDPSCSADVANERWVPNYL VAPLLATNDLQLSQYSSWEKRHVTPTQRMNLWRVTRRMLVQADDTEFLTASFGQIMQK DSETRSKYFDMDHVFWVKLSDFMDLVPHIPHLHGLDIQFLKMHIDREPSFNPASQPSL SNGHIDGPHEKPGPYEQTLTNGYAHRRPSTYV ANIA_04071 MVIFTTTIVGTNLAIIATLIAKMDCILRLVDSALSTANMDAVDA LRAFFIFAACTIFSVSLPDSLRSRFIPYGARATKAAAESETSATSTSASKSTSAAPAS VSAVTRALDYAAALRVPHSYFTQFYVILVLSSIFWALQLLSHGRAFQAIAARIRPEHL DQAISINQVMLCWGLLLTQGLRRLHECLSFSKPSSSTMWFVHWFAGLGFYLAVAVAVW IEGAGTVLTHQLSLDDFDLTSRFSGRTLLSLPIFLIASGIQHDCHHYLSSLKKYTLPT HPMFNWILCPHYTAECIIYLSLAYLAAPKGEIMNKTLLSAVFFVAVNLGITASTTRQW YRQKFGESAVQGKWNMIPLIY ANIA_04070 MAQSVSMDLEAARIQRLPGEAFYIPDFVDEEEEERLLAKISSAP LPVWKHLSHRRLQTYPSALTATNTLLSSPLPPWLTTTPPIVERFRALHLFDDSPHKGP NHVLVNEYNPGQGIMPHEDGAAYHPLVATVSLGGVVVLDLYEKNNNLSSGTRDDAADK GNGGGRTRQPKYRILQERRSLLVTKGSIYRDYLHGIAERHKEANLGQDSICNWEQLGN VEQFAKGWCERGTRVSLTYRDVLKVARVGNTMKFLNRH ANIA_04069 MGKGNAKNSGGGDKKSKAKAGDAKDDSKGKMKGAQSVNVRHILC EKFSKKEEALEKIRNGAKFDEVAREYSEDKARQGGSLGWKSKGELELPFEEVAFSLEQ STTGNPKIGEAKTGYGYHIIMVEGRK ANIA_04068 MARQKQAAPIQRATSSELMHMLPDDLPQKHHNGANMGLANKDTT RDKVHEAADAPGLWQLAFCVAGIYASFLSWGVLQEAITTVSYPVHPPTAAEPEPEKER FTFSIVLNTIQSTFAAVTGFLYLYFSTPKGEKVPSIFPTRKIIFPLVLVSISSSLASP FGYASLAHIDYLTFILAKSCKLLPVMFLHLTIFRKRYPLYKYGVVLLVTLGVATFTLH HPGTSNKVAASATKGTSGSSAWGIFLLSINLLLDGLTNTTQDHVFSSPQLYTRFSGPQ MMVAQNVLSTLLTSAYLLIMPHLSSTGILHAILPVPIPPSTETELTAAVSFLSRHPEV LKSVLGFAAFGAMGQLFIFYTLSQFSSLLLVTVTVTRKMLTMLLSVFWFGHSLSAGQW LGIGLVFGGIGAEAVVQRQEKKAKAAKAKKTE ANIA_04067 MPPSIPQQPPALSSLTTLISTTTDASPLASITHQVLHNLQHQHL WTSLQIHQVTTEFPIPLISGIPPHRVYTHPDEQLYMVERGLREEDVELERMFVVPTVQ GQSWSLEKMAAVFDALPDPAEEEGETAAKIDTKAEAEEKALGDGNGAADKAARLAEYY EYRAKARRTGKWGSKRLLLAMIDKGMGGEGTVVYYVVQEGTVKPRQN ANIA_04066 MSTQPQTPLPQVGKLVSVVPVGLKEAALDSPTFRATTLHFSDQI EYTERWLDGYAKAASRLATELAALEGIVGAFLSYSTNPLMVSEAILDHDYTLSSMRRC GESSKDMWNGLVFAARKIENIVSEPIRIFIQEDLRSFKENRRILDQTQKQYDTLQARY SSQSKSKEPSALREDAFQLHEARKAYLKASMDFSVQAPQIRNALDRLLIRVSFDQWRE LKTLHSNHAGVFAKWSQEMDRIKGWVHEMEGSERYSKRELFSARSQIEDAAEVAARPS RELEDYSVSTVPYLGSRPLSFNTKDARPEKQGWVNLRTVSSRPTRTTWVRRWAFLKNG IFGCLVQGMRTGAVEETERIGVLLCSIRPAFQEERRFCFEVKTKSNNIMLQAETQKEL MDWISAFEAAKRKALENPASTDVSVSGKPTVQDPAFAISQPPAPEFAADPTDYLTPNV SDEQISSDRNGMLPLPDRDPSTFRNSSDLGGHRRLTLDPESPAKDHTSRLIQKLDLHR RSNNAVQSSTSIPGAGGGISSLISASHSAILSAEIDTNKLLPPSTLTPMTLANPPAPT NLSKATVLVSNERGLGVGLGDRTGGMPSGMMANLWGSSNWGFMNKLELEYAGVSEEKI QNQEPGTADLDTTGGSAGSKLKARHRPTVSLDGDASKVQRAILGIAHEYPDYYPPQLK IQDAQFRLLFPNVKKEEPLVLVFRATWNPNDQQEFPGRAYVTTRNIYFYSHYFGLVLT TSVSLEKIKEVTGAPGRDCDFLFLHTIPPPGNDTPGRITVKTFLEPLKVLQKRLNFLI HDSTAVEPMELEAIFKTLNKMATEQFTRTPSLDSWEDVTVGDKTCEAEDTKKATLQSA IYIDKNLDLNRARAGSDAPKFRLPNQPVQHQTRPMGRTDVKAISDYQLIDVLNDHLCY VITDKRTPWHLPFRRNFRLVNKIVITFVAKGKCKLSVYTKVEWLWSPYGIQRVINKQA MGDLEEDAMDLVDLVGDQVRKLGVHSRTKKAITIFGHVGRQALTSQFNIGPDAKVERR RPRTQRTLFQMLYETFVSFFQSAISSIIIWIFGSLRWVFKTANANKIIIALLASSVLL NGFYSTRATADWWHERNVENFMARMGIHPDQVMTKAIYMRDIDEEIANSTVGQSDNVS DCFYTFQQQTIRDSSVPVSLSTSSREAVARGATRRIQQTRERLGVYRYNLLVALRVVN SIEKEVLQSEWERWLQQELRRCRQVENLLTADGDDESGTEGSQTVLRGLDGDVRQWYE TYCSSCQKEQERFVTEAQT ANIA_04065 MEPPAKRSRKLLEDDSSSDSENESGGVSLGDSGAGFKINEEYAR RFEHNKRREELQQLEAKLGKSEDEEEESSDDEEEDDDAELATEAVDAEIMETIKAIRS KDPRVYDQNAKFYHSLEEDGAGPQKREEKQKPMTLRDYHRENLLNGANVAEDESGAPK TYAQEQDELKSAIVKEMHAAADAEESGSGEEDEGFLVRKSAPEQASKHQAAPELDVEN ADKDPETFLSNFMSSRAWLQRGNSLQPFESDDEEEDERAEAWEEAYNFRFEDPGKLNN KLITHARDTTNKQSVRREEKSARKKLREAARAKKEEEKKQREMEKNRLRKLKMAELQE KVDKIKEVAGLRASELTDEDWVKFLDAAWDDKNWEEEMQKRFGDNYYAENDAGSEDEG DGKKKIPKKPTWDDDIDINDLVPDFENDEKPAVELSDVEMEDVEESGTKKSKAQEKRD QKREARKDKLRIEEAVDRNLDLDISLLPGATKRSDGGFRYRETSPQSFGLSALDILMA DDAQLNQFAGLKKYAAFREEEKKQRDRKRLGKKARLRQWRKDTFGSEEGPREFVFGNE KPSAPEQDDTAEKVDIREGEPRRKKRKRSKKH ANIA_04064 MASQSDKSVLGMPGFVVDFLMGGVSAAVSKTAAAPIERVKLLIQ TQDEMLRSGRLDRKYNGLMDCFRRTTQAEGVVSLWRGNTANVIRYFPTQALNFAFRDT YKSMFAYKKDRDGYAKWMAGNLASGGMAGATSLLFVYSLDYARTRLANDAKSTKGGGE RQFNGLIDVYKKTLASDGIVGLYRGFGPSVLGIVVYRGLYFGMYDSLKPVVLTGALEG SFLVSFLLGWGVTTGAGIASYPLDTVRRRMMMTSGEAVKYSSSFDAFRQIIAREGVKS LFKGAGANILRGVAGAGVLSIYDQVQLILFGKKFK ANIA_04063 MSTNKVSSSSSPMDIDEKNTLLSEPSASTGTASASTATAPSYSP LYATAKPTSMTVLEAPTPDIPDDPTTTIPPPESLTATSASASPPPPQPGAVPVPDPTS SIASIPKDQQKQATEDEPKAGIEDHSSPPVPAPAPKSGAVRTPAPALQTRTHEVPPSS NPNPAPAIITSAPAFPPGETAPTSTSTSSTGGIYPAQPQTARATTTASSYSYTSGPSS SSGYSYQSQYQGPVPNSTTTPYASVYTPPSASTSTTLPLHSATATSSNLGTHTDGGEG EGGLWESTKSWLHSAGNKLAEVEAEVWKRINEAHDSDNK ANIA_04062 MATQKTPVSSIAMALAGKTASVDIPKPRSGYSYGHPPRAGRPAM LPTPPNSISPTLPPHGFRARDAGSLLSPPLATSHVDSDIDLGDAADHANPHQRDATAT SLEVAGAITPAMLAKYHLPEILLQHGPLAIRHVMGYLTTSVPGFSGIPPAKARRLVVA ALEGRGSDDKSDVVYEKVGWGRWDARRRGEPSRDSSRHEMSPPSSLSDTFQRGVQIPR RDSLHPYSSSVGGSAVFSYTEMEFGGREDMLEHEADKMSLDGIDRDYCSSSPAPDDEI PDGDWGEEDITDEEDWAQIGAAELRARSMNGGGGFVNGRHPPQLHGGGPASSSLAKSA PRATPIQQLGFSVPDSQERAAVEALLRLGSM ANIA_04061 MSYKISSSPALSPAKASEMISFLESFYSISDTESQHDAYVASFT PEATLIMGPKTAKGADQIRTLRHGLWTHVASRKHTATKVFFGGEDELMLYGTVKYVLK SDPEAEVEVQWAGRVVFDFTEGVRMRFYQVYLYTYDRNIETTCGAGGVNSAIVTHNLF STESDAGSWTVM ANIA_04060 MGGVTVRDVDAQKFIVAYAAFLKRQGKLPIPGWVDTVKTSASNE LPPQDADWYYVRAAAVARHIYLRKTVGVGRLRKVHGSTKNRGSRPAHHVDASGAVDRK VLQSLEKIGVLEQDEEKGGRRITQSGQRDLDRIAKTTVDEEEEDDE ANIA_04059 MVALDWHAISHFHFWSNQGFPVIFWDMSVHVKGVDTSVCNFLRS SLSITPLGPALCIGNGETGFSSSEGSAVEFWVGMYGNGGESRILLELELLAHEGQVEF YQRPPLRTTSGRLMPF ANIA_04058 MDSTKPTDNPSLQDPKYIEFPALPSDAKHADGTLALNRHSTHIT RGHDFPGAKAMLYAAGVPDKESMAKSPHVGIAGSTSSTHFADFPLTDKKAVIDRGMLG WQYNTIGVSDAISMGSEGMRFSLQSREIIADSVETVTCAQYHDACIAIPGCDKNMPGV VMGMARHNRPSLMIYGGTIQKGYSQSLRKNISVSSCFEAAGAYAYDTLRQPDDGGDTS LTKDEIMDDLEKHACPSAGACGGMFTANTMATAIESMGLTLPGSSSTPASSPTKMREC VKAADAIKTCLEKNIRPRDLLTKRSFENALVMTMALGGSTNGVLHFLAMARTAGVDLT LDDVQRVSNKIPFIADLSPSGKYFMADLYEIGGIPSVHKLLIAAGLIDGGIPTVTGKT LAENVASYPSLPDDQVIIRPLNNPIKPTGHLQILKGNLAPGGAVAKITGKEGTKFTGK ARVFDKEYQLNDALTQGKIPRGENLVLIVRYEGPKGGPGMPEQLKASAALMGAKLTNV ALITDGRYSGASHGFIVGHIVPEAAVGGPIAVVRDGDSVTINAETNELSMDVSDEEIQ RRLKEWKPPAPTVTRGVLAKYARLVGDASHGAMTDLF ANIA_04057 MVGCGLLSSLLQRANSARSNKRRRFRRSLSDVHKGSGGTVTSSD EDGYESSESWQHVAETRQNQEVHQKGDEPQDAVNTDPGLLKKHSLYLSYKTSVAEYPS IRTFNRPHPQMDKLPTTPSPIPLLVFVHGLGGSLAQFNHLLTSLSNVGPCFGIDLPGC GLSSFAPTAWDAYTIEALAELLATAIDRHRDKEAGQKVVLIAHSLGCSLSAMLTSSTS PLKHELKDHILGLVAICPRASPPSPKEVSSHRRLLYIPDSIFNLWRRWDRRGGLYSNS VNRLVGAGADEETRSLQIRFNKQSKTPVWKRMVWGTLPSYSGPNSKPISGLPGQEVWA GVKTPILLIGGESDMVTRPVELQKLLRALGDTGNDKTMDEDADGSVAASEASMLPDSL AHEEKLGIEPQLKEKVTNESNGLPRSKRSVKTVILPAPASHALLYDRATYRTLAGIIQ DFVSQHADHRLNLGWQLQYLNTSGKWDVKNLAKWKKVPPVSDRIANTFVALKMLREVD EEHNPVLFSKAHRDNIYTVIDISHESPVYNPASLEAGGIHYQKYPTVSKIPPTPDEVR DFIALVDRLQKEITEKMEKSNTSGAARLRPVVGVHCHYGFNRTGFLIVSYLIERCGFG VQEAIDEFEKRRPPGIRHAHFIDTLFVRYCVGLKRAPTL ANIA_04056 MSSTNFAAAQQRVLERRRKRETEAQSRLAEQQRTSIVNHPAVQR LPYPLNTVSRSGLSIWDAIKGREGTRPAFRVGQVDAELLDEELLGLLKGQVGDALKYF GPHLRDDWSHEIQFVLRAILFKLSIWDHDASYGAALQSLKYVDSRSKGPVHSTPTMLQ KSLYGLLTVGGRYAWDKWESWLISREGGYEEPSPEVRSLSRITNFLSTTHSIAAFFSF LIFLVDGRYRTLIDRLLRMRLTPPSAQASREVSFEYLNRQLVWHAFTEFLLFLLPLVG ISRWRRWVSRAWRKAMSAIRSTSVDEDEVSEKQGELAFLPERSCAICYKANNTATTET EVIAAASSGAGGIIGSAQTDITNPYETIPCGCIYCFVCIVQKLEGEEGEGWVCLRCGE LVKKCKPWNGDVLEEEPKRQSGSGKNVGFAVGDDVPLEQEQAHEQESSVDAG ANIA_04055 MKSSLVILLGAVASTVAQTATTTEPSLSDIAASAATIEPYSPVS NVEGLAFQRIFQVWFENIDYEDAAANENLQWLAKQGIVLSNFYAVTHPSQPNYCAAAG GDTFGMDHDDFLRIPANVSTVVDLLDTKYIAWAEYQEHMPYPGFEGFNYSNQETYADD YVRKHNPLILYDSVAENDMRRRQIKNFTHFDDDLASEKLPQWAFFTPNMTNDAHDTNI TFAANWLRGWISPLLENDYFMNDTLILITFDEDKTFPKDNRIFSILLGGAVPENLHGT EDNTFYTHYSVIASVSANWGLPSLGRWDCGANILEIVANKTGYVNYKVDTTNLRLNQT YPGPLSNGDLSEYSPVWPNPLTEGDCSAGHGILDTVKETYAGTQPTYNYTSPFPYDSK SGYNEDVDATRKSS ANIA_04054 MAQVEVPSTNGSGKSVETRLFINGEFQPSSDGKTFSLIDPFTQN SVAEVSQATEEDTNNAVAAAKAAFPAWRDRSPADRGACLHKLAALIRENNEEFARLEA LSTGRPVSRYFDATVSADTFSYFAEAGWTVQGTSSLNTPGHLNMTVKQPYGVVACIIP WNVPMAFFAFKVAPALAAGNTVVLKSSEKAPLTSALAATLIAEAGFPPGVINILSGFG TPAGSTLASHMDVRCLSFTGSSFTGQRIQAAAAASNMKIVHMELGGKSPALIFEDADL ENAAQATQFSIQCLSGQTCMANSRIYVQESVADEFLALFKEKFGSAVLGNPLESGTTH GPQVDGLQYERVKSYITIGEQDGKLSMGGDAGNGYFVKPTVFEGVPEDSRIVKEEVFG PVVVINTFKTEEEAIKKANASEFGLYASVFTKDLDRAVRTSKLLEAGTVGVNTTSPNV AKDMPFGGYKMSGVGREGFMHSLDNFLETKTILIKMSS ANIA_04053 MAHVIELAGESNPLTPQNVLNSLTQAASSTQQQVQTGTKQLQNW EKQEKYYTFLQDVFLDQTVPNEARYLAIIQLKNGIDKYWRKTAPNAIKKEEKDHIKVR ALQAGVVEPAPLLALHNAFVLAKIMRLEYPQEWPEGIPAVIDSLRASVQPGANPLQLP RTLIILLQIVKELSTARLQRTRQSLQSIVPEILHVLGGIYVDKVNTWAPALEQGNYGT AGLPEAMEQSLIALKVLRRLLVAGYEHPNRTKDAQEFWLLTHSQFSRLFALISGSVAP PEEVQKFVEKHLLQLSKLHVEMAKDRAASFALLPDSIPLVQSYWTLVVKLGENYSQLG ADGTSDKTFMEKAGLRALLLLRACSRMAFYPAQTIKYQTPQDKEEKKQAVELIKSQLF TQDFVVHVMELLVTQFFRFRQNDFQEWEAEPEEWERKEEDVAEAWEFSIRSCSEKIFL DLVIHFKGLLVPRLLTVFQSFADNRDVLLKDSLYSAIGLAAACLEKNLDFINFLQTTL VPEVQIQEPGYNVLRRRIAILLGQWVPVKSSEMNWESIYQIFQHLLNNQDPLNDLVVR ITAGRQLKHILDTFEFTPEGFKPYAPSIFQSLMSLVQEVESSETKMGLLDTVRLAVTR MEDNIAPFSDAILALLPPLWESSGEEHLLKQAILTLLGALIYSLKQESVRYHSVILPL IRNSVDPTSDTMIYLLEEALELWSAILLQTPSPPSPEILSLIPALFPIFESAIDGVGL ALQIAESYIILAPQEILSDRIRLPLFTSFESLLQPTLRQRGGYVPRLVELAIRGADAV DNGSENTYRVIISSLLDSSLLSSILEGLYSAYESSQTTGPNKKQTSIYGGVETDYFSV LARLALAHPTLFVSGITAAYSNSNISNNSQTPEQAQEHLITWLLTEWFLHYDNIGTAT QKKLHALALTQLLTLSGPNTQPPAYILNHLQSYLNVWTDIITELAEGTTEGDPSDPRG GDYLIYWNNAQTGTYEDNEPPENMRRREWESSDIVHKTNIREFVRERLQSLIVSCGGE QRFQEDWLLNVDRDVVAAFAALRLL ANIA_04052 MGITGCGDARLRAFSQSSIRDQAATCKNAGVRKSSLAETLSTSR LGSYYRQLPQTATRATTAISRLWRRGHRQTAYSFILRLRIILLPLLKFSLTRSSLQPR ANPSAEKVRGVNLGGWLVLEPWITPSLFDEAGDEAVDEYTLTEVLGVEEAAARLSEHW NTFITEEDFALIAEAGLNYVRIPIGYWAAAPLDGEPYVSGQLEHLDNAVAWARAHNLK VIVDLHGAPGSQNGFDNSGRRGPIGWQQGDTVEQTILAFETLAQRYLADDDTVTMIEA LNEPHVPGGINQDQLKDYYEETLARVRKNSPEATLLLHDGFVQTEGWNGFMTGENVMM DTHHYEVFEGGQNAWSIEKHIDAACQLGRQHLQAADKPVIVGEWTGALSDCTRYLNGK GIGIRYDGTLGSNTAVGACGSKSEGSVAGLSADEIANTRRFIEAQLDAFELRNGWVFW TWKTEGAPGWDMQDLLANGVFPQPLTDREFPNQCNF ANIA_04051 MENQPARMSGNTDKLAYFKLDGREVKKISRKALYTRLEARINYL KDFLDFNSSDMEALASGAKYIKTLIPAVVNLVYRKLLEYDITARSFHTKDTASEAPIE DFYNEDSPPIMRRKMFLRWYLTKIVTDPMQMDFWRYLNKVGLMHTAQERIHPLNIEYI HMGACLGYIQDLFTEALLSHPTLSIARKTAMIRAISKIIWIQNDLIARWRMRDGEEFA DEMSEYIIDDKEGYLGDKKILGDSSSSSRSSSRSMEDDRVSIAPSVASACPFADLAQP ITQTKIWAGK ANIA_04050 MASNHTNGDKAMLPLIINNESVITDNVVEVHNPATGELLHRCAG ASVDDANRAVAAAKAAFPIWSKTHPYERRAILSKAADIMFSRKEEFIKTQMEETGAGR MFVEVTFMASVSFLRDFAGMIPSVEGRAPIVAEEGQSALVIKQPYGVVLGIAPWNAPF ILGTRSVALPLAAGNTTILKGSELSPKCFWLIGDVLREAGLPAGCLNVIYHKTSDAPA VTNALIAHPDVRKISFTGSTLVGSIIASTAGKYIKPVLLELGGKASAIVLDDADLEKA AMGCTLGAFLNSGQICMSTERIVVQRPVAEKFQKLLVETSEKIFGKNAPAPVLVATAA VKKNQGLVADAIDKGASVVFGDPKESEPCANALRPVIVGGVTKEMDLYATESFGPTVS LIVVDSEEEAIKVANDTEYGLTSAVFTSNLFRGLRVAKQIESGAVHINSMTVHDEPTL PHGGWKSSGFGRFGGTAGYDEWLQTKTITWVE ANIA_04049 MRFFSTGLISALVAMATAYTTPDYSVGPSGNAILAPGLQEQVPA GKPYTITWDPTTEGSVSLVLLRGPSTNVQPLYAIAENIGNSGHYEWTPSTELEPDVTH YGLLLVVESGPNKGAYQWSTQFGISNPNYGQGESSSSAPTSTAPEPTQVPSSTITETT VVTTTTCPEDQPTGGATTSVPVIPPGSSTLVPTPSAPAWTPTFTPAPPQFTGAAGRNV VSFGAVAAGVAAVLAF ANIA_04048 MNQSESQYEPDFPWGIGVFDAHCHPTDTMASIADIPRMKATTLT IMSTRADDQDLVFQVATQLAKESGDGNEDARRVLPCFGWHPWFSHLIMDDITPSKDDQ KEIDENTKKSHYSRILKPSPDEAFTSSLPTPIPLSQLLSETRSRLQAFPAALVGEIGL DRAFRLPQPWTQEEHDARDGAMTPGSREGRRLSPYQVRPEHQKAVLEAQLRLAGALQR PVSVHSVQAHGAVIEVFKGLWKGHERKVASRRERKRRHSHAEAHAGSDDEDARGAQTS HSAGTREREALPFPPRICMHSYSGPAETLKQFLHPSNPSDVYFSFSSVINFSHHSDKS VAVIKALPDDRVLIESDLHIAGQQMDDRLEEVTRQICEIRGWDLRQGVQQLADNWRRF VYGDALPDSSNS ANIA_04047 MSTTTTLLTLPFLILISIPLVITAFITVFFSVAALSIQLAIISI ELCYALVTNLFTIPPSPNWSLLSFSVSGPNTPVRRRSSDYSILRTPLASLRDQDQSQS WSGRPTLGPRMGSSNPLIDSQDALPTMNLNHENKQRNSSTNTTHHKSSSGFFGLINGD EDRDFEGLGGWRCPPSYTKSPGYRSGHTTPSSTKSNSISDEVDDIAWLSMNSRLELPS QHIPLMLRHSNHSNSASMHTLAQATHGSSSGGDASISPDPQPHLPWRTRSSKNSIGMP STVSAVTDSKWSKNKGQKRHHRRSATTSALALSGSVPRLGMLSASQVYLRQAQNQNQS QGLDSCRGRTQTQMATQSRSDTSRSKSHTSLSEHWRQNQTTGFASMSSSWARGPSPLS ASGGTGAQTTSNTTPNEERKPARIANAAQECRGTRKAPFTKSTV ANIA_04046 MAWFPLGYKEGFSQWWSSIPAAAAEHKVLSYLPYLQHQPPTQLQ TGKTTNGSSGETASLQSADQSQLGEVAATSTGDPYGPRRWLSSMVQLSGKNRALNEFS VERVGEEADQHLVMLHGYGAGLGFFYKNFEPLSRLPGWQLHALDLLGMGRSTRPPFRI KAKEREAAIREAEDWFVDALEEWRVKRKIERFTLLGHSLGGYIAVNYALKYPGRLNKL ILASPVGIPEDPYAMSSDLPEKQDQPSIAAEAATVPLGDAPKGDNNILLKGPPADASR DRPPRRTVPKWFAYLWEANISPFTLVRWAGPLGPRLVSGWTSRRFSHLPADEAKALHD YSYSIFSQRGSGEYALAYILAPGAFARSPLIRRIQDVGRQMIPASVPSSPSSSSSTTT STEVAKPRRETGIPIVFMYGDHDWMDYRGGQAAAAKIREEKRRILENATPEERAADSG SAKVVMIKNSGHHVYLDGWEQFNDTVLAEMEDVAKRERARR ANIA_04045 MAAPSLDQLVKGSPAPSNRLRSLSAPSAEPLKSSSSSYPSTASV SSTPSSTTANDPLSALPSSPPQIYLNLLILESSLRAQYLALRERRRQNTFFLLLLAAW ITYFGYALFLRPREDGRGVGGSVYWMVEMWERVALLGGVVTALLVWGTGQWERGIRWP RRWLAVANRGLRTMNTKIVVIRGPWWQELLSYLSFLFPFSTPFFTSAVGDFHYLDRPV SEKRGGRSLQNYYNIDPESGLVEEDLSPGGDHIRLLLLPKLFSPEFRGNWDDYRTDFW DKENERRAQLREKLRQRERQIARQEGSWFWWIGLGRNASRRRRLAAATLHRSIENDKG HRHAHHSHPSISKLAHESKSPLRRSTRSDSHSRTPSRSTTPIDTDDRPPSRSSGSGRP RRGSLTPSTPGSSIEQSPRRKRTGSKTLNRGLSPLTQAQIREGVYPPSIASDDSASTL GLAVNKEKKEDDI ANIA_10497 MLNRKLRRLIVSIDEIRAHNREMADGLLTSPFDWTQAFDRALKD VIKTLPNRPSSETADEVNYYCAYVGAFGEFSCNPRTLGSSHLNRMVSLEGIVTKCSLV RPKIIQSVHYNERKDRFVTRKYRDQTMTATGATFMNIYPQEDEDKNPLITEYGYCTYM DHQTISIQEMPERAPAGQLPRSVDVIVDDDLVDRAKPGDRIQLVGIYRSLGNRNASSG SSTFRTVVMANNIIQLSSKSGGGIAQATITDTDIRNINKISKKKHVFELLSSSLAPSI HGHEYIKKAILLMLLGGMEKNLDNGTHLRGDINILMVGDPSTAKSQMLRFVLNTAPLA IATTGRGSSGVGLTAAVTSDKETGERRLEAGAMVLGDRGVVCIDEFDKMSDVDRVAIH EVMEQQTVTIAKAGIHTSLNARCSVLAAANPIYGQYDPHKDPHKNIALPDSLLSRFDL LFVVTDDIEDARDRMVSEHVLRMHRYRQPGTEEGAPVREQLNQTLGVGIDDADDSNQP TDVFEKFNAMLHVGIANTSRGRKKDIEILSIPFIKKYIQYAKSRIKPILTKGAADHIV ATYSALRNDELSANQRRTSPITARTLETLIRLSTAHAKARLSSRVEERDAKVAESILR FAMFKEIVEDERRKRRKVTTFDDDSESSDDDSDEDGDDDQTPTNASVTPRSTRRNLRT RAPAAARSSTNEDVEMDADGDNVSVVEDDLYNASPRGQRNQSSQSQMSVASSRPASQL IGSQTDTSQTQNASASAPASSQTITPARLTLFRQALGPLMGTALFSSSDTADLEELIG AVNAAIRSSRSLGGESAVFQRPEAIQALKAMNERNELMYLEDDETVYRI ANIA_10498 MLERTAGCVENAGRRFFRDSNGALRTRKPLCTRLGQYTSSCSEN SKWRLSPRRVLRSRGSNTSGDRVSSDYSAPTLGFLYPHQTQEFVATCLLRSARNILSR RKRRSGVLACRTFVSRTGPNTLVVPGQTIDAHNIKDRSGNWARDSLLELLSLEEGILD QADRAWQLYMFAGQPSELNQALFVQYSKSGKEPDHVRAKHLFYEMPEKSRSTEDYLTL LRSHLTVGQLEELGSVCQQAQVNGHGLSCWALALAHCIESSDWALAQGLYETVCPVDG HKLWDCILPLLNTSSVLTSLPNLSAQLQSRANNVVSAEQRKPDFVKYFTGKIFATSNL VENISTNILIRLLRDFHSAGFLTSHIFWNLIRTLQSSERRAMFVRSVVIYRDFDWLMD KMPPSTQILNQFVKQLAHFDITANVDYFLEQFSRYHSKPPAIAYRHALAVFSRAADSS SVNRVYEQYVTHYGERVTSQFWKMRAHLLYAHARKGDVHETLVEFGKLWRKTSRRPPT HCWNILLTAYANAGDLTGCFSRFKMMVENKVKLDSYSFGIILGLCAHRGDIDLVLEAL EVAKQQQVQLTTPMLDTVVEAHCRNKNLKQAEHFAEACLTVDATGSRVRMWNILLWNY AFEMDLESIARIRSRMDAAGIPADDMTYAAFLLSLVLLRQTDSARRILRTLSRSGQMH ATQFHYALVLYGYVKDRNRDMVHVIFREIQERFGHHEPGTQLLFLRSQLQRDLHLIAQ AQAEEAGMDSTEVHFVHAEKLLAEIIEDFDQARLVKTAPIPGVSRLPVAEAFPSSYYE PLMTEYAKKGNLDRVKQLFSEYAKARRQSIEDAQARAPLSLLTSLMLAYLKADQLEKV DECWKLAHRRARSLGKPISERLWKPQELPATTKRPPLLPAYRFELSRPLSLYMRSLAY RKRFKEIDEVVAQVEKDGFCLSTFNWSTYVQMFATTDDPTNTLKAFSVFEEKFMPAFP GWNHLRQSHGFKHSNVTAAIDLMEKQPFPKPRGMLGPKGKRYWSKRHPEFAQPTYVSL VYLASALLRARNNSIEAGTAELSALNNAAPKTITAIAAMPRLREKFQGVLLRDRAERP DRVFPERERFVWTGGVLGVGGRRRLPNMADFNPLNAEPDSSASTENSDPHQTLEPSWS TINYEDQFDLQAESALFSRREQLTTDEPLDDESIPSDMLDRELLDAVLAKQADEAALE SESQTPSEEAHERLHEAPDVQQAQSESHPGESRTKV ANIA_04043 MDITEFIFARREEVLVAGDYNAYRAHTTRKLHNIRKKLGQTTPK GRKYTSKPPISAQAVGENAANVHVLLLSAERAWAQAMHMKSTHSADPSAKGITGAARR HIISRLSKAAGYANQLVGLLEDKSGSGATDVDVLEARAYLSSLMGAIYLEKRNWEQCL RNYSVSRVIYTALGQAAKRDAFRDLMSGNIDPSLRYAAYQMKLPRSKPIPSLAIEYFP ADSSIRAEVEKANPDCLKEDAAGTRKTADGQVQQLPETITWRSRTVTIEDAMISQALA AASAEESRLAAWLNAPEGNSASAKDKAAAYDSVIIASQDAVDATKTAIDDLASEGVDP SDKRMQSLQITRTAVNYALVGWRVGRNRVLCGENDGITFEPSRRQSPKNKKATSEHDE PSGKKLTRLRERVVLYDSTLQSIEFILELPGVAADSDFVQELEAKRTYFRALRSLTIG RSHALLGKSQNALALFSQALSLASKAAASIQSTANTGMDIDGPPRLSIFPAQASSLEH ELRALVTKYQGLVTLESISAQEQSSNKSTPQRPLVERLHEYAGDSLDLNNLVPYPPEI RPIPVKPLFLDVAWNYIDYPREGKAAVSSAQAQAKVESVAQENKGGKRGWFGFGR ANIA_04042 MAEINGSFVSPAADATVFPQVFQPAGLIADFLNGLTLWKTLATL FALAVVYDQFRYIYLKGAIVGPAWKLPFMGPFLQSVNPKFHEYKAKWDSGELSCVSVF HKFVVIASTRDMSRKIFNSPTYVKPCVVDAAHKLLGKTNWVFLDGKEHVDFRKGLNNL FTRQALSCYLPRMEEVYNDYYARFLKKSKNNNYKPTPWMPEFRDLMCAVSCRTFVGHY ISDEAIDKISVDYYNITAALELVNFPIILPFTKTWYGKKAADMVLDEFAKCAAKSRAR MAAGGEISCIMDAWIKAQLDSAKYREKIAKGIEVDSSEKPPQVLRDFTDYEVSQTIFT FLFASQDATSSACTWLFQLMADRPEILDKVREENLRLRNGDVNAPLTMDLLDSMTYTR AVVKETLRYRPPVIMVPYIAKKDFPITDKITVAKGSMIIPSVYPATRDEEAYPNADSF DPDRWITGTAEQHPKNFLIFGTGPHYCLGQTYAVLNLMAMIGKASMEMDWVHTPTPQS EEIKVFATIFPQDDCLLTFRPRA ANIA_04041 MFGFKLFLALAVLATTSQTAQINRPSVRDSTILRSTVSCPDCPE SNCYKCRYGSEKTLRANTGGLAWIQSLVGFRLDLPDDIYPDDITKCTVQFPAFTTLPN SAFNMTVTPAVSSDWDEVTVNGENAPASTDNIALYHVPALTNPPLLDVTEACWLADDD GQFSIYLGAEFGSYEIWSKDSGNPAVLHVYYDD ANIA_04040 MDTFQLGCSGLSIPWPAIPGASVEFLTAHLVTGRSEYIPESVYV NHGPVNVTDVSYCVVSLAYTHTHRLEMVNTEVWLPTHDTWNGRIMGLGGGGYHCGLFS ANKLAMLAAVGEGYAAVSTDCGRSMREGIDDWILERPGKVDLHRLEDFASVSLNDAAI AGKSIAEGFYGRKPSYSYFSGCSQGGRQGMMLAQRYPNAYDGIVASAPAINWAEILVS GFWTQFTMNQLDSYPRHCELNYLTSMAIQNCDALDGVRDEIVSFIDACDMDAFEMIGT DVPCGEGTVPLSVSAAIVVNAAWRGPFTTNGTNLWMGVDVVSNLTNDLSSQCSPNGMC TGLPVVYSSDWIRLAVEKNARFDLKTVTHDMYVQIFKDSVMEYKSIIGTDDPDLSEFN KRGGKLLSFHGLADPMIPSKGTRSYYETVAERDPEVRSYYRLFEAPGLGHCWSPAGLY PSTIFDDLVNWVEEGQVPRSLPASFTDARNVRYNRMICPYPERAIYDGTGDPTLRSSY SCSADGLAFHSNVRL ANIA_04039 MASLNPISRQGRIMFLGSRATKYALAGEPTAARALSSTRQLTTL CLSSRPGGYAKPSLRTNPKHIQADRVEYVPALSVRWNSTKSNTEDSSSPTFRKWGFDD INAYLPSESPSTPPSNPQKNLILVDVREPAELTRTGIIPSAVAVPLASQPDALFLTPD EFETRFGYPKPGADGGKGDIVFYCLAGVRAKTAAQLAVQAGYEPERIGVYEGSWRDWA ARGGKVEKWEGYEE ANIA_04038 MAPKKKGNRKQEEDWEAELGESIPPAGGDSPAQEEAPGADGDDG EAGGGGLLAALRKNKNKKAKKGKPTNDFVEGEDPIQEANGDADFTSKQPEEGTFDEDD VFAGKKSKPIKAAPPPPAPVDEDSGPRVKTKKEKEREKKEREKQRKREQAAKKKTTEP KQAEQKKPEPKKEEPVAAPSTPAPAPAPEPEPAAGGKKKKIPAHLAAIQKQQEALRKQ REEEERRLAEEKAAEEARRLQEEEEARKKEEARQRRKEKEREKKEQLRREGKLLTKAQ KEARERNELRMKQMLAAGVGTVAGLQKDQPEKKKPVYENKKKKGPKKQDEDLEAAAAR AKAQREAEDERRRKEEEERKAKAEAEAAAAAAAAGDEESELDDWEKAADAEEVKDSWD APSDDEPEKPAATNDEEITLPERPAAKPAKEEANEESSEEDSDESDSDEEEKSAAQKA IAQRKAEAAERRKKQHEEALAARSKDNLRSPICCILGHVDTGKTKLLDKIRQTNVQEG EAGGITQQIGATYFPVDALRQKTAVVNKDGSFEFKIPGLLVIDTPGHESFSNLRSRGS SLCNIAILVVDIMHGLEPQTLESMRLLRERRTPFIVALNKIDRLYGWKKIDNNGFQES LAMQSKGVQNEFRTRLERTKLLFAEQGFNSELFYENKSMARNVSLVPTSAHTGEGVPD MLKLLTTLTQERMTNSLMYLSEVECTVLEVKVIEGLGTTIDVVLSNGILREGDRIVLC GLNGPIATNIRALLTPAPLKELRLKSQYVHNKEVKAALGVKIAANDLEHAIAGSRLMV VGPDDDEEDIEEEVMSDLENLLSKVSKDQRGVSVQASTLGSLEALLEFLRVSKIPVAN ISIGPVYKRDVMMCGTMLEKAKEYAVMLCFDVKVDKEAQAYADDVGVKIFTADIIYHL FDDFTKHMAELTERKKEEAKLLAVFPCVLKTVAVFNKKDPIVIGVDVVEGSLRLHTPI AAIKTNATTGAKEVVELGRVAGIERDHKPVNVVKRGQPSVAVKIEGANQPMYGRQLEE SDTLYSQISRASIDTLKEFYRSDVSMDEWALIKKLKPVFDIP ANIA_04037 MPSDSEMDLDPGIAAKKAKLGLLTHTFVSSPIIQWILPARLRSK YQNDVVFVGERCLQIKEAISGTHLEEVTTKSDFDAYIMAAKVINVSTELPWEVQMKAG SSTADASLDARHELPPQIMVLSLASKELVFLCYSRAAGQFIHCHRPLPSDVSTFERFG RNIAVEPRSRAVAVSASSDYFGVFVLKAPSVVQAQMLEDQLDPVAEERFFRLDGDIIF MDFLYPKSEDGDKIILLLLVSHEQTTHAVCYEWNAHQSLRQSHPRVTRKSLPADDRLP TMLIPLTKASSFMLVTTTTMTVYRNKLDLPGPPIKYPIPVPDREQQKSPLWTRWARPL RNAVYNQMHDDIYLCREDGRIDYLGVGNEGEVENQIQLGYLFCDVDAAFDILDIGYEG GDLLLAAGSTGDGGLFVQKARDQPRCVQRFTNWSPVTDSVIVKQAPSQNTAADCVVGD RLFVCSASSFGRGAVVELRHGIEAQVGLLISLEELSGARDIWILPDSINGGVLMLTSD PVSSAFLYLPTDFTEEISAIDEADCGLDSNSPTLAAGYIEPGMLVQVTDKAIFMGATT DAQFRSRSDLDIGQSVAAAAVHGPTCLVITAIRTHQELQIRSKRITQLGIDLQLSEII PPFNIDYEPICITVEELGIGTLVFIGSGDGRVLVYRIDDSFKLLFDFTVKVESDDDIS KAIDSLAVIAHAKGTLSKAVLLCGLRSGYLVMLDIAMDAININAPLDMRQATIKHLGY TSVQVQSTGSTGLMTCGNSFWRLTCSQENEASDCAIQRIWITDQNNPAYSPKIIHSFA MIGSSNTDITDISGSLFCVADGQLMVCTLDCAVRTIPRRISLPGSAHKLAYSQHLKSL VVAYSQTAFDTDADPVKRYTRPYIEFLDPDLQHPLDGSAEGLTNYMSKPWRPQGAAGE KISCILEWTPRKGDEEYHFIVIGTARRNQQDRGRVIFLQASPGSSPDSQIECSVKYIH KFEDPVYTIVPYGEFTLMVSTGHEIVALEPKFAQSRRARSARFSVLSPVISMSSHEPF VYLSTARESLMVLKNTEDKLALHAYDRQKLDGLSHIHIGGDLKLTLASSRGGRVSLLT ENGVTETDKMLPAALCEAHLPSSVLRLLASSEPSPLSTRSDTFYGTAMNGTVYRILIL EEKEWHLLRLLQDLCIRDTGICPFTPRRKRQRSPAGHDPLEFQPARMHIDGDILSRLV ARDADYLVKMLAREEDQPTNNTVQLFNDRTRDVLGESPNPPEAVIKWLKRVFQVEF ANIA_04036 MSNRSAYQSSERGSSETDAVTDELVHSSNDDLARINKKAEEIRT ACELRDIDALVSHATSEGGFLRDELRQLAWPILLQSDKDTENILSKGSSLPPHRDEEQ VQLDVKRSFVYYPECYHDIVQVILLVMGEERSAPAVARLSLFRIRDYMLPSLSPAVKH LQLIPAIIETADKALAQRLSDIRPFFALSATLTLYAHDIQEYSDIARLFDFLLAWEPV VSIYLFVAIVLSRRKELLEIPADEPEMLHYTLSKLPQPLDIEGLISRAVRLFRDYPPE SLPFGAWRRISSYSVLKTTRAIMRTQSMNTALEIFQKHTKQLRNEERREQFIGFLWSH RRTIGSVAVAVFVGVMSVWIRKRGFDNTILSYFDHFRAAFHGRF ANIA_04035 MSSTAHPTNLAPSGNGSAACVHCHRRKVRCDARLVGLPCSNCRS AGKTDCQIHEKKKKLAVRSILDPVPIRCRPPNPEEAPKPISSLSPSSEPPNAFTTALR AVQSDITAPSGVANRVAHIRSRSSQYDTKGTRSNNNSGNNTQYQNVLPEPDSPPYSRP AASDPSEGESRADIEKRLVNLIDGEASDSRAIQRGVRAIYVGHELSNMSFLIRQQRDT GDDVYHFAGNEIPRRQLRTGHDQLLMDALTLPEPALADELVHAYFAQVNPGYPIVEEE LFMSQYRNRDPADAPPILLLQTILLVGAHVTRPKSERDTLKDIFFRRAKWLFDNRIER NRDILVQAALLLTWHSDLADDDVSANAHYWIGIAARIATGLGMHRNPVCNYPCANLHD SSNLEDSDVSPLTFSDFEGCGARVQADFVIHFSELCTMISYIVRERFGLRISAERRKA ALLEADEALANWSLRLPDRLRLRASDMDPWSAMLHLTYNNFLILLHRPHPRASAYSDD YGPHDAEICSAAAGVIASIFEELRIHDRLKQVWYSGVHTLFTAMIQVRVELRFSNPVL AINALRRFDSASYSLRELAQYWSHASTILRLFEESRRLQEDLRTTTSDRPRRFSNLSN NSTNSPASQQKNTSGIPHLANINSSDATPPSAPSIPPLQPSSQLSYEVPTTESAHHNP RSQPTLSAHTHTYTTQPFDTWIPSNNLTPMDTVDNSREMLDWRQLFSFTDLEGPVLPS TMEGITELEDEWRQIYWQETPMSDLLQDGGWMHG ANIA_04034 MSSTSPSKEPEVEQEPQSGEEHEQMDKEQDNQTQGQGEFEVKEQ DRWLPIANVARIMKLALPENAKIAKEAKECMQECVSEFISFITSEASEKCQQEKRKTV NGEDILFAMTSLGFENYAEALKIYLSKYRETQSARGEHQNRPPSSGYAGGGPVGGAAS GPSVVRAGGAAAGGAFPEASDNAGSIMNQGLDPSEQDASAYGYPPMVGQGHNGAGGES Y ANIA_04033 MASQPQIAPKKQQELQAQYTNFKNTLQQLAQKIGDIEQEAEEHK LVIDTLEPLPQDRTCFRMVNGVLVERTVADVLPTLKTNSDGLKQVLEDMLKQYKSKQS ELDNWKKKNNIQVVQP ANIA_04032 MASNEPPAKRLKSSNLPPALRDAKRKDIDNWETNRMLTSGVAQR RDFDGDFLPEDEEGTRVHLLVHDLRPPFLDGRTIFTKQLEPVSAVRDPQSDMAVFSRK GSRVVRDRRQQRERQKQAQEATTVAGTALGNLMGVKEDEGDTAVAMPVEEVYKGGNKF AHHMKKQDEGGQSSFSKSKTLREQREFLPAFAVREDLLRVIRDNQVVVVVGETGSGKT TQLTQFLYEDGYAKFGMIGCTQPRRVAAMSVAKRVSEEMEVDLGDLVGYAIRFEDCTG PNTAIKYMTDGVLLRESLVQTDLDKYSCIIMDEAHERALNTDVLMGLLKKILARRRDL KLIVTSATMNAERFSRFFGGAPEFIIPGRTFPVDVHFSRTPCEDYVDSAVKQVLAIHV SQGPGDILVFMTGQEDIEATCELVDERLKLLNDPPKLSILPIYSQMPAEQQAKIFERA APGVRKVIVATNIAETSLTVDGIMFVVDSGYSKLKVYNPKMGMDTLQITPISQANANQ RSGRAGRTGPGKAYRLYTEVAYKNEMYLQTIPEIQRTSLSNTVLLLKSLGVKDLLDFD FMDPPPQETISTSLFELWSLGALDNLGDLTHLGRQMTPFPMDPPLAKLIITAAEQYGC SEEMLTIVSMLSVPNVFYRPKERQEESDAAREKFFVPESDHLTLLHVYTQWKSNGYSD HWCTKHFLHAKTLRRAKEVRDQLNDIMVMQKLPLISCGTDWDEIRKCICSGFYHQAAR VKGIGEFINLRTSVSMALHPTSALYGLGYVPEYVVYHELILTSKEYMSTVTAVDPHWL AELGGVFYSVKEKGYSHRERRVTEQEFNRRMEIESQIAADRERAAAEKRREKEKTELS RRKNEVEVGGGRPGVGSVVRRPAVAGRKIGGLTASSSTGRNGTSSGGNGSGGSVVKKP QIKRKPGRAF ANIA_04031 MPSKRPSATPSSSAPASASTSGTSTPLPTLSSGPKTLSANSSVS DIAVHVWHQYLTSTPQRTMLLDAFMAFLVLVGGVQLAYCVLAGNYPFNAFLSGFCAAV GQFVLTASLRMQTSSVEEGPGSSKGKGSGGKVVEYGEGVNGGVSHERAFADYVFGSLI LHFFCINFIN ANIA_10504 MSSSPQPQPAADSGKPQPTPLSLDNGNENAPPASHNPASRHDSL TIRSCVTCRRRKVRCNKRSPCSNCVRAGVECIFPPPGRAPRRLKRPPAENAELLSRLR QLENIVETAIANTNTQPFPLQRHSDRSSGELPEPSQPAQNESEPEVQRCPVSGLPTQQ PPLEHEFGRLVIEDNRSRYVSNRFWASLGDEVGFISAVFCMFIEELQDILDHSSSDGD DYTSPEHPSYSTMHDGMLFGFYSLAHSLENFHPPPSKVPALWDIYAENVKPLLPVVYG PAAQRVFAVAAGTPETLDKNNEALVLAMYFAAIVSMSAEQCMIRLGEARDTLVSRYRF AVEQALSKAGLMNTQSLTLMQAAVIFLNAVRRDDDTKFVWSMSALVLRLAQGLGLHRD GNNFDLKPFEAEMRRRLWWHVILLDLRSSEDHGTDVQIHDQMFDTRLPLNINDADLSP DAKEPPKPRVGFTDMTFFLIRCDICYALRRVAYTCPNTSGAISGPTPDNCPNVIQTVN MHIEEQYLKHCDMSDPIQWISATVARLVLTKMWLVIHHPITRSGLDSQVSQESRESLF ITSIEVTEFARLIKEDKNTQKWSWMFDAHMQWHAIAMVLSELCVRPLSPLTDRAWVAV TTVYDGWFQTAKQRKGMLWRPLAKLMKRAGALRKRQLAEIENQHISAQHTMPNLAEPE RPSIGSPFSSHFPEHSRLVAAAPPMDLNSSQLQSPLDFNMSQGPMGVLSSFFPDGNFF TTPEGLSSKTQTPVNPNSTTSLPNEPANRTLQDCPNPGTNYQEWDQVLRDFQSDMQEM QGSPLADITRWVT ANIA_10496 MRLSTYPILFAFCGLASVRGEGEITFEDVRDKLPKTYSGQGGEP GPKYFKESSFHYHYDGRFAESVLPEEETLPHLSALIQTYLSTMADLGAETWIMHGSLL AWWWNQKIFPWDNDLDVQINEPTIHFLADYYNMTEHHFDLPDVEGGRTYLLEINPNYV VRSKLDKANVIDGRWIDTSSGLFIDITAVRADDERRANGQPGALMCKDRHNFDESEIY PLRNSYFEDVPAKIPYAYTKLLQDEYGAKALTKTNYQGHEFNEQTNLWEKIKYVRGDE TFPRFRFELRLFIVYTAHQITRALSNLSLP ANIA_04029 MPHLPELSKQEPSANTLVDNYRAKGEDLENSHHNNESRLAEGVH YDRNKAPALQEREKASTEKVNVEGGGASSSMVDNIRRGNPSGVA ANIA_04028 MSSGPASNVDDLPVDQPLRTSVSSHKPSPKDVNAPKNDSNRDRE TPDQGVPVDNPTAGQDSASKLEFDDFGLPIRSRPKPPRRDTESPVDDDQFHDAEENVP DAGKQSASTGANIEKDPAVEGLLDNKVSPAEHREERQSSRPALSERATATTDEVVASI AKKCAPETTPPEQNVEPLPVYTETPAQNDNATSRPKHALGSEIPMSQWSHQRLNERKE KEDEEREENYEGEWKEMTALDEFDVYDDYGRLIARGSKQEDQDAVYQGLGGAGKGYTR VQLDDDEDSINSLDEDTSYLFKETAATAAGVEGEELRDTLSQLQATKDLLTEGQRIAY VGVTRLTIFEMVMDMERAPSTKGTRKWKQKAIDSARGWGQAMMTRLYSHMDISTAEQV MIEQLAEHGVRPEDLVRPLMENARVKNPLAEVDGSNKSLSPTSGKLKDEIRSTLSTDT NRSSESSSLPPYDREEDVPEVQTPSQLPTTEKIDIDIRWTALCDLFLVLISDSNYDSR SRTLLERVGASMDVSWLQIAKFEKRVIDALEMQEDADKETWDESEHMEKRRKSALKRK YMIMGLATVGGGLVIGLSAGLLAPVIGAGLAAGFTTIGVGGTSAFLGGAGGTALIASG ATLTGSTIGLRASHRRTGAVQTFEYRPLHNNKKFNLIVTVSGWMTGNVDDVRLPYSTV DPIMGDIYSVLWEPEMLKSMGATINILATEALTQGLQQVLGSTILTALMASLQLPLIL TKLSYLIDNPWNVSLARATAAGLILADSLMDRNLGKRPVTLLGYSLGARVIFSCLKEL ADKGAYGIVQNVYLFGSPVVANKDEYIKARGVVSGSFVNGYASNDWILGYLFRATSGG ILRVAGLAPVEGIRGIENVDVTKLVNGHMDYRAAIPRLLKHVGWEVLSEEFAEIEDPD PENHAERQRELIREIDEARREAETKPEKKRFGLFKRGKLAQKKAWEKYEVDQSESPQS PPSGNAAGSVLFDIDAIRAELASEMLEVKQLESTLPPMKLNLDSPSLNSPATPSSFET GKPQDFRQSPPQPPPAASPGHTPPQRAPSPPSPPKDETYQMTFDTSYHEPPQRSLSYE SPTYSNNNTFTRPVLRSSATTGVLGAGAATGAVGAFALEENAWADPDEGEISMTFE ANIA_04027 MPTLRPKAKPHAKEESEDESPLSSSEIEESDWEIPRKKRMTNSV SASAKNEQKLEPMKEEPEEDIYALPIGTSDEEEFSDDMLSDGLETPRTRRTEGNGRML TLEEKLRQKTDEEKGTERSPSSSHKRSANKMLGSSDAKEEIFDMWSQPSAKKRRSVTF GRRKTLDSSMTESHPPSSAPPPKSSVTSVGQDTESSDDEYKGKQRKEFKVPLDFDPDN LPSPSPPLDITDSENDSPLSPAPSYGSIDLSTENEDDSRSKFNPADYLCPMCKEAVDP GALLVFRAQPKQRIRDQRKFCESHKQSSAEQEWKNAGYPTIDWDEFDQRIESHFSEIE KLMVPDNSSYYRNYLKSMLKDGKAKNFALKFEGDALEALSCGYYGTRGSERMLQRITT RYARKLRRLAAEDHIVNQAGVVGYTQAVLVPELAIRLIKEDMGVDDASARNIMRESIN LGEKMNPAPNDVVPAKENEGTGLSN ANIA_11425 MAQGLLKKAPAKPSSSKRPTALGPKKGRNSQIAPKKAALIKQQK ITKKLSAGLTAKTERSLAQRAGHLELLAGGKKDKKATAAG ANIA_04026 MDCESAQSAAGIDPKILDSYQSDTVFTIPWENSGYERPLDWDTS QDSNHSDSEFADLSGSTGLLGQPGDYHSDDCSSKHATSPDKFGLADASVEGTLSSTVS PKTLPSADDNFQLDESWPPLQMFNNMMAGFPMEGSLLYSYAKEPASADAFIVEDGSAI PRGQGLSDISSDHFMPFQSISHAFPFTNESWAEPLASIESMPRQAPVAVGMGPQQVNR GSKHGDGYQNTIQFLETRWLDSLESQLPSNMTSPSSFSTFPQRPIIIKDEVTGQDMFQ YKSENSSVSDDIPGTYDFYSATGDDVPAFADRQLDEEWKLEKNEASLEDSNEPQTATA FAVSEDPETLFSSVPSSSRASPLTTQRPSARPQPLAVQSAAIKKRKKRTSIVALNQDS HKPLQIVQEDGQGGSIASADFVSPPRGARRKGPLTMAGRANAGMRRKNKDTCVQCRLN KRKCDGNAPCEACRPTLHEQPCARACFSSIVEYGTCNYISQRAINHPTLDKSGRVRLN IPSEFDLNDLLSFLGERQGKFNIRASQAWGSLYVLDLGETYKFLRGLSEYNGNSRSNF LEFIDRRIVESKDKSKNWLTCVKDCDPMRNIYTLLSQWNNMPSRASYSFIPLDAGSEE KPMDVRNPEHQREILLAAQLSRIVCRMLEVEGFRKLERDFYNIKWKQISQETHMNFLK ELGHILLTLRWRVSWWKRLGDGGREPDPTKQHYVERVDLLCRILYVYYTCVLAKLPSW CASEVPKGIWSTYADAENPVWDDFPVDPTDDGFKAWIERGAELIEQSGAPVRVAKI ANIA_04025 MVGMIMKSQDQHLAEAFRGPFPEGAETRRSQTTHLDLSGNLRWL VNDDGPPSRRLSPYVGPLVHALKSAGHLVSVAIPAASRSWIGKAHIIEAPLKATYVPP AAFRNDGTWDELHEVDSESESETEWPWVVITNGTPAACVQLGLFNLFTDRPPIDLVIS GPNHGRNASTIYNLSSGTVGGALEAATCGKRGVAVSFGSKDEQPMDIIEAAARLAVRI VHHLMENWDERVELYNLNIPMRLDVETRPVLYTRTLPYYWSRGCLYAEVGGHGAAEAG VGVKKAMTNGITNGHAHVNGEAEKEKVTDIETLSNGVQTNGTKPTSTLRSRDFKWSAE LTDMKKALQASEEGTDAHTVLNGSTSVTPLCANFWYVPGLEGPLHL ANIA_04024 MRFVVDDDAAYKVQNDYCSRGISQIFSQDALYSLEFGFERKVLL TSFLNNGQNRTMPPKGAKPTSDELLAQFDNLGIESSADPSTKPATTTTTAAPTQSDED ILAELGSLAAQRPASRTGTPRTSLAEQRTSTKSPKPATPAGPTSEDKPAPRKSGDSAQ NNQAADAQPTSRKEPPVEGKASSSGGGSWWGGIFATATATASAAMKQAEAAVKEIQQN EEALKWAEQVKGNVGALKDLGGELRSIAIPTFTSLIHTIAPPISSHERLQIHVTHDLS GYPSLDPLVYAVFSRVMSQVEGGDLLVIQRGQESAPKRGQDNSASTAGWRDGPWWRTV TPGNPRSISAVPGLVEATKLCRASAEGYAAEYYASRGGVEEAAKQATQVLSETNPVRS SDIFLAIQAVAQTTSTADLFQAGPATEKAVPEGVVDVPDAPEEEVAFALYLHDPIHGI AFHTVSQAVPQKWINWLDASSAPAHNPDPESDSHVPQAVVPEDIAEIIEGGGVDPREW AAEWIEEAISLAVGVVAQRYVARRMGVGESAAAKGKMRAEQASVVESGAGEAARAL ANIA_04023 MRLPYVADPPPTSTPEEAEILARVQTRRAPNGLLPLDRALLHSF PVADGWNSFLGAIRQRTSLSSVIRELVISRVAIVNGALFEWEQHSPLLSQAGLSDAAL QVVEDADADIAAKVLEGVLTPAEGAVLSYTDAMTKTVTVRDEIFEAVKERFNEREVVE ITATAAAYNCYARKAQSII ANIA_04022 MESHTSEMASQDSPLRRKFSEPLHTKSDSTKTTPSPAPDASICA KCGEHIESSADMSLDAAIKRHMDYKHLTAKDMAPYKNHENGIDYESQTYDGDEDDEQV ENGDLVVDQANGSPRSADYNDGNVEVAEEELAEATETYIGKKRATLDSDRAGVNGDGE VPEGESDVALSNQLHEFSRDEYSASIEKRLHNLWNIHDVREFTKDYEENTSEMNETWA TVFHEAKSAKKRNAPEFLQRPDPYKATKVGRGEFLEMAPLEDFLSQLRDPELRTSDEL YAITENVAYALKVWQDEFLAIDKLQKLATRHNLKVTSDPRKLERPQVFEDKKEAMLYG YKYDPKEDKVGHQNPFLQGGFKPTPAQYRKMLQKAGPNNPNPDGWRIISKFGVDHVPK FQNPPREDGIAKATRKRKAAELEAASRANESDEAAVAETATPAEPDQDSAKRRSRNRR QGDEPNAENGRSSTPRGRGGRPRGRGAARGGSRAASEAPLAPAPAAGNPAGSTPVSEG LTQARTGVSQLVPIEPAPNGGPATAPDAKPPAGGAQGVLDAAEEARRQKIANSKNPKR TEAMLNHWARFNREGRVRNPKRSKAQIEADRAADAARKASEGAKLGIKQKKKSASPAL PNPPRVDAGLAPAPPQPMQLAPPPQLAPGPLPHPHSHPPPHPHPHSHTHTHTSQLPSQ LPPLAAPRGLAPYPPPHLDPRPMPPFPLARGPGPLHQPPPQPYRTPYPDYFNSPYGPP PLPPPGHPRP ANIA_04021 MGKKRRSPTLEEVLARPWCYYCERDFDDLKILISHQKAKHFKCE RCGRRLNTAGGLSVHMSQVHKEQLTAVDNALSNRSSLDVEIFGMEGVPEDAIQSHNQR VIAQFQQSEAERQAITGNPPSGASSSSGQPAKKPKVENISDLKKRLAEHKAKKAEARA GGSSGEATPVGAGQTPNVPGFAQQPTTVAPTQTFSYPQPYGGAGSPYQATASPVYPNY SPGQPQFPTPTQYSTPAGYSPQPVPVFGNTPPQLQQQPPPAVNSPQTTFAPRSGSLPT APSLPQRPAVGAPQVNAYQLQQMHMGHPLPGTAVPGPANGEKPEATAISSSIDDLISG AAKQADQAAAATAKPAESAEEKPSKKDKSKQSRLVYSDNETSPEEKMARLPRYAFNPD HRTETTLQELPASVVVGQVPMSEATVMPTP ANIA_04020 MSQATPTTSALTLSLLTSLGGIIGYSRTGSVPSIIAGLSVGTLY LFSFLRLRSGQTYGEEIGLLASVVLGGASIPRAIRLRKLVPVVLSFLAIYGIVVFGGA VRRKV ANIA_04019 MHASTPKLPVRQLLILSICRFAEPIAVTSYLPYLPEMIESVGVP QSEVAKWAGLTSAISSFSQAAMAVYWGTASDRFGRKPIILLGLTATMVLSLAFGLSKS LPMLITCRGMIGFMNGNVGIIRTMVAEMVQDKELQPRAFSIMPMVWTIGSIFGPSFGG SLARPTEKYPEIFGHSWFFKEYPFVLPNMVAGFFFIIGISTGFLFLHETLHTKQGYRD SGLVLGQMLTGLCTGNCRKVTKRLEDDETTPLLGERLPASKHQIKAEVKKHSWREVLN PQSVLILLAYTLMSVHTMAFESVLPVFLHTPVQHLQDNPDVQLPFKFVGGFGMVPSDS QRIGFFYTITGCIGIVMQFYVFPFCAKRFGVLNCVKASAAVFPIIYLLTPYIALVPES LRSISICLLILSKLTASIFNFPGITILLTNSAGSLSILGTLNGVATSMSAVGRAAGPA MLGPIFSLGLRAGFIILPWWFLCAISALAATPILWIVERDEFQDQDMGQSESSDESET EAERGTVHPAKSQTRTESNHGT ANIA_04018 MKEKAIMSPSETTPLLVPVQVAPQRHRYPHDKLRRACSYSLSLI LAVALVLFLFPQALFPREGGSLWSYLPGAQPYPNTWPSGNGLDQEELQTLLLGTPSAA RAREWSKYYTSGPHLTGKNLSQALWTKERWEEFGIADTKIATYDVYLNYPLDHRLALY QGGNISYEASLEEDVLEEDSTSGLPDRVPTFHGYSASGNVTASFVFVNFGTYADFEDL VNANVSLSGKIAIAKYGRVFRGLKVKRAQELGMVGVVLYDDPQTDGEYTEENGYKPYP EGPARNPSAVQRGSTQFLSIGFAPGDPTTPGYPSKPGCERQDPHHFIPSIPSIPVSNR DVLPLLKALNGHGPKASDFNEAWQGGGLAYKGVEYNIGPSPDDLVINLYNEQEYVTTP LWNVIGVIPGSLPDTIILGNHRDAWIAGGAGDPNSGSAVLNEVVRSFGEARRAGWKPL RTIVFASWDGEEYGLLGSTEWVEDHLPWLSKSNVAYLNVDVAASGTRLAPNASPLLNK LIYEITGLVQSPNQTVPGQTVRDVWDGYIGTMGSGSDFTAFQDFAGIPSYDLGFSPSS QDPVYHYHSNYDSFDWMQRFGDPDWLYHEACAKIWALAAAKLAETPVLFFNATDYSLG LEEYVDRIRPAADNLPNGLTFDFGPLYEAISRLQKTAIEFDAYAADLTSQLTEELPWY LWWKKVRLFFLIHEVNTKYKNIERQFLYQQGLDGRSWFKHVVFAPGLWTGYAGATYPG IVESLEAGDVANAAKWQYIVIERVKAATELLQ ANIA_04017 MSNQGYDVVVDVDAEGDDLGHTDLQEDLEFHPSNFENDQRNAKS HPDNAAFLGSGGGSSSRRNRSPGGTPTKHAWWSIHYYEQYFDVDTNEVLRRCVASVYP RSNFLDVLEGNADLYGPFWIATTVIVILFLTGTISQWLSNNDKEHFKYDFTLLSGAAG LVYGYTFALPIALWGALKWFGSSTADLVECWALYGYSNLVWIAVALVSWSPLTALNWA LVGVGFAWTVFFLLRNLYPVLSATDAKASRALLILVIVLHAGFAIAIKILFFAHGSPV SKKGDSEKGRDDDKDDHDDHDKRMF ANIA_10501 MEYITREPCGQEGCRETRFFLDNGLWFCRRGHQQAGRQVEEDPD DFGTQGNISRLKKPVAEKLQKKYRGRQAYRLFLHIYQLILWKQCHALVNNHGFPPQLE DLVRDLWALRLETYAKKITDDGEGDDSQPEFFSSQPASGREDTETETFRVGSKLVQWP RLIDTVALCYLAALLMRLPVSVMDFHRYVLDAYVGAGLITFYRMVMRNDIPYYRVFLH IPRDMKDKLPQEYIALLETTRLLKPEDLHTAIFDLSFRYHGQFGVQFPPLNTPVLTYR LIKRLALPVDIYPVTKRLQTLIGFPFEYPTKKVGRKWKSLDKPELQLITLIVIATKLL FPFDEVQRHPTSAQEPTVQTINWGAWAEVQKLLDRRNTSSGKIGKGKEILVKEDDVLT MTPDQLDEYMDWYESSWLDNSNATNPLANLFPIGSGSKETRQNAPAAEDSEEEAVEAM LQNASRYLRTNPVVSGTDLDIPRPGSLYVRYRHESDLPDAARAFYETAAKITGISLST LVRCVSQAELEITKWLENQRRIKHFAERSMQVVENSDAGEMEEFSEQEMP ANIA_04015 MADEAHEVTFESADAGASATYPMQCSALRKNGFVVIKNRPCKIV DMSTSKTGKHGHAKVHLVAIDIFTGKKYEDLSPSTHNMDVPNVSRKEYQLLDVTDDGF LSLMDDSGDTKDDVKVPEGEVGERINKMFKEEEKDCNVVILTSMGEQMCMEVKEAPK ANIA_04014 MWLDRIAGHSTPDRGLSPIPQRSSSHLSPNRQNSRPGLSRPGSS LSALVSPSASTTSLPTVARVPEETTLKQNATGTRPPNVADPLEVLNGIIKKQNVESNT ESSIRKPGLAKPDQLVEDIDFCGLSLEGFLQKPESDRSVRSDVSAQTLQQFEEERDKF QDLHNAISGCDDVSKSVELYLSDFRNELGAVSAEIESLQSRSVQLNAMLENRRNVEQL LGPAVEEISISPAAVRMIAEGPIDENWVKSLNEIETRTASIEAKIASSSSTKAIDDVR PLLEDVKKKAVERIRDYLVSQVRALRSPNINAQIIQQQRLVKYKDLYSYLSRAHSTLA SEITQAYVNTMRWYYLSHFTRYHQALAKIKLYPSDRNEVLGGDPHTQKSGNLGPGGRV NAAAHDPFSLGRRIDILRTGNQMAISSYLAEEDTSFHGIEIPFRNFNLALLDNVSAEY SFMTEMFSTLSFQQISRKALEIFTPVFTLGQGLTKQLIENTTDSLGVLMCVRLNQQAA FELQRRRVPVADSYINGINMHLWPRFQVIMDAHCESLKRIGANTSRSAVSALSLAGGD DLNQSSAPHFLTQRFGQLLHGILVLSSEAGDDEPVANSLSRLTSEFDGLLAKLSRIGG DAKRRERFLYNNYSLVLTIISDTKGKLATEQKQHFEEMLKSVGRRS ANIA_04013 MVIRKCNICDRRFKKTEHFKRHERSHTKEKPYECSVCHKRFSRS DVLSRHAKGHNTNGTAATATPAQNKPRAPSTAQPPTPQQPPAQTDPARFLSMDGDAHP SHNLPVAPRDISLSNPAHLQSSSLNFLADISAHHGRAEPDVGSMMVEEQQTYFGWNEM PAPPPPQPVAAPPSDQQAYRTPMFDAMPNDMLQFWLEPRGDTASHHGSLDMLGEPSFS LMGDNVAITPEQQARPSNDDLSSKHTGDIPNERFARVQRYWVAPSNAAGRLVNNLWRD IAASDVDNIFSLQPSHSFHSPSGLLPGSRYGLDEECRQQLQAVFGNLRHYNQLHSPNS AVSPTSSSTFGGRPSFPPAEILDMALDLYFRNFHPLIPFVHVSTFSVKNTRLPVLYVM CLIGMIMLGTKGTTTFVAKNFSFVLERITADLAKCAVGVENTLDTMNTFAAAFLFLNL AAMTGQQEKEHLEKSQMLYVNLMSSKIAQRHGLFAATEGQILDITLFEAVPDIDIRWK TWSKVESVKRLITGLLLLDSWYSSFLSTSPIIVPDSIQLILPCNEGLFRANGSMRWIQ LVRSGKRLLMPTVMAPSENVTVPVLESPVDDFCIHGVLAMVQLRLSEAYHRLLSNRAS YPFAPCHTYAMDGRARCLPSLQLQIADKYGEVLERLNPNAAVMWHNICMTLTADTQIF DLAAGRAGPGPAKKALDDIATWSQTPAARRACLHAAHIYKAMTNRKASDHTMFHSVFS LFSAALVLGLYIFMVPNPSELQVGGTSIELLDDIDWERVGTEGFTSFMEPRGTQTFTP SDDPAVNFIRNGGTVYFRGVPFQGGYQSARRILLDYAGLLKDAGKWSVRKFSYVLHIM SDVLMEVE ANIA_04012 MSTSSEPSPIPRHPISDLRKVFHYTDTMTRSPTRPNDPYSYAPG FGNRHQSEVIPGTLPAGQNNPQEPRFGLYTEGITYSAFTAPRRENYSTYMYRVRPAAA HDGYETDIEHKSHIENSLLTLNQRLCTLPSQGEWAPFPLPDVTKEGKIDFVDGLHTLG GSGDPNLREGVALYVFMINADMDHRAFCNTDGDFLIVPQLGSLDIQTELGMLFVQPGE ICVVPRGVRFTVRLGKEDPNYGHSGCSGADAGDAGKGRGYIVEVWGSRWDLPDLGPIG GHGLANPRDFLHPVAHIDDLDALHENWTIVNKANGTLNAIIQDHSPFDVVAWHGNVVP YKNATSIDHTDPSINIVLSARSHDPNTPLADFLWFGPRWDVASNTFRLPYFHRNSATE FLASIYGNGLGRSDEFQPGGGSVEVSHTPHGNFSKEYVWENRVQVNEPRRILENQMTI MVESSRNFLFTEYAVSGCGVFKSQGTDPRVWDILPDRFSAYPGIKGILEAVKKYNKER KESLDVYYDDERLAKCRRAGRCHLV ANIA_04011 MALLKQLSRISRKPFRIAPIVIGKRSVGSVSAIDSSIFRTLFGT EEIRKVFDDESYIARCVEAEAALARAQSKCNVIPSHIGSLVTDKALSSSLDMDRLRKE TEIVGYPILPLVRQLSAMCGEDAGKYVHWGATTQDIMDLASVLQMKQGLGIVEKLLDD VIAVLRGLSVKYRDAPMAGRTHLQHALPVTFGYKCAVWLSGFQRHAQRLKQLRERTLF VQFGGAAGSLASLGSGDDGLRVRKALADELGLTNPPITWHVARDGVAEITNFLALLGG SLGKLALDVIIMSSNELGEVSEPFVPHRGASSTMPQKRNPISSEVILAASKRLRSNAS LVLDGMVADFERASGPWHLEWVAIPESFVLAVGALEQTKFALGGLVVHEQAMLKNLHS TKGLIVAEAVMMGLAPFVGRQRAHDIVYEACQGTIESGGSLEEELLKNQEVLEKMGKD RISELCDPVNYLGSCGRMVDDVLAVD ANIA_04010 MTSTPQAKPSTYLPPDYLERVYAGVLGKLVGVYMGRPFEGWTHQ RILAELGHIRYYVHDKFNEPLVVVDDDISGTFQFIRALEEHGISDGITSEDIGRTWLN TVIEDRTIFWWGGRGVSTEHTAFLNLKNGIHAPSSGSCQTNGKTVSEQIGAQIFIDGW GIVSPGNPLQAAKLAHAAGSVSHDGESVYAAQLWAAMEAEAFVSKDIDHLLDTGLSVI PGDSLVAHVVGRVHEWVKDDRDWLKTRQRIEDTYGYDKFHGVCHVIPNHAIMIMTVIY AGHDFDEAMHIINTCGWDTDCNSGNVGCLVAIMLGLTCFEGKYDWRGPLADRVLLSTA EVGYSINNAARIAIDIVNMGRQLAGHSRLPPPKGGAQFHFGLPGSVQGFQVVNQHTPD DRGSVTVRQDVDVEGNAGLAIHLDSMKSLIEVSTPVFGSLEELTKSLYSFSASPLLYP GQTISAKFLSNIESGSSANVGLRVQAYGEDDSIKILDGPSISALSGSLQSLSWTIPDE TDGRPLQRVGLCIQSTDPGSVNGTIWLDSLRWDGSPRLVLRRPSTQPGQAWHRSWINN VTKMHPDISSHSLVLSQSHGEGIAMYGARGWTNYRILVPKLKVSMGTPVGMAVRVQGL NRYYALLLVEERRVALVKAKDEQRITLASATFDWSVDGEYDFALEADGTSIRGRVQGV EITASDDQYSEGGIGLVVTEGAICVDSIEISPLGD ANIA_04009 MFRSALKKTPNDIVLLSAVRSPITRAFKGGFRDSHPEDLLIPIL QASLQRANISPDSVNDVLIGNVLAELGFAKTGRTALNAAGFNPTKTTFHTVNRQCSSS LQAITHIAHAIAVGQIEVGIAGGVESMSRNYNPARGIPRDVSPLLKECGVKEATDCLL PMLVTSENVAKRYGVGRTEQDQFAAESQRKAVVAQEEGRFSEIVPVRARRLSSETENE TFEFVDRDDGVRQGVTVEKLAALKPVLEGGASTAGNSSQISDGASITILASRAWAESH GLRPIARFAGTQVAGCAPDEMGIGPIDAIKRLYKHSGVSQSDVDIFELNEAFASQSIH CIRELGIDMAKVNPNGGAIALGHPIGATGARQTATLLAELARSDKEVGVVSMCASTGM GVASLFIRE ANIA_04008 MSDHAVDKAKTALAHLASLDASDASSHGEIVRQCQSVVDALQSP LLVAGNLISSITMYPSLVTLENLGVFQKLSQGPLTAAGLAQQTGADHNLIGLTKLLTV RLMRVAVAWGYINETGPQSYAPTAASNVLAHPSFAAGLRYCHLLSQSTHNIPRFLKET NYRNPNSYEDGLFQYSFQTKLGHFQWNAAHPEHERDFDMFMTIPRTAGAPWATQFKIG KLLFEDGVDIDTTAPLFVDVGGGYGQDLHHVKKELESLPYTVTKGQLVVEDRPSAVDE VPADLHDEEFTYVKYDFFTPQPIQGARVYSFKTVLHNWADEKALVILKHTAASFTPGY SKLWILDRVVPETGADKITAWQDMIMMATLGALERTKDQWTQLLAKAGLKITSIHTMP DHFGLIEAVLE ANIA_10495 MARPVPAVVRPFAVWRRQFSASCSRPAVDKRCNSAAEAIKDMKG PATVLVGGFGFSGVPNTLINAVRDRPDIKNLTVVSNNAGMPGAGLGQLLETGQISKMI ASFIGENKVFEKMYLSGELSLELTPQGTIAEKCAAGAAGVPAFYTPAAYGTIVQTGEL PVRYNKDGTVAEYSKPKETREFNGKSYILEEAIFGDYALIKADKADKLGNCQFRKAQN NFNEAMAKNAKYTIVEADEIVEVGELRPEEIHVQAIYVNKVIRSTEKKQIEKLTYAKD PSEMLQAGSGDATARRERIVKRAAKEFEDGMYVNLGIGMPLIAPSYLPEGVEVFLQAE NGILGLGGYPKPGEEDPDLINPGKETVTLAKGASLFGSHESFGMIRAGRIDLTMLGAL QVSQYGDLANFMLPGKVKGVGGAMDLVANPEKTKVIVTMEHTDKKGNPKILSQCSFPL TGPRCVSKIITDLAVFEVSTTEGLTLVEHAEGVTVDEIRSKTEAPFKVAADLKPML ANIA_10503 MLLSRAPLRLLKPIGVSIRSLATHAKSKNKKLPLAGLKVLDLSR VLAGPYCTQILGDLGADIIKIEHPVRGDDTRAWGPPYAPYIDGREGPGESAYYLSVNR NKRSLALSFAHPQGQNILHRLVREADILVENYIPNSLAKYKLDYPTLSTINPSLIYTS ITGYGQTGPYSNRPGFDVMVEAEFGLAHLTGSKNGPPVKVGVAVTDLTTGLYAVQSIL AALWQRAQSKENGEAGKGEGQHLDVCLSDCQVATLANMGQGPLISGQKDSGRWGTAHP SVVPYQSFATADGDIFVGGANDKLFGILCARLNKPEWACDARFVTNSDRVANRSVLEE MIEHETRKLSTKEWQERFQGSGLPFAVVNDVLGTMGHEHVQARGMVQTIAHPACGLIK VISPPVKYSNAEPSIRRAPPLLGEHTDEVLMEIGLSELEIAGLRKEKIVA ANIA_04006 MHLTWATGIAGLAIWLGRIPPGQTLATESRLLATYDYVIVGAGV SGLTVANRLSENKKLSVLIIEAGGFDEDEDFILVPGLAGNAIGTKYDWNLTYAVNPDL GNRTVSIPQGKAVGGSSLLNRMVFDRGSKADYDRWEALGNPGWGWRDLFPFFKKSENF TPPSEDVIDEWNATYDPAVHGTTGNVQSSYAPWIWPSTKQFISAITSLGVHVPRDGAS GDALGGFFVPHSQDAISVTRSDARRAYWDAVSKRPNVHLLTGQRVTRMISKRTSRRVQ ITGVEFAAHRSGARTIVNARKEVLLAAGAIHTPQLLQLSGIGDRATLNRHNISTVADV PGVGRNLQDHLHVPVVFSFDFPLTATNLTTNSTFAAESWALYRTHKTGPYADATGDFL AFFPTVNFTSQADVLQGIAADQNPQAYLDKDTPPSIVDGYAVQHKLLTSGLAATDEAQ LEIIWADGTFVLGLQHPFSRGSVRLASSDPFAQPLADPAYLRNPVDVRILIEAIKYAR SLTTTLSLAAFNPVELVPGGSITSDEDLEAYVRGAVDSLFHPSGTCAVGKFELGGVVD VDFKVHGVKGLRVVDASVLPMLPATHIQSSVYAVAEKAAKAILS ANIA_11424 MNIRKTPPNYQGDYQISLEQCIPFGNIRPFTNASRMVKERIIER EIGPRIPFGSMCLLRDRPENIDTLL ANIA_04005 MRLIEDLAYGKTAPTDLIHLKIADPITQSLKHGGEVDDMAGVIG TVANKGIHNNNGLGHGKTSSELKIYEMVQLPCTLDNPSYFADPYVAKTGRDASGYVLA GLAQAIAFSNGLDPVMVVLVQPKANTKSKSKDMDRNKWTQKVVFQDDGHILRTASTAV LVAIDPDENNGKKQANLFVTGPLGQGVVTPVNARGDIYACGYTPIRPLLDNLEEIKPY LCNQF ANIA_04004 MATAILLLFFATVLSSCGSWDKRTVICVHKYASVLPGKFSRTPP INLGATGSFASAVVPADTSFASIANATFIVYDLARAQAIRGSAPTFQMMLARPWSTMK LRHMCRNWAVSSSEFFTTGIYTLDPKTNKTTPLLSTYFGYYFNGADDLAIESNGDIWF TDDDYGWLDKTSTNAPSLNPAIYRFRPSTGMVQVASTALRQPNGTRFSPSGKILYVTD TGAASGSLSVPFDEISYTLDYRARRPAPLSINAQSTRLWSGRADGLQIARNGYLVAAS GYPIDIMDGTGILLVQIQAPFRVNSIAFAGKNMNELWLMGVPPSIGLG ANIA_11423 MPSPQRQPQHFTPTQTCSFCDICPIQGEWLAYHVWYRFALQGIR LDVLRPNSGQQKVPPGGNPRSKLVQGTYTGDVEDMNNLSWLTRAGLTATME ANIA_04003 MHKIASIPGDGIGPEVVSATIQVVNKLASKLGFQIEFTHLPWGT KYYKEHGQFMSDDALDILRKFDAGLFGAVGDPDVPDHISLWGLLLKIRSPLQLYANVR PVRTFPGTKSPLTTAKNGIDWVLVRENSEGEYCGQGGRSHIGHPWEAATEVAVFTRVA IERIMRFAFETARSRPRKKLTVVTKSNAMRHGMVLWDEVAAEVAKDFPDVDWDKMLVD AITIRMVAEPDSLDTIVGTNLHMDILSDLAAGLAGSIGVAPSSNLDPTRKNPSLFEPV HGSAFDITGKGVANPVATFWSSAEMLSWLGEKDAADKLMGCVERVCEAGILTADLGGN AKTQDVVDAVCAEIDRL ANIA_04002 MPARSQSRITTACNSCRQRKQKCSGNRGPAKGYIEALERRLQET EGLLLGILQQVSDSQLAESIPVPHHDPPGQLRSAKRGSEYWKLFPLRSVQEIRAWQED CQRSVPERASTSTSTPQLAQGDLSTPTVGDEPGIERASLQIQQESPGPQPSLTTSSRP LSEEKTSLTTMALKKIIVVGAGPSGLLLGLLLSKQGVHVELLDAEAKVSDQPRAAHYA SPAAYELDRAGVLEDVKSRGFALKNMAWRKPDATFVAGTTTEHLPADYPHRMVVLPLD QLGELLVEHTQRQPTADLKWSHRVVKVGQEADHAWVDVETPSGRQRMTADYIIGCDGA SSTVRRELFGPEYPGETLNAQIIATNVYYDFSKDFPTDTSFIIHPDNLFMVARITNDG LYRVTYKDIPNLSREEYISRQPKRYEEILPSHPKPHEYKITNISPYKLQQRCAPSFRV GRVLLAADAAHLCNPFGGLGLTGGIADIGSLYDALIGIHKGLADEEILTKYADIRKQI WKEIIDPMSRENFARLHQDAETARENDPFFRLCVQAETDADLSRELAMGYDKLRVDMT QFYNLDA ANIA_04001 MATPEIGSLTAHSPQESQFVGSSSGVFFINTVRRAFGSTSREFP QPEDTLVGSEDSLQDLRSDTQASAEETPVSQWKYDPSIACVLGQAPPQQLAKDLMMVY FKVWHPLFPFLHGPTFLRAMEGLYGEGAEQTAAEGEAERREPQQHQQQQQNKRHTSTA WTAIFQCVFHLAMLITPDLPLPPSCRIQPPISSSIHTLLTPLTARHDLLSLQALLAAQ LYLVATMSLRTASTVGGCMLRSMLHAGLHRCPFRYRELSASATSQHRHLRKRIFWSAY ALDRYLSQALGLPLGVQDSDIDVCPPGAPEVHRPLQHLPVAGANMIGLSEEEAAAEAE RTRRETPFASYVQSGKLTGEALELFHKSIYVRSVSQTSVLLLTTSVHKWWNGLDLPPP PPPAPPSSLETRETEEAPFNYTPFFTILYHHLLLLLHRPALSLPNGTPEFLSALQSCI SAARHILSALNTQKDAGQAFFWPGFLSAAWMAGLVLAFACQLGQYVLPKGCAEIENCL EILGIMAGQWEPARHCQRALRVLLKAVSGGSSVTASNGTAGIENSNEMATIGHGAQQH LHTISVGEDASSVATAQPVSATNGRKKRKLELSSAETQLQDSTVDQETITESGVTGGS ELFTPGEIGGQDVGWGHALGLDFNMVDLLQEGNFDSLMDLFGQQYPTF ANIA_04000 MSAETSTTPAPAENTNGTPDNAPAPEVTAVEAPATTSQPHSASL YVGELDPSVTEAMLYELFSSIGQVASIRVCRDAVTRRSLGYAYVNYNDTAHGERALDE LNYTLIKGKPCRIMWSQRDPALRKTGQGNVFIKNLDSAIDNKALHDTFAAFGNILSCK VAQDEFGVSKGYGFVHYETAEAANNAIKHVNGMLLNDKKVFVGHHISKKDRQSKFEEM KANFTNIYIKNIDPEVEDEEFRKLFEKFGEITSATLSRDSEGKSRGFGFVNFSTHESA QAAVEEMNDKEVRSQKLYVGRAQKKHEREEELRKQYEAARMEKASKYQGVNLYVKNLT DDVDDDKLRELFGPYGTITSAKVMRDTAPVETATPESETKESANKENEKAAEGEKEPA AEEKEKEEKKEAEQKPEKKPLGKSKGFGFVCFSSPDEASKAVTEMNQRMVNGKPLYVA LAQRKDVRRSQLEASIQARNNIRQQQAAAAAGMGQAYMAPAVFYGPGQQGFIPGAQRG GMFPPQPGMMMGMPGRPGQYPGPFPGQQGGRGVGPNQQIPPNFQGLPMGAMQGPGIPN GMGYPMVQGQFGGGRGRGQVPGMGGPMRGGYGGGRGGVPLGGQMRPGQGGRGQAVGQP GPETPVGVLTAQALSAAPPQQQKQMLGEALYPKIQATQPELAGKITGMLLEMDNTELL GLLEDDEALRAKVDEALSVYDEYMKNKSDEPAAEKPKEAAQEAPAEENKA ANIA_03999 MFTTFTGNSRRPRQVNLSGRTNNPFAAYPSGRQNPHGPGPQSTL AIAQQERQLRQLERDRLGASRVVQRTWRGYWSRKIMRGKWRAEWDTTETARTDSLPSF DDMAKQPSSAVQPAAPFESAAACLSQLRLLVQFIEPWNGGDIVRLVYFSDAFQKTLHE VPSLATEGEWTMLLKRLAEITLRVLQSASSPNVPAYAVGRLLQLLKFLTDLIPKQMAR LAREYYSTMAVITNNHDSISSRSPLSKSDIVQSVLTLLLPITSETLTAYEWFAKSYLT IPNLLEKLGSLDFLANNINYKLLTSALAPLHLAQSQDSDAVESRLWLLAYFIFFHRYA LGSQTTNHTPELGFVKVVSGLLNSTVLQISRRLEAEDSADVDEDNRDAYLHPFIRDQL FSLVNQTSITGLLSQLQPTHISQTELASTGSGASTEAKDLAHYALTLLRVFPRRGDDI RMWLYLGSATLGDRAAGPESRIPAIKYFWQASRSSKVFDAIKQDSTKVLPLLKPVDSS SGPTDLSQEDRDQEWTIILLFLELYTFVLKVMDDDEFFSGGSSFTASSNIRLSWTRES ALPLNDVKEMTVFLKNLAFTLYWNSADLTENELVQVAGGIRNYFSSTTSQLDTLASVR DFENKNKEKGLPGVTGIPLDYFKGLVTGLLRMVHERDSRRKFLPDGHWLMTSRFDMEG FIPAVVAEEESRHQLQDDDEEDTDDLMTDAYDEEPSLGLVGTGRAQQARRIEALRRRQ QQAARRKQLEAVAPRLEILKNMPFFIPFATRVQIFREFIYRDQMRRRHGFIDPDSWRM SVAQASMGRLTDMRSTMQDILSRHHANIRRESLFDDAFDQFYELGEGLKEPIQITFID KFNAPEAGIDGGGVTKEFLTSVTNEAFKSTVGLRLFEENDQHLLYPSPVAVEQRKENL RQLGLKENTPEWNDQVRDLLRRYEFLGRVIGKCLYEGILVDVSFAPFFLLKWALTGGT GSAQRETAYRANLNDLKDLDSGLYQGLLQLKNYPGDVEDFSLNFTVTDTIPLPDGGSR TITRDLKSNGSDTAVTNQNRLVYISYIARYRLQVQPALQTNAFLQGLGQIIQPSWLSM FNQSELQTLVSGESGDIDVQDLRRNTLYGGVYTIGDDKEEHPTIKLFWEVLEQMTNEE RRKVLRFVTSTPRAPLLGFSHLNPRFSIRDSSEDDQRLPSTSTCVNLLKLPRYKSAST LREKLLYAVNSGAGFDLS ANIA_03998 MNDYSEKDRGPISQGNHQGQGQGQGQGYGYGYDYCPHQYSYPQH GPAYNYAPGTQAQPAPGPAYNYNRPYNIPLPSYESHNQTGYGNRPSPPHSYGHQFQSY SGSGPHYQNTYSPSLHGGSGPMPGLYANTSAAASPMNQPNPVSRPGPQTLLFRKSPSA EKVIFIHPAGAPLTSPPLYCLSSSPDAEYVLARGSDPNNPTALVGKVKSHTFSSKFDM TVRGRTCALKGSTLGSTYKVDIPGTGSYKWHTDDFSSKMWLKDESKSVLATYDKTKEK RTWKKLLGGRDRQLTIHGSFDEFFIEVILLSFYAVKLAEEAADEMTEEILDAASGAAG A ANIA_03997 MTDSSNVSNLPTTDMAESAQTEGEKREENSVPKQEESRPNFPEG GLRAWSVALGNAGVMFCTLGYINSWGVYQAYYEANQLRSETPSAISWIGSLQTFSILS ASLVGGPMFDRYGAKVIYPPAVAFVFTIFMTSLCKEYYQFMLAQGVLGGVTQGLTMTP AMAATPQYFFKKRGVAMGLGVAGASVGGVIHPIVLNQLLTRTDLGFGWSVRIEAFLIS VVLLISCPAIRARLPPRKSKFLLPKAFKEAGYTSLIIGSVSTFMGMFTPLFYLPSYGI SKGMSPTLAFYLSAILNAASFPGRIVPAILSDRFGKLNAFAAAGVATGILTLCWQRVE GNAGVIVFTALFGFVSGAIISGGTVALAMCAKDPKDIGTYMGMGMAWTSLGSLIGPPV SGRLVDTDMGYTAVAIFAGTASLVGGLFVLLIVKPLSGFKILSLG ANIA_03996 MSTKTQVITENAHLASARGAVSKEACMALYDHWASSYNKDLADA SQNYVAPILTAQTAVQMINSAMAPVTVLDAGCGTGLVGQALASLKHGAITIDGADLSL PMLKIAEETGVYRTLTQVDLTQPIGAPDGTYDVVTCCGTFTQGHVGPDPALREFVRLV KPGGFVVATVLHEIWLEWGFKTEAERLEKEGLVRVVSTEVMDYRRGAGDKATFLVLKK TQA ANIA_03995 MHTKTHLAAFTCAEGQPLQLQARPTPSPGPGELLVAVKSVALNP ADVIMRNQGLFIQSYPTVTGFDTAGLVLAVGDDVPPPFRQGIRIAAYASSVWKGCSPD YGAFQERCLVPWQHATVLPNDISWLHAATLPVAVQVPLSAWDTMNITQARGTTAVTAT KREALLIWGASSSVGTMGVQTARLLRDDSHTSIAAVYATAGAANIEYVSLLGADRVFD YKDSQVANAIISAARQDGLAIWHCFLATGDLRICQAVLRAFLGGEKTTMIASAPPIPS DAEVVKGIETLFLVPPMEEAQRLEQFRNWMAWLGESLARATIRPSPEPNVVGKGLGAI NAGLDRLQQGVICWAYCIVIIYF ANIA_03994 MAAGIVSSGNLSAADLEPIGAFTLVVHPPVSSAIWTADESISYH YQLNAKCQDFITRYLGKSLLNAVPDELNNMRSVNRKLLDLAFTYPFLMHASLAVAFTY DRYLNRPFGCRRSLDECYHWSRATTLLNRRLAQPISATSRDKDAIWGTATALAILSFA SPDARTPEESWPLRRSADRSDLDWLCMSSGKMALWDSVNPLRPDSLFHVMEATFAQIN APLPERGIDGIPDPLAGVCSLNSATTAQDNPYFEAAHAVSAILDIPDSIVTTGKTQLF TRCIQGPFEDLLRYHNPVALLLLYLWYGKASCVWWIELRARVERPAICEYLRRFHRGD AAVQAFLP ANIA_03993 MKLGIAGVTGKFARRLLTHLLDSSTSNGQESLTVKRYCRDPAKL PSSLSSSPRLELLQGSGPRGARLLGDDKLMVEGQKALIDVCDAATPPVPRYVSSDWAL GYTKLKLRELFPKDPMIHVKEYLESKRNVTSVHILVGGFVEPIFSSFFGIVDADSDVI RHWGDGSEIMEGTTYDDAARFTARTVLDCQASGVLRCR ANIA_03992 MPPLAGFSDNPLRSRTDLIRAAIALVQPLHTHFSPRNAFIRLPV ATGTHFDERAAQLEGYARPLWVVSTLLHAVRAEPNHPDAEAIRTVCRPWIQGIQTGTD PEHPEYWGEIGDGDQRMVEAEVIAVAVLFAPEDFYHSQPARVRENIMAWLRGINGKEM PVNNWRWFRVFANLALIIVRGVPYAELKDAIDSDFAVLDSFYLGDGWSGDGPWLTSEQ ETELEQEYRRTRRRDKIGPGRQVDYYSGSFAIQFSQLLYAKFAAGIDPARAEGYRQQA REFGRDFWRYFDRDGAAIPFGRSLTYRFACAGFFAALAIAQVPDMPAPLDSPGAVKGF LLRHLRWWAAHSDNIFYPEGTMNIGYLYPNMYMAEDYNSPQSVYWSLKSLIPLALADG HSFWTSPESAYPVSDDSVKLIPQPTQILCDHVHGAHHFLLNAGQFVAWPMKASQAKYC KFAYSSSFGFSVPTGSLIQQIVPDNALFLSRDGIETWAGKWKTSEARSGTAIVDEETV PVAHVEWRPWGDGQVVVTTCLIPPTARWPNWHTRVHRIQVKGKTSLESLHLVEGGFAI GRVPAEKKKVLPVFTDGDIESASIGSEGVYVTQSSALVISQAGASGIVSEAVRQRSGE SSWSSSGPVASVDHEAMKPDSNTNLLSQRTLVPVAKLELLDVEPGEEIELVTRVFAIA AESFRAQQADSAADRKGGGSVQRRMRESWLDVPKVQLREVSGERSRDAIALDV ANIA_03991 MPQTAEGGQVLIQELFAENVLAKIPPTVYPEFVPQNGADAGRYF LREASFWTCGFFPGLLYTLRERAVKYPQAFPSLGGNDNEAGSAATTEALLDRLTSLCT AWTQPIKAMRARTDTHDIGFILQPSLRKEWELTSNRESLDALITGAHSLATRFVPSVG AIRSWDALRQADIEITSLEDDCLVIVDSMMNLDLLYYASHHSGESKLAHIATTHAKTV MRSLLRHESRPGNYGGYPLHLYSTYHVVNFDPKTGDVKAHRTAQGYAKESTWARGQAW GITGFAQTYKWTTEREFLEVACGLAEYFIHRLETSPACVERPVTQLEPSGAANGGGRK IGRYVPLWDFDAPIENEENPLRDSSAGVVAANGMLLLSQSLAGIADLAPDGEAARELE LANRYRAFAMKIMIDALEYSLSEEKATLRLVGSGSGSDGVRVQVQDMIPGKRFDAILK NATANHNSQDHDRYSDHGLVYADYYLLEFGNHLLRMGLV ANIA_03990 MLSHSKHETFEGLVLRDVIPDGRKPWYRDWTLLKLNTLLLCALL TQIASGYDSSMLNGMQSLPQWVSYFGQPTGTRLGAMTFGPTGGTLISVLISSQLCERF GRRYPICGGSIVIIIGGILQAAAVNYGMFVLSRFVVGFGLGIVATAAPPLLTEVAYPT HRGKLVSFYLVTWPLGSLIAAWVTYGTFKMEGSDWSWRIPSALQCFFSLVQAVLALLA PESPRWLIYQGRREEALAILTEYHGHGDADSRLVRFEMAEITATLEMEKVQRLSRWTE WLSTRGNRHRLFLACYIPAMLQWSGNALTSYYLAKVLVTIDITDPKTQLIINACLSVW GFLTAAVFATLVDRAGRRRLFLSGMGSMGIAYIIWTICSALNEKHNFEDKGYAGGVLA MIFVFSAAYHMCSPVAPTYIMEVVPFSLRSKAAMMYQLTGNLAGLYNSFANPVAMDAI SWRYYIVWCVVIGVNFTLIFLFFPETKGKGLEEVAEIFDGPDALAGKNAMREMGLDVN ADKAVAVGERKHVEEA ANIA_03989 MYIWHLLGKSGDSGCSELGHGVVDSGSWAVDPADFSIVAIIVAR FDDLGVTYALPAADVFGDIIRNIGLKTEFMLGDKRTLLSKALKQGRNELVCELLLSPA MNINLLHNEVLRVESEGGHEKVAKLLLEKRADVNASNGVALRSAAAHGHAKIVQLLLE HGADVNVFHGYSLRLLQHGAMKGLSSSC ANIA_03988 MATALQFEGLPDGLDPGFQSVVNFEPPRSSDGTDYASRDHTDDQ QRHAPYVSFGDGTVTATSGAFGSILRVSQYLDRPDLISRMLVLDYPHAPPPFCIVARA DDLMSQAQSHRNGFGLRISQVGGDNVTEDQTAPVMTYLGDRWPRIRYSIGYGINVRVD LVCHGHMVLQRMCITNESAIKETLYLEFDPCFLLRDLDYTTPKHVPSDSKEETCDCKD DVPSDCYRGPHGRSLIKMERLSSTSRGKQLSVIVGLWKDGVSQELKDASVLSIKHDMK YRASIEYVAVFKIQNQSHDGTFAWKDFVIAGAEVNRCLRELPVESVSWEWPRAEQKHT RWSLRRNLEHILGTCSVYLPTKNLEHGLTPAEGQARPNTGVDEGRPQVSVSVENNDAP QIILETPEGDSYVIQEPDPTRFELLKINEPRKINEQQSAPSPRITEEISPETGSFSSA ISLTCGDFGDHRVCISGSYFAFMFMLKMYQHARHSTLQKSQSSEWVRTQNSMRRIHET CKGHLLWLSRLANKDLFSTNLWVDGTSIPSSSDTSLPPDSPPNVPYHILKEWVYHLRA TKNRLSPTWEHLQNISDIPIYRLSDQVWIWKALHDVQQLIQKVQEEKRKILLETRTTQ TVRMTVGAGQASSTRALDDFLREVGPLFPSLRMRRPLSTHSLDFTVEDIRKQNIRRFT LENDVLKKRMLSVTRSARETRFLFHSRDTVLYYGKSWGFLKKDQMDIFEQLVKAQIHH DEEGVDEARWDNSLRYALALLMAQSKHQLDQSRRNEALRNPDSSDSAVEGPEGSASQR SAIPQTKKSTEIPAKVLEKAVISHEKSESGTRSEHLAVMVQRSLKRQNPHGRLVDASN IVEVPEEWLYKYPDFLDYEPPGKSDIEKIIREAQLLSGNIKNTPSDIVRQMKDDAENS SRYSYIVDVRKSRKQHKRGRLAKFEMYRFWSFHALWKYLRQPRYAFSAKKRFIYLKSI DPVRAVICYAASPESERMSLAQFFDRHGKSKPYLHDDTMVALNLWVTEVYFQYFYKLN HDQKPPGQSGLKWAMFEQLKSSGCPFYLVTAFTGFRIVGDFFDRYWTCHYVDGDIDGD TDSINDDPSHWQQRRVLELILLSRALEKVCMNTEEILEEVEREPRENQYSPLDDQYDS FEDRSPENLRECERILLLLKRNMISLREVIDQWDMRESSQGRERPRWTRRDEQKYRKS VKQKRAQLEGHVRDVRTKEIHIEFLLGRVTSAQEAIRSKKSLREAENITLFTYVTVFF LPTGLAVSIFSMGDVPSGTVVGRMVITAVVALVITVSILYGVLHRFQFLGRFWKSEKP TLGRKKATGTVKKPDDAPGRPLVQDSVSTKWSPKGWLVSLRRLDTRSLNDDPASKHV ANIA_03987 MASALQTPVPAIDEYYNLGSFGHTITTSSADAQTWFNRGLTWVY SFNHVEGAYCFEQAIGHDPGCPMAYWGLAYAVGPNYNKPWEKFDLGDLHRSVQRGYEA SQAAKRLATNVTPLEQALIEAMLHRFPTNEPARDYAALNRSYANAMKLAYDAFGHDLN VAVLYADALMNMNPWSLWDLFTGLPNPNAPTLEVKAVLERALSQEGDGANQNPGLLHL YIHFIEMSPSPELGINAADRLRDLVPDAGHIHHMPTHLDILIGDWRRSIASNYKSTLA DDKYFRRSGAKNFYTFYRMHDYHSLVYAAMFAGQSKVALDAVTRMEATVPEEVLRIES PPMADWLEQFMPIRLHVMVRFGMWEELKRKELPHDQILYAGTTATTHYARGIAFAATG DVEAARKEQDLFHKAWARVPETRRAYNGKMVDVLGVAAAMLEGEIEYREANYDQAFES LRRAIDLEDKLPYSEPWSWMQPVRHAYAALMMEQGNLEEAAQVYRADLGMDTSVIRPR RHPNNVWSLQGYHECLVRMGRLEEAAVIEQPTKLALAVADVPIRASCFCRLDTSQAPE VLDSCASKGKEKCC ANIA_03986 MPPASRPVKLACLPCRASKIRCNGQDPCSNCISHGDECRYQPSR RGGARRGPAAAEKLAKKETQRCAAKPAVDNLEKEPAPHGELYHRHTQGSTSTLNTPLP ISPAESGLRPSSILREDCGVSPLGGIPSGFLGPVNHPPKALRAYDCSEDLINAYYIFI HPYFPLLPPPVVAQYEDKCVSVEVRSTHANASSLPRWPTSPLGLALAAILTLIPPTGD SNSADDGAAALRRSYADSYARSALESLEDLLEPYSHANLADGPRSILHYAIPQKMEPV LALALLSLYECCQRGNVPKMRLRANQALTAAMDLSLHTETPQTGFLDAHRRCWWAVMF LVYQSSIMTTSPPFITFDDIRITTMFPEFRGCREVRTLLSIETLRPNHPSHVQPWPLL VKAQAALLRSCCIGRELDRELRTGQSLSFSIREEIKDLDSLILGLAAEADRFRCVTNY QGAEADASRNLWAISNALIHTSRLTLHSVRAFPDRRRILDGPIDLLSLDASCTPSSLV ESFHLSTSQTGEVDTHFPFTERESIRICLHSSLVVSRVFRRLPSPNPSYSDTTVDMTA AMPWTSWRRLSSPRSIPYMASCQMQSFYTLAMVLKCVNTALCSGTISSYAYLFEQPSV TTEVQDAERLVEELQIGMDALRRSIKADVLFGGVEVMAREVERVFEATLMG ANIA_03985 MSQFPASTVVNGADLESNNPHVVDFDGPDDKDIPLNWPLPRKIW VTSAVAILNLIGTVASSIFGTGSEEFSREFRISHEVAVLGTTLFLAGYIFGFLAFGPL SERFGRKWPMLLGITISSLFDIMSEAGENVATVLIGRFFGGLFGVAPVAIFGGIVSDC WPISQRGNAMALAVSLVFSGPTFGPVLGGLIMGSGSPVLNWRWTMWMVVIVGLGASVL CVFVFPETFPPVILRKRARALRRKTGNANFTTASDKDGLNIRDIARFYLIRPFWLFTT QPILALLTLYQSFVYGVLFLFYQTYPVAFGEDRSWPTSLKYVPLLALIVGVFAGSLGI IITNQIYTRHHSHTPDGVYIPESRLPPMIVGGVMVPIGMFWFAWTASSIFISWASPVC ASFLTGCGMYLLFIQGFNYIIDCYTSMANSAMGVNGSMRSIFGAAFPLFANQVIERLG VAKTTSILGCVCVALVPVPICFWFWGERIRAWSSAKV ANIA_03984 MTQYTNDPSSCDLVGEGDVYGIGVRLGYYFSWISGLTAVFFDNP KAVRDTRRTVILVSLAVFIIIIQNTLNGSFALLEWSIVFPMARWAPLLVLFFASITNQ DDPPGTIYRVHSRKGNDGRETPATGDNQVNITKMQTQHISSSPEVDTTNLMEILKKAR PVRAAMMQLKLLLVAIGVSANVFSEKILAGNNIDLSDAPLLSSGQLIPFIVGLAGLVS TSWSVTIGERRDTTVQ ANIA_03983 MTYLQSIDVRYGEDPSSEPSDGIHTVDGTNADINAGFGGDFVWL VPRYNSNAANAVSNIRIIIQGDPDSAYVDLAKGAGGDYRYLRLERDGGNKITEVRLLR RNDEADSSVVRALGFDGASGDINKGRGGDYLYVVWKY ANIA_03982 MDTHSLRFSKEGTFQITVFSDLHFAEYENTAQGAKQDSRTAGVV RKVLQHEASTQLVVLNGDLISGYGTTSDNATRYLDQVLAPIQALGLPWAVTYGNHDNE RFARSRELLDYESRTYPNYSLTRNMGPRDLEAGVSNYYLEVFAAETSHVPEMLLWFFD SRGGAQPHDWVHDSVVQWFIDTNANLTNKYNKAVPSIAFFHIPITATYDFQLYPGVDT SREPGINGEKVWWQGRGYDGKTGHDLKFMAALSATEGMLATFSGHDHDNDWCFKWKSP SATSSSAGLNVCYGRHTGYGGYGGLARGARQILLKQSTIKDEVATWIRLEDGLVPENV TLNATYGQDEYHPHQLPQRTELKRNIDMVEDMSQGIQLHLPTLYLLSLVLFWWKFNW ANIA_03981 MYYDTSPFARYAHPLLPMEVDISPQGGARPATPRLGENSDPPSG PTTPTPLPRNSLKRRALFSPQKTPNAAPATIPHMPQAPSICDQVSMVADDQLILLNDW KLAMTSLAKALDLTVSSLQGRPRDLAQGLAARFITLAKQDSPQRIPQMPAVAPPQPPR QMEQPNQPPTPEVSKEPPGRRTLQHTT ANIA_03980 MGRPKTRLKKILQLLLSPLPEHAIIELPLPLLYMLPTDNKDYSP ALLQISVYLDSLQTGQGAGFGYTVYFGPILVTKGHGPAGPRTEVYDAEIIGAVEGLRA ALGQPCMGYSTQLVILLDNLAAASLLASYRPTLHRHRLSESFGQLATQPWKPLQVCWI PGHSGIAGNKLADKLAKLGSSIYSPNIPPSPAYLQRETKQQLRTETYTAYASKAPQAY KTLDIRPHTKESRTREHKLPRWVLGRLVAARTGHGDFTAYHQRFNHSDYLESCSCGRT KTPVHFFFCPYTRKRWKDRWRYIRDGPSKTIDWLLSTAAGAEEFSHIVQESSFFKDIC PNWARRSA ANIA_03979 MSSIIIPSLLRPILALNGWTLIMEIWMYATRVPAISRMRTPIDS TTTREEMNRNIPASVRWKADNFNNLLEQPTQFYAVTLALAIAHRGVDDPTDLKLAWLY VGLRVLHSLVHVTVNRIPVRFAIFAASSAVLGVLTARGLMLVF ANIA_10494 MFRSSNTFNPNTDIPDLSGKVYVVTGGSAGIGFGICAHLLQHNP AALYLLGKKEEHIQEATEGLKKYGDTSKVHSVQIELEDLKQTDQVAKELASKLDRLDG LICNAGLGSGVFNLTNDGIDSHMQVNHISQFHLSRILLPLLQKTSNSRLVLQSSDLHR AISDVKFESLEELNTDIGPTKLYNRTKLAMVLYIRALAERKGKGQLGFDPKTDTGPWM NATHPGAVSTDQQKQAEDAYGVLGKVGVAIVRPFLKDPVDQGCRPALFAATGEDIVKD AIQGQYIVPDRSPTSPSSEAQNHQLQENLWKLTEKILVDKLGSVPYETQYV ANIA_10502 MSPPNRKSFATNVAAFVAEHELDGVDFDWEYPGAIDIPGTPPGL ETDGPNYYKFLIVMRGQLAKEKSLLIAAPASYWYLKAFPIAQMAKQLDYIVFMAYDLH GEVGSTRFSPVANIRKANGMPVTINLTETTYALSLITKAGVPTNKIFVGESSYGRSFL MSKKKDARGLTASLKATGSTLQQQKGVCTDTGGYISNAEIDEIAILGDNVQTWHDGAS NSDIMVYNDTQWVADMSRTTKETRRLHWKNYNFAGTIDWAVVLQSYADDEYYDYETGE GGEELLPPLPDDPDCSGSYHDLESIEKDFGTIDELCLGQYILEVLQKNFTSSLAAYDD LMKDGYDGKFKTYAKSVVSASKKVVENFMYENGKSYFSCSSQRPSSVDCRYCEEYDCI PEGNCDNPEVHCETPEYRYRNMTQPCPPDYSLRGQCQTIDARYSQSVYWTLEYPDAFW ADLYTETGVAQEDIERKNVRHFPCYLTEKHCENTYWDYNFPVPSEYDEEDVVNPKDVV SEAYNKLKALLPQLSDVVERVKNEKFFGDINELIDAVSMPVLMVSDAIEHMQSIIEIA KEIEEAKAKAILFAFMGAIIFFVPVIGEVMGAVSSLASIGRIVSLLGATGNVGLDVYT ILDDPENAPLAIFSLILSPLALMDVVKVGQAARARREMPTSNVAILGDRLSARP ANIA_03977 MSLTTRGYNPSITASCEGFKPGKSHCVEAWGEPAPTKPPTTITT PTTTTTTTTTKTGNAPGTTQAGQIGTCNRWDLVKSGDSGNVFLEKYSGLTLANLVRWN PAIGSRCQSLWVDTYGAPTPSTTTTTMTAPVYGITTPSPIQPGIVDDCNDFHKVQSGD TCASIARSAGISLSQPADLALKFTSWNPGVGNGCSSLWLGYFVCISRVGVTATITMTT TTSGNGIFTPTPTLPGMVKNCDTFYLVKSGDGCAAIASSKGISLSQLYAWNPNLGSDC SGLWSEYYICVSIVGVNPTSTTKTTTKTTTSTKGNGVSTPTSIQAGMTSSCNKFHKVV SGDQCGTIASNAGITLANFLKWNPGVGGFACRSLWLGYYVCIGVL ANIA_03976 MSQKIAIPGGTGTIGSSLVLALHDSPKYNPIILSRATAAIPPNT TSIYTTSFNTEKNSEIKTEIRYVDYTSVDSLTASLSDIHTVVSTLLIPGPEIVIYQLN LLSAAIAAGCQRFAPSEFALPQHSHGDVDVDHGKIVVWDKVKDAVAKGEIDGAAFPCG MFMNYLAIGAPRELEGRAGFREGELIFHLRGQHHDHQRRDNGDGDGGWIEVPLSDEGE YPKLTMTDIRDVGRFIVAALGIEEPWGGRELGMAGDTRSFHEVIASIERIIGRKVQVR TVGRRDLQKRLDGLDKEDILGRIDVQYTMVCGKGGSVVEGSLNKLCPEVRPTTIEEFL ERYWR ANIA_03975 MSAASSNAEAQDASKYWVAPARNFRTSARLHLQHFLFQNTIGFL LEPAVGKAVTASSQPLKIADLACGNGVWLTELHSQLAKNNISVQLDGFDINPVNFPNP AFLPASVSFRQLDIFAKPLPAELLGVYDIVHIRAFGSIILDSNLAPILTAAFELLKPG GFIQWEETRGDRWIVESPSAQVSTTACDSIVQILLGGMQQRGIQNDWIDALDTHLNQF GFQNARLLVQEKRKHDFKGWTEDYLMVWEELADYFPSKAQAPDVSFSREAWISLFANA VKETEEGVVVHQGRVITAVGQKPL ANIA_03974 MDPSASQNRAAASGRKLTLQLYRRCFKGRKQLFAESDTAAASYF VTNPVPHKHSSNWKPIFYRGDNPKYTPTATAIGRARRSAMWGTFRVWIGDGVQEVLDN ERRRREKRKAERKNRWRKRFGRGVKPVDVEEEKEVQGKVVMFRMQRKGLFTRSVEWEL EGVRYRWSGTRMFATGFMKGVKGWSHSMKLIRMSDHALIASFEKHILGSRRSIKTGGP PNKSKMLLGKLSLYNFPDEADKQGTKTGHDRLTNLVAQVDAVNSKRSDLKDEEHLNPD GIHSGSLTEDAIAFTCWIAVEAEHRLRYKIPDFLEEVAENVEGG ANIA_03973 MASFFSRTTFRTLNTLFKATPCSKTPITAPLAQPLARRFIGTLP REQPRLRLGSTAPNFEALTTQGKIDFHQWMDGCWTILFSHPADFTPVCTTELGAFAKL KGEFAKRGVKMIGLSANDLGSHGDWIKDINEVTGSDVQFPIIADADRKVAWLYDMIDE SELANLAEKGIAFTIRSVFIIDPAKKIRLVMSYPASTGRNTAEVLRVIDSLQTADKKG IATPIDWQVGDDVIVPPSVSTEDAKKKFGEVRELKPYLRYTKY ANIA_03972 MAENCKITISIDRGGTFTDVHAVVPGRPDIILKLLSVDPAHYQD APTEGVRQILELVTGKPHPRGQPLELGPIESLRMGTTVATNALLERKGARSALLTTKG FRDLLRIGDQSRPNIFDLTMARPGMLPEAVVEIDERIVPVHPASDKDCFSGARLVEGV TGEKFRVVKELDIEKVRPELERLKEKGYQSLSVALVHSYVYPEHERLIGELAEQMGFS VTLSSKLQPMIKIVPRGMSAAADAYLTPVIKTYIDSISSSFEGGLANQHSCRFEFMQS DGGLVDFRKFSGLKAILSGPAAGVVGFAATSWDAEEKTPVIGFDMGGTSTDVSRFDGH LEHVFGSKLAGVQIQSPQLDINTVAAGGGSILNWRNGLFYVGPESASAHPGPACYRKG GPLTVTDANLFLGRLLPEFFPHIFGENEDQPLDLEVTTKKFKELTDTVNAERRQKGES EYTPEEVALGFLKVADESMARPIRNLTEARGFETATHHLASFGGAGGQHACPVAASLG ISRIIIHKFSSVLSAYGLALAEVVKESQEPLSTQYESSKPELKKKLAEMTEAAVEDMK EQGFSSDQVRHERYLNLRYDGSDTSLMILEPEDGSDFIEQFRERHRREFGFNSDRPVL VDDIRVRTIAASKVRDEKSPLVQLREAKIRDITSSPDLITKTFFDGQKGRVDTPVFKL DNIEKNSRIHGPAIIIDNTQTIVVVPNAVANVLETCILIDLKETRSTENKPTSGIDTI KLSIFGHRFMSIAEQMGRTLQKTAVSTNIKERLDFSCALFSPDGGLVANAPHVPVHLG SMQFAVRYQHKKWLGNLKDGDVLVANHPSCGGTHLPDITVITPVFDKPGGSEIMFYVA SRGHHADIGGILPGSMPPKSTELWQEGAAIEGDKVVSNGKFDEERMVELLVKKPAQYP GCSGARCITDNISDLKAQIAANTRGITLIQALFAEYGVQTVQKYMYAIQETAETAVRN LLKDLYHRFEGRPLEAVDYMDDGTPIKLKVTINGDDGSAVFDFEGTGPQVYGAWNAPI AITHSAIIYCLRCMINADMPLNQGCLAPIDIKVPPSCLLSPTKNAAVVGGNVVTSQRV TDVVFKAFRACAASQGCCNNLTFGKNAKKDPENGNEIPGFGYYETIAGGSGAGPTWDG ESGIHVHMTNTRITDPEILEKRYPTLLRQFTLRSGSGGKGQHPGGEGVIREIEFLTPM DCSILSERRVHRPYGLEGGENAEPGMNLWITKDKDTGEDHTVNIGGKNTIHVETHDRI VIMTPGGGGWGK ANIA_10499 MTTFKLNTGATIPALGFGTWQDADAQETAVLEALRAGYRHIDTA RVYGTEAAVGRAIKKSGIPRNQIFLTTKIWNNKHHPDDVAQALQDSLNDLDQDYVDLL LIHWPVAFKRGTEQFPKTEDGKPAVADTDYLDTYKALEKLLSTGKVKAIGVSNFSKAE MERILANATVPPAVHQLEGHPWLQQREFAEWHKKHGIHITHYSPFGNQNEVYSREGTI GRLIEDPVLVEIGKKYNKSAAQVALAWGVTEGHSVLPKSKTPERIKANLEGDFKLEEE DLKKIRGIDRKLRFNDSSKDFGYDFFKDLDGKK ANIA_10505 MADSVILRGQAIHDQDSDSSGLLQVGTFQNALLNLIESPSGRFM QQNWQEYLGRSVDSVVNVVGNAKEDTKFPLDRLSKMDRYGQTGNKTYKNILDSLRFSI DLQPRNQFGGLWYYVYPNWSYLDGMFSLLSFSPMYASTFDIANSTLMNRDLFNQLDLL WSHCRDNRTGLLVHGYDASRTAPWANPVTGASPIVWIRALGWFMMALVDLFEHDLMDA RVSIWRTRFVDLANALVDAVDSTSGAWWQVMSAPGQEGNYIESSGSAMFVYALYKGVR VGILPAPEKGGEAYIEVAERAYSELVKRFIVENEDGTLSYNGTVGVCSLNSTATYDYY IHQPLVYDSVLGSAAFIRASTEHELHLEASLNL ANIA_03970 MPFDIFVRWRPVPGSAEAEITRTHSPLPGEEAHATGISISLTPP EHLSKTVRPWKSGATLRHVFEATEAVSNRTVFDAVVAPVLPRVWAGETTNFLAYGHSG SGKSHTMIGYDFQNEEQFGLCLGAGREVFQYLSSLSKDGDQLGVGISMYELRGNTAFD LLNRTGQSQDKVPCHIREGADGKTHIRGETDTFADGRVRVRPITQSPCWTFDELRTTV LSGLELRTTGTSTIHDQSSRTHAVLELEIITRALLNAREAVIERQSELVPVAKRATDV YLEENMKAFIQDANGKYVPNPEYSIDQERIDKAEARKAEFEIAVRRAEDGVDAVFSSC RYDCLGGKLVFVDLAGSEYYHDKSMSTPASPVVRAKQTPQEQREGRQINTDLLALKEV IRARALGQARIPYRSSPLTMVLRGHFESSSSSGSNTNEGSSSRNNGNSEGYTAMILTV SPAATQFAATMNTLKYGNLVGVGVQAAAESTGKR ANIA_03969 MLGKSASKCMPRIEDEDSDFNAITQHITRTCIDLAERWLDETQE TATAAAPSQADMLAACSVVSISLEIGNIEFSWEMLRHTCSIAKALGYFHIDAAPQYAA TESEKVEKNRKRFEFWHLLRTDCMFRLSLGKPAVIRKGEWAVNFPDSPGIIGSEKDED GDESSRMIEIHFLASMRQTLVMLRYLDYMEGPDGIDEETIDALIAETETSTALWRPDE LLGSKANRIDSLLSLDMLLGSYKMLIILDQARQNRNRSRDQDRNSGLSPYTVEIARRS LQALQAAMRSAGYEYARWGVRCVYVLTVGNDGRY ANIA_03968 MNAQSKIASQTPGDIRMQAQAQSQGRATGFDPDSDPTAAEHSHV GMDTGYRNTSAVDVEEVDREEDENPHLPPLREYLKMTEERKQE ANIA_03967 MSPNQSGLHFLLVLPISTPDYLLLKSKHNASLTFHDPTDYNAYY FPDRDVKSAKVGAAADAGIEQLKSQPQLQDTNGDSADATVLSESSRAGNPAAAEPGGN QPRHLDVLKPGRYNIPGFEGDGTMSGKPQNTSSMTPAEQLAYYRPDQGQWVSTPQKGH LGTDTNTQIKDDADVDADDDLHKALNMDPATSRRAGLGAKARELDVDEDAEGEDEFEG GYTITGVEDEGEQLNS ANIA_03966 MSDYPANTAYAQRHIYTNPWLRKKTSLSQSQTLTVDADLDADAD ADADADAESESEEQSVSGTSASKGDKVGEDLDFDDVNTGEADDDFGSSVILQYSLYHQ SHSMDQDELDLSDHTARSNRFEKYHFKPPASLNPGHGQVSNIQNSSSDGLQESYYSGN IQGSDPRASNMQGHRQPQTTAQGRYFSASPAEEIDDFDDEYDPESLDIGAGGNGSGMR EWSERD ANIA_11421 MPGEGMLVTNPMLKGVNLNTEGDMAREEGKDADMGDARRELIYN WESLHISNKGTHGDLTDS ANIA_03965 MGCHAGLREYRAGNGLHRIRSAGIERELQHLGVAVNLLLEQVED YEGDTGSSFEVMRRISRAVSDAIASKSFPLVLSGNCIASAAVACGLQIQNLALIYFDA HDYLDSPDVKWTRLDERSYSPALVQLSLDVLDISYGKVSDYPPPGGLFESNLISCMEI VPQMVTPGSLAVCSFDPDAGDGDRMAQIGTRAILTCVKALLSEGELSKNLKYFTALHY VFHRERVLLDVSLYSTLALFYVRLFLCNLI ANIA_03964 MPEIVFITGNPHKVLEVKSVLGDSVCIRPVALEMREIQGTSEEI VRDKCRTAAEIIGGPVLVEDSALEMHALNRLQGPYVKAFVGATGNLGLCRLLEPYENK AAEAVCMLGYSAGPGSEPVLLQGRLLTSQGQIVSAKGISSFGWESIFEFESETLAEMD VQKKNRLSHWFRDLSKFREWVQAGGSGSYSCSGSS ANIA_03963 MASARIYDVLIIGAGPAGLSTALGLARQTYSAIVFNSNQYRNAF ADRMHNILTWDHKPPSDFRAAARDNIESRYSTIHFMNATITSAAAKEDGTFEVVDEQE NKYQGRKLALATGVTDVLPDIKGFSELWGKNIFHCLFCHGFEERGAESAGVLGGGFIT EPAMILHMARMVSPLAKKVTVYCDGNEELAAQLQKEFKGKPLEIESRKITALEKQGES VTVRFDSGQSQQEGFLVSAPRVRINGPFHEQLGLNVDPMGFIKTNAPFNETNVPGCFA VGDCGSLMKSVPQALATGSFAAAGLVAQLGAEGEL ANIA_10500 MCSYHNDDRTNPCHDNRQSGYAKCMVDAIAIAFASSHFAAYILR MPQSTWSCRDFLGVDDWSSLSEVDYQRGWNQRLPGGRRRLAAAVSLPGISISSAGVTD PWSLEFREKFARSRRSTCTAATIGISI ANIA_10493 MKRIRLLPLACQQPLGPISPLRSGLGLVADGLRIKSTQATSCFL TGRLCRRSNQHSAAEIVYRCGDGVLSDILHREDCLIYDTAKPYKYIWLTGCNETDDYM VIGGCDHKVGQETAQRLEELTRWVRERFSAAGEVDYAWRGQVMEPVDYMAFIGKNQGK GRTLVADEIEGCENPWATLYHPRRTHSIRKSITGMFEHDLQVNAQYKRWVQSDIRGIA DIVPGEGGVLHQHGKPVAVYRDQDGNQHKLSAICPHMKGVVCWNGAEKSWDCPVQSVR ATEAANSIDQDIV ANIA_10488 MGFTNLALTLGLLATTLSSPAHAQNPTGTLYTDKATNITFSTWS IPASQSSESQASPLTFGLTLPSKALETDATDLIGYLHCSSPTGWCGISLGGSMTDALL LVAFVDSNTVKHTLRYTTEYALPAAYTGNATVSPIHSDVSEDSFTTIVHCKDCLHWEQ KGSSGRASTSSGQMDLAYALSSEKLVGAAGCADEARFVRHSQQGTWIAFLDEGAVAES YRDWVGLTREGNNGC ANIA_03961 MPRASAHNSQDTIKQPLLALQGVFAMTVAALSRPTFSLVDHDGR TFTDTDLHGAYSLIFFGFTNCVRVCPRALGRLSGVLADLKMVAEPVKAYYVSVDPDRD TPEVMKTFLEAYPAFIGLTGTQAQIDVARREFRVFAKAKEDETAHGGDGYIVDHFNDS VDAETVTARILKKVHASLHANDTTQDTSKPESLDILTRDQVASIRHIGNLARQLKGDW THMMGGSDMNDGFGAFRYQLAYMFYALALSHFHRLPAAPGLFRETMERIIAKMLEADV WFYWHDASIGGGFLHTPAKEMSYDPIKTDNIMYSAYVQVMSAMYNSLFDDDRYTKPGA LTMVYDAFLFGPADGYKFEWDQKSINDQVYWNMVQEGYIGVACEPWCIYQICNQVPIL GFRLNDALSGETDVASEVTKGYVKAWEDAGGGIITPEGGFNTFYRSDIKRSVVVPGPS GDAWCGLMMNAWNHELVQRVYNERISGSVVAQEDGTFSVVTGGIEYSPLNRRLIGSGG LFGWIAAWAAEMGDEDTKNRLLAYADKYLNPTVVKGGLMYPRNDRVYDEQGKFVMVTP ILSNAILPLTRLNVKHGLKRLYENPWAANNREHYGEPALVEVDFDVDVYRAVYLKERK RLLFDLAVFEEGRSGSVALDRVLERGEWTLRRDGCEIARGSMEGLQGADDGTVGIPVR QDGQLLRVPVIGTKVVSYELQWAD ANIA_03960 MPSRLLRLTIAFALSLGSCSSLTSPVERGSNALFDYIVVGGGNA GLTLASRLSENSIVRVAVIEAGTFYERVTGNESIIPGNRGLYEGKAPSITNPLVEWGF MITPQAYLNESRIYMKLSGCTALNAMNYERPNAGSMDLWAAMVIDSSYAWDNSLHYFQ KSIDFTPPDMSTRFANSTPSYDPTVLASGGPLSLTHPNYAQPFSSWLAEAMPEVDIGH IPGFRSGRLTMAERISFQEIAARGVIVSDMVSNRTFDLLARREVIISAGAFQSPQMLM VSGVGPAGLLAEHEIPLVADRPGVGQNMHDHVLFGVSYGVDVDTAYALGEPEAAAEAA TLYHEDQAGPLSNRWRLCCLRKTPAGLSWWLVGRSRASDWPGIQGLFPAYAGNFYNPY VGGPREGNYVTLLAILMSPQSRGNAHSPRPVCWIPHSLIQPDSPIPSTWSSLLRRSSG CGVSSVRRYWKAH ANIA_03959 MVFVPFFYLLAGLTLASGKPILLPRLAQEASQDVAEHSFDVTLE SLGNSTVKAEVTNTGTEGLRLIQRGGILDQFPTRKVNVKGGDSDPKFTGVRVEYILSH LTADGFVQLSPNQTVGSVFDVADLYELSPGQEYTAVAKGLLQYTTLANEKRFLTFSYK SNNISFTAPTDTTKRLEDRSTLVCSDEYNQVVQDAISRAAEMATAAAADARTGSALFQ KYFKSTSEDDIEEVAGRLDAIAKEATTTGQLKYYCEPTAEDYCAGNVAAMTYPTLNRV VNCPGYYASTKVSNYCGYLDQAAITLHEYAHADALYSPGTEDIAYGYESVLSLDTEDS KNNADNFAYYASSVYLQCDANEEPGEIGSELDVDVGSSGSGSTQTPTATAEPTYPPGP TSTGTTGTGTGTGIGTDTGTGTGWTWPWGQGWGMNDDSGSGDSGSLTGTTSDTNTGSN TGYGTGYGTDFGTGPSGVYGGWDADKSSDSSAAAVTSAPTAAPVTTTDGQELWNLNDL VNWLVSMYAGDGQMQGQTQGQTQTKTASSNLDSGSGGYNAEAGQSATGTQATQAENDK DCDE ANIA_03958 MARITGLNAHEAPPDAVRLRYKQYLKASLSEVEADTGIVDLQSL DPDALPDQIALVRKISVEGLQPAFDKFVNTSPTPEPLEKNIPVFTHRSVTGLLMVPSL FPPTVQMELLNRLFHRDLSNSAHKTNLHLHYDVMYPVRTDGGIEYRDTTTSLNESYQQ PISFFQDIQTRTFYPKDPEVHKPLSMQSVLTKKLRWVTLGGQYDWTAKEYPAERPPAF PEDIAELLRAAFPETEPEAAILNLYSPGNTLSPHRDVSEECDAGLISVSFGCDGLFLI SHDDGAGCEIIRLRSGDAVYMDGTSRFAWHAVPKILPETCPSWLADWPCIPDDENGSC LKAWKGWMAGKRVNLNVRQIVADQA ANIA_03957 MAITSWESLANEKRQATLNAIPTKWRIQEPIPPPSELRDVTGTY IQKFLTPREIEITELDAYGIAEKTTTGEWTAVEVTEAFCHRAALAHQFVNCLHEVFFD LAIEGAKRLDAYFAEHKKPLGPLHGVPISLKDQFHVKGVETTMGYVGWIGTFQGLKDD PRSRVFESELVRELRALGAVLYCKTSVPATLMCGETVNNIITYTNNPRNRLLGCGGSS GGEGALIALKGSPGGFGTDIGGSVRIPAVFNGLYGIRPSSGRIPYEGAANSMDGQNTI LSVIGPLASTARSLQFLFKAVLEQQPWLHDPLVLEIPWRSELEQETRTLVEQSAKDPS KLTFAIMKHDGIVMPQPPIARALDIVERTLKQLGHKVIEWSPPSHKTGMELADAAYSL DGGADAQYHFGLSGEEPAPQLIVATGLPQKTALEIAKINVAKREYQKLYMDYWNSTSE VTGTGRPVDAVICPAAPHAAVIPTQYSHVGYTSVINVLDYTSVVIPVTHADKSVDVNV SREFLGELDENSYKNYDADVYDGAPAGVQMFGRRLQEEKMLVLAEYVGSVVKK ANIA_03956 MDDGASTRPADTPEDSGPGEETQTQGTSSKNQALKDRKCQYCNQ AFTSSSLGRHLDQFLFKKKPDGIHDVEEIRRIRSGITRRQARTSTGKRDTPDRGLAKG SLDSAQGGEPGGKGPIRMMFNTPTWHATGVINDIPNPSQLQEQAASRFAASQSRMGSF APTDLGRSSAATNPDTVRALELALREVLDNIKAATSRMRPRISPFEFDIQVQTFPSLC LQLLPPPPTLFAPNPFPSNSSFPLDPPGPDHLNIVRQAIRAKIEQWQSDQLAAESTTN LGKTSLGMDATTIQRNAQQHEELSLRHLELAYKHWLSLPYDSRRDAWQLEIMRAFARE SEKRKSLDEQLARVQQEANQLRSQVEKLGTCQWPREFALFPPETLPLPRDVARELDAK ESQISPGSARWDYENVVAKWKRVVMHDRTMGRVGISASSPLHDEPTSTAASDSKSRTI QPPSTASPSAGPSPVQNPNANPNPSSPYMSHESPNAAPQAKRQRLMNGRPHPNAPTEQ SAAGATQGAPVSSGPWHSVQNQQAQAHVQGPSHGHGHGQQPSPPSPSTG ANIA_03955 MTGGKRNSGIKQSNPGPGVTNQGMANAMVPLEQALSDVVGARVR ITTNPATSTIEGIVFTADPITNLVAVNTADGKQTGAGNYHVIPISRIQSFELLSLPPS AQSGGATSFSDAQPSLHALDIRALKKREADAVVEMQNAEARRGKGVTREAQDLFNAFI RTMPTTRWDGANIIVADAVSIAPPYRVDDCRPLVAGDTAALARIRKVLEMERQKIEFR NASAAIGSANTFSRSAASGPGAAGPRKGG ANIA_03954 MSSAVADFGLIGLAVMGQNLILNAADHGFTVCAYNRTTSKVDRF LENEAKGKSIVGAHSVEEFCSKLKRPRRIMLLVMAGNPVDQFIESLLPHLEEGDIIID GGNSHFPDSNRRTKYLKEKGIRFVGSGVSGGEEGARYGPSLMPGGNEEAWPYIKDIFQ SIAAKSDGEACCDWVGDEGAGHYVKMVHNGIEYGDMQLICEAYDILKRGVGLSSKEIA DVFAKWNNGVLDSFLIEITRDILYFNDDDGTPMVEKILDKAGQKGTGKWTAVNALDLG MPVTLIGESVFARCLSALKDERIRASSLLNGPTPEFTGDKEEFIADLEQALYASKIIS YAQGFMLIQNAAKEYGWKLNKPAIALMWRGGCIIRSVFLKDITEAYRKDPDLENLLFN DFFNKAIHNAQKGWRNVVSKGALWGIPTPAFSTALSFYDGYRTKALPANLLQAQRDYF GAHTFRIKPEHASEKYPADKDIHVNWTGRGGQVSASTYTA ANIA_03953 MSFPPPPGHQPSSLPPRPPQSANTNSQYANSGYGGGSRPGFNAA MAFAPRSVASQQPYRTSSPVVSAAPTASSGYSTPTSTSYGNYYSQPQPSYQAGPSYYG AAQYSNAGTTYGPTVPHIQNPFAPSGSDPSRGRNSGLDAETEAQIAQWQTAYASKDDA STMSKGAARREGSTGTPGATTVYTSSGTTPTSTTPAAGVESQKTVVRSGGGQTWTDPT LLEWDPAHFRLFVGNLAGEVTDDSLFKAFSKYTSVQKARVIRDKRTQKSKGYGFVSFS DGDDYFKAAREMQGKYIGSHPVLLRRATTEVRPVSNTKNNKKNAGKGGGSGGNQGAKV KHDGIKKPGKTRGGLKILG ANIA_03952 MEKDPSTGGPLTNSPAEQYLRSPAESRSTSDTASIPSSNPDSEK FAPIQTNQSTRSRNQTLTRRGTAGSRPLTDDEITRALSHRTSSTYQGGSEDNEEVARL VSRMFGRERKANSDEEQTRHQGVVWKNLTVKGVGLGAAIQPTNADIFLGLPRLIKGLL TRGRKGTSAGSAPLRTILDDFTVCSYSMMSRAELIVKGLRAASVEGEIHYGGTDADIM ADKYRSEVLYNPEDDLHYATLSVRETLMFALKTRTPDKDSRLPGESRKEYQETFLSTI AKLFWIEHCLGTKVGGEIVRGVSGGEKKRVSIGEALVTKASVQCWDNSTKGLDASTAS EYVQSLRSLTNMAHASTLVALYQASENLYKQFDKVLLIEDGRCAYFGPAGRAKAYFED LGFKCPPRWTTPDFLTSVSDPNARRVKKGWEDRIPRSAEDFQRAYRSSEDYRENLLDI EDFEREVKEHEDEQEEARRRAPKKNYTVPFHHQVMILTERQFKIMYGDKGTLIGKWAI LTFQALIIGSLFYNLPNTRGGVMFFVLLFNALLALAELTDVFTHRPVMLKHKSFSFYR PSAYAVAQVVVDVPIIFIQVTLFELIVYFSMANLQRTASQFFINFLFIFILTMTMYSF FRTIGAFSASLDVATRLTGVAIQALVVYTGYLIPPWKMHPWLKWLIWINPVQYAFEGI MTNEFYNMDIKCIPPNIVPDGPDAQPGHQACAVQGSEPDQLVVQGSNYVSAAFTYSRS HLWRNFGIIIGFFVFFVATAMIGMELQKPNKGGSSVTIFKRGEAPKEIEKAVEQKEKP NDIESGSRNDTTDTVGSDDGQAVEGIARSESVFTFQNVNYTIPVSGGKRQLLKDVQGY VRPGRLTALVGASGAGKTTLLNALAQRLDFGVVTGDFLVDGKPLPRSFQRATGFAEQQ DIHEPTATVRESLRFSALLRQPQEVPIEEKYDYCEKIIDLLEMRQIAGATVGAGGTGL NQEQRKRLTIAVELASKPSLLLFLDEPTSGLDSLAAFNIVRFLRRLADAGQAILCTIH QPSAVLFEMFDDLILLQSGGRTVYNGELGQDSSRLIEYFEQNGGKKCPPDANPAEYML EVIGAGDPNYKGQDWGDVWANSENCKKLSDEINKVVEMRRNNEKERNKDDDREYAMPI WVQILAVTKRSFTAYWRTPQYNIGKFALHIFTGLFNTFTFWHLGNSYIDMQSRLFSIF MTLTICPPLIQQLQPRYLHFRALYESREANSKIYNWTAFVTSAILPELPYSIIAGSIY FNCWYWGIWFPRDSFSSGFTWMLLMLFELFYVSFGQFIAAISPNELLASLLVPCFFTF IVAFCGVVVPYMAMPHFWQSWMYWLTPFHYLLEGFLGVLVHDVPMECVEREETLFSLP PGQDSCQRYAGSFLSQATGYVRDVGNGMCAYCMFSDGDQFAANNFNVYYNHKWRDYGI FWAFVMFNFAAVFVLSWLYLHGVNDMKKWLSRRKAKKSGLQS ANIA_03951 MARPKKGPIAPRTVSNRVIPPLTGIEPSRDAVFDPSDVASDAKV RSIESSPSLAEVDGVPPAWADVREPEDRAALASAIPWFTCYEGGMYHSKMVCYGFLLD GDSGNRCHIDDEVVITRIGGGCSKDRDGNLMLKKDMDEQDVTVRSLANRSKNTSLGRK LPHRYNVMAYFRVICIWAEKINGKTGYKVRFEKLDLSEKSWWAEKGTALPDPASRRFT QAESRQCATCLTPSTHVYKEGWMCLNRTCQEFWMLAGLEPPRNLTFDDRFLEARAQPG PDMQLHGDLIPDLLSTIDEHDPLGSSSPLSWKSGVVCPLCQKCIPRRFWNGWKCSDTL SGKAQGECPFEKWLKIPTVPLSAAIGGINLCRNKRTVIKNRQSSFLPQIDDRSFAPYK LITYGLGASGYIMHFVSNEVINSRPRGPNELFEELQKANLGLRRYPLDQAQVAGTLTA HFVTNFGAPYKYVVSVDSRGFSEACDPVLRALGRLTWATKNAVRSQGGNTYDPNELLL LGYFEEQKIGYHDDGESSLGPTIATLSLGSPATMAVRMKYKYYHGFTKAGREKNTLLT DDPILPECENYVNRQKLKERLLNGSITDDEYKKAWFDSFAENKSRNLPPDIIKIKLNH GDMVVMHGEGVQKYYEHGVKLEKRGKLRFALTARHVKKEEIPEQDWPKGDFTLTDDQI YDGQ ANIA_03950 MLFWKVCPLLSAILVAGLTVTETDDGITVDVEGDDGFVVTIDST GSISSLQYRDTEYQYSETLSHIASGLGSDASVSYTTQGLPPHPHQRCAIYMGTNSLSQ PAVGELRYIARLVNLPEAYKEGEVSDIRNGEAIEGSDVYLVDGETRSKAGWQFYSSQR FIDDSVYCAYSTDSSVHACFLSDTRSREKSSGGPFFRDIDLNLVSDYHSLTYYMNSGH VQTEEFRTGFFGPYILSFSGSSIPSWSDFDVSFFDELELDGYVGSSGRGVVTGTVSGT SSSLPTIVHFYNDDYQSWANASDDGSFTSPELVEGSYTLALYQDELLAATTTVSVTAA ATASASISATNTIITADRTTIFQIGTYDGTPSGLRNAENQLRMHPSDSRMADWNPTPF IVDTNSDSDFPMALFKDVNNNFEIQFTLDEVPDTDTITMRIATTLAFASGRPQLTLND DECGAPEAPTKIDSRGVTRGAYRGYGEVYTCEFDVGSLVAGHNSVYISVVSGSSGDEY LSPNFIVDAIEAYY ANIA_03949 MHSNILPVLTSVATLLGLVQGQSQQPYRDWAKAYEAAETLVLPW TLEQQANISVRDGTAPGFVPFEPSDGVRSVQGSGKDYDNPAMRTSSNLDDRTLHELYL WPWIDGVANGLGSVMCVMNRVNGIIGCENDHIMNGILKNETGFRGFIVPDVTAPVDKA AGLLGGLGWNSGYSVSEIMAAVKNGSIPESVMTEHALRIVATQLNLLQPPEEYAFPVE TADLNVRDPSSKDFIRRAGSESIVLLKNKNNTLPLRSPMSLGIFGKDAANLATGPTPQ SDFSNFAGDTYDGHLITGGGSYSPAPYVVSPLDALTARAADGQGFGYKYILSDNWTVT PSESTGEGFFQTSGVSVSQYARESEHCLVFINAFGKEGSDRRTLADETGDKLVNDVAD YCGSTIVIINNAGVRLVDAWIEHENVTVFTDLIPCSNQVHTNMFCFPGCPERRRSRQE SGHAIVDVLFGDVNPSAKLVYTIAKSKDDYNGQICECCECDYTEGLYIDYRHFDQAGI EPRFEFGFGLCFKSDGRANIAAYTTFTHSDLTINPSTDITTLQPYATGPITEGGPSDL FEQILTISASISNTGGVAGAEVAQLYLSFPDAAKAPVRQLRGFEKVYLEPGETKFVSF PIQRRDLSIWDEQTSKWKIVGGKYGVVLGRSSRDFTVEETLELLTI ANIA_03948 MSISPGNHHRRRSSVLTGAGPSQPPFVEQREENHKREEQKPLAA DDADISDLSSIAESEEGRYLSSDDDYPDDEETGLTASQRRQRRRRRKQRRQLDARIAG TKSRGDPFNLSSADRTVISRLLVNVGLILLWYFFSLAISIYNKWMFSRDENDKEVFPF PLFTTSLHMLVQFTLSSLILFFIPSLRPQPTPSPSPDVEAKPVLTQFFYLTRLVPCGA ATSLDIGLGNMSLKFITLTFLTMCKSSALAFVLIFAFVFRLETPSVKLIAIIATMTVG VVMMVAGETAFNAVGFVLIIASAFFSGFRWGLTQILLLRHPATANPFSTLFFLTPVMF FSLLLIALAVEGPTEIITGLTALADAHGFGSGILLLIFPGVLAFCMISSEFALLKRSS VVTLSICGIFKEVVTITAAGVIFHDKLTAVNVTGLIVTIGSIASYNYMKVSKMRAEAQ HNNWDSNPNSDSECDSAPRRQGDYQQVANPETSEIPESLDVHPHGLNAGRSFPALTAG PSHNINALTISTTNLSDHGRGSTHSPLVPGPSPLRSAPPIIVSMEDELQKAGHNLSSN DTTQSSSGRGSPAQSH ANIA_03947 MPPRGARKAAGIETNKRELGDDHELLSTRRQSKRIKSAEQLRST PSSPQPKSRRVQVKREARYLQEETTKAENPTLLNTEELTEVKSEVSVKVNEEEIKEQT SATKATRKRRSTKKEEAEMTPLRVRTQGLRMFVGAHVSAAGGVFNAVNNSKHVGGNAF ALFLKSQRKWDNPPLQDEHRDNFVKLCKEHDYDAAKYVLPHGSYLVNLAQEDEAKAKQ AYDAFLDDLRRCEALGIRLYNFHPGSTNKTPLSSALARLAKALTNALAATSNVVPVLE TMCGHGSTIGGSLSEFRDLLALIPKEYHSRIGVCIDTCHSFAAGYDLVSPAGFQAFLK EFEDLIGIQHLRALHLNDSKAPGGSKRDLHANIGTGFLGLRAFHNVMNEPRFEGLPMI LETPIDRIPAAATNRAKQEAAEEDVESGASDDEIKPKTKKKQQKKPAAAKAVPDYSIW AREIALLESLIGMDPESDEFRALEAELSEEGRETREKHMEQYLRKQETEEKKKAKSGG KQKTLIGMMNGSKGRGKSTTKKGYETESEDEGCQSC ANIA_03946 MAATGDLIDFDIIENQKENIQSLPGGRSARELARIFSPRDPSDK LSSPSPNDTRTLNDAIRQEYEAELQAIGESDDPLDIYDRYVKWALNAYPTAQATPESG LLPLLERAVKSFLSSPHYKNDPRYLKLWLHYIRLFSDSPRETFAFMARHHVGEGLALF YEEFAAWLESVGRWTQADEVYRLGIDREARPTERLIRKYGEFQRRYEQQPQDNGPSSP ALPAVRPALAAKVDPFASSAAAPTDPQSQQQGSRTTNAPKTKSGKPKMAIFTDTEPAA NQPALGAQTKGWDSLESRHDRRKENQIEAKPWAGETLKAGRKAPPKEKLAVFRDESKS DLPTKEEMQSNPVPEHRIREAVNPRTGRRERVFVDLDAVYPDYKNPSIEVSFEELRAM KRGWMDRDWRKKGPLKQISGNAVQTEPNPFNDKALRDQFQQKLSLRNTDDHALNQPIV SEKTHDAKAAKGRKLKVREVKGETQTIKMKFDSPTGGKIRRKSTAEPTMTIHTRAATD EIYSIFNQPLKAETENVAESSDFDDDDYTSAGESTVGRISAASSDFGDDTFHKSFDEG DGDDFENTSADSVVNGEWTRFSAAELGAEATSFHSEAADPTQSTIHHAESDDTEDQDA GPEFEQPQRPRFIPEMPEDYVPPVGPYRDPVVVAQSRLPFMTPIVERTEHSFPSMTAA RSNLYSAKTPSNVLNPTTTPRMPRMGNLLSSPLPTETPFHGQTMHGLEDIIESPTANR SGSSSLRVPSPTKDSNPQGTIIKDTLCNPIDRSIRDTILQELHTTLAAYPGYHAHPDT QSHYAPEIERFMKSSSKRSRSGGEAAFDVPIIDPPGGERSYIIRRELGAGAYAPVYLA ESIDNLDSDSEMESVGSNSGRSTVSNSLTRQKTPRYSFEAIKLEVGPPNAWEFYMIQT AHHRLSQLPTLSRAADSIVRAHEMHIFKNESILVEDYRPQGTLLDLVNLVRNEGISGP ATGEGGLDESLAMFFTIELFRTIQALHTCGILHGDIKADNCLIRFDDKPDPTQQILDE NTDPREFYYSPSGAFGWKNKGLALIDFGRGIDMRAFDPSVQFVADWKTGEHECPEIRE MRPWTHQIDLYGLAGTVHVMLFGKYIESVPTDASKKTYRIREPLKRYWEKDIWADVFD LLLNPSAERWARMEQKSNVNASNLPVLVSMQHLRERMESWLVDHAEKKGLGLQIRKLE AIFAEKKKRLEK ANIA_03945 MADREHGLSRTLPKDFTYPSAEPRTPERSSLIRLDAPPPPPRHS ASRLRPSRVRSGTDLFARVSHDFPFSPVAPDLPLPSIEFPPSRDDTSHGHETLVPPDD RLLAPPRARVALKTPPAQIRPDPIEAESNSWSTWNPGTYGDEIERPSSACSNASDSSV SSSETISSRQSVGGSCTSTESDHYDPFFHIELTPKRTTDSSPLLAQKKQQKQTKTRER WTLDMDNHLWNTYQLYIQDPTITPFKMTPGSIPPLGVTHRVARQAKRTWERKSTRLDK QFISGDTSPGSGESTPTAKDHAPKPSWPKSEASTRRRLKYLSKRKFSIAPHYQRMMQS RSPEPFPDLFSQPSDVTPAASAPVNTSAAYATRDLGVSLVSSSVPGPLAQLAAQDPLP PSAAVIPRPQIPGSPQPDLGDPLPTKSSSIDGTEVPRLGSPFSYHTWGPNNVRKRNQR HTPRARRETIHVTGSRLRSPPRMDPLVNFADSNGSSSNVPTESPAEEETRRNLEELFR QGKLNDLGHRRVRIRNRGATTSAAVTPKSLDQLFSPPSSSSRSEETPAPEKPMPNPVA SLSGEHIKRLGSPFKMEGYRRTAPPGRISRHAPSLSDPFASGALPRFRSLNQHAPSRS QASASGLPYDATEAGISDAERIRRQILNMSYIRQ ANIA_03944 MAPHLAGSKKRRREPVNVNTKLMEIYEDLASENDEVRLKAAQEL VSQFTPDKSPGDEDIQKALQRLFRGLCSSRKAARIGFSIALTEMLTQVFAVGRSSSLD VQGVLGVWKGVSDASGGESGQEARDHHFGRLFGAEAIIKSGILFNPKTLLREWTKVLD LVFDLAKKKPWIREECGWIVYRCIHDLASQKADGKLVEVAIERLCSNDLARTPEGVAI WLAAKDMFSDISFVSKMWKHDDPLDSKERNQLAKVMKESSTETDGEGDKGAKASGFWN SKLHFAWDAALASLNGTKNSKSRLNFVDFWTEIVDNGLFAAASSEERKYWGFSLFIKV INEHSLQLASLVFTKNLIRCLTNQLAVEDRYLHRMAVKAAKAIQARVAKEPAFAAASV KGLMGSAGAVNFDQITKTKTVEKIVSEANLDALNTILPLFEQLVASPGTTDSKAAASN RQVLASLLLSMVRARVSASDAADEASEAALERILFIFARFAYFTSEGGKGSAQPLFTQ QSQELFRSRINSSLNSIIAAQKHAATLPYAIVRKIRDAAKSEEFGKFIIGMDGALKDS VKGAFKALKRLSSMEKKETAAGVEAFKLLYSMTILQVYNGDADAASMLDELDFCYNKF FADKKSNKEEETSEASDALVEILLSFASKQSQLFRRMSEQVFTAFAPNVTATGLESLT SVLEAKESLAGQQEMFDQQDDEDAEEDEDEDMMDVDEMDSDVEVVEPEDNDKDDDASL PSDQEAQEDDDDDGEDAAEIAAFEAKLASALGTHRADQDLNEEESESDADMNDDEMEE IDNQLVKVFRARRDALSKKNDKKDAKETMVNFKNRVLDLLEIYVKNKTCHSSLLALDL ILPLLRLTRRSSVKQISNKANSVLREYTKLCKGASLPTLRSEDVEAVWELLRAIHAEA MHSGPPAHASGCSQASLLVVKVLTAHDKEAVKGVVDIYGDTRKAQLLSSKCHVQPTFF TDWNNWCVSAGKAMKN ANIA_03943 MGDSGSGVHLSSIPLAPDLPLSSADPEEISVLVTGFGPFKSNVV NASYLIASALPPSISLPTDTPGGTTAIRRIAIHVHPSPISVAYSAVRTTIPYIMEEYV KTHGRHPDIVIHMGIAATRSYYSIETKAHRDAYNMPDNLGRAGYEDGEKLWKEKTLPH VLTAGRSDPAREPVVKLDLTPSRTNLNPHPPDSEFLKTWQAFAPAGADIRISGDAGRY LCEFIFYTSLSLALREGRDRNVAFFHVPSSCRDVDVATGKEVAIALIKALVSRWVDAK VYEHDWA ANIA_03942 MTPTLIAALLLVLAILITRFLKPNSLRSVAKFKSSQKPSEIISL RVYPIKSCRGFEIKVAKQCMTGLDLDRRWMLVDEKTHVFLTIRQIPEMTLINTGLSEN GESLVLSVKPASSEKSETISIPAHPSQTWLAEHTTLATDIKIWDTTTDGYVYGESVGI NQLFSKFLNRPVVLVYKGPTPRVLKGNGDPRLLGRVQNTNFPDVLPVLVASQASIDEL NERLKAQGHEEITIERFRPNIIIRGHKGDAWVEDSWKTVRIGNSRTAHAGPGVEKSAA GAGLEEEDGLTYDIVARCGRCQVPNVDPETAQKHKTQPWDTMMSYRRVDEGLKYKPCF GMLGVPRSEGEIRVGMKFEVLEETSEHFYIKGF ANIA_11420 MYRRLPGDGTKLQRHDWQDQGKGHSLYNGTLMQWGIHTEKRNGS GEDEGQDWCRGRVRA ANIA_03941 MEHSSPLAAMQPPSVMLGHCFRSDAPTSYHGFSPLPGLGPGGFN FKDLSMKRSNGDYFGTKVVRGSSPTASLAADLSQNFHIDQSPQVATPRRSLFSACLLG NGNRRGVDDAMTTPPLPSSSPAPAMDIMDMSPLPHKPPFISTPEIELDSPTLESSPMD TTMMSTDGLVPDSPTVLPKDGKQERRRPTFLRPSLARSKAQSFQVGMTRPAPESQGPP FKFQTNGINKTSSGVAASLEDMFGESPQRERPMMRINSTSGLNSRLRPPLGSGSHVRG NGSPSAASVRKSAHPNMRPRKQCRRSLSMYEHPEDVIADSEVSYTSNAPLQSISDFEE TQALQLPHFIPEEQADNLPRIDKATLVDIKEGKYDNMFDNIMIIDCRFEYEYDGGHIV GAVNYNDKENLAAELFADPKPRTAIVFHCEYSVHRAPLMAKYIRHRDRAYNVDHYPQL SYPDMYILEGGYSGFFAEHRSLCYPQNYVEMSAKEHEFACERGLGKVKQRSKLSRAQT FAFGQQSPEMEDSPTGRCRNNPGDRKLLASPFNDSPGSRFPGRRMLSY ANIA_03940 MEIDDILASVDHTDISSPESTALDHQLLTRFWVAERSVPELLPW PARLMERMMERVRQQIETIEDLAAASSEPTSSTVNPNATLTLSILQTDLSRSQYLLRS LLRNRLSKLTKHSMHYLLLSSHNPPNPPPASGSSEAKYQTPEDSVPLPDPDNLPRSSP LSPSETTFLYTHQQLLAKHFGSSFLNSFPPQLRRLDDNAGGTSMVQGPDTREAVFVRC LADEVLLLAPPGPGEGDDGEEVYGGTMRMGDVWVVRWEAVKEAWERGDVEVL ANIA_03939 MVMRARQKIRAPRKGIPVSGDEFEKNWKDLSTSLKKIHTKDASE LSFEQLYRNAYSIVKIQRAEDLYERTKELEKEWLCGEVQKRVVAAITPRLLLAKEAVD MQDQFTEATERRETGERFLSALSEVWEDHQICMKMITDVLMYMDRVVALRKVSIYAAA MALFRDHVLRSPVSSSNNAVVADVLKSTVLFMIQLERSGHMIDRALIHSCIKMLEGLY ETEAEEESSKLYLTSFEPDYLEASAAFYRAEGKRLLETVDAATFCAVVSARIAEEKER CQYTISLLSESKIKEIVDNELIRNNLAEVVNFEGTGVRVMLDHDRIDNLSKIYALSAR VDPKKTHLTAAVQKRIVEMGNEINNASFALAQAPAQPKSTGTDAGQKKEKEKEKPVNQ VTSSAIKWVDDILALKKKFDGIWKDAFGSDQVLQSAITASFSSFLNSTPRSSEFLSLF FDENLKKGVKGKTDNEVDALLENGITLLRYIKDKDRFEAYYKKHLSRRLLMKRSMSMD AERQMISKMKMEVGNQFTQRLEAMFRDMTISEDLTASYKQFVREQGDPDKKRFELDIN VLTSTMWPMEIMSSSRDGQVELPCIIPKEVETVKQSFEKFYLDKHSGRKLSWQPSMGT ADIKATFHRSNGKVQRHELNVSTYAMFILLLFNDIPIGESLTFEEIQARTRIPDNDLI RNLQSLAVAPKTRVLKKVPMSRDVKPTDKFYFNNDFQSPFMKVRIGVVSGGANKVESQ DQRKETEEKMNNERGGSIEAAIVRIMKQRKTLIHSNLISEVLSQLSARFVPDVNMVKR RIESLIDREYLERVSEDPPTYGYVA ANIA_03938 MVAISTASRNKPAKRVKSGTETTKQHRFESFSQRVSKLKIDPIH RVRRPSFGEEGDETSSHFRSAFEHWAELNLSENFVAFSRRVSPLCESLAQIVYYEEKI FNLLVEYIDKRDSNSIEPLLSLLSQFARDLGVRFERYFAASVTLVASVAATHPDVEVV EWCFTCLAWTFKFLSRLLVPDLRQLLGILTPYLGKERQKPFVARFAAESLSFLIRKAG LVYYKNPEPLQLAVTFLFDDLRQAVTESKNVELYKSGLMAMFSDSIKGVKNGLHSNGT DIFQCLLKSVCTDDDLRSTLALDVASGVLINIIHSTTPESFEPIIDILTSYVQSDVTT GNRNCAVAYTRLLFLCVTTRKGSRVKRWKPVLESLLLLLRAAEKAFDVFSDAIPQLLT AVAYSLQISPMDEMLPFMRPLMDAVTVDSLSAYFLSFCSTFSEWGAERFHSVVLPYFQ RFVNNSWEDREYELCLALLRLSGAGCVTSEASKPGYIVCPASWKSRIRETLRKPEHTN HGVALLNAYTKLPTALALSVEPSLLPDMVEVLHDNLVSALNEDNSSLTPRTSFFVGHG FKTYVDLGSNLGKLDSGLWNRVITVAAKYSRLHAFLEATLAYVSACPDNVGVDTSAME AFVNALIDNLAAPSQQLRLVSLKILRDLVKALGEDASLISLAIEIEESPLTLQSARVL SMQVRKLAISYPQSISRRWLPRLLPTFCFGLFSKRLAPLWDDSASALKSMSEHAEGEK VVTELATKWLQEKGSTASETEEDDDEDNQVSGYYQCFNAAKVESLSAMHFRSLEPAVA LTQDFEKDHALLDLAPTAPRTQALRVFNAIPNLAEKRSRQIVPLFLSWALRDEEDGQS PLEATTDAENGQESYIPWGFHDRLSFLKLLGQFVNPTVLYRASEVHDALLGLLCHGNS EIQKCALKALFTWKTAGIVPYRENLLNVLDESRFNDELAVFVRVGKEDSLIEDKHRDE VVPIILRLLYGRMISKASASAGQAGQTGRRKAILRTLAQLPDHDFDIFIKIAFGPLGN AHLVKNGQVDQQFFLQEPLGLRRQMGLLKLIETVFETLQTRMTPYAEQSMDVVLHCLV RACRALAKEQQSASADSQEGRLINVLRNIRQTGIRCLDLIFSVSQNRDWTSHIRVIFT EVVNPRLENFATETAQGVSGLLRLFHTWASASRSTFYLSRFNAVLLTKIVDCLAVDSA RDEVKIYVMDEILTPLIDIASGKELEENEEMGDFPAGEICSEVLSPYIEHMLSHLGRL LKRGPSKPVLVSGVHTLSRLAPCVESSKQTSSLISILTYLLRQPSDRVSPKTKSDLLH SLEHFLPLYNTKEDEALSREVFEAVCSMFDYFRDDKNREVLSKVFTAFANHIPELSQV ASLCEDLNSISVKKLGVDYERRLQAFRIINEDLWDSFDAQQWRPVLYNMLFHVKDEDE LAIRSSASFGLKRFIERSARASADQTDSFDLLVDDILFPALQSGMRQKSELVRTELVQ VLGHLVKLHPTRPAVQDMHVLLAGNDEEASFFNNLLHIQQHRRLRALRRLASEAANGN LQASNISTIFIPLNEHFVFNEAADENAHNLIAEAVLTIGVLAEWLEWNQFRAIFRRYR GYMQSKSEWERSVLRLLGRMSDALTNAMGQLTSPNSQTEDGEDAMDVSSPSKSTLAQS IPSASKVANELTTGFIPVLTDFIHHKDEAQMSLRLPAAVTTIKLMKLLPEADMAIRLP AVLLDVCSILKSKAQDSRDTARKTLNDIALLLGPNYMGYILKELRHTLKRGYQLHVLS FTVHSILVATTDDFKQGDLDYCLADLASVVMDDTFGTVGQEKEAEDYVSKMKEVKSNK SYDSMELLAKNSTIQNLASLVRPLQSLLREKLTSNIVRKADELLRRIGIGLLRNPGVE SRDILIFCYEVIKEAYKEPVQLGAQVAKSAQEENFLINMKGQRRNEKRGSTSSYVYKL VRFSFDVLRSVLSKYNSIMTPANIAGYLPMVGDALVQGHEEVKIAALRFLSTIIKLPL PEIDTNATVYLTEAVKLIKEAPSTNTEGAQAALKLISAMLRERKDVKLRDGHLAYLLQ RLTADIEEPDRQGVTFNFIRAVMARKFVVPELYELIDNVAMIMVTNQTRSARDLARGV YIHFLIEYPQAKNRWTKQLAFLAKNLEYKHQDGRQSVMEAIHMLLSKTGQELAQDIVG TFFLPIVIVMANDDAAECREMAGALLGEFYRRADRETMKTILEPLRSWIEQIENPPLA STGLQAMRIYFEVEETDKDKEAGFVAQTLPTIIQPIIRDTETTNWQTLYFSLQLFSKL SKAVPSVALSKDRIKAWNLICECLFYPHAWVKTCAANLVGIWLADLAKTNAAIGYSSL PLVGATGLAMNKDTMLLLLRASLRALRTPGISEELAMQTVRNTMFLGRCCAQNGLELD DTEDKTEGDSDESDSDDDEGDAANGETQSGRSRKKHAVRFIFEQASSILRREFVTTKA PSLVPKTAAIGLIAALCRHLEAEQVLDSLPVILLPLQHLTDSSIPAPRSSDESFRESY KALVSNCHEVLDLLQKKLGTTEYINQMSTIQEEIRKRREGRRVKRRIEAVADPEKYGR DKKRRNDRKREKRKEKSAEHRGKRRGW ANIA_03937 MASKIIVIGNVNCELQEVFTKLAKLHVKQSFSFAIITGDLFGDC STEHELQQMTALLQGSIAVPLPTYFTLGNKALPARVIEQLEANDEVCPNLYYLGKRGT LKTSEGIRIVALGGALVEGSASGNKYHPSYTESEARTLLGAHNADILLTHDWPKGIRT GSKVEIPEGITLSQETQPVADICSALKPRYHFSSTDEFFYEREPFFHLPTEDNPDAKP LTRFISLASYSNSKTTKQKWMYAFTLDPSTPPPLSVPAGATATPLRSIPTKRKPLPDQ NSSYSRFATTDDHHHHHSKRRKGARPPPGPDQCFFCLSNPNIATHLITSIGTESYLTT AKGPLPTSNTFAPSLTFPGHMLIIPFNHAPALNTITDTSSRHATWTEMQRYRAALHSM IQQRSKGSLGAVTWEVSRSNGIHVHWQFLPVPADLIKRGLVEAAFKVEAENLKYPKFE SPSASSSSLPQPGSEGLDPSAEPGDFFRLWIWNPSPSPEVEKSNDSTGSEKTLLLPLG NDFRFDLQFGRRVMAKLMQLEKRINWKDDVQSQEEEEADSAAFKEAFKAFDFTLE ANIA_03936 MSPVEYAYSLWPRDAASEIESVPDTFSSWDKCMAKSYCKWPVIV GIIVGGVILLSVIACIVNCLCCGIRCCTSCCGCCCPSPRPKRAKYADDPYHQPPPMPT MPQVPPANSYEGGYQPGFQQGYQTPQSLPTYRGAQVARFDAPTSPGVSKMNEDALPAM PSWDHAVTRRVEDTSPHPESVEMEPLNPVTRPPHRTPSAPRSNTGGYMGPPPIRTAVQ NEHFPDSHAHGYDDHNPYDYHAQNLGRGHSPYDNSPYDQPYTDHSPVGNYHAMPSPPQ AYSPGPQYPVGVAISSTTEMNRPIPFRQPSPGLPFRQPSQSQSVPYRQPSPGFSTQPP SYRGPSPAAVPSVPSSPPPPFTAGVPQQISDPGRPPSLLQSGRKPTPNSFRDV ANIA_03935 MGVLLPRDCWVDGYGRRRCDRWHEWGRWVAFAVIVGVALIAFFA LSCFNARRRRSRGLRPYAGTAWMAPPPPYHPQSHQDPYNRPPPPQYSHSPGPHGYFGG QQSGIELQQPPNAHHYGGERVYQPPSGPPPVQQNGKP ANIA_03934 MAGIFRTIYDWLLRMFWATEMDVTMIGLQNAGKSSLLRVLASVS SIPTIGFNTKRVQKGHVTLKCWDLGGQPRFRPMWERYCRGVNAIVYIVDAADRAALPV ATEELHELMNKPTLDGIPLLVLGNKSDLPNKLSVDDLIEQMNLKSITRREVSCYGISA KEETNLDAVLHWLIARASR ANIA_03933 MAPAATDTNWSVNYDVLKRENLFRNPPQDKTAYPSLAESIKPHV DSFNALFEKGGIIEAGLKDIGTRTFLDEVVETAEQKQQRLAEGRRAPRRNKLHVRIKE VFLEKPTIPLTNKYTARNRNIYPSECRERHATYRGKLRAKIEYRVNNGDWTEQVRELG QVPIMLRTNRCYLEKATPAELVQHKEESEELGGYFIVNGNEKLIRMLIVGRRNYPMAI VRNTFTNRGHAYSKFGIQIRSVRPDQTSQTNVLHYLNDGNVTFRFSWRKNEYIIPVVM ILKALVETNDREIFEGIVGSASSEGINNTFVTDRVELLLRTYKAYKLHSRSECRAFIG EKFKPVLGVPADTPNEEAGTEFLRKVVLPHLGNQNVTETQDYDKFKMLMFMIRKLYAL VAGDCAPDNPDAVSNQEILLGGFLYGMLLKERLDEWVRSFGPILRDWSNRNHGARFTD PAFERDFVSKVIRRSNENIGGAMEYFLSTGNLVSPTGLDLQQTSGYTVMAEKINFYRF ISHFRMIHRGSFFAQLKTTTVRKLLPESWGFLCPVHTPDGSPCGLLNHLAHKCLVATS DTDVSHLPKLLVQLGVRNESSVSLDESVTVQLDGRIIGYCSPKQARVIASTLRHWKVS GTNNVPLGLEIGYVPNSNGGQYPGIYMFSQAARMYRPVKYLPLDKLDYVGPFEQPFME IACLPSDLVKGLSTHIEFTPTNILSIVANMTPFSDYNQSPRNMYQCQMSKQTMGTPGT AIDYRTDNKLYRLQTGQTPIVRPPLYNAYGLDNFPNGTNAVVAIISYTGYDMDDAMII NKSSHERGFGYGTVYKTKVHSLDEKDSRRTKSKQAVQKLFGFAPGSEIRAEWRATLDE DGFPHIGAEIKEGSIVAAYHTVRYDATSDSYINVDGITHFVKYKDSERAYIDSIRIMG SETGTEPAQAISVKYRIPRKPIIGDKFSSRHGQKGVCSQLWPAVDMPFSESGIQPDLI INPHAFPSRMTIAQMIESMAGKAGALHGHPQDCTPFQFSEEYTATDYFGEQLRRAGYN YYGNEPLYSGITGKEFAADIFIGVVHYQRLRHMVNDKFQVRTTGPVNNLTGQPVKGRA KGGGIRVGEMERDSLIAHGAAYILQDRLMNCSDSQRAWICRDCGSFLSTQVAVSSAGS SKARMAAKNSSGSAALGGNAGIVRCRRCAREAVFDDSRAVVWEDGEGRRFVGGDNVTV VAVPGVLRYLDVELAAMGIRMKFRVDN ANIA_03932 MDQLVRQYTRSPHQNEFYSEQEQHDLTESLPPLSLKFALPPVAN QSAFLRAMTDDHSNPNCPIKLAHGTTTLAFRFQGGIIVCTDSRATAGNWIASQTVKKV IPVSRLSRGEDKPGSAPVPGLLGTMAGGAADCQYWLRYLSQQCTLHEIRHKRRITVAA ASKILANLTYAYKGYGLSMGTMLAGVTPQEGPALYYIDSDGTRLPGNLFCVGSGQTFA YGVLDANYRYDLTEEEALELGRRSILAAMHRDAYSGGFINLYHVKEEGWVHHGFDDMN PIFWKTKLEKGEFSNVTSAL ANIA_03931 MNRTLSGRRRSSVNTGRHRFSIGTFRGLQHPQLSKKMNRVIKSE NSAIAAHESAARQRMSIAAQISEWGETTEDEAISDISDKLGVLMAEMGEQEDVYAQHL EDYRSVLKHIRDTESSVQPTRDQRAKIADDIQRLKLKEPNSHKIETMEQELVRAEAQN LVAEAQLTNVTRQRFKEAYSVHLAAVIERGEKQALLARHARRLLNCLDDTSVIPGDEP KPYERGNDAKQIVEDAERELQSWETDYEHVETKAEHGAAAVPMSTSLPSSHEERGQRA SQSQATESLEEQQEEYTQADATSVSDTYSRISANDAASPTRIHSISAPRMYAGAFETA PVVNRESVRKENERIGGEVKLKTLAPHDTQGIMSPGSDVDFPIRTKQSELGSPLDSQV QRVAVPI ANIA_03930 MLAQRRFLQSQGILARKDFMLHDRNNWPTIGLPPQMAVQQGYQQ PQGPYPNAMVGRQPFYPQPGPGVQPPTGPVQPKAPRGHRAPSAAVNAATTDFSLEDED VSAGDIMDLLTPREVSKMRYQQHHEWMEEVLASPYAISQITPVSLGLGRKGELESLTA GFFDAPVGPVNGDSTDANEAKQATKLEPEKAEEFADRVAKKVADMTAEIEKLKKRHAR RMEKFNRTSQLKDAETRLRDAAADPADTGSEIWRLEGRIEIPTDEDNVPLAPIEHKAK YKVDDIVREVENSWQKQIVPEPKVSCIEKGGLLEKIEPEQKTTDLDVNMDHADSHLLD QFTAQGTTAQSHATTAPGAPGQQPTTGVAQDQSLAGLDIDMDLGNIPQAGTASGETGD WVMVNDKKDDTPGSGLQGLTPGNTGADGGLDGTNFDFTNMDSAGDALAAYTEQNDGLD LPDLENSAFGDAFHASDNENTHHHDADDMS ANIA_10487 MGFFGKEHAHGSNITGHGNDAQNHAAKNDAIPDMDLLDPEKQPA DNTNNDSHTALPQVHSPRISIDPVLEARVVRKLDLRVPTLLGFLYLLALLDRSNIGNA RIAGMEEDMSLHGNKYPWLLTIFYISYTLFEPLALMWKIMPPHRWAAITAMTWGIAAT CQAAAQNWSGLMALRFILGAAEAGFGPGSPYLLSFFYGRRELGLRCGLFVSAAPLANT FAGALAYGITSGHALLANWRLLFLVEGAPSLAAAFLAWYFLPDHPSSAWFLTEEEKDV ARARSLRRSGEGERVGGVDLKEIFQTLFDVKPWITALMYFSCNVSFSSLPVFLPTILE DMGFESINAQGLTAPPFFVSFIFSILTTWLADRFQQRGLTIVFFSLVGAVGYVLLAAC TSVAVRYFGVFLAAAGVFPCIANILPWVLNNQGSDSRRGMGIVILNIIGQCGPFLGTN VFPSSDGPRYIRGQSICAAFMFFNAILALSLRFLLAWENKRLEKKYGPQSQATNAQKG QVIGEENYGSSFRYVL ANIA_10492 MIQAGENSYLYGVVDIGSNGIRFSITDTSPPTARTMPTLYQDRA GISLYDAQFSKPKTKSNNSVSRERRPIPPEVVEQVVDRLVRFQGVCEDFQVPSENIHV LATEATRTAPNSKAFISRIKERTGWEVRLLSKEDEGRIGALGIASSSRAVAGLAMDLG GGSTQITWVVARDGIVHTCPKGSFSFPYGAAALTGRLEDAKHAGKGAERALKDEMKAG FQRAYEELEIPEDFIESSRKEGRFDLYLCGGGFRGWGYVLMKQAEVSPYPIPIINGFR ARRGVFHNTAGVLREVSESEGIFGVSKRRASQIPAVAMLIDVLMDALPAITHIQFCQG GVREGFLFNKLPQEIRSQDPLLAATLQYAPPSADDINGVLLSALPKHASPIESFHVPS TLSSHFIAALANILYAHSRVPRESRTAAALHSTTTGLLASTNTISHTERAMMALLLAE RWAGDLAPTEESYQRNLMLCLSPQEAWWCQYLGRVAALIGEVYPAGRVSKTHWRIRLE AQWDQVIKKKGERDLLRLKLQWNRETAATSGVTEHWLRDRVEKIEKAGKKKSWIRDYG VRLEVVVC ANIA_03928 MSPPAAIFEPTVAPTGIKGKVVVPETATIPGDSQTKLLDHFGGK WDNFKFAPIRESQVSRAMTRRYFQDLDRYAESDVVIVGAGSCGLSTAYVLAKARPDLK IAIIEASVSPGGGAWLGGQLFSAMVMRRPAELFLNELGVPYEEDPDMPNYVVVKHASL FTSTLLSKVLSFPNVKLFNATCVEDLVTRPGPNGNAQEVQIAGVVTNWTLVTLHHDDH SCMDPNTINAPVIISTTGHDGPFGAFSAKRLVSMTTIDKLGGMRGLDMNSAEDAIVKN TREVAKGLIIGGMELSEIDGFNRMGPTFGAMVLSGVKAAEEALRVFDDRKRECAE ANIA_03927 MADPESIRILTLNCWGLKYLAKYRHERLSEIGRQLALANPPPDI IGLQECWTQQDYESIRTQIAHILPYGKFYFGGIWGAGLAIFSKWPIEQTSMHAYPLNG RPTAFFRGDWFVGKGVACARIRYGQGKHDVAEVFCTHLHAPYEQEPHDSYLAHRTAQA WEIAKLMRGAAERGHLVIGLGDFNMLPSSFAHRLICAHSPVVDAWQVIYPDSSVGAAK DAVEQARGKPVPSAEFNLHHNGATCDGPYNTWRWSKKDQKRLDKGHDIAVEAFKDSPD YKGKRLDYIFVGGEVGWSVRDVNIAMTQRHPTLKCSLSDHFAVQTVITRDVSSMAAKQ ESGGGKSNRLTLPAETYTHILDMIHAYVRRERTQRRWRLIHFVASVLVTIGCLVGVWW NGDRPYIPFILTLISTLSFGAGILDGLIGGLFVSSELRALKEFEWEIRNTKRIAEGLQ R ANIA_03926 MEHPSKRRRLTPSPPPISSPIETSSDELAAGSDHDQERRRVSLN AYPPKRPYPRSRSFSSSESPDELAMDADVYWRSRNRGRDDSPWARSDEENDENEADEE ENENEDDEHDNMNGDDNGREANGSRERDLDEMDTVGENEPETDPDRSPTPVPPPPPPK PESVNYRQKFLLRGHLRGVSAVRFSPDASMIASGGADGAVKVWAASTGKLIYTFEGHL AGISTISWSPDGATIASGSDDKTIRLWNVLTGKAHPTPFIGHHNYVYAIAFSPKGNML VSGSYDEAVFLWDVRSARVMKSLPAHSDPVSGIDVVWDGTLIASCATDGLVRIWDTST GQCLRTLVHEDNPPVSSVKFSPNGKYVLAWTLDDCVRLWDYVEGRCLKTYQGHSNKKY SLSGAFGVYGQSIPGRTPGYAFAVSGSEDGAILCWDVVTKKVLQRIEAHDGVVLGVDT CSTGEGRFMVSCGLDGTVRVWEEVGPEREPEPEPEPEPGQCPDQDQDQGRDWDMDSEM REAGELAVETRDPT ANIA_03925 MVSFKSLAALALLASSALAAPHGHAHTTLHKLEPVKRASNTTTS SKRGAAYNDASLVEALASSGTISWAYDWNMYTMGDLPSNVEFVPMLWGTKMFTGWFAA IQTLLNSGNNYILGFNEPDMASQAAMSSSDAAKYYKNYISTFAGKSKLVSPAVTNGEG DDVGLNWMRNFLNSCTDCDVDALAVHWYGDSADDFKAFVEKATALADEFGLSETWVTE FALNSDLSGSADASTSADFLSEVLPWLDEHDKVSRYAYFMCSDGHLLSGNSLSVSGKA YVS ANIA_03924 MNNEQFRRLLLDNNRSSKSTSASPTGFSHAGKHGAASPQGGSDS GGQTPKPAQALLGSRMRSSIPMTPRTLTAPNFASQLAEYRRERDGKPPPNKKFKSSAA PKGTKLPVGYEDRAAARLRESEDAKSAEREAELKGLEEKFKEGLIDEETLTRSRRELG VGGDLSSTHMVKGLDWDLLRRIKAGEDVERTKEKRDEEGDGGAAADDEPEKEVDVDEE FDKVLEQKGGESLPSAPKGKEKKRGNMAPPPAPTQTQRKTRDEILRELKASRAAASAP AAAQAPESVLGARFKKIGDTKPEKKRFIEQDENGRRREVLLITDADGKTKRKTRWLDK AGTTAPATATATAGDPVSEKEKESKPKPLGMEVPADVAAKIKAAQTAEEEDDDIFEGV GADYNPLGGDGSEDSSESDEEEGDVAAEVKSRQELGKEKTTEEPTMEAARTEPARPRN YFATGSTGKEAEQVESDRHRTNPLTKDPTILAALKRAAALRQAAEGSDHIADDEEGVD KETLLRRKRFLEEAQRREALDAMDLDYGFGGSRIEDDEDDEGIVLESERGGNKRKRGP KKRKGNKDSAADVLGVLEGRKNK ANIA_03923 MLTECERILGHGLLRLPAEHNYRYGPAAEKALLELLFRSMTGHN DERLRQLFPNGPPAGLWKLAEAQGAVEGAEYTEAARGKRCGHILRAGEATYRCNTCGV DETCVLCSRCFDSSDHTNHQYQIYVSPGNSGCCDCGDEEAWRIPVHCAIHTDNGERKG KERVRARLPEDWVNNIRLTISRALDYFADVISCSPEQLRLPKTEEGIRQDEVASRLHA GWYGEGDAPEEEPEFALCLWNDEKHTMQDVTNQVSRACRERTSYGKAKAHETNDIGRS VVKYGKDLKTLLGVSKIIEQIKITTTIRSSRDTFREQMCGTIVEWLADIAGCSILDDN QILRQLVCEELLGPWRRGSGAFNADIGRQGIDDHSGMDLLERLRAIGTVMVRVTPQGQ GVAPDEDDDDDEIENENDEANEDEGEVDEEFVEAQDDADDGDDEMELELTRLREDIAD DDVDMVMGGTEDVLQMAQTIFGFNSPLQGQQTERQERQEEQQQQQESVQTSNPPQVSS GGQHSENRDAQGVSHNQGNMLHTPIPKTPRGVRRFPSTTTPGYWQVRPSAPVTGENVP LYEDLWQRTRLDWMILFDLRLWKKARTDLRDLYLSTVVNVPQFKRVMGLRLSTLYSAL AQLYLIADREPDHSILNLSVQLLTTPSITEEIVQRGNFLTKVMAILYTFLTTRQVGEP YEVNTTATLSFDAGSVNNRRLIHFFNDLRFLLQSEYVQKRVRTEEQYVLQFLDLIKLT QGICPNVRAVGEHVEYENDAWISASILMREINRLCIVFRESFKKPELDGGQNLLRAIT VATISTIVHSVGCERKRFEQAEIKDDVHFKNLQPFDFETDQLGTFQRHRVVDFLVEKG AMSFHHALHYTLSWLLDCARGMSQELLREVLFSAAEAANDKYIFDSDLTPEDLLLAMF DYPLRVCAWLAQMKANMWVRNGLSLRHQMSQYRGVSFREMGYYRDIFLLQTALVTCNP SRVLASIADRFGVVDWMTRNYTARAGYEDSKILDVVEEFVLLLIVLLTDRHSLTIDGD GDQATYQNMSREIAHVLCFKPLSFSDLSTRLSDQVRDSDHFQDVLEEVARFRPPEGLN DSGTFELKPEYISLIDPYSAHYSKNQRDEAESVYREWMAKQTGKKASDIVFEPKLRPL ESGAFADLARFTRTPLFAQIMHQCLDYVMTSKDRTPGIPPTRVETFLQVVLHLILSAT LEDHTDEDRNDDQSAESFVSHALTKVRSTQMGNLTIVGLLEKISSMPEYSACGPRIRH ILKRLWQKRPRTYSSATASLMFPFDRIDTNSPAIDTESEKELKKKQALERQARVMAQF QQQQQNFLNNQGGFDWGDEDFSDMESEPEVTPETKIWKYPSGTCILCQEETNDSRLFG TFALVQESSILRQTDIQDADFIREVLKTPSSLDKSAEHLRPFGVAGENRATVRRLDSS GGEVISEKIGLSKGFNAKNTVRGPVTTGCGHIMHYSCFEVYYSATQRRHAQQIARNHP ERLKHKEFVCPLCKALGNAFLPITWKGKEESYPGPLSTKVSFEEFMDHEVKSVLSPKH KINYALLSDNSELQLQAYQGLFRDYLHRSLVPPLADKVEQLLSSSLSSTAHLVPQPRL PMPGLFPQQDDLSSPNSPQQSLASSDTPMTELLQIYARLKQTLRLNQIYSTFSHPPET LGNDDIIHTDSLFQSFGFSIAAVEIAQRGVESEPGCTLLDKIPQLTLTHLRVLAETAL TYASVGGLQSKGGNQSRSLEEFREMHHQKLCQLFVGHPFFEGTSLLADVHQIEPLFAK DTFVFLAECSLALLPVLDIDVRHLVQMCYVAEIVKVATTYIVWPIGLKEELAQNGDAH YLLDMELSDERFEVTRQFFNTVVSELKANSVGRGIGSSHPTELGYVKEGEDSATPGVI IALHRLISSYALTFLRKAVILLHVQHGVEFPSTGFGDVGASELDRLTKILNMPSLDEI FASVKPARKSGKPLDAMISGWIFHWNASRAGVRIGDHKLWPSLSHPAIFELVGLPKYF DSLIELANRRRCPNSKKELTDPSICLFCGDIFCSQAVCCQDSNTRWGGCNQHVVKCGK NIGLFINIRKCAVLYLHNQSGSWHNAPYLDRHGEVDMGLRRNRPLMLNQKRYDRLLRD VWLSHSVPATISRRMEADINNGGFETI ANIA_03922 MAGAVSPSSSLPLSTSTSSHPRSSADDTIAVFPTLLTRVIATTY RTLIRRNAVYLTSIFVGAFAFEVAFDTTTNKLWDTWNRGRQWKDIKHQYLTKADEEDD E ANIA_03921 MASPNQISNVSQSGITTDAVTGERYIPSSVRADGSKRKEIKVRP GYRPPEDVELYRNRAAAAWKNRGKAGVPGAEGLTQTGSDPSKSVSGSGTAASNKNAKR REAKKKAKAGATLDGTGSTTNGKDISQIDNWRAGSAKQQQQDAPADPVNPEVEREKKA RNLRKKLKQARELSDKKNKGEVLLPEQLEKVIKIQELIRQLDALGFDAAGEDKESEFQ KEKQEK ANIA_03920 MRGSCAASSALKRLERPLAFPCNGQRRFLHAISEGHTVGIIGGG ITGLTAAYRLSRDPKCSKVTVYEKGPRVGGWIQSEKINVDGEHIVFEYGPRTLRASTI TAFPLLDLLTELGLEDEMLITKTSSAASQNRYIYYPDRLVRMPGPQKGASRISQLITT LKSLLQEPVFESVLPSLLKEPFVKRPLNLPSDESVMEFVSRRWSTKIADNLASSVFQG IYAGNIDKLSAETLLGPLRAHELSGSVAKGITEMKGKQYWISDDFISMLLISEAKKAS HLDYLRSLAKDSSTLTFKNGVGQLADALVAELKRSPKVEVLTHANVTSILQDHESSNL TVKVEDGQSRVHNRLIATNPPCDLARQLQCSLEPEKQPTGTINALQRHNYATTTMVVN LYYSNPDLIPVRGFGYLIPRSIPFAQNPERALGVIFASESSVGQDTATGTKLTVMMGG HYWDGWKESDYPDHDTAVSMSQALLKRHLGILDSPKVAHTRLQRDAIPQPTVGHLERM QDISTSIKSELKNRVTLAGAWYSMHGTGVVDSIRQAYLAAKYGPELEYSGAPFPFPSL TDSLGGGGIITHYQPRWITASSEELFRKCGIEKNPN ANIA_03919 MATVAVGTPLAEALSNAIQPKLVEMGWSSDSSDSALTEYVILML VNGKTQEQIASELSNDLLGLGEGDTQAVDFSRWLFEQVDAFNQQINGQSAQTSNDNAQ AIPSFDDQETTTSQVQGHEKGPQSSDTNMSDAGISGDGIPTGPKSMRNGRQGGQAGRG RMLNQINRNLDRGDSGLHRIRDQTGGGRINSHSRGGNRGGRFNQNGNGRLFGGRGGMG MGNNQMAGAAGNLMNMNPNDQMHLMSLLEEQARMMAQLMPGFVSPAINPAFQQSGPQR SLSDRVERPRGPRQHGAFGNRAQRADSKNNDTDMDMTSDGAVQNGQDENNTDNICHFN LRCTRKDCPFAHQSPAAPEGTPVDVSDVCSYGAACKNRKCTGRHPSPAVKSAHQAEEL CRFFPNCTNPHCHFKHPSMPLCRNGADCSTPDCKFTHLQTACKFNPCLNPTCPYKHAE GQRGAFADKVWTADSAKAKARISERKFVNDEDAPEELIKPETGAEPSSQEIVT ANIA_03918 MRPAAVSLGLIGGISALGIPLPETLEQLPLSDAQKPLVSSEGLQ AQIHVSNLLDRAKVLYSLAERGIDEYNHPTRVIGSKGHWGTLDYIYSTIMELGDYYDV TNQSFPAVSGNVFESRLVLGHEVPASARPMGLTPPTKNREPVYGSLILVSNEGCDKAD YPSELAGAIALIQRGTCPFGTKSELAGKAGAVAAVVYNNEHGEVSGTLGTPSPYHVAT FGISDTDAAPYVQQLKEGKKVDSIAYIDATVDTIYTTNIIAQTRRGDPENCVMLGGHS DSVAEGPGINDDGSGTLTLLEVATQLSKYDVNNCVRFAWWAAEEEGLLGSDYYVSVLS EEENLKIRLFMDYDMLASPNFAYQVYNATNEVNPVGSEELRDLYTEFYTSHGLNFTYI PFDGRSDYDGFIRNGIPGGGIATGAEGVKTDEEQEMFGGIAGNWYDPCYHQLCDDLGN VNATAWEVNSKLVAHTVATYAVSFEGFPKRTTTNVKSVDLEKRKYHGPKLLM ANIA_03917 MIEELVSKTTPGQAVVYLLGAFFLACLARKIQVQWQLSRLGSRA PKIPFRLPYGLLIPSISRNSHADRRLSSAMDFIYKSMQANKSDQDLEYFTDVMRNAKG APLAEDKKTVELDAGISSRIIFTRDPENIKAILTGQFSDYGKGESFHRDWREFLGDSI FVTDGEQWSASRHLIRPMFVRDRLVDTEIFEKHVQHLIPLLAGNNESKIVDVTSLFFR YTLDAATNYLLGQGTDSLQNPETEFAEAFRYVQRRQAQYFRLGVFKFVLSRTEFRRQL KVMDDFIKPYIDRVLAMSPAELDQKLSKSDTFLHALARFTRDRRTLRDQLVAILLAGR DTTAATLSFCLFELARNPEVTAKLREEIASRLGLGASAQKPSYTDLKEMKYLNAVLNE SMRLYPVVPFNVRFSLKDTTLPRGGGPDGLAPVAVRAGTRVVYSTMIMQRSPENYDPP SAPNYFDPAQWNPERWLSGWQPKPWQFIPFNGGPRICIGQQFAMIEMGYTVVRILQAF ERIQAVPEAGKSVVEDPRLRFEVTLTPASELNCVFVRDGEGREDEKISR ANIA_03916 MDPAKIFVGSIDQGTTSTRFLIFNREGDPVASHQVEFKQIYPNP GWHEHDPLELVSSVETCIEEAVKQFEINGYDQQSIKAVGITNQRETTVVWDHETGEPL YNAIVWTDTRSQAVVDELKKKPGASQLQQICGLPLSTYSSSSKLLWMLTNVPKVKEAY EKGTLAFGTVDAWLVYRLNGGSAANVFVSDCTNASRTMFMNLETLGYDDFLLDFFGIK GRVHLPKIVPSSDVTAYGALSNGRLAGVPIMGCLGDQSSALVGQKGFSPGMAKNTYGT GCFLLYNVGDKPVISKHGLLATIAYNFDGRPVYALEGSIAVGGSGIKFLQNNLEFFRE SKEVNDLALTVEDNGGCVFVTAFSGLYAPYWIDDAKGTIFGITQYTQKGHIARATLEA TCFQTKAILNAMEKDSGHALFELAVDGGMSNSDLAMQIQADLISIPVYRPKMRETTAL GAAIAAGLAVGLWRNFAELRDINRAGGTVFRPQTSQDISREQFSLWEKAVSMCRGWVG DKSHATEHRDTEGKPTQKVDLSNGHKGVVDAASATAPSNIVSPLLSAKMNGDLVLSKG TPWINISGDLEDADDQDLFLELRKLEILQRLKRLGKVKVTYF ANIA_03915 MVTVMSAVNGIDIPKNMQVSLQTSNKRDAVLGVSTASETTEAEP TAIDAHPSPLWTRIRAYCREAFSEFFGTLILVLFGNGVVAQVVLSNNQKGDYQSISWG WGLGVMLGVYVSGISGSHLNPAVTFAMCVLRKFPWRKWPVYAISQLLGGMSAAAIVYG NYKSAIDTYEGGPGIRTVPGYSDTASAGIFCTYPAEFMTRTGQFFSEFVASSILMFVI FALIDKGNMGAGPFMPLGMFFTIFGIGACFGWETGYAINLARDFGPRLVSYMLGYGTE VWKAGNYYFWVPVVAPFFGCTFGGWLYDMFLYVGSDSPVNMPYLGLRRLVRPDAEKHH EAHSRA ANIA_10490 MASLRSGNGRIPRGKISIFRQFTSSLLLLVLYPCPFATIYRSVH NQLRHLELIDVRHWGLMPLARSSGLPNSAVMLSLAEILTNPPLSMARIIDMELSSLRT S ANIA_03914 MKFRLKGSTVGLLSWLAVAAAQTYTDCNPLEQSCPADPALGGSA NYDFTQGASDDFTEVMSPSYGSDGASFTVAKQGDAPLIQSDWYIMFGHVEFVIKAAPG VGIVSSAVLQSDDLDEIDWEWLGGNNEYVQTNYFGKGNTATYNRAATHANSGNHDSFH TYTIDWTSSHVVWQIDGNTVRVLTPDSAESNQYPQTPMMVKVGVWAGGDPNNNEGTIQ WAGGETDYTAGPFTMYLKSIKVTDYSTGNSYTYSDNSGSWQSIISDGGKINGNSAAQS MTDIGTVPSITATADSGPIPFEGTHRETTSFSTPSIYPWVPRPSSDDDSDSSPSTNLP GDWHFAGSGKPQPPSRSSMIYAPVYVCLVSLLVGFILPLRP ANIA_03913 MAFPGGELPEGRHSSESSGNNSGLSQETIRDDGRRYGRIVPSGR SISPHSKDDGSDRLELPAPRPPSLGAPNDLYTSMFSWGSPDISPTDVRKNQGFGSHRK ALAVLGNDDPGPPPINNPSPRVNTNYGDYFQHDPQGTLSYSPRLGTGDAHGTFFHDHS EHEASPATATFRPGTGRTLASDAPDLDYNGDHRRPSVASATTVSSQGSKSSTSGLFRK KLQGFFGDDPNASDSKQDHDGHHSSGSKPSSIDYFRSRQRADSEGSRRPSDGVQDDAH QPGRPRTPLPSSDITPWEYQRYNDIPQLGEAPVREAPTALDRHRIGSQGASSTSRDPT RRHFSGHRHSRSKEEKANAAGDLAGYPDRPSTGRDDFSVGLRPSRDGSLGFRPAANSS INLAGRSTSPTPSLQSFYTKDSGQGSPGAPSSKRSFLGKLRRPNLKHFPGSKGPTDAI RGTSKLARRDASPGRRGRQGSLEGAPSKGAENGEHERKKDGKGLGIATGKLRGRRGAG HETPIGKETNPSEAPGVWALDTDLSHMEGIVQPAADDGDKTNEGKTVRHDEKRLGDQL GAGNWDAPESWHVKRQRNEVLAKVPKMTNDAARTIAEPDGVPYFIRVFRIDGTFATLS NGLHATVADVLLSLGKKSFLTDHLNNYEIVMRKNDISRQLDPNEQPILMQKKLLEQIG YTEKDRIEELGREDHSYILRFTFLPTKLSGYSSLEGEPGFSKNQKFSHVDLQGRSLVT IPIALYKKAPEIISLNLSRNLELDVPKDFIQGCINLREIKYIGSEALRLPPSFSLASR LTYLDVSNNFLDQLDHANLDRLQGLVSIKLANNRLTKLPDYFGNFKSLRSLNIASNNF QVFPEFLCNLKSLVDLDISFNNISELPNIGNLTSLERLWMTNNGFRGPFGESIKDLVN LKEIDARFNEIVNIDSLTLLPRLEQLLIGHNSVSKFRGSFPKLRNLVLDHCPVTQFDI DAPMPTLTSLNIASAKLVQFRDTLFDSLPNLTKLILDKNHFMSMSPHIGKLRKLEHFS MAKNPLSSLPPSIGCLTELKYLNLRECNLRRLPQEIWYCLKLETLNVSSNVLDSFPKH GGPPPQLPGEATAGTTPAMTPGGSVTPSYDDLGTVEEQETRRPSQASGSAPSGSSPGG GSTRKPSVASSLSQGGRKVSTASKFAEGSPSSRKDSNFSQHVATTFGGSLRNLYLADN RLEDDVFRELSFIPELRIVNLSYNVLNEIPPGLLKRWPLLTELYLSGNELTSLPSDDL EEGSSLKILNINANRFQVLPAELCKVSKLSILDVGSNYLKYNVSNWPYDWNWNWNRNL KYLNFSGNKRLEIKPNVSSLGSQPPNGADLTDFNSLTHLRVLGLMDVTLTTSNIPEEN EDRRVRTSASLAGSLAYGMADFLGRSEHLSIIDMIVPRMRQDNVETVVGMFDGQPSST GGSRVAKFLHENFLHTFSAELKRLRRDEQETPLDAFRRTFLTLNRNMAFACYKSIDQD VRLFQEDSSDQKKVRLNKEDLQSGGVATVLYLNNTDLYAANIGDAQAILVKSDGSMRY LTRNHDPAEAGERARIRAAGGFVSRNGRLNDYLPVSRSFGYFNLMPAVIAAPHTMHVS LTEQDEMIILASKELWDYVTPDLVVDVTRAERRDLMVAAQKIRDLALSFGANNKLMVM ILGVGDLRKRERRPPRFPSMNSFSQVDDSILPSPKRTKKPRDMPGDSRLARFDYVDAP TGELAIIFTDIKQSTGLWETCPDAMRSAIQIHNDILRRQLGIIGGYEVKTEGDAFMVA FSTTTAALLWCFNCQYQLLEAEWPTEILEQPQCQVQFDMENNIIFRGLSVRMGIHWGE PVCEKDPITNRMDYFGPMVNRASRISAVADGGQIFVSSDFMNDMQRNLELFADSERAA STGSEESYALDLGDNIRRELQQLNSQGFVIKDQGERKLKGLENPEPLYLIYPHALSGR LSTQDQMSGEESTPTTISQHSQLQIQTEAIWRLWEITLRLERLCGALEHPGEPRLDKP NSALFDIIKKHGGELADSSVVSLIEQQVTRIEVAISTLALRHMLRPFKPGDRLDDHAA PIGDVLQELRTQLAEYRALKEQIATNGAGITGASPSSTATDLHYTPDFHSSASSSSFT ANIA_10486 MLSTIRPQAPTHIDKLAHDTEDVASPQAEPLTVDELVRHRASLG PSQPVVYYPRAGIEYSEFPLQNLDVFAYRVAKILAEKIPPRKSSSECPAVVALLGPSN LDYLVLLLAVTKLGHAGLLLSTRISVEAHVSLIERTNAQHMFVHGSFRDIAAKVSERI PLVQVHSIPSEENYDYHIPDEPIDTNLLSHLDPEVETKHLAWIIHSSGSTGLPKPIFQ SQRAAVKNYAGNMNMRGFITLPLYHNHGICCLFRTIYSNKTLHLYNPQLPLTSQYLVE IMRSYNFEIFYGVPYALKLLAESEEGIEALAKLKAVMFGGSPCPDSLGNLLVENGVNL IAHYGSTETGQLMTSMRPPGDKRWDWLRPSETVKKYLRMEERYPGIYESVVLDGWPSK VMSNRPDGAYATKDLFLKHPEMEAYKYYSRLDDTITLVNGEKVIPLDLEGRVRQLSVV ADALAFGIGKSNIGLAVIRAPEAASMTDEQVIDAIWPAVEDAHQTLPAYGLLPKNMVR VLPADAPYARTDKGTVIRQAFYRDFADLIEASYEAGDDMTGTMLLSEEELKAFLHKEL SAIVPARTTNGFTDEEDFFSLGMDSLQASQLRSVLVKSLDTKGYKLGLNVVFEHPSIA SLARYLFSLTSKTLETMASIEEQMEALISKYSDFEHHVPRPNELSGRYIVVTGATGSL GCHVVAKLSTLPDVQKIYCFVRANSTLDAYGRLLGSLRTRRVYDTLSTSAKNKLIALP SNLALATLGLDTMTYNTLTSTITDIIHCAWSVNFTLHLSSFEKDNIAGIQHLANLCLR AQRPAPATLNFCSSISAVVRSPEPSIPESLPLSLAHAQAMGYAQSKLVAEHLCVKAYQ QTGLCTRVLRIGQVVADTENGIWNTTEAIPLMIQSATTIGALPKLDEFHRWLPVNTVA GVVVDIALSESDVDGPKDAQAIYNIISPYPFHWTNELLPCLRDAGLVFEELDTRTWLK RLRESNPDPQANPPIKLVDFWTGKYDHDFPPRVVGWETGKTKAVSRTFGQAKKLQRDI VQKMMSYFFGVDGWGKGKVKLT ANIA_10491 MASTLVEQVDVELPSGTNFGDTGRAVGVLPGRKRKSLEPSASKV SAPRQKITRACDSCKEKKTRCTGTLPCGRCTRLSLACEYNAAYSRGLPPDPPPAPASV AARYLRNRPSSHASTSQSPNSRKSSPNKDSSRTAKTVRSQLSQASVEMPRRNSPDPVA TDFEGNYLGPASGVSFLNRVWRRLHQDETSAVPDELRNESSPKNTSVFMFGDKPYASY RDAGFTLPTFDKAMELVSRYFDHAMVTYRFLHRGSVEEWLRQVYNCNISPSNLPTGPF VARTAIILMIFAVSTLHAEQTQGKQAESSCESERWFAASKYMLSMEFGPPRLETVQAR LGQCLYLLSSSRANECWYTFGTAVQLVTALGLHRRCAPKMSKKGTSYLERELRKRILW SAYTLDKYLNVIFGRPRLLHDEDIDQELPDEVSDEDILQDDPAMRLGTTDSMMIASIL HFRLGRILGEISRQFYTVNPIHRESHLEAAVRITSELERWKETTPPLFNSVRPTSLIP PLCRQSQVLQLAYCHAMIHATRSFLLNDFTDLGRRPAAPHPTVTTHVTKCLEAAEHVM KLVDSIAKQGTLIQSFWFTHHVCFCAIVVVYIYTIQQHRLSSNLEPAELDTNSVRSLF SLAEVCQQHLAEATRKNCPSRRYGIILEETRLEVHKQLGTKLIKGSLANNPEQSRDMP IQDRSAVVEQRPVDPTDTNNVVPFNSISYDGNFPSSAFVDGPPEMGDVGFLDNLEGSI WWTQLDTWVGSPVLWAVLS ANIA_03911 MVDHSMRNNLRPLAPKGPDTEARPTSRSPEETRTKRASTACGEC KLRRTKCTVDDSGGPCTECALHNRECIIDELADRRRKIAAKETEENLRRTQMELQATR RELEYYRSYVNYLLTSIRSCQEGDLSKIVKVIRRGTSDEEILSYLSQIVPVFPPFDPR TSRGSDLMDGENGLTPGRRAPGPAYR ANIA_03910 MSDDLDYLSPDFDLNSLTVPRLRSILVSHDVPYPASAKKAQLIR ILEDEVLPQARKLLRERERVRRTSEGITNMPSRESSVISEQEFERDRIAPTPSSVSTA GRRGRSKASARASTVDTEEASVTATPSSRRTTRSRRSQKTEAEPTDEHLTTPVPSNTV TPRPSTSNRHRRDLTPTQVESRDMEFKAEPKSESVFTDDNPFQSGSPASWDQRKLGGI SPEKKRNSSARHSMVKSPIRTPAKEARLRKSETPAYIKHEDLDETGQSLAAYEPHETD SDIGEEFTPEEQLALEREQADLMYPPVHRKRLQKPGPVGRAVPWLIILTLFTSFGAWW RKEKIEIGFCGIGKPTWSLAETKVPEWANVLEPQCEPCPPHAFCYHNFEARCEHDFIL TPHPLSLGGLVPLAPTCEPDSEKARRVKAVADKAVEELRDRRAKWECGQLSESSKETA GPDITEGDLKKEVAKKRRKGMTDAEFDDLWKGALGDIIAKEEVVAKTDQSSSVLTLTS TSVARLPLSCAIRRYVRLSLLAYRLPLSLLIICIAAATYARARVRARRSDLARVPELV ATTLDRLAAQAALHARGHAHEPYISIGQLRDDVLRSELRGNRREEIWKRVRNVVEGNA NIRAAVREGRGGDVARVWEWVGGIGSVGRQIEGSTDKAQFSPLATPETRSPGALDAIP CDSQQQAVRKWDEGRPIY ANIA_03909 MGSRLDATSSAVRKRIQSHAFDDEEGEEYEASKFGGFSDYMRRK KTKLQNLDNDIRSSAAADNCPQIFRSVVACVNGYTQPSLQDLHKLIVRHGGGFLQYLD GKTSATHIIASSLTPKKREEFRRYRVVKPAWVVDSVNAGRLLPWDLYRVLDEGQGQKV LNFEGGRIVSQANSHRTNYKDESRASRYTSQWPSERATNDRSPDPPETPASKQSNDAT QSDYGDFPSFSSLDQPAQSATSPSSPPPHGQGDRLGEPVITPGEPAENQRTDMGTTLS PQQPDPRMRNSSVVNPDFLQQYYKESRLHHLSTWKAELKAQLQSKAQEKARSQRRKKL VPGARRYIMHVDFDCFFAAVSTLKHPELEGKPVAIAHGTGTGSEIASCNYVARASGVK NGMWMKGALQACPDLRVLPYDFPAYEEASRKFYRAILGIDGLVQSVSIDEALVDITTI CLEAGGSEGKGVSEGSIYREQAKADEVAEQLRAAIKRETGCAVSVGIGGNILQAKVAL RKAKPAGQFQLKPDAVLDFIGELPVQNLPGVGYSLSAKLEDLGVKIVKDIRDLSREKL SSSLGPKTGAKLADYARGIDRTEVGNEVTRKSVSAEVNWGIRFVTQDQAEDFMRSLCE ELHRRLVENLMKGQQLTLKVMRRALDAPLEAVKHLGHGKCDVFNKSVILGIATNAADV LGKEAIAMLRSLAISPGDLRGLGVQMTKLEPLKSTSEKSDGSQQQLAFKASTAPKRAQ PIDDPDLLDSPRKGESESIRHGPQLNDTTQKLLNTSGTQFIMPSQPDPAVVAELPDDI RSKLVAQGKPRTTSRAGSPMGPGPAVRQQPAPALPPQSQLDPETLAELPEDVLAEVLG YYNQPSVNNTGSTKPQTESSPQPRPSGSTLKLRKPTTPPKKRRGRPPLKPSQPSTLTQ AKFAFPKSDTRPCRLSPFQDHELTSDPEISADFLAALPEDIRCEVLEEQKQKRSRLLH QRTASTTAPRTVPADRGHSQHQEKHINLPNLPPRPTFTSKRLSNPSDLRDEATAWHAT FAQEGPFREDVTALAIYLKRVVAEERDVEKAVSLVKWLVWLVEDDRANGGANDRASIG ASSTVGTGKESQEGVPGTQCAISWDEALKCLKDGVLEGLEERGMPAVDFLD ANIA_10489 MMSGVQPVAVYALRVPADGALVPAVPDAAAMFRVSMAAIDPDEA PEFDDDSSRRPRATLRIIRAPPGLDEEDSDDDYEDEDDSEDDSEDDEEVNGGPSDKEK ARKLKEAAYLKELEDAMSEDDESDEGEEFDLKAAISKLVKGKAPATDDDDEDAESDEG LDLDEMVVCTLDPERNYQQPLDITVAEGERVFFKVTGTHTIYLTGNYVMPIDEPRDDY DEDDDEDEEDYDLSPDEDELDMDELMMGEDDESDDLDGLENPRITEIDTDEEEAPKLV DAKGKKKRGADEAALEAKDDKAKSAANGESKKQQKKLKKNNGEASAVEAKPEQKETKK VQFAKNLEQGPTPSKERKPDEKKPADKAEKTTGTLGVKEVKGVIIDDKKLGKGPAAAS GNTVAMRYIGKLENGKVFDSNKKGKPFTFKLGKGEVIKGWDIGVAGMAVGGERRITIP SHLAYGKKGVPGIPGNSKLIFDVKLLEIK ANIA_10485 MVSPTIQTTEATAIVKVFLETHFHTLLSGLDARTQRRLELDQYI ETFPLSPEEVVRVRKHWVTQERDYLRQYRVLKSRPQDKTSRAGTASLAGFEPLKILGR GSFGVVRLVREKRTDEQTQSGRVPLAPKTNHRQAMTGVKKDVFAMKVIRKSVMIRNCQ EAHLRAERDFLVASAKSRWVVPLIASFQDQKHLYLVMDYMVGGDFLGLLIRHNILRES IARWYVAEMILCIEEAHRLRCIHRDVKPDNFLISESGHLKISDFGLAFDGHWAHDQWY FTYQRHSLLKRLGIQIDGDAEDQKLSHDANIQSLGTTREDGSMEDDWIHPPTNGLLHW RDKNQTRTMARSVVGTSQYMAPEVIRGHPYDGRCDWWSLGVILYECLYGFTPFASEDR HQTKLKIHRHLQTLYFPVHRPTDKLVSADAIDVINSLLQEKEFRLSSPKYKQNDAISS KPAKCSFYKPDSSNPSYQGHYVYPDDATDIKSHRFFRGINWEQIHRTSPPFIPMVRGW EDTRYFDDGEHPSDREDDSSDSELDGVQDKWHPLGGKGGLHKPDKPLKADVKPSSYPK GNDGAKDTAIASLKHKKRLKEAKRARDKILRDKRLRRTVLEMLRCLVVVAAT ANIA_03907 MPHATATSPGPEVEDADFDNVMRQMNGPMTDGGMSFDFLSRELE PGEKADDAVDYEDFDDDELPEEEEITQHNARAVAENGLEAPETSDDALFGGGGDDLFE APGKDEQAAPDELDDLFGEAPSSPRPDLADETRDLFFEEEERPTAVQRPQAIQMDEDQ ELLQDDEPLSPVADDMDPASLRAWKLQQALFAMSNVGPDNPPAPPENVEELLQSLFPM FERNTLPRFLELIPHKKAYFVGKTPLKPPKPVLPGKVNIELAQDQEKVFRSGGQTFKR SLEAEHHGIVPVAETSQEEEEEEARDEFDLDYESDEPLPGGITADDLRVVCADWDVRD DIPTMDIDEPAIVESAEDDWLFETTRPAKKRKLGRDPMEIVSLSHIDLPLLDDPEQAS SKVAQKVTLDLNDPYMLLDERGPDTAASKPRASGVRSRDEMDANVTRRLTARYNISND QAYDMLKQNHQNKVRSTLGNVTLEHSMPALRLQWPYYKTELAKAEARSFHRPALSFRP GQSCWFKNPAYIKRKHQKGKDIRQLYDSTKSLSMADNSHVLLVEYSEESPLTLSNFGM ANRIINYYRKKNAEDPTRPKAEIGETAVLLPQDKSPFSIFGHVDPGEVTPAISNAMYR APLFSHQPKSTDFLVIRNSTGSGGSDYYIRNIDNIFVAGQQFPSVDIPGPHSRKVTTV AKNRMKMLVYRLLKKSPDLRLSISDVTAHIPGTSDMQNRQKVKDFLQHDKDTKYWVPL EPVPEQDVIRSWVQPEDVCLLEAMQVGQQHLHDTGYGNDAETGGDDDNDEESESFEQQ MAPWKASRNFLLASQGKAMLKLHGEGDPTGRGEGFSFIKTSMKGGFKAIGESVEDKLD AQRLKELGGHSYNVARQQKSYETSIRRIWDAQKASLSSTIEHSDDEVNMSEEEEDDFN KPTPRSEAPTPAPARRDDETTSQFSKMSRMSMSAHKGKVLRIVRQVQDKDGVRQKETL VSDPRVIRHYLQHRHRVESLTTNLEQELSRLNRNKERRFAREKQKGVLRNSADSPADG APGSSKAGGTQRKCANCGQVGHIKTNKKCVYNRSRSAGAADGYPDSFDITQSFFVNPS TTFP ANIA_03906 MSSVDDAVASVPPAEVDAEDVPPATPVESSAISGSEEPATSPDT SKDKENVRASPVKKTTTTTTKRPVSSGTSATKRPSSISGLKTTSSSTRTPGSTGSTLG KPPTRPATSGTVRKPLSTSTTSSHRSRPSLSSADEKSRSVASSGDEKRGITGSAKRMS LAGSTAGTRAPVKSTTTTLDRRASVASTTGTRTSTTSSTRPVTKPTTTTTRPTTSTTA TRTATRPTTTAAKRLSTAPKTSEEDAIKLQSLQDKLSESEATIESLKTELETAKEKLT LPPQTEGTEAEPNDSTKALQEQHATEISQLVASHEEQLQALRAQLEEAEAKRKEIEEK SLKALEDASQAAASQGDEKLSAALDELKRSHQAQLEALESELAAQKSAAASYAEQIDS LKIELQSKSDSLEAAAKGFEAEKASALEELRGELQAEIESLKQSKDEAVRAAEESTRQ SIAALEDKITSLQSQLTAAESATTQGQEETAAQLAAKENEVSELRQAVDAARAELEQA GERAAKDLEAKLKALEAGHEDAIAKLKAQHDEALASAASSHASELANAKAATESSSSA HAQELEELRASLDKVKEDAVSELQATHQAELQSLQQRLDDAEQSLQTTRQALEEGANA AQTQALQEIESLKDKVNTLESQLSTGQEEIKALQAEIQAKQEQADTLQQNLVTFETKL KAKDAEQEGEIKAAEERAAAAERALKEHVQKAAALAEEHANTLEALRVDHAAELERVK ADASGSLQQALEELQSKYNDLLSKNSDMEASHAGKIEALESELKLTMERVAAQSAAHA KELADLQQQHEEAKIKLQSELEAIQLSKAAEADSEHSKAIEELLTVQESKLSSLRADL ESSHEAKLDELRKSHDAALAELTAQLTAAQTAAQDTSVLDNLKETIADLEKKLTAAEQ SAADSKTQHANEFSLIEKEKSELEQKQQAATARIEELEKLLAASEAAKSDLETASKQA IATQDELTQLRAKYDAIAKELDESKSHNAATEEKLAQGEKDLNAQIDKNMTLLNQLGE VESSISGSRKHIRELEAELAALKAEKDALKPTNVGLEGSRWATDDDTPATENNQAATV EGEDMGSSIEGTMASIQEQLKHIRAANDDWYDEHRRLVGELAQVSRRATPNPNQSGTP QSETVIEVASQ ANIA_03905 MDTSQVRILPKLDISAGHNFTKPSKRINESQDVAEFLSSKAYVD LMTFLLQLNRSLFPTKLPDGRVLTWELNSEAVEYSAPVRQLQQLLSKLEAILDEAPPD TGPRRFGNISFRRWYEMVESRAASLLEECLSKEILQMPSSDPGAPTAEVELLAYFLGS WGSPQRLDYGTGHELSFLAFLAGIWKLHGFPENSPGVEERAIVLGVIQPYLELVRTII KRYTLEPAGSHGVWGLDDHSFIPYIFGSAQLAPAISESDRIPEEGSLSDAPAPGGVTK ANVVERERKHNLYFSAIGFIYDVKRGPFWEHSPMLYDISGIQAGWAKINKGMIKMYNA EVLSKFPVVQHFPFGSLFSWERDPNAPSPAADAHIAATTRRTDEASTSSYLYSPKYIK ATSWSYGSYKGALGNISTRWTGSPWRFYVCRPYANESSVG ANIA_10484 MQGFNMGRYIPPDQEGLTTANKLHNKHPLGSRARHLQSKGALIV RFEMPFAVWCTTCSPENIIGQGVRFNAEKKKVGNYYSTPVYSFRMKHTLCGGWIEIRT DPKNTAYVVTEGGRRRDTGQADGTDLIGGGEILLGGRSAAGAAAGDDPFARLEGKVED KRIVDETRNRILELQQKQDRDWDDPYEVSKRLRRGFRAERKVLEKKEGIKEALKDKMS LGIEIVDEAEEDAVRAGMVEFEDGASSACLSTRAKPMFEAAMSRLSDADKKKGKGSSG KRKTADLVAERKASFRSELAGNTRAAVDPFLNGTGDSAYVWEPEVKKRKTPGKADGKK AEEGANGGGSDTQAKSARNGVVEGQEEVPRTKQGASQAVALVDYGSDSE ANIA_10482 MAHRWLILALVAAAAPRALASPGPSLNERQSDDEPFSPPYYPAP NGGWVSTWAEAYEKAHSIVSNLTLAEKVNLTTGTGIFMGPCAGQTGSVPRLGIPNLCL HDSPLGVRNTDHNTAFPPGITVGATFDKSLMYERGVGLGEEARGKGVNVLLGPSVGPL GRKPRGGRNWEGFGFDPVLQGIGGAETIKGMQSTGLIACIKHFVGNEQEMHRMSSVVT QGYSSNIDDRTLHELYIWPFAEGVRAEVGSVMIAYNDVNKSSCSQNSKLINGVLKDEL GFQGFVVTDWLAHYGGVSSALAGLDMDMPGDGAVPLFGNSYWGPELSRSILNGTVPVE RLNDMVTRILATWYKMGQDQDYPLPNFSSNTEDEKGLLYPGAVISPIGVVNQYVNVQG NHNITARAIARDAITLLKNEGDLLPLRRNDSLKVFGTDAGPDPQGLNSCADKGCNRGV LTMGWGSGTSKLPYLITPQEAIANITPTAEFFITDSFPSSVDANDEDIAIVFINSDSG ENYITVDGNPGDRKTSGLHAWHNGDELVKAAAERFSQVVVVIHTVGPIILEEWIDLDS VKAVLIAHLPGQEAGYSLTDVLFGDYSPSGHLPYTIPYQESNYPSSVGLLQQPFGQIQ DYYTEGLYIDYRHFLKEDITPRYAFGHGLSYTTFEFSEPALSVVTPLDSAYPPSRPAK GPTPTYPNTIPPASEAAWPAKFNRIWRYIYPYLNNPQADAAVANSSKTYPYPDGYSTD PQPPPRAGGAEGGNPALWDVAFSVQVTVTNTGQHSGRAVAQLYVELPDSLGLDTPSRQ LRQFEKTKVLETGQSETLTLEVTRKDVSVWDVEVQDWKTVVGGEGVKIHIGESVLDIR TECEVGGRCVTL ANIA_03903 MQRSPLGGRGFESFSEDPYLAGVAAASMIVGCESKGIIATVKHF VGNDQEHERRAVDVIVTPRALREIYLRPFQIVARDARPGALMTSYNKVNGKHVVEDPK MYDLIRKDWGWDPLVMSDWYGTYTTIDSTNAGLDLEMPGVSRYRGKYIESAMQARLIK SSTLDARARKVLEFVQRASRTKVSEVEKGRNHPEDRALMRTLCSNSIVLLKNEENILP LPKNVKKIALIGSHIKTPAISGGGSAALKPYYASTLYDAVREALPNAEVLYETGAHAH NMLPVIDRLLSNAVIHFYNEPMTQPNRKCLGTEPVTTTAFQFMDYKLAGLNRALFWST LIGDFTPDQSGIWDFGLSVFGTANLYINDELIIDNTTKQTKGTSFFGKGTREEIGSMK LTAGQTYKIRIEFGSANTTTMKTTGMVNFGGGAANLGASLRLDAEEMINRAVKAAEDA DYAIICTGLNQDWESEGFDRPHMDLPPVIVNQSGTPVTMPWASRAKSIVHSWYGGNET GHGIADILFGDVNPSGKLSLSWPIDVRHNPAYLNYASVGGRVLYGEDIYVGYRFYEKT GREVLFPFGYGS ANIA_13001 METPSGPPKSRKHTRVLTACEACRLSKTRCDSSRPCCGKCLRRG VACIYPESDPLSILQEWGSKILESVERQEHLLAETLGAASPSNNLPVPVQQPQSVSNF IQPSVEPQDTELISRNDTLRTPITGSDMILSWPIFPQDRPVSTFPPAAFEEKPDRFPT VIPSFEPRRILELREIFMTKILAKNPIIDPEQLETYIARVLETGIDWSASSCLVLLVL ALAAIWGTYPEDETREVTCPDPSYGASQTVTYVTVAVPEHRMKESLGYLAMARKRISA AYLDNTLLGVQCLCLFGFWYQYNIEPIPGWKMFRTASMLWQTYNMKHRSGNGERSAQE ESLEQRLYWTCLKSECEVRYELCELPPSDLSLSDFPFALPSFSVYDSPYSGSPDNNAH SPSAPALDSTPYYYYLAEISMRRLLNRVRNTVRILSPSLSIPAIKQLSGTLCQLEDQL HQWVICLPPALRFNMPLESRPPPKEPELVKLVRERYVEVRELLCRAYLYLCIHTSLDR ELAALYGTKATESLLLAVYRIQHEVPFFRHPGSWGACRVRFNHALCLIAAFRAKTDEI PSAEYVSLPVNWASYVRVVIERLKIWGQEGGGIKELSFLLEWLMHGIV ANIA_03902 MLCLLLAALFSAANVLAHRPTAALSSVSQAAWAAFNESVNGHLY NGEPFLAPCYFQYDGQAQGSDVKECTVLQNGRTNGRFTSDHFGGYRQSNWGACQATGK GCTFDVELTGPESSTEVCYQGSVSSKFVDARSVEDIQATLAFAEKNNLHLVIKNTGHD YTGRSSGAGSLGLWTHHIQPPLKLGQDFVPEACTEAVGDAITFGAGQQFSGIYEFAHQ HNYRVVGGASNTVGAAGGWITGGGHSMLSNELGLGVDNALQLRAVLPNGTYVTANRCL NQDIFYALRGGGGGTFGVVTEMSMLVHPEKPTILALATFPNISFATASQFISVAVANA DKWASEGWGGYIQLGPLGYGVSTFFMSTSLLNLSAAEASMKPVTDLASSLGTLATGSV TLFDTYYHVIQALIGDESSSGLSGGGPMAVSSRIIPRRSFQGTTNQNKLTSILTKILT DSQSDYHSPTSPVAPLFICVTAPTIYSQNLPESDRPGRPGASSVTIAWRTGLWHSVHI LPFADSTIGHPNAVRDIFQAVHDRMNPLRHFTPHAGAYLNEADTFETDPIDSFWGKEN YARLLAIKEEIDPTNLLTVHQGVGWESSDTRYKCYPGLDIV ANIA_03901 MTNNKLTGADVAKHNSKDSCWVIVHGKAYDVTEFLPEHPGGQKI ILKYAGKDATEEFDPIHPPDTLDKYLDSSKHLGEVDMATVEQEEKAHDPEEDARQERI ERMPPLSACYNLLDFETVARSVMKKTAWAYYSSGADDEITMRENHQAFQKIWFRPRVL VDVENVDFSTKMLGTKCSIPFYVTATALGKLGNPEGEVVLTRAAHDHDVIQMIPTLAS CSFDEIVDARRGDQVQWLQLYVNKDRAITKRIIEHAEARGCKGLFITVDAPQLGRREK DMRSKFSDVGSNVQATGGDEVDRSQGAARAISSFIDPSLSWKDIPWFQSVTKMPIVLK GVQCVEDVLRAVEAGVQGVVLSNHGGRQLDTAPSGIEVLAQVMPILRERGWENRIEIF IDGGIRRATDILKALCLGAKGVGIGRPFLFAMSAYGQPGVNRAMQLLKDELEMNMRLI GAQKIADLNPSMVDVRGLVGGHSASVPADTLSLGAYDPLQAPRFSEKAKL ANIA_03900 MSKRPLDESPAHSGSPPSEQLTHNSLLPINDLLSPTPEQQSTGS KKPRNFIATVACETCRLKKTRCDESRPKCGLCKSLGQECVYNERKTSKRDHSLTLIMS TLHRLETKLENVPVNVRNEIQALQTQMSRPPDGQTDMNTPGQATAAPRHDRQVSAGTP RTLIPAGEPEVFEFDERPNAVLANGLVSISFSQHGVVLWPGARKILPQRFLEAHEKLG KNYVIDTEMNRPPLPMYIYPFPSQAGDDWLETLPLAMIKGLSTAFFATINPFTPIMDK NFYFAFTLGAAIESGFGYTMESCLVLIIMALGCLAVHAHHEGDYPLPGSRGNHFEPPE WLGVVQEEPPGLRFFNEARRRIGFLMCDNDIQSCQFYLLSSANRVQSLLSANSPPYGF MGYDPPRCYRLSLDLNKPSHDVNFDEWEGDMKSRVFWNCLMNETILVQELHLPPSGLS RFEEVVPIPKFIGFETLGFVPARFSPADEIDDSFFQYHFLAQVAHRIILTRIRHSLYF YSDSGTFPKPAVNAELHHQLEQWRLNLPSGLQFSDIPPTATAATAATPALNTTSPQTT TASPNPNPISPHPLSSTTNTTTTTRPLTPAVAVAEAMLRGRYMIAKFHIGRPYLYKAL RIPSLLTEDDLEQIRRGLQNAMDWPIIHGIFRDMKSCIPIKFAFCSQFFGQILLFYCI AQSPDPRVRGTLPAGWERWNQEMLRFLEDCALYSPAVAKDLELLRLL ANIA_10483 MESPQESSNSTLVKWSGNRKHALADPEDDWAGLGDPKERRKRQN RINQRAFRQRRRAQQKKATIQDQIEEMIAKGEVTLLPRTSSSSSESSSPSSTYSAPYS SSTTVSHTNSNPLVYTWEKRMCFLGNEIDKLLERFSQKAVESYVLGSPSSDHLLTLCK VNVFRAFMTNMQTLGMSAGPEWMEDEAISPFSTSMPGYLPVEKLPVSLRPTRLQTSRM HHPWLDFFPFPRIRDNLIMAGDFDDHPLCEDIMGFWNVTDEACGLLVWGEAHDPRNWE ASENFLRRWPWVVAGCPELLESTNRWRGSRGEKMIFRYL ANIA_10481 MSLEEKRDIDRITPVPSSASSTKPQTATYNSNNSLSGLDNLQVL PKILQEGVIFVACGPAVLLQAAHPGLNTASSASSNLTDDLTSYLHAALSYIACLVLGS KDEKQALLARLGLGQPPLQSSLKVTSPTSQLWLVATIYATAADFYQRIYGAFDYRTAE AAYDEFALVLRYLSPTVLPSASAGDQSLSQVSWPSTRAEFWKYWDAELSKLVVSAAAH DVASELQKRDLPRGVGLTKPVLRAITIEMLPEHIRDGYGAKSTFMTRQLYATAIGLLR PVYPCLPKGWRSGPASYYLEEVRKEIK ANIA_03898 MSSVSSPEKPAKVAPKNTLGSFRGPLAGSDVDIECIEKIPGEVS LVEPKVKQKRAQRVKRHFARFFCCYIFWSVIFLAIFLPIFFLLIIPAISQNVLDRGTL LLVNATILEPRPDSVLLTLEAALDLPIALPVRIEPFDLHVYNQDIEGNNTIFTTHLDG TIIHGNTTLGVKGVRTPLNVPLWTDYVHKVVFEPHAPLPVKGETNAYLGKLKNHVKLD KNIHQNTLNKFPGFSIDDPKLVLDQPDHNLVANATLPNLSVMTLQIGTTMLDLKAGNY TLGNATIDNLILYPGNHSVPVKGTLDLDYLMANLHGILETQGDALSRGVLRLDAVGRS ATYDGQLVPYYTEALKQLTLSADVSLGGLVMNTMQGVLSPNGTNIFHNLTNPDGPTNI HDIMNSIDDPDGSLGLNKTTTNS ANIA_03897 MASDLSEYTQSVLLSFRDLETAAKGAQPVHLDGHSLRLSDAVAV SKYDARVRVTDDPSVLSCMEASVALLDKRLAEGRVVYGVNSGFGGNADTRTNAHEDLQ KALIQHHNTAVVLPSDKGQTCSSIIRSLPAHSIPIPIVKAAMVARCNSLIRGHSAVRI DIVRNLATMINEDYTPVVPLRGSISASGDLTPLAYIAGALEGNPDIYVQCGGTKDDKI VTADAALKELGLEPLTFRPKEALGLLNGTAFSTGAASLVLFEANQLILLTQVLTAMTT EALLGTMRNFDPFIAEARPHPGQKEVAANIFRFLSDSQLTTDHDHSPSDNDLAQDRYP LRTASQWIGPHVENMALAQAQVAIELNSTTDNPLFDVANDTIHHGGNFQAMSITSAME KTTSAMQTLGKLVFGQCSELINPMLSKGLPPNLCADDPSLSFALKGVDINMASYMSEL GYLNNPVSNFVQSADVNNQVVNSLALIGARYAADAVEVFSLMAASHIYALCQAVDLRE IHRTFETIARKHVVEYTSDLFGQSLTDNDINTLWGELMRHWNCTATLDLEQRATTAVS QTMGTLFILSSKPSSPSIDGNVVREWQSTVTDLLKYHCAANRKAYFTDPPTGKLLCSS SAKIYNFVRDTLKVPMHKGLVDHPTYPSGCEGDKRTIGSHIGTIYAALREGQFMSVLA DCWA ANIA_03896 MKLDIPTVPTLFLKPSTSLGDPYPTSSTILPKITQEDGTGDYES EMAIIIGQDAKDVSEEEALDYVLGYTAANDISSRTSQMNQSQWCFSKGFDGACPLGPV VGTTLPAGTVILTGTPPGVGAAKNPKEFLRDGDEFRVELLPFVGTLVSKIKNQV ANIA_03895 MASYSPVKEAMRIFSFLCDQAERLNLPPEVVAGQDAVSFNTTHD KIYFPIPFKETETLAALKGVEGSVAAAIADLRYGPAAQKRNIKINLERATAFGCQAYM AKVDGMSKLDPEVKKKLKVDTDLLAAQSNGYRRMSANLYRTKNEGEFFHIHGSLEATT TLNMIGLEGHRPDLTDYEEIIKVIESHVQKYSAAELEEMNNERRQAGVTAFKYEDFIK TPHGKLNVQQPPWKVTKLPGNLPPTPFPADGGNKKILKGIKVLELCRIIAGPTVARIL TEYGADVLKITSPHLSDVPFFQVDGNMGKHAADLDLKTPEGREVFEALLADADVLVDG YRPGALDRLGYGASALAALAEKRGKGIVYVNENCFGYEGEWAGRPGWQQIADCVTGVA WAQGKFMNLPTPVVPPFPISDYGTGCMGAIAALTGLYNRAKFGGSYHGKASLMHYDLL LFAVGQYDQDVQESLRASQPEEFFSLRHCDSVDRISSTVLKGMKERFPHLYLPPGSDK EHEVILTEKWYSTAYGAEIEVVKPIAEIDGVDNSFERASRPNGVDRPSWEDFRVEEGD KRLQ ANIA_03894 MLRQIVSQRSAARRQLIDQLAPCLRRGLATATDSTPTSSRMPPY SKIVQNLEQVRKVLGSSRALTLAEKILYSHLDNAEESLLTGTNNGRDIRGKADLKLKP DRVAMQDASAQMALLQFMSCGLPSTAVPASIHCDHMIVGERGADTDLPASIQGNKEVF DFLESASKRYGIEFWPPGAGIIHQSVLENYSAPGLMMLGTDSHTPNAGGLGAIAIGVG GADAVDALVDAPWELKAPRILGVRLEGKLQGWAAPKDIILHLAGKLTVRGGTGFVIEY HGPGVETLSTTGMATICNMGAEVGATTSLFPFSPNHVPYLKATNRADVAEAAAKIASA GSSSLLRADTSAEYDELITIDLSTLEPHINGPFTPDLSVPLSRFAETVRKNNWPETFN AGLIGSCTNSSYEDMTRAEHLVKQANAAGLKPKADLFITPGSEQIRATLDRDQTLSTF SSAGGTVLANACGPCIGQWKRTDDVPKGTDNAIFTSYNRNFPGRNDGNRRTMNFLASP ELVTALTYAGSTTFNPVTDSITTPSGSEFRFEPPTGQDLPSKGFEAGNPAFQPSAPVP DSSVEVKVSPTSTRLALLEPFAPFPNSDLQNLSVLYKVKGQCTTDTISAAGPWLKYKG HLPNISANTLIGAVNAATGETNVAYDEAGKQHTIPDLAAQWKAQGREWLVVAEENYGE GSAREHAALQPRYLGGRVILAKSFARIHETNLKKQGVVPLTFADKADYDRIDACDVVA TEGLYETLKNGGKGEVKLRVTKKSGEEIVIPVKHTLSADQSSFILAGSALNVLSKR ANIA_03893 MVVPSPESSNLLVPFPPGSGGDYHDGPLLNVHDPTVPIHHGKGP IPRDAQTASILPFAFSLGDYQDEPDSQVAARDGEGHEPYLNVHGPTIPILPEDVDVTV NLTPRDEEGKELDTSKFDGHCRVNGDCNVGSFCIANWCTAALELDDGTLVLPPRDLVG EDFEHLTVGNVFRDGMEWMGSLLVRKYQVSGVYEPPSIQSVTMRGNGGARRPSYIPTI SNLARVFSRGAILIGKRQEMGLCFYNNLTLVSEEAALLRPIHALQRAKSGQRSYP ANIA_03892 MLKNCSTLYISSKTRRRASKKYAKRSSLSVLLYDLSLLAKSFES DQYLVQNAISRLEKAASRLKDGLARAATAKLIWPYSEKEVQKLLEEISRHKETMTLAL SADSLKKLLQALSKQSEISDGTDHLHRKLTGIETRITLDKRRNDVLNVFMRYNTQPHF EMSLSLRHPSTGLG ANIA_03891 MLIVSEGVQTMVESDCLISPHGRDSAVLWRMQEDGLIGFQRPRT RRALKVTIPAVLKTLEGRLSDIGLADASYYGCLELYEDGDIDVTRFVVPSGIYKWEVE NFISPRPNRRQSLLMIGGSRTGKTSLAQHIVHSHGKISEFENEWNMDMFWPGQVCAFS HMCNGFPYWKPIFGCQLYFNAHGRYLGKRRLDWVVPSVWVCNRDDDPRKWGDGHGHRI EQNAVVYEIPEGYALFKGGPYESMDHYTDSEWPEGVPGRPSFRWEGEALDRSGFCLDD VELVMYDGAEIPSI ANIA_03890 MSSRTRPGRLASRGTPRSRRSKQAEDEIPEVYREMLAEAEAQEI SQSENERPAKRFKPAGYRARTAQAFKAQVLQQDTNPMDAEEDAVKQPQIVYNSPSESD ESDMEWEEVDIQQPTISGPTSSVTDEAPLQITLEQDHNRKRRVVRRKPVTAAEKKLRL DVHKMHLLCLMCHVQRRNLWCNDEEVQLNPPEEKPQHTRSAMFLDGLNQAGDIFFRRF KITRPGLRRAHWANDPDSLKRRTEAIISDAEVFLSLDDFRAQAKTMQGSRDFGAQLFC ALLRSVAVEARLVCSLQPLPFSGAMQPPQKPEPEPIVISSDDPDSLTDGSTKSEAKPA PIRRIGRPGFKPTGVQNTTVLSSRPTRSESSYPVFWVEAFNEAFQKWVVIDPMVTKTL AKPHKLEPPATDPYNLLSYVVAFEEDASARDVTRRYTRVFNAKTRKLRVESTKNGEAW WKRVLEHFEKPFLEDRDELEIAELTAKTASEPMPRNVQDFKDHPIYALERHLRRNEVI FPKRVTGHVSLGKSGGKGQTEPIYRRSDVHILRSANKWYRLGRDIKVGEQPLKRIPVR NRGMAVDDEEEGEETALYAFFQTELYKPPPVVQGRIPKNAFGNLDVYVPSMVPAGGIH ITHLDAARAARILGIDYADAVTGFSFKGRHGTAIIKGVVVASEYKEAVEEVLKALEEE KLQNEQEERAVEVLRAWKNLLMKLRIAERVKGYVIEGEADDEASGMEQGEPQGAGGFL PESDAETVTPQPNPRPPKEHSAYDGEVGGGFLPDFSAEGHSLVGELRRYRTSHGDQRD QLVSASLSDLGGGFVPEGDGLNPTVREPPRRVKSPPLRYDLIVVPNNADIPPAERHST IGQPQNANPAQALSAVTRALGGSIDEPITVASSVNDSASASVEILSRAPSQVQSRAQS VEVASQASEHEDEENEGSLLSEDPEDEDAVPEWLMSD ANIA_03889 MTDVASLFSLSGKTALVTGGTRGIGAAMAIALAEAGADIILIQL TCDAQRDTSNTTTRDQITRLGRKATIHAAELSDRSAVKAIIPTLVSQGHNPTILLNCA GIQRRHPSERFPDEDWDEVLNVNLTSVFTLCREFGAYILSLPESSFTPNKKKGSIINV ASLLSYQGGITVPAYAASKGGVAQLTKALSNEWVSKGINVNAIAPGYIDTDMNVALIN DANRNAGIMARIPAGRWGRPEDFKGPVVFLASEASSYVSGELVTVDGGWMGSLETSFV ALTEEVGELLVSQKPFVYRFYFHITIYPSLYTAFNRTSAALFLTTTLRQATCHPVDRN THLTTSPDSTSCPMGNPRSSLVTTRTGNETPIKGNLIPPSSGPVLLRTTPQQQQQRRT LR ANIA_03888 MSYGTIASVEDPPSADLAQEHEQDDEHAQEDEPLLPAVDWKPPK GFLWIEVAIFANVFLSGFDGTITASTYALISSEFKAANTSSWLTTSYLITSTAFQPLY GRFSDIFGRRACFFTSTISFLLGCLGCAVAQDVVFLNLMRALTGVGGGGLMTMATIIN SDMIPFHRRGMYQAAQNVLHGFGSICGASLGGSIANTIGWRWCFLLQVPVSVFALAIG RIVIPMPQKPPTGVGWSVWKQVDLTGALLLILGLSVQLVGLSLGGNELPWSNGWVVSS LLGSLVLLGGFIVVEAKTSAIPVIPLRMLKGLLPVSTQIANVCVGMAAYAFLFNLPLF FQIVLLDSASKAGARLVIPSLATPVGGLLSGIVMSHYGKLSYLMRAGAMLMFLGNLLV MILDFEDSAWKYFVYVIPANLGQGVVYPAILFTVLAAFGHEDHAVSASTVYLIRSLGT VYGVAITSAIVQNELVRSLPGALRGVPNKWKVIDEIRHSVSAIYTLEPEIQLAARHVY YGGIRMAFAVSAGFGAVAVVAALFARGRGLKRGE ANIA_03887 MSFTEAAALPVVFSTVYYALTHIANIQLGETKLIHSATGGTGQA AIQIAKLRNAEIFVTVGSEEKKKLLMELYQIPPERIFDSRNASFAKAIRRVTGGRGVD VVLNSLSGDLLVSSWECIAPFGRFLELGKKDILSNHDLPMRQFERNASFHAIDLNEAR KYRPELLQRLQREIGSLMASHTVTPPRPIHVYPISEVEQAFRYLQHGKNTRKTVIEIR GDDPVKRSWCFDTNATYIIAGGLGGIGRATARGLVSRGAKNLVLLSRSRSNAETQQVI DSLIRDGTRVEVHPCDISDYEPLKHVLEDNKVFANMPYTDWKETVSCKVAGTWNLHLL LPSGMDFFIMYSSIVGGIGGTAAVNYAAACAYQDALVHYRNGLVERAITLNLGVMLGY GVLRDNDMVRNELTASGYPIGISQREIFALLEYHCDPSLEIPRTPLRSQVLVGLNTPL GLAAEGREVPVLLNRPLFRGTWNIVDSVESPAANAAEDAGGNEDILRRLVAVTSMQET ADVIAESLMQRLSKAVGVPLKNLDATKPMNQYGVDSLVAVELRNWFKWKLDADVAVFE MLGKMTFEEMGRIAAVKSLVVKRILSSSAWS ANIA_03886 MWRVGCHSRISGKMLTPRSSSTGLNDIGYATVVVTILFLVLTGL AVGLRLSARRIKRVSLSLGDWFVLLSAVLYFGFCVNTLVSVYTFGGGQVYIDPQERQR KYVAYMKSTCFWDKTVDGHCFNFSVYFLMIELVDMLIDLAIIGLPIPTVLRSHMSLRK RIAVASIFLLSAFILVTGTIRIVYHYNPGDDLLPLARAALWSTINLGVAILCACLPTY PPLLIKCGSLLSSLRSRLTYGSKGSHSSTADRTDTGAQPIRSVYGGREKYQTHVNNST SDTYPLTQITVGKGGRDTQGIRVDREIDVENWALSALKP ANIA_03885 MPPSPDLQGPSLRDDPVKGQIWVSKFTTSKPTQDTSRELLLSLI DQLNERNVPYDRPDSASLEFEWLGYRHDAKKDTPKPTSLSESEKFQKLNAETKGTLTI LYIYGGTFALNTPSCYPRTTSFLAKETGAKVLMVHQRLAPQNPFPAALLDIFQAYLTL LAPPPNSPHAAVPPGSILLRLRRNGRSIVFHGHTVEPVMPAGIAVVSPATDLSASLPS FVSNAKTDIFQLPHETLPYLLPGYPTCQLWPTSPPRANLYCQPGMLAHPLASPAGSGD WTGCCPIWMASGQEQSIDAVLLIAQVAHSQGVSVTLQEYEAMPHTFFFTFRDAPQTKM VFAEWARAILGFAKGVRHPSSFCFIRAKGLIAQTRPAENLVPFTVSQAREMMWVKSQR HKLPAHFREGRSNL ANIA_03884 MSMTLDGEYKADRALDVEVEHEPVRTSTPADEEKSYLRGRRLTS LTIGLAIAVFLSSLDAIIVSTSLAAIAEDMQAFDKSSWVVSSYLTTYFSFLIIWANFS DLVGRKVMLITALVIFLGFSGGCGGAQTILQLIILRAFQGAGGAGIFSMVPIITAEMV HPDKYAVYNANMAFSIALSFLLGPLIGGALVDHTSWRWIFYINLPPGAVGILLVWLSM PGAFPDLSMPTSLWNLPKNVDFHRRVDYPGFGLLLAASIFLIVAIEEAAVLYTWDNAV VIVLLVLSFVLVCAFLTWIWFLHRSDSFREPVFLWEFVKNRVFMGTCLMTLLSGVPLV TLVLELPGRFQILNNNSAFDSGIRILPLTLTIAISSALAGGLTARGRVPPLVVFSAAA ALQIVGLGLLYSVPTDSPLSAALYGYQTLIGTGVGMSLATAILTVPSLVRENGMLQFR VLGGAVGLSVATNLLNNAVQDRLQGQIGPDVLHRIMEDISSMVSLPDSTQMSIRDAFA DGYQRQLLMVLGFCAAEILALGMMWERPMKRYQFSKHVHDVCC ANIA_03883 MADTPTLKTDPDDRHRTKPLTLDEQPVEAQAQTGRSWYNPRGWS LRTKIIAAIIALAGIVGIIVGAVEGSKAGRYPEYSPLDYRLVDSYSGLSFFDQFRYFD DEDPTDGFVNYVNKTTAQQLNLTYASPTSAILRVDTATRNASHGRNSVRIESFKTYDD GLFIFDIIHTPYGCGTWPALWLTDGYNWPDHGEIDVLEATNNATDGNAVTLHTTPGCN MDVRRKQTGSTTYATCDNNTNSNAGCGVQGPSLTYGEAMNERGGGIYALELRPAGIRA WFFPRHSVPLDIDISNSSSKPDPSKWGTALADFPNTSCDVSSHFKNQSIIANIDLCGQ WGGAPNVYSRQWDCPGKCKELVARHPERFEKAYWEFGGFWVYQAGN ANIA_03882 MTSASNSGAPTKRALLIGSPTYDLRGPQNDVENVGNLLRRHGFR VRECCGPRATRDGILTMWRELIFDTQPDDTVVIYYSGHGGFAKDPKDHSGRRYQYIVP TDYVTPSSPGDFKGIFDIEISRLVHQTTKNTHNVTVILDCCFSGRMARDPTHGGHAVR KALENVPYDQVSKAYELAAKSVFSDLTSVEGNLNAVRIVAATDSEAAFEYDEGDGRII GAFTRALLANLENALQASLTWKQMMLRVSDLVNTQFPYQHPHVEGPYNRVVFSQQEKD TNVYQVEISDTGEHILQAGQTAGVRVGNTYHIIYNETAARSKDLNSREIGVVTSVAPL NAILQTQSKVLGEQTASAYPEIEAQYRYPVSVTDSLKRYVDDQIRKMKFIQLSENGPT SMVFARLDQQDETICLSICGEPRAAFNANGDITGAVSRALQLANSVSRAAHLLSIKPA GEVRLDHELQITLSKINPGEHGTEDLATNGTAWISCRDRICITLNNTSSVDTVYVGWR SVGQRISLVTSKNPFPSGWSVSLQMLKSTFAA ANIA_03881 MPEQHRRQTDYRIAWVCALPLEAAAAQSMLDEVHPRLPQLINDQ NIYTLGAIAGHNVVLACLPSGAYGTISTAVVITQLLSTFTEVEFGLMVGVGGGIPRTV DNNMWLGDIVVSKPTSEGTSGVVAYDFGKVLGDGEFKVTGILNRPPLVLLNAISQLQA EEIMGKPLGILSLVSSALKTNSGVASQYCKPERSSDRLFQAEYVHPLGDADCGKCDTR YLVDRSVRQSEEPRVHYGLIASGNQLIRDAVSRDRLAKKHGALCFEMEAAGLVNQLPS VVIRGICDYCDSHKSKHWQGYASLTAAAYAKILLSRIPQAKSSNGSQMPQRTPCFIVP FRQNPLFLGRERELSRLQMLITGGNSGTRRAAIAGLGGVGKTQIVLELAYRLHKKPEA YSIIWISSTSAETVEQAFMDIGSRLGLESITPDNVKDRVKGYLNSDTAGPWVLIIDNA DDSGLWSASRIRDTLPTSPRGFILFTTRNHQLATKVAGPNIVDLNEMDVQSAITLLKS AVFDQSLLESDTSTAVLARHLHFIPLAIVQAASYINENLISAETYLSLIKDTETSMLE LLSRDFEDEWRYLGTANSITSAWLISFRQIQTMSPLAVEYLSFMSCLDFAWIPCLLLP AAQSKVEQQSALGVLKGYYFISEHSESGIQYFSLHELVHSVTKNWLRTQNTLECWMKK AGQHLKDIFPESKPENRMLWRRYLPHAQHILGCEQFQARTPDRERLVQKVGQSLYSDG RYREAEVLFREILETRRARLGHDHPDTLESLECVVATLWDQGHWKHVEELQLRLLEVR EKRLGPDDPVVLSSLGNLASTFMNQGKWADAQNMEREVSTKFKLLLGEDHPKTLKSMS NLATTYRMQGKYGQAEELDICVLKCRTKVLGPEHPDTVMTMGNLASTYMEQGRWSEAE ELRRRQSQAVLGTEHPNTLISMANLASTYRNQYKFAEAELLEMETTKIFKSVLGSQHP ITLRSMGNLASTKRSLGKLEEAEELEEQVMTTFDSILGRAHPDTLTSMGNLACTYRYQ GRCAEAEKLEIEVLDARKKLLGPSHVNTLTSMWNLARTWRRQEKYTESYKLLQACVEQ QIRQLGPNHPDTLEATLELDSWQSGIDGSWILMKNVE ANIA_03880 MNFEYPPDLVAHLASLDSFIRSTILPLQHQDDNNRFFDHRREYA RTNWEKGGLPRKEWEELLNKARVLADAAGFYRFALPKIYGGTSHPRTNLYMSAIRFHL ASHPVYGGGLGLANDLQNEHSIVGNFPDLFMLHHFGSEEQKRTLIPARLCGEFRTTFG LTEPDHGSDATFMSTVAQRKRNSDGVEGWEINGSKKWQTGAHHCTHMIIFARTSGESG SARGITAFLVPAHTKGIEVTSYEWTFNMPTDHATVTLNGVFVPHSAILGKLDNGLAIA QTFVHENRIRQAASSCGAAKYCLDRAIERANSRTIWGEGRKLSEHQGIQFPVVELMTQ VEMLRLLILRTSVRMDDIVSECEKTGGKDVPWVRIEKELSGEVAMCNYWANRLACQAA DRAIQIHGGDGYSRHYPFEHIYRHFRRYRITEGSEEIQIRKVAAYLFGVKGKGSKQPQ GENEKGKL ANIA_03879 MPAGHGDLTAMDDESSRDIAPRQLTLRDRVTVATLVPFHSYAHI PKSLIVYLCDQLNREIEKGDTYAMVDPIPVRHFAPYWFSNFGAIMLIGDIKNVNDVQE MDGNVNWAKVCLGSFNVRPNYPGRSSHVCNGMFLVTDAARNKGVGRLMGEAYLDWAAR LGYTYAVFNLIYESNVASCRLWEGLGFKRIGRVPNAGRVLSSPGEFVDAIIYGRDLGS DGEDPVTQDRFDKIRYYLKHSKYPRGADRAEKSRLRSAATHYKLVEGENGEEEKLMLK DKEVVSDPQQQYQIAQQVHMEQHAGINKTTAAIAVKYHWVRIKETVSRVIRDCPHCKE TLKTPTPTSNSAAETPMDTDEQQEAHQRKRSVQETPAHTHIDAHMSQAEPLQTPQLIH QTQTTIHPGHQHQHQNPFTTPHSSILQGHVGSLSDYTAVPLDPQIMNLHQIPRFQTQE TSMADPYGHTHPHQQQHPHPHSFHESVGNVRHVAPNEYRMLVDDPAEDAGTLGLVHSQ ASDVHHEQMMKYQYVGHGDDELDFT ANIA_10472 MKLDLSVYLVTDSTPPILKGRDLCAVVEEAVKGGVTVVQYRDKK SDTGAQIETARKLHRITQAHGVPLIINDRVDVALAVGAEGVHLGQDDMVISEAKKLLP ENAIIGISASSIEEAQAAVAAGADYLGIGTLFATPTKTNTKHIIGTAGTQAILDSIAE SGRDVGTVCIGGINLSNVQRVLYQSASPRKSLNGAAIVSAIMAADDPRAAAAELARAI ATPPPFVRKADGPLVRNVAGLLEKVPHIVQKMVEIHPLVHNMINFVVANFVANVTLAI GASPIMSPYGDEATDLCQFDGALLINMGTLTSQSPSEYLKAIRAYNQRGNPVVYDPVG AGATQIRRGVVKELMAGGYFDLIKGNEGEIRQVAGSTSVQQRGVDSGPSTLDHQGKAR LARDLARREKNIVLLTGAVDYLSDGERIVAVENGHELLGQVTGTGCAVGTVAGCFIAA NPTDKFLAVLSALLMYEIAAENAAARDSVRGPGSFATTFIDELYAIRQASLQGDHSWY AGRARVQEISL ANIA_10474 MAINSAPESSLLSLLYRSYPAAISPDETEPDLLAVNPKVFPGVT YNASDEADIKQWLATTSSLQSALSKDDKSAVSDILAQINTHLATRTTLLGNKASVADV AAYALLAPVVEKWSPEERTGEKGYHNIVRHVDFVQNSRVFSLQIPDEEKVKIDVNDVR FVPKPVDPKAEKERKKKEKAAAQGAGAEQTVVVGQTKPEKAAPDAAAAGKAEGKPKKE KKEKKEKQPKPAPAPAAPPSPSLIDLRVGHILRAVNHPNADSLYVSTIDCGDAPGSDN TSVDEETGKTVRTVCSGLNGLVPLEEMQGRKIVAVCNLKPVTMRGIKSAAMVLAASPR VAEGEDSHAGPVELVTPPADAPAGDRIYFEGWNDGEPEKVLNPKKKVWETFQPGFTTT DSLEVAFDSSAVPVAQSQQGKPALGKLVAKSGGVCTVKTLKGATVR ANIA_10475 MAETPATANKVVSELDINPWSVAGGTDASGNAIQIDYEALSKKW NTSLIDQALLDRFEKVTGHKPHRWLRRGLFFSHRDFEKILTKKEKGEPFFLYTGRGPS SGSLHLGHTIPLTFTKWLQDVFDVPLVFMLTDDEKALFKDSLTFEETLHYAMENAKDI IALGFDLKKTFIYSDLKYVSNHILMNTWEFSKLVTFNQVRGAFGFNESTNIGRIFFPS VQCVAAFATSYPEIWTDEPLKERKKEIAEIQCLIPMGIDQDPYFRLLRDNAHKMRFPS PKPALIHSKFLTALQGAGGKMSSSDPNSAIFMTDTAKQIKTKINKYAFSGGQVSIEDH RRLGGNPDVDVSYIYLTYFEDDDAKLEEIYKSYKSGELLTGELKALAIKKLQEYVAEF QDRRKEVTDELLEKYMTPRRLEWSGTAHPKIK ANIA_03877 MTEQTLPDYVLDPSAVLNDTAASWRYGRIPDYTKTREFYEKTKT TSHPATSLASLVQNLVKNWEIEASFKTSLDDWRTINPETYTFSLNGGPAQPGEHMLRV GTYNALINANEYYDPEQNDFEGSHKSFKRMMPTFAWEVKEVYCGPPVVVARWRHWGLM KGDYVGKNGRGEVVRVKAHGGPIDIEGIVVAKVNEKLQLEKIDVWFDPMEMFRQISRD EQREELSRGDSAAAAPGDLAGACPVMRAGNE ANIA_03876 MNPKPDLEKSNTNDGSEVRAEPILATGSGLQRRLGNRQIQLIAI GGSIGTATFVSIGGGLAKGGSGSLLISWFIHCCFLAMVNNCLTEMSVYQPVEGGFIRL AGKWVDDAWGFMAGWNFFLYEALLIPFEITAINVVLTYWRDDIPVWSICLACVIIYGL LNILAVRAYGEAEFWLSGGKVILILMLFAFTFVTMVGGNPQHDVYGFRNWNKPGAFAE YLSHGNLGRFEGFLACLWSAGFACVGPEYISMVAAEAKHPRRYIRAAFQTVYFRFIVF FIGSALCCGIVVSYADPTLRAIHFGDGEGSGTAAASPYVIAMKNMSIEGLPHVVNALL ITSIFSAGNTYTYTATRILHSLALEGRAPRILRKCTKQGVPIYCFLVVMIFPFLSFLQ MGDSSSTVLTWLTNIITAAGLINYVIITLTYIFFYRATVAQGFDRSTLPYTGWFQPYC GYLGFAWMFTVVCCFGYESFTPWSVETFFTNYTMVLLAPVLFLGWKLVKRTRFVTPLE TDLIWEAPEIDLYEEELSVVEPSVSFWQEMSGSLKWKRK ANIA_03875 MNDALDANRRLSTLDRPISPPLTRPLTRTGNDSLSALEAGKEEV DDPLERISAHLHKFTPDRAATPPVAGGSCLIPFDAWKSLYTRNCHASGNHFVIHQHDH PIAGPHYDLRLQFSASSSVSWSVMYGLPGDPNSRRLNRNATETRVHALWNHLIETASE KTGSMIIWDTGVYEVLPDRTKVSNTGPETDDSDQDPEMHSGSGLDSSALPENEKLRNA FQNSKIHLRLHGTRLPKNYTIFLRRDKTDFRSAPTAASLLQKPRKRRRRRVAKAEPRS TSSSESDRETAGPADTQSPGSGKRGRQTDTGTEGEHEHSDAEGGDTDVDFQIRLNNAY PGAVNDIGSIHQRRWFIMLDRAGSGFVPEKASHSKTDLLGKKRWIRGIDKQTGARTGF DPFYVRGPEVERSVVTGRRGPDVVRDEGVQGFVPRKGWTPVLI ANIA_03874 MPVDLTCYPPLGKVTCLEADKIRFTILIEPASQGQYEFQIWHNI GLSEWAALPLSEYPSAALPALSSRQDPGNRGVFAGEISCPQARYAGRFTVRYREGSEG EWRWANKERGCHDGELVIVSRGLSSELALSSAQDFANYFDGLSPDIQVEVRKSEAPGA ALWNISGPVEEARDGHSGLLRLPLGTPSSMSRFFALARVETSWLGPRQGKDKLNFTED AILLSFLRTDGVHVVLLGVTVDDTLTVLGSGPAGEVVIKSQNDNATPSRFQVLAATAA DFEVATSALIYEARRLVRPYENTAQGGPRTQWLSEWYDGLAYCTWNGLGQDLSEEKIL SALDDLKTAGIRIRTLIIDDNWQSLDNEGAGSWHRALTQFEANSKAFPNGLAKAVTTI REQHRNIEYIVVWHALFGYWGGISPEGSLAAIYKTREVALNSTTRPSMLTIDPSDIQR FYNDFYAFLSRSGISGVKTDAQSFLDLLADPEDRRSYANAYQDAWTISSLRHFGPKAI SCMSQIPQTIFHSQLPTNKPTIVVRNSNDFFPDIDDSHTWHVFCNAHNALLTRYLNGL PDWDMFQTLPENGLDYASFHAAARCISGGPIYITDKPGQHDIPLIKQMTASTIQGTTI TLRPDIAARTLDMYHDIKEGHILCVGTYHGRAGSGSGIIGVFNVSNRVESVIIPVADF PGIYDDQEETGYIVRAHRTGRIVGELHSSSAVSVTLNERRWEVLTAYPVKTLTFKMNS KDKENESSMPTADVSVDVAILGLLRKMTGVAALVSSDIYIEDTGRLRVDVGIKALGVL GIYFSCLQDWDIDKHFMVLVSGKPVPRKTIWKEDGRVLAIDVEEAWHGLGLEAGWGNE VWISVLM ANIA_03873 MAPTTQKQWSVKGKENRFDELKFEEGEIPAVGDNDVLVKLHGAS LNYRDLVIPQGKYPFALNFPVIPGSDGAGEVIEVGSKVTQFKKGDKVVTLFNQQHQYG PIDPAGAASGLGGAVDGTLRQYGVFNENGVVRAPRNLNYLEAATLTCAALTSWNALYG LKPLLPGQTVLVQGTGGVSVFALQFAKAAGATVIATTSSDEKAKRLKELGADHVLNYK TQPNWGEIARSLTRDNVGVDHIVEVGGSGTLEQSFKAIKLEGVISIIGFVGGLDPKKI PHVLETLTHICTVRGVYVGSKALMNDMVSAIEANNIHPVVDQKVFTLEQTKEAYEYMW GQNHFGKLAIKIE ANIA_03872 MSLNTVTSGLGAPVSQATNTVQNAGDQATKPVTKTVNETANETT KPITKTVNDTTSSLPGTFPKDEPPTNQKNNVTIPSFSDLWASFIAWMKGLIPRGVDIF EAAVRRFILWLIPPERQAKLYQASMEHPIAATFVTCQLLCVGIPLILFIAGTLLFAAV ALLVWVVLSILLLGPLMLVASLMGVSLWGWGWFVFGLVRWLDRLLLGGMMERYWQAQI AQQKAEEDQEKETEGTGEGEGEAQKETNEEKRDG ANIA_03871 MSSVRTQPLAEVVWWTDEQFCVRCPYCEELHRHGLNSSSSTSTV VSRDYPRTSRVPHCAFATTRPPYECSFPVDYEIDKPKARFVNIRTLMDLQEDEKEESD DEASLTSLLSYLALTDTKKEVFFDHSTEEITIQMEVQEPFTQRRILHAISDCCMGDVK RVKHYLETSPDKSIFLHGKDTEGDTCLIMASRERTPAMVSLLLENGAEVNASNNNGRT ALMEAALWGRLETVEILLSHGANKTLRDTNKKRALDLAQPTRQNRDERHIAAGGALGD SSQKPLYNEDVVNRDADRREIARILEGGQSGSGTDNHARMFELDENFFRRSSDDLLIS YYSMPRSRKTVAVLERDGYFPPKASMSGWAHDEGRYLTERVMKISKIVGHNLRLDDRY DQGQPGKFYASHAEKQLIAYFIDRHVFLQEDKTQNPQFFKRIEHLEHEISVMVDRYPD VRKFDQLRKDKKELDLQLLDKDDRLLGNEYDEGLVKQLKEEVATIDRELVTLKGQREV VQLLRKEKEIQKCEDNWKLHERLNRLSERAPTNILKRATILITAPSYKVCDDCREFNR RINQDLGLSIQLYERTQHY ANIA_03870 MVLSQLTQPIIVIGGGTFGTSTAYHLSIKGYTKVTVLDRFPIPS SEAAGNDINKVVRTEYPEPLCTKLASDARDIWRGPNGLFAALYHPSGWIIGATDRSTP SCLTEEIHEKWPIMSGDLEGWKSFWSPNAAWVNAREGIVRMAQKAIDAGVSLFGSKII LAAGAATGSSLDLENQIVAKGHVVGHIQLTPDEVDEFIDMPILDHMKEARHCGGLKLG FEWIETRICWDGDMADYHFLITPHLRHKNLDIAIGGSAHGFKFLPVIGKYLVEMLEGT LDPEIAKKWRWWPGLELSDYTTNPHPEKPEDLNDTVCLGGAS ANIA_03869 MASYLLLLLHRDFVLSCLVPYFGYKLTYRTKFCDPRTVDLQPGR RPLGVKEIKELDDYKSIAPWRESVSFVQLCRERAMYTRIDLELLQPQYNTSCPVTAVS FRCRNPGEMSCHSGDDAVERATGTMDNRIRARLTKRRYLDHDWWSLSSSTRSLVRLGV ILQLCAVLLPSFRLTASQSWSLPDHINTPVFCSHSLLPAARTSYDLSLKLIEPYTLST ASDMTSLLPVRPRSVRPTAPVTISDDHESSDASTIPSPSTTTSSRSFSNPSTTPDQKR IKMQRKQSSPLAPAFMVSAPGKVIVYGEHAVVHGKAAMAAAISLRSYLLVTTLSKSQR TITLNFRDTNLNHTWDIDQLPWDLFHHPSKKKYYYDLVTTLDQELYDAIQPHVEDISP DAPEEMRKIHKRSASAFLYLFLSLGSPQSAGAIYTLRSTIPIGAGLGSSASVCVCMSA ALLVQIRTLAGPHPDQPPDEAEVQIERINRWAFVGEMCIHGNPSGVDNTVSAGGKAVI FRRGDYSKPPSVTSLPTFPELPLLLVDTRQGRSTAVEVAKVGALRKEWPVVTESILDA IDNVTASAEKVIREPNPEGLSEKTLQQLGTLIRINHGFLVSLGVSHPRLERIRELVDY ADIGWTKLTGAGGGGCAITLLRPDLNDDSLRQLKSQLQDENFGIYETTLGGDGVGVLW PAVLRNGTDEEGGEEIDQQKFENAVGTEGIERLVGVGVQEKREGWKFWKRAVA ANIA_03868 MRRVKKSRNGCARCKSKRVKCGEEKPYCSRCVRLGVRCPGYVKT LRWVSNQASAGDGGLEPATDNDQVQFVPEFLSPRKADQLPQSQPQNHSENCLDLHRSP LPSDDYKLPDLDGLVGDDANDIDDLWDLQEPGSLPELTDLCPTSPNSAVSAGDQAANA SAMEFASPASLGSDPWAFFSLAAPALQNQPRDFPGFDPSNIVRHYPPPSGVPSRPAPR DLTSIPQPLNNPSWTLIEYYFKEVAALFSSYDSQMNPFRSTVSRLWGSSLAMCRTMQS MAAATLVNDFPQFGPMGKKLRNEAIEIISKETTMDDKSLLALLMLGQTASWHDPKDLG IPYFNHLRRHLDNAALAKAANPTNRGNNYQFFEEALVYWEMLLSFVADDAAVLPAPKT NSSTADSLVLQRVPHPWTGIARDTQFTVQEAGRLVRAERKRIRTRRFTCQVDIVNAQV ALEKARELEERLLSLAHPTEAEIVSPGDDETPVWHLLTMAEVYRCTGLLQLYRAFPDL LQRRLPVQQQHHHSPTQQSHPTTSATRYPFHPWLNETCTQPSPSPQPPPDQSSPTYYD SWLTEFALTTLSRLKSIPLESRTRCLQPLLLVASSSELRLPPSSSDPLALSANGNGPC VSSHALDVSRTRRFILGRLTSLQYVLPPKPITVCLDLVNEVWRRMDAGDKDVYWMDVM IEKGWETTMG ANIA_03867 MGKYASDSEWASIDPIPLNDGSESGAMPLATIAYSEEYLEATSY LRAVMAANEMSDRALKLTEDIISMNPAHYTVWIYRAKIVFALNKDLLEELEWLNGVSL RYLKNYQIWHHRQVIMSSREHFPSLPPKEMDFLMEMFAQDSKNYHVWTYRHWLVRHFE LWDSPRELADVNSLLNSDVRNNSAWNHHLVDEELRYAQDQILRAPENRSPWSYARGIL RAASRPLSEWTEFAQKFVVDKRDDQGQIVDVSVKSSHAVEWLADVYADAEENGRAEAV RMLNLLKDKYDPIRKNYWNYRIRTIEAEEVPASAPKSNLPYLLNIMF ANIA_03866 MGSIGFATATTTQMTSTGSQHDSQSQTLPTYKSPWIRTPLVESR SLSALAGCRILLKLENTQPSGSFKSRAMGNQILSHLHNPSNFGKSIHFFASSGGNAGL AAVCAARTLGFPCTVVVPVGTKPLMVDKLRKAGARDVVRYGETFAEAGEYMRDVVMKN GDNNDDEGEVVKIALHPFDNEAIWEGNSTLVDELVEQVPLVAGDAVEGDGYGDMALPV DAIVCSVGGGGLLNGLVMGLERRRRQLAKSSSRKTAQARPTHLIAVETRGTDSLAAAV AKGSLVSLPKITSQATSLGAIRVSERTLQYALHPPQGVKVHSTVLSDADAARGVLRLV DEERMLVELACGVCVEAAVGDACRAEKTKKRKRGLDEGYGDDRVSAGESEGDLSDGGV AEDPLRSRLKELVPDLKPESRVVIVVCGGSNVTIDAAVEWRTMLNEGWGDEN ANIA_03865 MASLRGGSRALSWANQLLSRQRLSSKCASQRVAPPATAYSLRQY ATTAKEASKPYYVTTPIFYVNAAPHVGHLYTMVLADILKRWRTLTGDNEAQLLTGTDE HGMKIQQAANAAGIDTQAFCDRNCLTFKALAEAANVDYNYFIRTTEPAHKEAVQYFWE MLQHRGYIYTSKHEGWYSVSDETFYPQSQVNLSLDPATGRKRMVSTETGKEVEWSSET NYHFRLSAFQDRLLELYKTDFITPTHYTEQVVKSVASGLSDLSISRPVERLTWGIPVP NDNTQTIYVWLDALVNYLTKAGYPFPPGEGSRLGWPADVHVVGKDIVRFHCVYWPAFL MALDLPLPRNVLVHAHWTMNREKMSKSTGNVVNPFFAIDRFGMDTMRFFLAHRGGLAS DSDYDNRYIIRDYKKYLQQGLGNIAHRTIGCAKGKLRDYIINATSGNSPATADDQAYQ KTLEQLSHKVAKCMEALDPRAALEEIVEVILLTNKYFHNSEPWQNPEEKQRVIFNAAE SMRIMGILLQPFMPNKAKKLLDILKVDPSKRDLSDAGYARDSTYGEDVKKKILFPPLA LEN ANIA_03864 MAPTEDAYAKVSTEAATEFVQSFYPALQSNRATIASFYSSPPST IVFNGNPVADGNAVQEIFVSQMPPTHYEVQSFDCQIINKQYPTATIGRQIDPRKDISI LVVVSGYVRFGESRDLPQRGFSETFVLVPNPSSEGGKGKRRRDWLIQTQNFRLVV ANIA_11419 MPREVSDIKQFIELARRKDASSARIKRNRKTQQIKFKVRCSRFV YTLVLKDSDKADKLKQSLPPALKVVDVTKGDKKKAL ANIA_03863 MSTRRYRSTVACHSCRSRKVRCSINVTGIPCIRCSQDCAECVVD SGNETSRASRRHGLVRQRLRAATSSPPNRTETNTRNGTSASPKHTTDSPAQAISSKFT SQHDIQDEERNGLEIAAAALGDPKRAGHVPFYTGDKTGITSTLSLLSSGESLPQHLFI PSRHSTSLSEEDRNYLASKGVLDLPSSVACQCLLQAYFRHVHTIMPIIEADQILHFFQ AGRLQEYNLLLVWSVFFVAVNFIPSNICEREGYESKKVMKAAIYSRAKCLYNNSGERD KIVLLQASLLLGFWHSEADEHSQPWYWSGISVSLCQMLGLHRNPDTPRYNTAIMDRQR HLWRRLWWTCFLRDRWLSLTLGRPLRIDLDDCDVPMPSVSDIIYDFRDVDPTVFAAFI PDDLPLLAEYWLRLIDLNPPLNKSMLLRQRSSVISLQSKALRAGAEPLGFICIIYNSI TSAQATFLEPENPGPSNILRAILIVLYRPCLTEPSDDLSSTNQQWQESIWHKADSAAS HTNDILEAMAQEGLLEYALPMTPPLLIPAMQMHLLNCRSGTSLSRRLRLNKLNACMMV LEEFQQVYTVASIYRGIFAKAIQLICPESTGTGGNENRTVTGSSSVPLRMGVSASTSA PIPIPPESTELDHQHVGTTATVGPEPGQGAALTDMVDALLDETLPFNFWETWGQMWVD DCLGLPVREDIAKLKYS ANIA_03862 MRMSLLKPKPDALVIKDLGIGSPVILVSFNTGLLSRKISGVAMI DLPQYTSQEVAAHKSRNDLWLIINGKVYDVTEYVRDHPGGADVLIDTAGKDATEAYED VGHSEDADEILQTYLIGTLKDAAKRVRPQQVRLIQSTNPVPPEDTQRPGLIKSFALAT VCVSGAAVSLYLGKSMYASWSGLSGLHGLKKSLHLPSSLFNAGLGGFYTGFAVATGIS SIVGSVVASKLSAMTQIQSGFTKYPPHIKSRKPPRVNPHLLKGFLDPKEYKSLPLVQK KTLAPNVYKFVFQLPGRQDVIGLPIGQHVAIKANIEGKTVSRSYTPTSNNIDRGVLEL VIKCYPDGLLTGKYLANLQVGDKVEFRGPKGAMKYTKGLCKKIGMIAGGTGITPMYQL IRAICEDPTDTTEISLIYANRSEEDILMRSELEEFARNFPKNLKIWYMLDTPPEKWSF GTGYITAEIMREHLPMADKDTKIMLCGPPGMLIACKKGLVALGYEAPGAVAKMDDQIF CF ANIA_10479 MLNQQFYLHGETASSAKSITLDETANLDQVKHIVAAHFAIVEPN GIGFQTENDCLVDVSSILTAPGPIAITIDGRAVREPEGPKGLPFVGNYFEVFPDHLGN HQRLFDTYGPIIKTNNLGRTTYQTNDPQLSAIVLAESDFFTKKINEAHPLYPLKTPEA GVFLGDTDTKEWRDAHKFLPPALGPKAVRHYAPTMDSCVKDAFKVFDALDETGEAWNV YQYMLKLGSQAVGKLTLGLDFEHFTSPDAPTHEMVHAIAELLSLNKEVTSRGDWYAKL PFGAPKRLRNLKARIEEMVDESVQRAARGGVSDLPLQEAALQASNMVDYAIRATDNKG EKLPKSSLIWALVVATGAGFTTTSSLLSWLIYGLVTYPGMQDRLLQELVDHGITEDTE LTADLTENLPFLDKYIKEMQRRHNPSYQPGRTAKVDLVLPGGYKIPKDSVVIAALHHI HNNPSIWDNPSRFDPDRWDTDEVKQRHKASYIPFAIGPRMCIGFNFALSEIKVFLPRL VYRYNFIREGDGPIEYDPMFQLIRPNNLYVRAERRVKWPAKTKSSE ANIA_10471 MHPIWSPPKDYCNRPVAILGAGVLGRRIGCIWASAGYNVHLRDP SSEQLSAGLAYIEENVSTYSNKTGLSPGKAHGFTTLQEAVENAWLVIEAVPEKLPLKI DTFGELAAHAPDDCILASNSSSYKTSEMLDKVESETVRSRILNMHYYMPPRCMIVELM TDGSTHEGIFPFMVERCRESATEPYVARKESTGFIFNRLWAAVKREALTILAEGVSVP EEIDAMWRTMFIQGEVSPCMMMDAVGLDTVAFIEQHYIKERGLSSEKTVDYLQENYLS KGKLGTKCSLGGLYPPAFPENTQTKQGSPHLLVLDVGLAAETAATSIGTPAGEILSLA PDGDRIQHKVQTVVPNQLLPDGITIDRATNRIFWTNMGIPGRLDGTVCSSNMDGSDIR TLIGTGAINTPKQIAIDADARKLYFCDREGCAVYRCELDGSDLEPLVSRQPNKEGSTT DVQDWCVGIAVSPRFNKFYWTQKGAPKSGRGRILCAGIHTPPGPIAAGADKDEELCIL SGLPEPIDLEIDEERGQLYWTDRGELPWGNALYRVQLDREGRPVGKPEILARGLHEAI GLSLCHKSGDIYLTDLGGSVYRFSRDGKKQVLYREDGRAFTGVLCLE ANIA_03860 MAMSKIATLAGLLASAGLVAGHGYVTKMTIDGEEYGGWLADSYY YMDSPPDNYGWSTTVTDNGFVSPDAFGTDDITCHRGATPGALSAPVTAGSKIDITWNT WPESHKDNQGPIINYLAKCNGDCSSADKTSLEFVKIQAEAIVDASTNTWVTDELIENS FTTSVTIPASIAPGNYVLRHEIIALHSAGQQNGAQAYPQCLNLVVSGSGTDNPSGTPG TQLYSANDEGIVFDIYSNPTSYPMPGPELYSG ANIA_10473 MFETRLCGACGEPATGVAGISQSWYETCPGCDAINNGLTMCDVC MEGDEEIEGVCWRCNDEEWIRCSYECENGYVQRVERCPSEMHCDHWEYEDD ANIA_10478 MKDEEIQQATASEPLSLSEEYAMQQSWRNDADKLTFIICLPVAP ETDNGNLSLTDEDDAPTRMIGDINLFLRVEEDEEDGDEERNSSNPQIIGEIELMIAEK KDQGKGFGKAALLAFLTYVIEREREVLGEFVVGDEEAKKAIGKEVKELKFGALSVKIG QANERSLKLFEGLGFSKIGDSPNYFGEWELRRKELDIESENLTVDGYREVAYKRIA ANIA_10470 MAANSSEQEFLRPPSTQQYELSHRNSSIYNPLNTFRLPPGQERQ YQQQYGDMYFLRLAKLKPAVEAVAVEAWEGFSIAGEHARRVERVLDVRQGELCWVAGT IYMEMPLKPNILDDLAKDNFTSAPPPRRTYQDPAHPELTEIMLEDESGRLRLTGSKLQ SVQLATGVIIAVLGTENANGDFEAIDIKVPDLARQPRRWERDEAKAPKEAKKGKIAMV SGLGITGTSSDTLALELLTDYLLGYTGSSKGEEGSPPDASRITRLIIAGNSLGASTIE AAPATDGVPAKKIAHQKKYGYDASAYNASPITQLDSFLAELLPSIPITLMPGESDPAN FALPQQPIHRAMFPRSRAYCSAPPIGEDKVEPGWFDSVTNPWEGDVEGWRFWGSSGQN VDDVLRYLDFADEDGNVDMNGDTEARIRVMEAMLRWRCGVPTAPDTLWSYPFQTHDPF VMESCPHLFFAGNQPQFKTAVIEGDLPLRPDGEDTEMGGTAQNAAVPTVRLISLPKFR ETGELVLVDTETLGVEVVRFGTFAGKEEKL ANIA_03856 MSPSYLCVAPQRLDSFTTHLFHYWRSRTRTGPRSIRDIACTSTM KFKVFHLSTTGYLIILNLSCIIVSASSFESLLRGLHPSVLTRSLPGSLAFVPGEAIGN YQPPPGPIHYGPLPTTDPVSSEAPAIASAAANADGNDIGYGSRRAMAATESPDAADEV TSRIPSVTEMDAMIAKAQAASANKGVAWPSMGLIVPILVPFLQL ANIA_03855 MSITTPLTKLLNIQSPVLLAGMAKASGAPLAAAVSNAGGLGVIG GLGYTPEQMNEMLTELKSLLKDKSLPFGVDLALPQIGGNARKTNHDYTGGKLDELIDV IISHGAKLFVSAVGVPPAHVIKRLHEAGILIMNMVGAPKHAEKALKAGVDIICAQGGE GGGHTGDIPFSVLIPAVVDTVQKYKSPLTGQTPLVVAAGGVNDGRSLAAALTLGASGV WVGTRFLATEESGASKLHKQAVINAQYGETKRTLVVSGRPLRLLPNDYIKEWEKKPEE IARLTSQGIVPMEHDFNNDKEVDLPFLMGDVSGIIKDIKPAGVVLKEMVEQAADVLKR TAALASGPASKL ANIA_03854 MSTTAMSKKNKGKKVADPNETSKLLAAKISQLEQDAAGEKDQEQ EIEREVKKATRDLNQLLTNIESPMTRLETVHKKYTELLADMKKLDRDYAKSKKRADQL QKDQDKGKSELNKTVTMKDKLEKLCRELTKENKKVKHLANVPSLGKQDENKKLEENEK KARAIVNERLDSLLYDIQDVMAAKGNPRSEKIDIDLDEALRAKIKTIGEKFEMRELHY KSLLRSKDAEIQCLTAKYEEQRRAAENEAARCRALSSQVSTFSHTESELRSQLNIYVE KFKQVEDTLNNSNELFLTFRKEMEEMSKKTKRLEKENLTLTRKHDQTNRNILEMAEER TRNHEELDKWRKKSHHLEALCRRMQAQGRGQGLAVDLDGDDEGTESEYEEDYEDEEDE EGISDEEYELESASGDHTMPQQPEKPVFGPPPPPSLLEARANGKAVLNGCH ANIA_03853 MLRSYLHLGRHRTPAFRQPLGRLLRPTASILQYAQSRTLASVSS LESLPEVGDQLHGFTVQEKKQVPELHLTAIRLRHDKTHADYLHIAREDKNNVFGIGFK TNPPDATGVPHILEHTTLCGSEKYPIRDPFFKMLPRSLSNFMNAFTSSDHTMYPFATT NQQDFQNLLSVYLDATMHPLLKEEDFRQEGWRLGPEDPRAIQTQEGNLKPEDILFKGV VYNEMKGQMSDANYLYWIRFQESIFPAINNSGGDPQHITDLTHKQLVEFSKKNYNPSN AKIITYGDMPLADHLKQVGGVLNDFSKGAVDTTVKLPIELRGPINVTVPGPIDTFVSE DRQFKTSTSWYMGDITDTVETFSAGILSSLLLDGYGSPMYKALIESGLGSSFTPNTGL DTSGKIPIFSIGVTGVSEEQAPRVKEEIQRVLQETLQRGFNDEKVQGFLHQLELALRH KTANFGLGVIQKTFTSWFNGSDPMKELAWNEVINAFKSRYEKGGYLEALMQKYLINDN CLTFTMVGTPSFNKELDDKEMARKEKKFEQLTQQHGSVEKAVTELAKAELQLLEVQEK AQHADLSCLPSLRVEDISRQKEHKPVRESKVEGTDIVWREAPTNGLTYFQAVNAFADL PDDLRLLLPLFNDAIMRLGTPTRTMEQWEDLIKLKTGGVSTSNFHTTSPTEMGKYTEG LQFSGFALDKNVPDMLEILTALVTETDFTSPSAPAMIQELLRLTTNGALDAVAGTGHR YALNAAAAGLSRSFWAQEQTSGLAQLQATANLLRDAETSPERLAELIEKLRLIQSFAI SKTSGLRVRLVCEPASSTQNESVLQRWVTGLPKVPSPTSQPQRFDLSTPSKKAFYDLP YKVYYSGLALPTVPFTHSSSATLSVLSQLLTHNYLHPEIREKGGAYGAGASNGPVKGL FAFTSYRDPNPANTLKVFKNSGVFARDRAWSDREINEAKLGIFQGLDAPVSVDEEGSR YFLNGITHEMDQRWREQVLDVTAKDVNEVAQTFLVDGTRRSVCLLGEKKDWAESEGWE VRKLSMNPNGSNIPSGDAA ANIA_03852 MMRPRDPRVRQTINQISHNLESANETAQEGIYTFAHNYIVPCFT SIGNCVYSCTAPCIPKREDHLRRRRRRYADFDFYDDWDNEDVEDTILGWGTDELDRLL AGSGLARGSSEQPRRQRKMSYGARRASRRKSGLLIPDERDDPTVIPSSSFLGFLERFP WRFGARGLKYRPSAADLQEHPAGLRHVHEESPLIESGEEVDEETANGGNGRYRSSTQS SRETANSLSSRGDLLPSDEEDAIPLDDEFAMALTRRGTGLESDDQEGGKPESMRSASG TFSIAPTTSSKSSGKQKKKKRTSRMRSPQSSYVEVSRDMPVSIEDLKREEEQAAREEE MEIVRKRLAARQLALSRGISIEDVRIASIPPSPSAGVPSEADASDNPPKPQIRNEVHH HNAVISEEHLISESDLRTEPFPPLPESDEPTSPFQVDQIRNSNVPLTHVEPTPELDVG ASSSTHNTDTT ANIA_03851 MVYYIRFLKTPRLQKQKASSVSASALVCITTDLGDEFLSQDVDL LVTLHPARQETKILCQERLQWHGGRREIPIALGPVPANISQQSMIMKVTATKSTSSDL LDQHSIPLVISCWSATFGGRQSLVADKLVERRFRVNQSVELSIWEETGNSIARHIWDA AVASVIYLQQMLAGHPGVSNPVLQNALQTNRSGPLNVIELGSGCGIFGIALAELLPQC SVLLTDLPEVEEIITKNIAVAQPAHASKIQYRPLEWGEELPDDLFGAHTIDLILVSDC TYNADSLPALVSVLGRLIELSPHAIVLVALKRRHESESVFFDLMESAGLHDLHSHHMQ LPSQHEQWDEIELHCYGRRSQQ ANIA_03850 MYFHRPPVWRYSSFQDGSLSPEMSEDLNNSDRDIDEDLWMGSLR LVDHQKTDAAPYVILEDSDSDKEIPLLDPTLPEESTFFECRPFETRTDPFHQKKPIFC TRLQSYKDIRLGLGEQWVIQEGSLVIGIDPEYTIFVSHQRRMDEFDIAEGSLYVVCSL YADLWALCAEVSFSPSSSGDNPLRLAFLPLCAITLAPNYSAFVQRSTQGIQYFGSREE KYPGNGLAVIPPRRSHSLTASKQIFQGLDDPMTLSHVVRGVLQVLTPRKTDEDFVPLD STLEPIFSPLTGKRRLLRRIGSGRSTSRSQDGANQQTKRKYDYDLNSSLASLCDKFYS KSEGWRRLRRRRISSPRASRKLKLLLKV ANIA_03849 MAAPKLTLYYDIVSPFAWIAFKILRDSRTFSKCDITYVPVLLGG ILKATGNTPPIRIKNKDKWINQERIRWARFFSIPIAETSPEGFPPNTVTVQRALTAIS QQSPNKLIPATEAVWRDFWVKGDGKATQPEVFVPIFEKILGSSQTKAIMEAANGPEIK TLLGANTQQALDSGAFGLPWFECTNSRGEKEGFWGIDHLGQVVEFLGLDRSLDPGFRA VL ANIA_03848 MSGNPTQQVKDTVYAAASTTGEWAQQNVVNPIKTYVSGEKGNDA ERIEPNIPDEESEKIDHLEKEKIAEFLQERHKSTAPTKRR ANIA_10469 MPFPYKHILVIGATSGIGRAMAERFVENGAKVIVVGRRKERLDA FVSKYGENKAQSMVFDITQTDKMPEFVKEITAKYPDIDSVYLNAGVQRTHDLTQEGGW DLEAFNNEFHTNFTSAVSLVHAFLPFLKRKAETQPASFIFTGTNLAIVPAAPMPAYSA SKTALNVFVLSLREQLKQSSKLKVIEVSPPAVQTELHDYMGAHGATIGMPLDQFINEA FSGLQKGLDQVIVGNVVDNETFHDIVQKRRSLFEKLAAAMRSMHGK ANIA_10480 MSFPSSPPSISLSNSAAPNLPLPAKVEKVTETENRMPSIPITIL TGFLGSGKTTLLLNLLPQLPKSYKLALLKNEFGDVAIDSQLASTSAISGVRELLNGCI CCNLVGQLSDALSELESTVDPDRIIIETSGSAFPATLAMEVNRLSRESPHNEDGSSKY TLDGVVSVIDVENWAGYEDTSYTAKIQARYTDLIVFNKWEHVSERRFDEVLDRVGDLE VQTPWVKSDKGRVEKDVLLGIDGALFAKQVIENGHEHGHEHADGHGHEHGHQSEVEVL SVTLRQTEGTGASTIDVPALEKLLSSAPKEEVYRIKGILRCSTQTPLASSSDDLDVRP SPSNSSDSAYYILNWAFGRWTCTSSEVVADAADPATVARITFILARYESAKWKKKLDG GALVQSDGAELNVERLV ANIA_03846 MPKLYDKPDFYVNNIHQFSNHGADDLSQSRLAAKDLVLNVLKKR AAEVDTDRCAPGEEDAFYVADMGEVYRQHLRWKMNLSRVRPFYAVKCNPDPEVLRLMA KLGNGFDCASKAEIDMALSTGVDPSRIIYAQPCKTKSYLRHAAKVGVKQMTFDNADEL YKIKACYPEAELYLRILTDDSNSLCQFSMKFGASLDVARQLLELAHQLELKIVGVSFH VGSGAEDPKAFLKAVQDARLVFDQAAEIGHELHTLDVGGGFTGETFEKFAAVLDSALE TYFPPNIRIIAEPGRYYVGGAFTLAANIIARRGVQDPENPSKDAYMIYLNDGVYGNFS NIIFDHQHPEARILSCASEINGRPVSEDVTYSIWGPTCDGIDVISQRSVLPGLLDVGD WLYFEEMGAYTRCSATRFNGFSDNHEVIYISSEAGASALLEY ANIA_03845 MGEGKSSVGVKVDENNAPIGEETRGSSSRRRDVVKSVSKASRRY NVTREQEAGFLQKIKLVYERFMNLGSRGWGRSNDLRWCSGCRFLTNGGLALTKLRAEG TTPPGQTGKERPRTRTGNKTRQGVANLIEGRGKTQTDLSFDAMKERR ANIA_03844 MNQEQATRESLGSSSRDEERGSRSQRRSASSSGFLVDSFLPRSS KSLRTSGHHFRRSEIENRANLGNLEAETTPKKRSRFRWSRQRESTKESDTVSQDANDG TEVISSLRLPRDATLSESHDKSAAHHSGSRAESRNDPAALGLDKDSLQIVNLALNLSE SRRKGNVGYQASSQIPRGSWAPSGDSCASAAGSIPRHDSYPGINATQSLGDERLRSVN LPMSMLTNDVLNSLPKSATSEPTPQGFSGGTLARAANARRHFELRHEYLRLLPSLPPL KPYSSHSTNDSPRANCHQSHRAYNPLQAIRNRKVRFRERCPIDPEAEGWNDVEKVHSW VDSVEAAYSHQARNPLECLKLPPYKGRNSEGGLGRGVKDIDPSTASPPSSLRRISRTG SIKSRRPRSDWLIYPDELLADAAWVEDAQNKSRITDRDGNILYPNPSFFLVNDAPQEQ LQESGFLNGRPSYHASHSDARQSQSFDPKEFDRGRQSHRFRTSRRSSSVSVEDTGPKR RMFKIRSRSSSSSSADEKPYRGSLLSNIKSPRRSVSPWRRKGMIWNEKRGSVSSVPSA DDRYDPLYLGRMGTHGTNTGLELGYFPSIASNLSPPSSRSSSPAKRGFTRGTGSRRAH SRSSHHRKGADDDSSVDFEAMRKDSLPITNSGAQVDDELPPLPAKGSTNNAESRRTNP HERKDTVPHESKLRGIFKGPGKIAGKVGNEVSKMGDFILKKDSGGHSRQSSFAISDDS EFEDEEAKSEKLAIPRAILRRLPTFTDDLGRSTPRNSDKSLTKNQSQTPPTIVEPRQQ RDDIEVVSPHTRLLGLQGDASSSLGHHDGKPSGHSINRGPRILQFGPEIHTVQNEIRK GRIKDDSVPFSIARPPITGLAKAQPTPMTSSHSVRPTLSGQSRSWSISDRSVSVSIES GVPGKQEIERTRALLLSSGIKAREIARRAEEARRRPPEFLQRSFGADSATPPVPRIYE HEVASQRLLRRIEASHNSFDSSMDQIPKAAFLPLKSKLSTLEDLVEKSLNTRVRVAAE EAENLSMQLNTTSTLAVKQLSDTLDHGLRKRHRRLRWLRRTGFVMLEWALIGLLWWVW LIVVAFKLLRKLFHGLASGVRWILWL ANIA_03843 MLRSSVLQGRHILSSSARPRPAPQWLARAGASSRLAGQRFFADA KSPTPVTPSSATPVPAETAAKSTAGPSATETPTPAPTRKTGRFRKFLIYLILTSGLAY GGGVFLALKSDNFHDFFTEYVPYGEESVLYFEERDFYRRFPNTLRNKNRLSPASRDEG SRVTIPSKSGLSSKEVEETGTDVSQPGPHMSAVTPAKADEATIKPAAAKPEEKTAAVK EAKKQAQEPEKPREEPKQEPKLPGSAPITTLEFANVSEGDEPIVQELVKTFNDIITVI SADEDSAKYSKPVAKAKEELQKIGEQILSVRDEARRAAQEEIEKAHATFDESARELIR RFEEVRANDAAQYREEFEAERERLALAYQQKIQTELQRAQEIAEQRLQNELVEQAIEL NRKYIHEVKDLVEREREGRLSKLSELTSSVSELETLVTGWREVIDTNLKTQQLQVAVD AVRSALERSTVPRPFVRELVAVKELAGDDPVVEAAIASINPAAYQRGIPSTSQIIERF RRVADEVRKASLLPEDAGIASHAASLVLSKVMFKKDAEAGSDDVESVLLRTENLLEQG NLDDAAREMNSLKGWAKILSKDWLADVRRVLEVKQALEVIETEARLQCLRVE ANIA_03842 MASRAAAGARPGARFAQFKLVLLGESAVGKSSLVLRFVKDQFDD YRESTIGAAFLTQTISLDESTTVKFEIWDTAGQERYKSLAPMYYRNANCAVVVYDITQ ASSLDKAKSWVKELQRQANENIVIALAGNKLDLVTENPDKRAIPTADAEAYAREAGLL FFETSAKTSSNVRELFTAIAKKLPLDQAGPRNMRTAPRPGVDLRPEAPGTQSGGACNC ANIA_03841 MVTSILPFRDINLHASPAHYAFTSPSSPDAPTLVVERPTGDLRL SNGSLSGAKRISSIAGILGIIKLKLDKYIIVITKAQPMGRLRGQMIYKVAGTEFLPLR ERPLHDHDEDAYLTMLKELLRTGPMYFSYTLDITNSFQRQSQSDMSLPMWKRADDRFF WNRFIQSDLIDFSLGEHNTTSVRYGPQPGVDPYILPVIFGMLRITPAKVKSTTFTFAL ITRRSRHRAGTRYFSRGIDEQGNVSNYNETEQIVILNDATGGLSGFAGGQTITKEKPA DLGQDPQVMSFVQTRGSVPVFWAEVNNLKYTPKLQVRGVETAVQAARKHFAEQIRLYG DNYLVNLVNQKGREERVKNAYEQLIRILVSSPNELTEADDESSEKLHVLEPDHPQKEM DRLHYVYFDFHNETKGLRWHRAELLMDRLINGLNQGGYFRGLENPGAAGGQLEARALQ SSVVRTNCMDCLDRTNVVQSMLGRWALTRQLTEAGVLRPGEAANDDQEFEDLFRNIWA DNADVVSKAYSGTGALKTDFTRTGQRTRAGMVQDLSNSITRYVRNNFLDGPRQDGFDV FLGAYLPPESTLGNLRIFVDRRPLIIQSIPYIFAAGLFMIIIALFTRRLPDAAVWPLR LFVVFWLLISGWCARFMLAHGMLYVNWPKLNTPAAGSEGYQDALIKARSDPVIGQLLP SRRHQRGYSNARLGFLEEGKTRIE ANIA_03840 MSRDYKAAISALNSLQSNFAIVKEFNKPETRHALNLRSLPETVE WLRRIGYQPSDLNRLNPIHVAGTKGKGSTSSFISSILYQFTPSQSSSPVFNKVGLYTS PHLRFARERIRINNEPLSEELFAQYFFEVWDRLDDAARAAGEDPNNLQTKPQYFRYLT LMAFHTYLSEGVDAAVIECGIGGEYDCTNVIEQPAATAITSLGIDHVALLGNTIEEIA WHKGGIIKANTKAFSAPQPPSAEKVLRERAANKHTELEFVSSHPDLKKNGDIKLGLAG DFQYGNAALAVATAGEVLKKVGKLDISAGFREQSLPAEFRKGLEQAQLEGRCQTRKEE NVIWYIDGGHTQESIKLAGQWFASQIKADSSSEASAAKKLRVLIFNQQTRDSNALAQS LHETLESALGSKTPFTHAIFCTNVTYKETGYRPDLVSMNQDGAAVEKLQVQKALAEKW NSIDPSAEVKVFATIEEAIDFTRDLASREENRIEGDETPIMTFVTGSLHLVGGVLDVI ETKPYSKKL ANIA_03839 MSDSKDSKGKAPQKPNDAEQTPGGKLTPQAAEALLENNPSLKNE LGGLDKDKALEALRKMDISELLTGLSLTGKNKKDMAAFKFWQTQPVPRFDEAASNAAG GPIKMIDPEKVSKEPDALIEGFEWTTLDLTNEEELRELWDLLTYHYVEDDNAMFRFRY SKSFLHWALMSPGWRKEWHVGVRATKSRKLVASISGVPTQIRVRGQKIKVTEINFLCI HKKLRSKRLAPVLIKEITRRCYLNGIYQAIYTAGVVLPTPVSSCRYYHRPLDWLKLYE VGFSPLPRGSTKARQITKNHLPSHTSTPNLRPMEAKDVDAVHDLLERYLNQFDIHQAF TREEIDHWLVYKESPQKEQVIWSYVVEDPETHKITDFFSFYNLESTVIQHPKHDCVRA AYLYYYATETAFLDDQKALKNRLQMLMNDALILAKKAQFDVFNALTSHHNPLFLEQLK FGAGDGQLHFYLYNYRTAPIAGGVNEKNLPDENRMGGVGVVML ANIA_03838 MGVGQSHEDAGYTSPDLTPNLFPSSKNKSDADAEQLSLKLAERF ATKCFTPLELTHFKDNFYQRATEQGGLKYWNEKTLSDFLSIPDHSDSHCPLDAGPVIF RMASYLGAFPFQNSLAPSVLTLEALIKVVVLLTERYGKVLRRGQKNRIRLLFGSLADV ARKEIEKPAENEGKEEDIPAPSQTRGFDIDAPANDNYDEDDEDDLALAALESLDAIEV FKHDSRIDKRVYEARISLSTFRRLLMLLLVIAPLKPLEDISNYTVDLNETRMLSVREE ADSILAAFEQEASGGISYKTFADTITSSFPYLFDPLTPLFEHLLFSKNLDFSQHRGSD TTEAEQMSQPVKSSLASITLPGSFESTILSPSIISHLSFFLPSTSDNQNLLHGNVRLH PVFSIAAHGSSLTSFSHNVLTWQSGTLFLLYGQDTDTGDMLTLGAYLPQPWKSPTTSQ STSDFSSSVLPCLFLLSPYHILLRGNPSPSVVDKPNTPTAYFSTHHGIAIGCRIPPSS RTQKLPPTPLGAGSLVIGTSLESAELHISPFGHDGVFLPPGAQPTKSKSETNIDIYNL EVWGLVPGSNPNGSSSLQKSAVELQQASWDFEAREAERRRHVNLSAGAGDSALEQARW LLETAGVIGDSSGTGRCSRQYGVVTMCYQ ANIA_03837 MPGAMSTPSKPTAPAFTRWRPKFHLLAPSNWLNDPCGPGYDPCT GRYHLAYQWNPKDNEWGDICWGRATSCDLVSWEIDPEPCLAPSAPYDSKGIFTGCFQS TNLQGQQDGTLTYFYTSVKSLPIHYTLPYSNGCETLSIALSRDAGRTWERHAQNPILP GPPAGLQVYGWRDPFVCSWPSAPESVREAARQVVGEGDVMYGFISGGLVNKTPTVFVY AITRNTLTEWKYVGILLDVGLNYTLSRWSGDLGVNWEVSNLVTLTNKEGTSRDFLIMG VEGCIPSPDPNRPAARDRRIQRSQLWTCIKQNETRGQTQTALMQTSFGGIFDSGLFYA ANSFFDPVTQRQVVFGWITEEDLPDTTRKEQGWSGLVSLPRTLTLQTIHCVKRARSTT SLGDITSVEAVPDEQGTYTVHTLGVELDPRVEELRKGARVLTREALDLSFNVDEAGTV MAATTSTQSSGCFVPLDTTRWEVDAEIAVGRKCSRVGMVIFHDEDYTAKTTLFWDPVH ETFQVERPRPSNINPDINHAPEVTPHTLFTYTNPATTTNDNTASEIETEEPLRIHALF DSSVLEVFVNGRTAITTRIYHSGGRTKTDGPKCVGVQFFADAENAGCDADAHAHSGGG AGGKEAPARLIHATIWDSLACL ANIA_03836 MSSTTEKDTAEKPAETWHVDAVQPVTETETETNASSISDEGAVN ALLILACIAFGSASFVFGFDDKVISPLAALTAFVRPPTPALSIDEHSQSQVQDFQGPN PVDGTLVLTARNQNLVFSVPLVGSIVGGVAASPLNNFLGRKWPLIGAYVVSIGGGFLQ LFAKNLAQFVIGRFLNAITIGVANATAPLYLSEVVPPSMRGRSVTSINILSLLAGVIS TIIVNETKDLDGHLQYMIPLAIQCALPVVILVATVFLPESPQWLVSKGRMEEAHRNLR KLRGSKMSDATVAEELRVMQLCEENERALSANVRFWEIFNRENLQRTLTAGSFYSFNQ ISGIILSTTYTTVFLTQLGVGDAFTFTVIASCCTLAGTLAAPLVIDRFGRRPTAFVGM SVLLLIDITAGSLAFNTGSESFVLGIAALGFIFNFFWGAGFYSLSALMPSEIATPKLR NHTMAYTIACAQTTAVITTFAVPQLTSADAAGLGAKTYLVFAGCMAFVLVFVYFFMPE TKGRTFAEVDEMYDAGIPMWKWRNYKTATAARIGGKEGA ANIA_03835 MPPSSRQSRSGGSQAGPKCATRACDQCRLRKTRCSLSRPCELCV SLGFECTFLDPQKKRGPPARVKQIREHQEQLRALQAIGGSSEVVPQGSHMPSGPSNAD HSEDPARHGYASLTVGSDTTPGSAWSGPEMEYWLPDSFNSQTFPLFGFHGSNILVRET LPPVINDNISLEVSNQTPLLPSDMDPSMQRDNPLGMTPEFWPPYIHEASFIPWIDVYF DRLHPTLPVLNRSLLFTQIIQQEHRRNPQFGAMILSLCAFSLTQPIDISERPTSSSRS DQAKILVHEATRMRTSSDFGEHPSIEAVLTSFFLFGYLFGSNQHNAARLRLREAIDLA STLGLNNPATYADCSAEEKGQWLRTYLVLSVTERAYALQRQHPITFTGRPSDVIRAAD EIRNATQRVVSGIIVHTEKDATAMMGLSLLMEIFDAIDEDILICWNARCNASSGNGRC QILTEDRAMSIYRNLATVSDVARYNDRGHGHDHFDFSDPDQEPDESASSGQNGSRELI ESRALRDFLSETQCADILVTQKWVQDRLWNLCFSHGLLQLDPGPDCRQLGFGYAIENA QRALRLCRLLKISALEAHGIGICCHDSA ANIA_03834 MLFILSRESMAEKRRLSARERREPAAKRRVSEATTRASSPAQSQ HATRRKASTPATASSPAPTANPASETVVPPISTKVKDGEPLPVLPSPQPADLSLKEYQ SIAESAVLLASLERSKKKWLSDGILERYWTKPKKTKREQLEGKNPPKESMSKVGPCNI VVGPHLFDAMLYTVKDPNAPPPVQYTPTQRPMVHYGHPNNFQQYHPYPQTPHSAQNQR PQPPQTSHAPPQSQQGYRPPPQPARTPSNQTPHRPPGPQPAQQRPAASQNPPTQPPKP NPDPVIQMLATRAAQDPELKALMRLVASTNATQEQLRTFQAHIDELNAIIRAREQQQR RQQQQQQQQQRQQQQQQQQPGTPTPTQPPPQTSYPPAQVPQQPVQQPLPANPQQQPSQ QEQRTPTPQGPPQPQQSSQSRPPVEVQIPRSSHATVAPQNQAGFSQNRQTPAPQPMPA ELPVKQEPSVNTAAPTPAPRVSSPSVGPASQQSPATQPLPPVPQHQATASRPGPQYQY QQPPFQGQPIQSRPPQYGSPAPYYRSTPAKPPVPPRFNYKSVVFEFTSPLTPYGSSTS GHAGSGDRYLFPENTILEWLPGGTTVIASFLLVRKVDPNAPFPIETSADTAPSRAKGK TGPKSKKADKNKDKAGDVQNGKDKVKESEAGAINGDNPQSENKDSSASDSKQNIQDSQ GDQKASQPADPSKSASDTKTGDDKKSPPLKEYYQPVTFRIYSSNPKTLEPLARVVKPQ EEVRKYMNEVMDRAERAPEGFLVYRLPREQPIEDHELEVDHGKKGGTPVPGSVGRSKP LRGRNFGEDSEAESTVKITEDDEDEEELKDYYGPPTGFVPLGK ANIA_03833 MVEFNPLLRKAYWSLAAAGLIYVGIVCSLTYPVVQRFALYANKI NPALWEDVNLVEAFGFLKTQVQPFHLVTPDNETIYGWHLLPLHLCREHDGELDLDEPT GPADDYTLTPAFRLLANDLNARVVVSFHGNAAHLGSAQRPETYRMLLGLSTPTNPIHV FAIDYRGFGMSTGTPSEEGLITDGVTLLNFLTSAPLNISPSRIAIVGQSLGTAVSAAV AERFAFGSPDPTAIQPALTDPEPFAGIILLASFSNLPNLIESYSLKGITPPILSPLRG YPRIQNWARRHILDTWDTAGRVARLTGVNCSLVQANPAFAEKGLDLAIIHAKNDVEIP WFEGRRVWAAATGQLQQYSPGVLTYEKRDADNGPNEVLIWENRSGKGPGAVKRVRWER VAYGGHNRVATFSTAALAVLRAFAE ANIA_03832 MRCPSLARLPHRAVSGLTRTPVRFQSQAFLTARCASTAALRSAT PVHQSVLNRRYQQTRNASGTAAAVLEAAAQTPDSLSQEAIIENLDPVEAERLSRVRNI GIAAHIDSGKTTCTERVLFYTGRIKAIHEVRGRDSVGAKMDSMDLEREKGITIQSAAT FCDWVKKDEDGKEQKYHMNLIDTPGHIDFTIEVERALRVLDGAVMILCAVSGVQSQTI TVDRQMRRYNVPRISFVNKMDRMGANPFKSVDQINTKLKLPAAAVQVPIGAEDEFEGV VDLVRMKAIYNQGSNGENIVVKDEIPEKVRELAEERRRMLIETLADVDDDMAEIFLNE EEPTEKQIKDAIRRATIGLKFTPVFMGSALANKSVQPMLDGVIDYLPNPSEVQNTALD KKRNEAQVKLVPYNALPLVCLAFKLEESSFGQLTYIRVYQGTLRKGSYVFNARTDKKV RIPRIVRMHSNEMEDVSEIGAGEICAVFGVECASGDSFTDGQLGYTMSSMFVPEPVIS LSIKPKHSKDYANFSKAMARFQREDPTFRVSFDPESEQTLISGMGELHLDIYVERMRR EYRVDCETGPPQVAYRETISQRVEFDHLLKKQSGGPGDYARVVGWLEPTGKLEENQFE EQIVGGSISEKFIFACEKGFHLSCEKGPLIGHKVLGTKMVINDGATHMTDSSEMAFKN ATQQAFRKAFQEGNPAVLEPMMKTVVTAPAEFQGDVIGLLNKRGATINDSEVGVDEFT VYADCSLNGMFGFSSHLRAATQGKGEYTMEFSHYEKAPPQEQRELVKKYLQAQADRHK K ANIA_03831 MSEPLPSSFDGHEQFQEETPLQKFGRRFKEEPWVPAVGLLGCAA TCYALWRAYRSMKAGDSVEMNRMFRARIYAQGLTLLTVVAGGLYYRTERTQRREFEQA LELRKGQEKRDAWLRELEIRDKEDKEWRERHAAIEAAAKQAGNKPVLAEQDAARSALE PSEQKYYGVLDAVRDLVSRRE ANIA_03830 MTENASMNGAATPLSSRLSNLALTEYSAIPTPTSEKEEYKGPDS PPAWDIPDAFLLPNGYPDYLKLILTSRVYEITTESPLHHAVNLSNRMECRVLLKREDL LPVFSFKLRGAYNKMAHLTDEQRWKGVIACSAGNHAQGVAYSARKLKIPATIVMPSGT PAIKHLNVARLGGSVVLHGNDFDAAKEEAHRREKQHGLTSIPPFDDPYVIAGQGTIGM EILRQANLDKLEAVFCAVGGGGLISGVGVYIKRIAPHVKVIGVETHDANAMAQSLDEG SRVLLNEVGLFADGAAVKSVGSECWRVAREVVDEIILVSTDETCAAIKDAFEDTRSII EPAGALAIAGLKKYVAKRPSPNTSRELVAITSGANMDFDRLRFVAERAALGEKKEALL SVKIPEQPGAFAKLVEVVLPHAVTAFNYRYADETTADVLMGISLSASTGQEDLAKIMT ELTRNGMDSKDLSDDELAKRHLRFLVGGRSEVKDERLFMFEFPERPGALAKFLRTLRP SQNISLFHYRNYGGDVGKVLAGIQCPECEKEQLEAFLKDLGYPFTEETQSETYKTFLR R ANIA_10477 MISLRSLLGPPTAALRQFLPRHNSNFRVLARPFSQLSQLTSNNW FFFGWSRSIVPGAGVSAVRQVEQVRGMKTRSSVKRLCEGCKPVRRKNRVYIICSKNPK HKQRQGK ANIA_03829 MDKIFRPLSKQLMRRPRYLYAMPSHTYPQRLYTTGYTVPPLKDK SLFIQKAFVNGEWVDAESGKTFEVHDPATGKLIGTCPEFSASDTEKAIQAASAAFPKF RATLARERARMLRRWYQLMVDNAEDLATLITWENGKPLADAKGEVNYAASFFEWFSEE APRTYGDTIPASVPGNRVITVKQPVGVCGLITPWNFPAAMITRKIGPALAAGCTVVTK SPGETPFTANALAELANRAGIPKGVVNIVTAMKNTPEVGEMITTHPDIRKVSFTGSTN VGRLLMKQSSSTIKKVSWELGGNAPFIVFDDVEDLDAAVTGAIASKFRSSGQTCVCAN RIYVQKGIYDEFVQKFVEKVRNFKVGAGFEDGVTHGPVIHDRAVDKVDQHVQDAISKG AKLIAGGQRRSDLGPNFYDLTVLANMTKDMKIASEETFGPVAGLFPFETEKEVVELAN KAEVGLAGYFFSGNIKRIFRVAEALEVGMVGVNTGLISDVASPFGGVKQSGFGREGSK YGIEEFMTIKSVTFGGMGEPLQS ANIA_03828 MSYYSDSQSQNSGVPPSAQPYRSLFGGSSQATLEQEQSILSRED EALDQLDGAAAAGDGLSYGYEDSGDELDYIQTSDRETSISPSRSYTGARSLSNTNTKP IPKTRATPESPTSPSPYRPNRFYGKPNLWLHLTKTDREIAEALAETGARDLAAHLYNA HVLQTRGVAALGTASADSSDEDGANNNELSHADLLDILEEWTAWPMPSDEVPRADERL RRLEDDRWTFRMKPDARPSAELEECITAFLSRIAKERFQSREWASSAPSDRKAVAPSD TEGAKSGTEGDIGTHQWSLGDTTDWESEQEGLQNPLRPVVQIDDAESRRKLRPLVRNV ITQYENLLMGLHRFHGASQSDDVQSNRSRSRGRMRARSSPSVSDMSNASSEGVQIDDD SEAERHSAIDSTHMRTLSSSKKRTQRIPERSYSRGRKRTRRTFVSSQRDDTFIKNTHT SRHGPRSSSANALGPTVLTDWRDVAGVASIIGLPSAVLQRATQRFSALVGEDMEIPSF FRNPTRDYMDDAFEWASEKVEMKLDDPPPLSAAASCATSTGKRSTSPADPKPTERGSS QRIQSLQIAKKADSI ANIA_03827 MAKIKKKGTSGQAKNYITRTQAVRKLQISLPDFRRLCIFKGIYP REPRNKKKASKSATQSTTFYYTKDIQYLLHEPLLRKFREQKALAKKIARSLGRGEVSD AARLEKNHAPKLTLDHVIKERYPTFIDALRDLDDALSLLFLFANLPSTSHVPPKTIAL CQRVTHEFQHYLITTNSLRKSFLSIKGIYYQATIQGQDIMWLVPYRFVQRVNGDVDYR IMATFVEFYTTLLGFVNYRLYSSIGLRYPPKFDTRLDENGAELAAFTLEGRTVGDAPK AIEPAKSSSDAANQEVSAEVQKKVDNVIKKAGLDQASSEQPTETAEEVTDAIDKFETT APEADTLPQPDMSGDQAGSLFAPFTFYISREAPKTPLEFILRAFGCKRIGWDTVLGGG AFTHNEADPRITHQIVDRPSLPESSLPSIPAAATDGGAVQKVKPGTRVPGRTYVQPQW VWDCINEGKLLRPDLYAPGATLPPHLSPWVNPKKGGYDPRASLAEQEEDGEADIDAEE ESDEEMEEAGEEKPAPTAANESEDSEDESVDGGMDVAETDDDDSESEEEEEEEDEFAG IDDEDAGSESEDEEETARTQHQKELEAEAAGLPFSSSGAGDDAAAKKKSQAKKRAAKK RQEEEELERQKMMMSRKKRKLLEKMMYSNKKTAEESAKLRSKRRKIEKGAAGK ANIA_03826 MPVDRRKVAVFGGALALRLLLLLLFPSLPDLLTGRVEVSTPVTS FKRLQEGLFLYNRNVSPYDGGVFHQYPIPTALLYSLVDLLNANALVTISDSAQAVSGR LYTSSRKLIKWDGIAVAAWFLFNPFTIATCLGRSTAVFTSTGILYAISAAVQGESLNA MFALGLASYLSIYPALLFIPLILLCYDRHAQRSQSSPSTPLFVAKHLAILLASIAGLL GISVLIIGDFSNLISATYGFQLLVPDLTPNIGLWWYFFIEIFDSFRDFFLGVFWLHLA AYAGSLSVRLRRQPLFVVTTLLGIFAVFKPYPSISDASLYFAVLPIYRHLFPLMRYTF FSVSALLYASLLGPAFYHLWIYAGSGNANFFYAITLVWSLGFSLILADMIFAALRDEW EQENPDKRGKPVKQV ANIA_03825 MPIKPGPAAKRDLEADSYDISIHTAATIPDTELTSCFKLLELTS SNAYKNSSIGWSSSEKRKEMKLPDMKYMILRRGASSSVQDTKGDSSSSILTGQFAGFL EFMVTYEDGYEVLYCYEIHLTPEVQGQGLGEELIERFEKIGRRVGLEKAMLTVFKSNS RAIKFYSRMGYAEDENSPRPRKLRNGTVKEADYMIMSKSLR ANIA_03824 MAADMTNPVLDALSASEGPILSNEAFPSVPSQTVKAALDRLASR LMVEYETFNSEVLVVTKEGEDVAANGSHEAKVYQAVLAAMDGLKIKELPEVVGKENAQ LGQGSAFKKGWIKKDKDVLRAATDSIVDDTQADVKKIQQGGTVTDPNALKELKKRKLV TAKKVITFKISKGAKYARELVKEETDLTAEMLQNGSWKTATFKPYNFKAMGAPTPSGA FHPLNKVRQEFRNIFFEMGFEEMPTNRFVETGFWNFDALFVPQQHPARDLQDTFYISD PLTADPPREDPEYDPHRPKTVLKPAGEEKKLDYKEYWENVRQVHENGKYGSIGYRYPW SPEEALRLVLRTHTTSISTYMLHKLAANPRPARYFSIDRVFRNEAVDATHLAEFHQIE GVIADFDLTLGGLIGFMEVFFAKMGIHKLRFKPAYNPYTEPSMEIFGYHPGLGKWVEI GNSGMFRPEMLEPMGLPKDMRVYGWGLSLERPTMIKYGVSNIRELLGHKVDLNFIETN PAVRLEKD ANIA_03823 MENEKGEIVDLYVPRKCSATNRIIKANDHASVQISIGKVDENGR YTGENQTYALCGFIRARGESDDSLNRLTQRDGYIRNVWTASRQR ANIA_03822 MHLAFHVPRSVTQLISCQLELARGLLASNTRRLRPTTPSCFNIT PTCFSRSNSSTVQYQPSTSRNMSPKQKKQPADPRPSSSVVLISPKNEILLLHRVKTST SFASAHVFPGGNLSLQDGRCPPPGDPKRHEDASWYRSAAIRELFEESGILLAKDQGSG KMLAVGEDERERGRREIHQKQTTFSEWLKKQNASAVPDIDNLIPFTRWITPINVPKRY TTQMYLYFLPLPLESEKSLLSEIPAEGEREEIQIPTSDGGVEIAEAQFLPASEWLRKA ARGEIILFPPQFVLLSLAAQFLDKEPRQIAPDELQRRREQLIEFVYSGSPPWTEKCIS PKVGKFAEDGRAVLTLEHPGPELEGTDRRGESERAVLVRFKNGSARELSLAWKKDIFP GRKSSL ANIA_10476 MKNLHYPLASKLPASKQLICAAAIGTREEDKHRLKLLVEAGLDI VILDSSQGNSMYQIEMIKYIKKTYPEIDVIGGNVVTRDQAAALIAAGVDGLRIGMGSG SACITQEVMAVGRPQALSVRRVTQFAARFGVPCIADGGIQNVGHIVKGLAMGATTVMM GGLLAGTTESPGEYFVSNEGQLVKAYRGMGSIAAMEDKKAGGNGKDSKASNAGTARYF SEKDRVLVAQGVAGSVLDRGSVTKFVPYLVAGVQHSLQDIGVSSLQQLHEGVNNGTVR FEVRSASAMAEGNVHGLHSYDKKLYS ANIA_11238 MPIANGDALGRAMKAEFQDHTKALEVLEKEYPIKDGLDVDTLLD SDKHGALTYNDFLILPGYIGFPASDVTLDTPVTKRVTLKAPLLSSPMDTVTEHNMAIH MALLGGLGVIHHNCSPEDQAEMVRKVKRYENGFILDPVVLSPRATVREAKELKAKWGF GGFPVTGKFYFASLLPLFVMIPIYLLYNFRSTEATRVAM ANIA_09462 MPRSEPTFLYKLVPSTSPVREPIPDRLPVSELDEMSGFVHLSTA LQVPNTLKFFFKDEPLVYVLRIPYDRVAKDIRFEDPEGRVCGPRPDEGLFPHLYNGLK LGKDEVESLSIWTNEGGWDKPLAAAKPWLRY ANIA_09463 MSMHTAPLRRAQTDLPTQASSPLRHDSTASTNSSVYSLSSNSFA PSRTSTVSSNASVRSAAGFGHKRGKSEVNTTTAQQNMGGGAAEWSNAGATYENIRRSL RPLSQAPNSSPAGKHVAFRHSRSQTLDNPQHWKENRPRTPEARFSQNDAEPLKERQSP NVLAPSKPNVSPQVRPHVRTSHSHSISSTHPPPLTAAISAPELETFQKSSTGHLRALS RFAKSGETEEFSIDTYTPSVVGLQGRRRLKRSGSVAGNHGPRTVQRPTASAWAAGNWM DKQRQFLQAYEYLCHIGEAKEWIEEVIQQQIPPIVQLEEALRDGVTLAEIVQSMYPNR PLRIFRHPRLQYRHSDNIALFFRFLDEVELPELFRFELIDLYEKKNIPKVIHCIHALS WLLFKKGLVDFRMGNLVGQLEFEHHELEQTQKGLDKAGVSMPSFTGMAANFGAEPEPE PEPEAESEEDRIERELHENENSISDFQAQLRGAILRLKLGNLMNDLWDFEPLLIDLQS RIRGDWTRQIVQYRIDMRNFAVDLQAICRGFVARYRLRDTRQSHKALEHDILQLQSAI RGSKARVQANFIRTQLRRQELGIKRIQAAIRGALQRNVVYDLHDNVKDAEGGVQLLQA AIRGALQRSKLSEQFEETHSEEDKVQRLQALIRGALLRQRIGAQSKEISQAEESIDII QAAIRGMLVRQGVAKTLAYLSDETESVVLIQAHARALAVRKSRATLRESLVKEQHKLV DLQSMVRGGALRKALNLIREALAEYTPSFIDLQSAARAKATRSFLVSQRKALLKESES VLELQSIARGAILRKRLEEDAALLQQEKAAIIDLQSLARAAVLRIQVGDVLEQLDDCD DEISELQAHIRAMIVRVDVGQTLADLAAEEDIIAEFQSYIRGHLVRTRFEEKRRYYQE NMEKVVKAQSFIRGRIQGQAYKSLTSGKNPPVGTVKGFVHLLNDSEFDFDEEIESERA RKLVVQQVRQNELAEQYISQLDIKIALLVKNKITLDEVVKHQRHFGGHVGNLLSNTEI SSKDPFDLKALNKTSRRKLEHYQVFFFLLQTQSQYLAKLFRRLREVNTPEKEYERIRH LMMGLFGYSQKRREEYYLIKLLARSAREEIESFTSLQDYLRCNSFWNKLFASYIKSPR DRKFMRDVLSTAVKENIVENAELDLESDPMQIYRSAINNEELRTGKRSRRPLNIPREE AIRDPETRATFIQHLQDLRDIADQFFTALEELLYRMPFGIRYIAKEMYESLLSRFSNE DPGFILQTVGHWVWKNYFQPAIMEPEKYGVVDRGLTQEQKRNLSEIAKVIAQAASGRL FGAENVYLQPLNTYIADSIQRLGNIWGDLISVQDAETYFDIDEFNDLYAKTKPTLYIK MSDIFSIHQLVASNIHFICSNPDDILKEVVRDLGNVKSNENELMSVNSSEINLTLNPK LAQAEDPEADIKALFMETKRCVLYIIRVQSGANLLEIMVTPPTEEDEEKWMTFVRDEL SAHNTQRSAYSEANSLVDIASMSYSELKRTALENILQLERAGKIHRSNHYQDLLNAIA IDIRTKHRRRIQRQRELESAHMTLTRLNEQAVWLDQQLKTYNDYIEQAMVTLQSKKGK KKFLMPFTKQWDHQRELQKSGKVFKFGSYKYSARNLADKGVLVYWKGYTERQWDRVDL TISSNEVGVFTLDGSSGPMMVPGANAQVPLDDLLQAQFNNMQFLDFFDGHLRVNVNLF LHLIMRKFYNE ANIA_03821 MDFDSLKNQVSNLTLYDLKAGVRKVQNAVMNYTEMEAKVREATN NEPWGASTTLMQEIATGTHHYQLLNEIMPMIYKRFTDKTSEEWRQIYKALQLLEFLIK NGSERVVDDARSHLSLIRMLRQFHYIDPNGKDQGINVRNRAQELVKLLGDVELIRAER KKARANRNKFRGFEGGSGMGGGIGSSGGGRYGGFGSDSLSFGGYSGGVYGDGGGFGGA TGDFEDTGRRGNRFEEYDEYDEADAAPTRRHAASPPRVQKETKKPEAPKAPEPDLVDL LEDEPAPAPASTASSVAAGKQPAGNNGLNMLDPNPADDDDFDDFQSAPSAPSSSNTFS IPPPASTVSTTSTTQFAAPRPVSGTQGSNLNGIVGFTSMTPTPTSSGVSSPVLPTQNS KIAQQPRPTGYQAATPNYFTSVATQQTPSAPLGHRPSMPSTSSFSSATGPTAASKGSK PTASKASGDAFGSLWSAASANAGIQKSAANASKGPNLASMAKEKASAGIWGTPSPSLS SPVSQQQPQQGAKTGSSGLDDLLG ANIA_03820 MHLFNPIDSLDPYLSLSRRQSDSNSSSVCGGGGVGTGVKESFTQ VNANILLTMRNRDNQTCAGEYVVGNGSNSLLQPDYPCVGPDYIVCCVEWEDMVNVTSN SSPSTDTTTTTTRTTTTNSPTPGLETGADGSGSGGGDGGLSASQKGGIAGGIVGAVAV TSIIFLLFLLLRRRRKQQQHGQEQPVVEESEGRRSDYTGEGKGTERETSGHNVGGGEN EIGVAMLASREKAELDASGRALHEMESPTVTKNIKEIGGYRELDGTPLAIAELAVPET GPEHGQNGQKGKTGHEQAP ANIA_03819 MTTATSPPPSQQAVSSPNLTVLPPLVTDTPVKMSSRQRSSSHGK DRLSTFSNLSVASQSRSRPGSHVFPIFHSSLPYALVRDFAYPTIHPFHYGPLPPSASG LSSPVSENRRLSDPPASWDSSRGQWSTQPWGTDTGHGHQQLPAMSFGDGPPYSEDEDL HSPVFSAPRHRRNKSTGSDLNGGGGKISGSNHYTRHMSIAGEYDRGRLVSMNADGSET YYVNDDDDADDGPGGDLVTYPPDESRFTYLGSYTDNHGYGRQEGFDSEEDYAGTHRYS REFQFSVGCPDEEMHGKAVALFDFTREHENELPLTEGQVIFVSYRHGQGWLVAEDPRT GESGLVPEEFVRLVRDIEGGLTSLNGEAEADDDDEYPSPDSTDSQQEITPTFQSAVNG DLGNPKSGNEKGENVAPSELGQLSGGKQ ANIA_03818 MHALSWRLVLLVSLFTSAYAHSWVEQLMVIALNGTFVGSPGYPR GNILRSSPSFSDTAMTYLLPPDGKAEVTETDYLCMDTQRKQVQTDGSPRLQASAGAAI ALRFQENGHVTLPETQIGKPKNRGTIYVYGTTEPKQDEKLVDVHKVWNEDGTGGDGRG VLLAKRDYDDGRCYQVNGGEISQKRQKEFPHEADQYMGGDLWCQADIALPSNAPSGKP YTIYWIWDWPTAAGVDPGLPNGKQEMYTTCMDVDVLDHSDSRMRQDAKYEHDQSLNSA SIPEQFNGIFALQPGDSSEVTPTKPAVETPSSTLSTAPSWSATGRPVATVTVTSFVTS VQFVRPTSNAWTSP ANIA_03817 MASVLIRRKFGTEGGSDAEPSWLKRQVTGCLQSISRRACIHPIH TIVVIALLASTTYVGLLEGSLFDSFRNSNNVAGHVDVDSLLLGNRSLRLGEGTSWKWQ VEDSLNQDDQKVGNPELKREVDQHLALTTLIFPDSISKSASTAPAADAIPVPANASAQ LLPHTPNLFSPFSHDSSLVFTLPFDQVPQFLRAVQELPDPTLEDDEGEQKRWIMRATR GPVSGPNGTISSWLSDAWSSFVDLIKHAETIDIIIMTLGYLAMYLSFASLFFSMKQLG SKFWLATTVLFSGMFAFLFGLLVTTKFGVPINILLLSEGLPFLVTTIGFEKPIILTRA VLSASIDKKRQGSATSTPSSIQDSIQTAIREQGFEIIRDYCIEISILIAGAASGVQGG LRQFCFLAAWILFFDCLLLFTFYTTILCIKLEITRIRRHVTLRKALEEDGITQSVAEK VASSNDWFGAGSDNSDADDASVFGRKIKSNNVRRFKFLMVGGFVLVNVVNMTAIPFRN SSLSPLCNVFSPTPIDPFKVAENGLDAIYVSAKSQKLETLVTVVPPIKYKLEYPSVHY AKLGESQSIEIEYTDQLLDAVGGHVLNGVLKSIEDPVISKWIIAVLTLSIVLNGYLFN AARWSIKEPQAAPAPKEPAKPKVYPKIDLNAGPKRSMEECEAMLKAKKAAYLSDEELI ELSLSGKLPGYALEKSLENEELMSRVDAFTRAVKIRRAVVSRTPATSAVTSSLETSKL PYKDYNYALVHGACCENVIGTLPLPLGVAGPLVIDGQSYFIPMATTEGVLVASASRGA KAINAGGGAVTVLTGDGMTRGPCVGFPTLARAAAAKVWLDSEEGKSVMTAAFNSTSRF ARLQHLKTALAGTYLYIRFKTTTGDAMGMNMISKGVEKALHVMATECGFDDMATISVS GNFCTDKKAAALNWIDGRGKSVVAEAIIPGDVVRNVLKSDVDALVELNTSKNLIGSAM AGSLGGFNAHASNIVTAIFLATGQDPAQNVESSSCITTMKNTNGNLQIAVSMPSIEVG TIGGGTILEAQGAMLDLLGVRGSHPTNPGDNARQLARIVAAAVLAGELSLCSALAAGH LVRAHMAHNRSAAPTRSATPVSAAVGATRGLSMTSSR ANIA_03816 MDLRESLHKMYLEAYQISRKLSAITTPYAEILPQRLVYSKRPDS TFGALSTPFEATAPHSLAPA ANIA_03815 MSPVSVDGSDWSGLNQYQKSDAPFSPTFSTRSNLATPPTSGIPA PPNSAGLPNGSSQLSDSGNPSPPNSIAARSSDGTLGDQRSRRQRQVEEILAQHYSALR RFLYTSYRDERSNRKSSKAQDKLLRLSPTQFHELSTDVYDELLRRQQAMPSPNRPPRP EVPPFLPPRSDFHEKRNQARQKLASLQHQRFRDLASDVFNELERRFPQFPTRESRRAS PAPSLRGRPPPNGVGPGGYPPPPNSRRSQSRGPPRMGRGYPSGGPPGSPMYPPRKMSL SGAGMNGEGPMAKSFQSNTIVPNKSTMVEDDDDAAGTEDDYDSRSDAFALDSFIRSRR GTGTTIGDGERKLLAETQSQVSTLQEKVSKLEELLKTKDEEIDKYQHDRQEVGKLEEL LRAKEEELAKYQEDQDKSQISNAERQEWDEIKSELENKIHKAEDLNNSLQLELEKVRA EHEVMERDLQAQLSGTSRHEGEDAELQAQFADLEIRHQKLQAELQEQRQVTEEVRREA AGFLMEMRELSEQSHSRLEHEERLSEEVHRLEDELVTWKGRYAKAKAQLRHLRASSAG IPELRSDVNTVAKDNEFLHDDGLIKDVHVTKFQLSIDELLRVARSDDHRHVMQQINAV VISVRHLLQDVQLSKSSDSAERAKATRKVSATANNLITASKNFASSNGLSPISLLDAA ASHMSTAVIELIRMVKIRPTPADELNDDDEEQFMQMKSPDYFSVAPSQSRLSNGSIYS AMSPPPESEHVPNGLKNGYSVEQENHELQELRFYVEDQADGLVQSIQSLVASIRGEES MTTIRTHVSAIASIVTNVSSSTEHLISRPETAPALRQRAGASIETLEYQRSRLVSAAA EGEGATDAGQLCVFTNQLPPIAFEIARETKDLVQRLDSTDHGDAEDDDFR ANIA_03814 MFARSLKSTITPPFSSFSSRPLSSVFNCSQSSFISFRGFHQSSA AMAPQVFFDVQYAPLGTGAPKTGRIIFNLFDDVVPKTAANFRELCKRPEKEGYKGSTF HRIIPNFMLQGGDFTRGNGTGGRSIYGDKFADENFKITHSRPGLLSMANAGPNTNGSQ FFITTVVTSWLDGKHVVFGEVADEESYSVVKEIESLGSQSGAPRSNVKPTIVNCGEL ANIA_03813 MDMDMGGMDMGSSDSGAQCVISMLWNWNTIDSCFLARSWHIRSR GMFAGSCIGVICLVIVLEFLRRIAREYDAFIIHRAQMRAQYLVPSAQTQPGAATPSPA SESDSAIGIGNNGAGAHKTPANTSTAPAAASGPGSAAPFRVRPSLIEQFIRALLHMLQ FAVAYFVMLLAMYFNGYIIICIFIGAFLGSFIFSWEYIGGGIKENDATAVTKCCG ANIA_03812 MPLDTSTTYPLTKLRLDGRRWNELRLLQAQISTNPASSGSSYLA MGNTTILCSVHGPAEGRRGDATGGSAGSSGAVVEVDVNIAGFASVDRKRRAGGSDRQS GRIASTLRSAFQSHLHTYLYPHSTISIHVSVLSSDGSVLAAAVNACTLALVDAGIPMP GLLCGCTAGMSGSASTPRDPMNDTLDPLLDVSLPEEQELPFLTVATTSAPAVMTDGDE DDMKVSMLTMDSKVHYSYIETMLAVGVDGCKQVREILDSVIKGSSRR ANIA_03811 MVSTDDLDDLDTVTVCSFDDDTNSTPSEKDHDTTHAHPSPEPES IKPKVKIPSPSPSRSTLASTHRIKSSPPDQKIPVDHATDGKSSDNDSFNEGEAVYKEF KNRKSRKRKRTSTAVAKNKSSKAAAKDTFVGRVPRKKEVRGFRPTYLRDYKEEVLSDD DLMEDTLPDYLQKRRLQFDRRLEHLQDAGLKLPPSYDHVYFSDDEQLDYLRRKPAFSG IKPCAEYKDISLPCSLGVIPAPIAQWLRPYQVEGAAFLHQLFVYQKGGILGDDMGLGK TVQVIAFLTAAYGKTGDERDDKRMRKMRRSEGDLWYPRTLIICPGTLIENWKSEMSRW GWWHFDAYQGSNKDLVLHAAKSGRVEILITTYSTYLKNRDAVNMVDWDCVIADECHAI KERSSETTKAMNDINALCRIGLTGTAIQNRYEELWTLLNWTNPGRMGPVTTWKSHVSE PLKIGQSHDATVQQLRRARVTAKKLVENLLPQFFLRRMKTLIADQLPKKIDRVVFCPL TDTQAQAYERLLDSDIIHYIKTSTNKCDCGSGRKAGWCCHQYLPSGRRWQTYVFPAMQ ILQKLSNHLAILIPQSTDSFEKQEKDKEMLEIAVPDEWERLYRTRDSIINYADAEYCG KWKVLRKLLKWWHGNGDKVLVFSHSVRLLKMLQMLFNHTSYNVSYLDGSMTYETRAKV VDEFNSDPRQFVFLISTRAGGVGLNITSANKVVIVDPNWNPSHDLQAQDRAYRIGQTR NVEVFRLISAGTIEEIVYARQIYKQQQANIGYNASSERRYFKGVQEKKDQKGEIFGLQ NIFEYQNDNIVLRDIVNKTNIAESKAGVQVMDIELELEEAGSEDGSWSRNPDDDDEAM SQLAARIRGETDDEVIFPHRQQSTTPSKHDPIQAILAGAGVEYTHLNNEVVGSSKVEE QLSRQAQLAEDDSLANLQVFCDSQDEVAGAVVAPTFQKNGRPVTFKYHPPEDVMKRQF CSMARRFGFPNATEFALVVESMTQAERRACLDRWYRERRQALLE ANIA_03810 MAYDPRLAGAGTAAQETPAPPSPTEAAVTTATAPSDSTSSSTEK TDSYKLRFCTVCASNQNRSMEAHLRLSTAASPFPVISFGTGSLVRLPGPSITQPNVYN FNSTSYSQMYDELLSKDERLYRNNGILNMLDRNRNVKWGPERFQDWGGVVDVIITCEE RCWDAVVDDLLNKGSTLNRPVHVFNVDIKDNHEEALVGGKAILELATRLNEVATQEQK ALGSGGWENGTGEARRSFDEKVPEVLGAWQEKWPNLPALWTLAWL ANIA_03809 MTNPAAGKEAETKLQTLWPVHCVQKTPGADIIPELDVTKIDLFV TKGMHPRVEMYSAFADAFGNQDPAIVSVNADLRSFLHDRGVTDVFVVGVAGDFCVKYT ALDAAKAGFRSHVVQDATECVVPGSGWEETARELASAGVSIVRSDGPEIVGLA ANIA_03808 MSNTITIMTAGAEHPRELSASLRTSRGSIPYWNVNVPKSQWTVE CPSFLQNQSEKNIGILSTPDEQYQRQGWELVRKIVETNRIDLFQRLPSDLRRYLQFKE QIVAKYGSIMRFVVKERLGWGEGTAEDLKPKGHPFEYEEDMRILHNDWPYGLEEGIVH LVVWTKFALEDDLATDDLTPRARQEIDDFVKRTFCSRMPPDQVVWFKNWRSLKSVHAV EHFHVILLNPDPAFVDEITGADVPWTINGR ANIA_03807 MSADWSYFWGNSGNRSSTDDPRHPNPASEDDEIEPDQGNVLSHI ISQLRPGADLSRVVLPTFILEPRSMLERITNFMAHPETLLPMPTNDDPLERFVSVVKF YLSGWHIKPPGVKKPLNPVLGETYTCSWEYPDGTRGYYISEQTSHHPPKSSYFFMAPE HHIRIDGTLKPRSKFLGNSAASMMEGIAILRFLNRGNDKERGERYILTQPNMYARGIL FGKMKYELGDHSFVRCPENDLVADIEFKTKGYFSGTYNAIGGTIKNEKTGEVYYEISG LWNGEMYIKSVHSHDKELLFSAKKSKPTFPTTRPIEQQGERESQRLWQSTVKAIIASN HEMATDEKTKIEDRQREEAAKRAEQGVEWHPRLFRAVRGGPGGSEEGEEALDWVINAH VDAHDPEKAKRQILAIAPILDGQTWDNFEIPEYKGNAVNGADKSEHQSENTKPETKQE VIQEIKPTGNPENKTEINYEASPGVKPGNAVETKPELVERMDSKTIEVDQYMDALEGS KP ANIA_03806 MPRSAIVQEYNPTPTLSVDRKSAQHQHEGIIARPSGYRVSWHAN PAVELHHFGQSHPMKPWRLTLTKQLVLAYGMHHAMDLYHCRAATVEELSDFHTSDYLD FLQTVVPGDMNDAQASKDFSENIVRFNFGDDCPIFDGLFQYCSLYAGASLDAARKLCN NQADIAINWSGGLHHAKKAEASGFCYVNDIVLAILQLLRIHPRVMYIDIDVHHGDGVE QAFWSTDRVLTVSFHKYDKENFFPGTGPLDSTGPTHPLNPGAHHAVNVPLHDGIDDES YVQLFKDVVGACVSKFRPAAIVLQCGADSLGCDRLGCFNLNVAAHGACVAYTKTFGLP MLVVGGGGYTPRNVSRAWAHETSILIDAQDKINPVIPSNVAFRNHFGPDFSLFPPLSE MRKLENKNSRAYLATIVQTITEQLRYLQAAPSVQMSVIPPDLLGLREETEKEIEEEIA KLEEKREEAEGGKNSRRRDAEKGAGLRGELYS ANIA_03805 MRANAEMISNLSPSTPVISDADTGYGGPIMVARTTEQYARAGVA AFHLEDQVQTKRCGHLGGKILVDTDTYVTRIRAAVQARKRIDSDIVIIARTDALQVNG YEDSLARLRAAREAGADAGFLEGITSIEMARQVIADLKGWPLLLNMVEHGATPSITAA QARELGFRIIIFPFAALGPACKAIQEGMEKLKRDGIPGLDKEMTPQMLFRVCGLDESI KVDAAAGGAAFSSGVELK ANIA_03804 MQLKNSLVLLTALTAGSSVARLHGHERRHAHHEKRDVGDLVTAI IDGKVVSWVNEYAGGAAATATATESTSTSLSETVAASTTASTETPSASAVSSASGTCA NWDDESDEYSTAGFGSRTVSNNAKYVAYVGNVGNPWGSNIIEVDADKACKYKNVVKFS GSETDDWTIVFWNKIGPDGLLTGWYGNSALKLTISPGETKYVAFDDDSQGAWGAAKGD SLPTDEFGGYSCTWGEFDFGSSGNNDWSGWDVSAIQAQAANQDVQGMKICNNKGEKCS WISNLATAMENAYSKAEEGVDGIGGNQAPGAVRLVVDIDYTHSS ANIA_03803 MVLELHIWGPGFSLPSIDAQCLATIAYFSLAVPKDSWVLVASSD PTVSPTHELPALKNGSTWVSRFRNIVDYLRQYSNGAWDLDRDLSALEKADSVAFSSFV ESHAQALLDLSLYVTSQNYYNSTSPAYGAILQWPNQWILPPQLHATAKARTEPLGLSS LDLEATEEQRKRDHSAAVAAGRIPQNLISRPRETVTNLLGKTPQQHQFRLEALTGELF VPLEELLDDKTHLLGTTPSSLDCLSVGYLSLALVPDLPLPWLRDAMQRKAPRLSQYIE RLRLELFGTVNVADAFNSGANSLLPWQAPERASFARVGTSLLTSLADSTPILKEIRMN NKLRETAECSSAGLSEVESKSLSEYARAQGKDTLLSIATVIGGVAALIGYMAHIGVFS APQEEEKEEPEEYQVELPKSISANDFLGI ANIA_10461 MFPWNTPGNLRDRRCARDIAMELDMIPLLEPYHDLFYQLPYHYF YYYAFSLASSLLISTCIDQRNLKQRQLFSAPNLLLHSYRPSRFHSPAILDFIITYFRY FIPPMERARAHCTNFFCNSALRYNAILSAGTRGYLIFTRVQGPLP ANIA_03802 MAPVRRYLRISKFSVLECRIYLEDPSDTRWLLDSREPVLPRIFA AIRPLVLPKLREENERLYARKKGKPVKDIIAEEDFEVTVFLRESRTRHSLLTRHKDFE QPINKRTRTESSEENGGQADTGILVESDSESEVNMDAISQAGSEEEDNTTEGQRGKRK RNEQLQELHEGEDKKMRFRTNYEGFNIHGWMLCLLVTRKGNKERARIEAAEPKRQALM EEWISTQAQGDLDED ANIA_03801 MDTEYNYLNDQTVINDLINLSISSESDSLNENEAEIYHENDDRI LHERNQAEVEVKECTHINLLDMNHQEVTDAMFNTIFQKRRDIISQRERPETRTTYSLY RSVCKSFQNSVACLASQTSCKPVLRKHSEPLLTSPFFVSRGIHSHPPPPPNKPPQLIL DEILDLIRKMQSPDLTPSLFLRSPALKQFCQKYNGQTLSQIHNSFVNQDRFAAIIAKE KALLYRKGRGLAGVRCELDRHPEYREYVRRIYTDHDIVMIICGFNKQIQLLGSLDSFE VDMSYKRVKGDFNEVIFATFLPQHGKIITLLRVFMDHESAFSYKLLFTRVFQLIADIQ GYMCAKQMSGLGHYLQELDPLQRPWTWQLMNILILCRTGDLSDYHSSARCRMESLLTC STREEYFHLIELLEAHETPKIAEWARHKRHSVIAAGATLSSRILDQRDIDQYLARNQY GTSHLSRITSTRKRKRLAQDNELVLSSVEDNRRVLQDSFLHADLVPVVEVQDLDHGEA VTIQVFFRRSATANLQVQNLELQRQQLELEAQQVRIRKEKAEAEKLELENMLRRRELG LD ANIA_03800 MAKVKKDPNYIRYTKISKAELDLPDFKADNHGYLIPHVGEIYCR APACTNSFQTRFLNTNNLKKHIRKAHVDKFDLLEKEGGGRPTAKEEDDAIVFYKAVLE AYDARQEDGVGKPEIPRRRDGKINQSAVKKYVREQGYSVPCDACKEADKAKDCCREAN LDVCDHFELFEPYEDEEEAESNEVF ANIA_03799 MNPIHALLRRAEDGSLPTCETGNEYDGRMGVRISSIFVILVGSA FGALFPVFARSFQNSKVPSWAFFIAKYFGSGVIIATAFIHLLGPAEEALRNECLSGPI TDYSWAEGIILMTIVVLFFVEMMVIRFSRFGEGHSHDNDSHSDHSHAHGHAGELKGTE LSSNDVNHHMPGEDHLGHSREHRDLELAEKGVDLNEYMAQLTSVFILEFGIIFHSIFI GLTLAVSGPEFTTLYIVLVFHQTFEGLGLGSRLATIPWPRSKRWTPYFLGMGYAISTP IAIAIGLGVRQSYPPEGYTTLLVNGVFDSISAGILIYTALVELMAHEFMFSTSMRRAP LKNVLAAFGLLCLGALLMALLGKWA ANIA_03798 MGWVGVAIVVATTCFLLYRHPPATWFPEPQPPVADQARKPTSEK EEGKAKEKNEENSPTLQLKSQPTLLKDGPVEEVQTTSKASASTVPVLDVPSFQWNDSA SDKDENTPHIAQQDTARQSKTPSSSVTPSSTPTSTEMMANPSVEQPPVVNDAASLMPP PPPPSRIQQSTTQRQPRPQAQNMLAPPPGRLPRPRPGSGASLLSPPPSTASTLRVPPG MRPGTTNSNSNSLSPNSVTLKPVKKASQRAVLEPGFSPLDWAALTSNPNHKLRGANLP GTLIKVTPSMLKAQNGRKGTDAWTSYQGKVYNISPYLPFHPGGKGELLRGAGKDSGKL FLEIHPWVNWDAILGECLVGILVSENEAVAENRLDAMD ANIA_03797 MDPLDPILDGLNSAQRTAVTSSAPILQVLAPPGSGKTKTLTSRV AYLLAHDGYRPQDVICCTFTIKASREMRERLAKLVGDHVQSRLILGTFHSICRRYLVR YGHLIGLQKGFGIADSGDSLAIIRRIVKRLQLGIQPNTARARISHQKAHGVSPDDVAA KQNKISKLLEHREFVQVYREYESELATSNLLDYDDLLLRCAELLRKHPKCVSNVQVVL VDEFQDTNQIQYDLMNLLASWNRRITVVGDPDQSIYGFRSAEIENLKRMQRLYRNTEV VLLEDNYRSSGSILKSAQDVIEQDLSRPAKKLQPTHTFGTLPVLRKLPSAEDEAQWLV LEIKRCIVLTGKLLKYSDFAILLRSASLSRQIESAMGKHGVPYRMVGGQRFFDRVEVK LLLDYLRVISHPENSEALLRIINVPSRKIGEETVKMLLNGAEKAGKPLWDYIKDVAQG RRSTEKAMSKPTNQGLSSLVGLIEYSREKLRQCVDRSAPRRLLEIVMKRLSFREFITA TYGSNDENRWANVEELMSQADDATAADESEQDENLPEIAGLTQQESHPGEEALSRFLA NVALSTEVQPKEETEGEDQAQEKVTISTIHAAKGLEWPVVFIPAAYEGIIPHSRAEDS DEERRLLYVAMTRAQALLYLSYPLRQARENAETNVTSFLPQELITSRLRPVGPKLDEK VVYGIADILRRERPSAVAMLEGLDSLPSVYDDQWTEDGRESPGMIIRWDGSRATDDEP SSKRRRYEREQYSTTTSIASMSSTNRMNGSSLMVPTTMSGFSTAREYITTTIQKQEQS LQEERGAKSKPVVAFNRPSALQKSIASFFGQSSAQSTQQRGLVQLLPNSSGALDHPGR PLHPRQSNAIPTQYIGHRPPTQHFRPPRPALETSDPNRYTWLAASSRPTDKANSLKSQ VHTVIDTNGGSKDSAASEAQGCAGSRPGMVTVGTGAGGMRPAATFHTTTMSMVQSQSG GPARRTLGIRRSMNGWEERMKRVNK ANIA_03796 MPIKVNDSNSDLSSNGSSSSDQVVVVPNSRGSNSGPRKTQLPVI VHNGGGQTYDETRPSDWDRQRWK ANIA_03795 MAYHKRPTSSYQPCDSYFVESYDDFTVPRMDPKEHAKLVARERQ YAMADELSRVTSEEYRDDILSHMLDMDASTLPDVESIDIQTEIQWFMRPYLLDFLIEA HTAFQLLPSTLFLTVNLLDRYCSKRVVYKRHYQLVGCAALLIAAKYGDKKDRVPTIRE LKSMCCSLYDDDMFIQMEWHVLQTLGWTIGHPTVDSFLQTAVMDTPYDPEVEHLALYI AEISLFHREFVSKPSSDLARASLALARCILNRPQPRHTEWASQYDSMTLVGLSQQLHQ PSQVLARKYSSSHYSRVSKILEQFLARQASIASYTPPSPPSDVVNSESKPYEGEIGLA TPQKAPHPTNMPHGYITPPITPENEAFVNGNAGYVKAVPVPSACSPSPTPSPSVQYVT HNPYQQESNYVTQQQYLQPQMSFTSGY ANIA_03794 MDIHRCRFVPYNPQAINALAFSHPPSIGLGGKGVPTLRLAVGRA NGDIEIWNPARGAWFQETVLRGGKDRSIEGLAWTLDPPEPGPDGQQKQAGRLRLFSIG YSTTVTEWDLEQGRPKRHSSGNYGEMWCLAAQPRWQPAKGRDNKPMPAAQGEFTGQHL AAGCADGSIVLLSTADDDLKFLRTLRPSTKKPRVLSVTFQNRNTIVAGYADSSIRVFD IRNGQLLRTISLGKGPTGGSKELLVWSVKCLPDGTIVSGDSAGEVRFWDAKNYSLIQR LQGHLADTLDVAVSADGETVVSGGADQRTVVYRKKAGEKGDKSSRWVEVTHRRYHTHD VKTFAVYETKNLSVVVSGGPDAHPVVLPLREFGKEHHRNLPSLPQVPPVVSAPSSRLV MSFWDREVNIWRVSRGPTSVHEVPDGQRHRLVGKVLIQGEENITSAALSTDGKVLIIA TISSIKIFSVRRRKGDERGALRAQKIDTPASISEDGARFVTISPDSRWLCIVRPNSDI SLAKIQPASSAAEKTKILPKLVNLHRAPRHTRFENISHGTLGNYERTIRCVVFSNNSK ILASGDLSGCIDTWTLEQTSETNPRKSTSESESDDSDSDDEDSPILEGERWRAVATDS PIPRLKSSIALLSFRPSATPSTSLIKDGTATNVDSESRLMALTAEHQLVELDALEGKL SEWSRRNPKAFLPSDFKGVKDRAMGCLWDLSDSSRERLWLYGTSWLWMFDLKHDFPST EELTEAATAENDNTEANTTKKQSHKRKREINEELTSAVGKKSKKHNTGAGDKIPLAQS AVFFDSKARTFVGPDASQGELVSLEDQKERDPEEDDEEDQEDNDARLARLRREANAHG QSNGADGLDAGSKQLVKSAPARRWWYTYKYRGILGIVPLSSNSDHADDELVDENVHAG LEVAVVERPMWDVDLPDRYVREYE ANIA_03793 MGQSHSKGNSGAGDSLQSYPSFSKSDTKESLRSFRGSIRSKIRS SDSPRASTSALNQSDDKSDAGSMKSVGSRRSSTNLSRPASADSSAASPTDAPDPPPSP TMSSSLKRGHQDVAAMKQSGEVDHVSDAPPSAAPPPGSQAKVGESILIKREDTSNPIL DFIMKTPLHSDASSSSPGMGMGALKSIDLDDMITRLLDAGYSTKVTKTVCLKNAEITA ICTAARELFLSQPALLELSAPVKIVGDVHGQYTDLIRLFEMCGFPPVSNYLFLGDYVD RGKQSLETILLLLCYKLKFPENFFLLRGNHECANVTRVYGFYDECKRRCNIKIWKTFV DTFNCLPIAATVAGKIFCVHGGLSPSLSHMDDIRNIARPTDVPDYGLLNDLLWSDPAD MDEDWEPNERGVSYCFNKNVIMNFLQRHDFDLVCRAHMVVEDGYEFYQDRILVTVFSA PNYCGEFDNWGAVMSVSGELLCSFELLKPLDSAALKSHIKKGRKERNSLINSPPAVVS AQSF ANIA_03792 MDESKAVQYLESLIGRTLRVHATDTRIFVGVFKCTDAARNIILA NTHEYRYPTTAAVQDAALNTTPETPKESQKLKLNMTSRFIGLVVVPGQHITSIELEET PQSRKASATVS ANIA_03791 MARIKQGVFSWEDVLAHRYQNNKPAALPRSRRQSAVSTRSQSQS RPQQKQADSRFNWDVQLQSSLLSKLSPELRLMIWELVLGGMRIHIIQRPDRRMGHVVC PGVFTKIEACDICRGGLPSSSSSCCSSSSSSREGSRSPQMLMRSNLLALPIVCKQVYA ESIHLLYSLNTFEFSNTWSLTYLHPTIPPDFWDSIRCVELSWAFPGHWLPTKDPVKSV YFSAGRQQWLETCGALTRMAGLQNFTLHLSGSWFCEPVEKLPVFLEPLRGLHLPGRKR WEVRLPNQPYYVEEVGNGNVGRELRKRGVDCRVLAA ANIA_03790 MYLKTLFLALAACTSAFPQLKVPKVQKNVARANETTDRLVFCHF MIGISSNRESADDYDADMQLAKDLGIDAFALNIGVDPYTDTQLGYAYESAARNDMKVF ISFDFNWYHTDQAAAVGSKIAQYASEPAQLMVDDKVFVSSFAGDGIDVAQIESSAGTD IFWAPNYHPNMGDFGDVDGALNWMAWDSDGNNKAPKPGANVTVADGDRAYTEALGDKP YVAPASGWFFTHFGGEVSYSKNWVFPGDLLWYNRWREILELGPRFVEIVTWNDYGESH YIGPLSSPHTDDGASKWVMDMPHNGWLEMSRPFIAAYKAGETSPDQYIQDEKLIYWYR PTPKDVECDSTDTTMGGSPDNSTGNFFRGRPDGWEIMQDEIFVVSLLTSPATIQVTSG AKESSFEASAGASAFAMEMGVGEQTFSVVRDGETVMSGTSLKDIVDECVCGIYNFNAY VGVVPPEETVDQLDATGLALLSEGLKAPCPTNTLGANASQPTA ANIA_03789 MGFRAASHAGSWYSGNRQTLALQLDQWLDRVPGTLEGLGSLPAP GARIIIAPHAGYSYSGPCAAYAYKALDLSKAKRIFVLGPSHHHYFTSLALPTLTGYYT PLSDDPLPLDTELIAKLRSTPAAKSNGSTIAFEDMSQSVDEDEHSIELHLPYIHRLLQ LQHPDKKAAEYPPLVPILVGSTSKATEAAFGALLAPYLEDPSNAFVVSSDFCHWGLRF RYTYYVPQAPVPGPRLPLSSYDLPQPEDDETEIESKIEVACAGYHLKRRDPIRRGKPL IHESISSFDIATMAAIATGKTERFTDILEKTGNTVCGRHPIGVIMAAIEAAGKQEGGK GKFHFARYERSSDIGEIVEDSSVSYVSAFAVV ANIA_03788 MSLKRKASFPSIASPQSPQTAIDRRFMDDSPKHLHCRTRKRLRN DRPDDQEVYDKTLRWLFTAQQRVQQVPTPPTEPEQDEDMEQEALPAFDPRQQTLLQFF RRTQPQPYRQPSQQPCPSIPIDQLSGEPGPSRSGNGFLHGRDVGSVSPSSASDSETLT PASQLADRDMDMDINMNWHT ANIA_03787 MADGKHHHSRRAPTTDAFDPAELTHAFEQLMRVKRFDRLLEKSR SPSRTQSPSPSPYRSQPSHPSQALPATQPAQPQPSSQSPSLRNLPIVPYPPQDQNAFK FRNLLHVLSVTPTKYENPGLLDEALSLIPLDKLYSEADEECQIIQAQARSLKRKPEWG YQDCVIRALLRWFKRSFFHWVNNPPCSRCLTPTIAHGRAPPTPDEAARGANRVELYRC ADPSCGAYERFPRYSDVWQLLQTRRGRVGEWANCFSMFCRALGGRVRWVWNSEDYVWT EVYSEHQKRWIHVDACEETWDQPRLYAEGWGRKISYCIAFSIDGATDVTRRYVRSPAK HGAPRSRVPEEVLVWIIQEIRKMRRENRPKEEQRRLFKEDEREERELRMYTACALAAE LKNLLPSNRSPSARPDEVKVPQTAEPQAAWNNSRQRSGHSGPDGSQGDR ANIA_03786 MRAKRRQPGALPPITAPPPPQAASSPAQWLKSEYRLEMLGIGAR VEAFVWSAWLGIGVPARRKLLDSRTTNYSPVLRGCDQHGAVKSVTNPASYRAQNYAYF SATETRSRGE ANIA_11416 MEKAFCLASLLAPVMLEDVFVITDGVSKVASASADQEPP ANIA_03785 MKANPLLIAWHNDNAPIYSVHFDPNGKGRLATAGNDNNVRLWKV EPVGQERKVTYLSTLVKHTQAVNVVRFSPKGEMLASAGDDGNVLLWVPSELQTQPGFG EDRADDKETWRVKHMCRSSGAEIYDLAWSPDGVFIITGSMDNIARIYNAQTGQMVRQI AEHSHYVQGVAWDPLNEFVATQSSDRSVHIYSLKTKDGQFTLTSHGKFLKMDLPARRI SRSSPAPLDLSVRSQPATSNSVAVASPAPSTPGTPMTSHLPMDPPPVSHSRRSSFSSS PNIRRSASPAPSLPLPAVRPLEVTSPGLGGLGVRNANIYANETFTSFFRRLTFTPDGS LLLTPAGQYKTSQVSSTDPGKTVDEVINTVYIYTRAGFNRPPISHLPGHKKPSVAVKC SPILYTLREAPEPSKHITLGTTSAEDASATSTGEESKNNITAKPFESPTANQLPPPVF SLPYRVVYAVATQDAVLVYDTQQQAPLCVVSNLHFATFTDLAWSNDGLTLIMSSSDGF CSTLSFAPGELGQPYTAPLSAPQTTGTGPTSALHTPTDPTKPAHLQTAPPPPASPARS NSVSSIATQRASQQAETVVNNPTPTLGSVPLVTATHSAQPPATLPLTTPPETPLSQSE ASNAGSTVLGKRSESEKEESQGLTPTEQAQPPKKRRVAPTLISAGSGSSNEGPPDTNV GG ANIA_03784 MASDSQSTPPPSTGTLARGELTPEQRKRIQKRSENSANPSSAPP PLSQQKAKMTDTKGGFLAEEDDPFNKALHVKDGKDEKPANMTQKEWERQQLLKNLRRD RAGPFEPGISVLDEKSEQKVCRECGSLEIDWRWEEELRCRVCHACKDKFPEKYSLLTK TEAKEDYLLTDPELRDTELLPHIEKPNPHKSTWNNMMLYLRYQVEEYAFSDKKWGSPE ALDAEFEKRENDKKRRREEKFKTKLDDLKKRTRVEAYRRNRKGAAGGNFGDEIGRGGR HVHQWGRSILDPETGIGTKKCVDCGMEVEELEF ANIA_03783 MVQGSTATGEKYKPSEHGGRREDNQPDKRTQQHQFAYGKVDPHQ AGHEGGKVGGHAGGVASGKGSASKYNQQGQEQLEDEEPEYEE ANIA_03782 MASTQENRPSKNQREAWIVGSGTAALASALYLIQLAGIPPQKVH ILDAHGSLGQALHNHGDCSSGYDQFAGCLPVPVGEPLRKLLALVPSVQNENWSVIDEI EKANAEFEQVQSGSSTGKEKGGKKNKKKQDRKGHTQFLVQRNGELLTIPTDCLNLNVH HRVSLMKLFYKREISLQRKQISDCLPPSFFDSTFWAIWSAQFGFQPAHSASEFRRTLR QYIQKFHSHGLAMLSCLDITGRYQFEAVFSPIYHFLRSLEVDYRFDARVKDIGTTMRE GQTVVDRIDYIADGFELRQPVGVDDIVILTLGSTVSGSTTGTNADPPLREPLQPGEAL DANWELWLELEARHPGLGDPYNFCTKQRESMIESFTVTTEDLEVYARLCTLSKSPEGA GPGAGSFIALQESPWRMNVCLPTQPVFSEQPPNVRVFWGFASFPENQGKFVRKPMVAC CGAEVMEELLAHLHLDPRHLIKRTMTVPRVMPRMSAILLPRALGDRPAVIPPCISNLG LVGQFCELPHQSCVDMSYSVRTAQRAVADLTGLEADERDERHWCHLSLLFRILFWK ANIA_03781 MVLDQYTYIFAIGTIFAMLDAYNNGANDVANSWATSVSSRSISY RQAMVFGTIFEFLGAVTVGARTADTIKNGIIPPEAFEGNAGVQMLAFACALAAASSWV MWCTRHSTHVSSTYSLVSAIAGVGVATAGASSVQWGWNKGNGLGAIFAGLGMAPAISG CFGAIIFLLIKFVVHMRRNPVPWSVWTAPFFFLIAGTVCCLSIVYKGSPNLGLSKKPP GWVAGVTLGTGGAVCLLSAFFFVPFAHARVIKKDYTLKWWMFLYGPILFSRPAPADAT SAELSSVPNYAVMQDDGLPPDSPETLVDEPLPPAAQSEKNPSASATEAQLDYKELVAR GQERFHAKLRRGRGPLAWAMRTLHDNPIGSGEIYELHNIKILLKRIPAMITVGLLYGL HYDIHAAQSGIHGTPEGARMERVYAHATKYPNEVEHTYSFVQILTACTASFAQRRQAT SGTPLGRGRFSTLPGPPARPITYHSPSRGCSMELGAAITVLVFSQYKLPVSTSMCITG ATVGVGLCNGTLKAVNWQRVGLLGLGWIMTIPIAGTLAGCLMGLILNAPHFGS ANIA_03780 MPPWTSTTPWLWLPESTPAFTSTHSTARIVLFPRSIPVHVSADT RYILFINGKRILFGPAKSYLHEYNYETIDIAPFLVPGQKNVLAAKVLRYSPVKEGNMG MVRAGIGGFILHESSGLLGVSTTEDSGWKCLPDDSVRILSSSEWNRALGPAFMQINEE VDGSLAVEGWLDGDFDDSAWLGAERSSLKTPMLPILEPWRLVPRSIPLFPETEGRFSA IIKPNSEQPGLDLAGSWRSLLRNDGSGIVEIPPNTRTAVILENESLITAFLELRFRGG AGSTVTVRCAECFEYPPPEGNLNPFARNKGDRADTSGILVGPEDSYTVSSSSTTEQEW MYEPFWFRTFRYVQLTVSTHSQPLQITRLTYRRTHYPLPINTSLSNMPLVESKKWAIS LNTLLNCMQETYTDCPFYEQNQFAFDSRLQMLYSYQLSSDDRLARKTINEFHASRRGS DGLVETHFPTPFPGVTIPIFSLSWVLMLWDHIMYTVDTEASKRFVKRYLGGIDSVLNY FDSRISNAYSGMIGRFGDADSPNDEIWAFVDWTAEWSVLGPGGDFKHLAVPPAYRRTG VASYNSLFYAYVLNKAAEVCEFVGRLGLAEEYRSRAESVNEAVRRHCLRTDEDGKEIL VDGPDSPTTERSQHVAVFAVLSGAFTGSRARALLCRALHPDTRGGYVKASYAQSFYLL EAAVQVGLYDELRGTLVQPWTEMVDLNLTTWAESSAMPRSDCHGWSCVPIYDIVANVI GLRPAAPGYRRVRFEPRLQLWEGGGEGVITVGIGGNGDKREVRVEWEKEGRVLLTVGS DCEVEVPTASDGSGEGDEKTYRVKKMKKGETLILTLYR ANIA_03779 MRVPSPEQSLLQFLRTALQVHHRFVSGSLSRAESPIYVIGNPSA DLDSIVSALVYSYFANNRVPRDYPRPHVPVINLDNVSAGPELGRLRPEFVKALQLSRS DAGDTVESSPLEEHFLTVADFANQVKERRLETQLQADSVLVDWNALPVRSSDTRSGNG SLPSLPVEFTVLGCIDHHADEGFLPPIASTQPSLIATTGSCTSLVVTELEKMGLWHKS DEMPPNEEVLAKLAMSPILIDTANLKSKDKVTNLDISARDFLFPKATATDARWDADGF YAEIQETKQNSLDLLTVDEILGRDYKDWDEKPTRHANQLPLRIGICSVVKPIPWIVRK AGNPYAFIDCLKSFASERKLDVVSVMTAFSSKEGRFSRELLVWGLNSEGANAVKALIS EASSELGLEEWKSLDEGDDAQGIKDALSALEDDSQLARLWIQTNVAKSRKQVAPLIRA AAASL ANIA_03778 MAEQRLKAAILVVSDTAFQDPSSDRVFGTLIDVFPADGPWEKPI TKIVPDDVLQIQRAVCDWTDSPSPVNLVLISGGTGFAVKDNTPEAVTPLLHRHAPGLV HGMIAASLKVTPFAMMARPVAGTRHKSLVITLPGSPKGAKENLEAVIKLLPHACTQAA GADSRTLHAGGIKKLEAEAGVKSESQHDHHHHHHHEHTHSHSHSHGHGHGHVVPRAHT TPSERRSNDPAAGATRRYRESPYPMLSVDEALRQVSAHTPEPEVIEVPVNIDLVGYVI AEDVYAAEAVPAYLASIVDGYAVIAPESPDDGHSTKGIFPVASITHANEEGALAPLEP GTIARITTGAPLPPNANAVVMVEDTLLASSTPDGKEEATVEILTGEIKPNENVRQPGS DVALGSRILQRGDLITPVGGEIGLLAATGTRTVKVFKKPVVGVLSTGDELVEHDDPRS LQGGQIRDSNRPSILSCLKSWGIPAVDLGIARDTPAGELEQSLRDALRGVGKSNTSVD VIITTGGVSMGELDLLKPTIERSLGGTIHFGRVSMKPGKPTTFATVPFKPTSSAAGQQ ERSSRLIFSLPGNPASALVTLNLFVLPSLHKLIGLGQKQAALGIAPALGLPLVAVTLS HAFPLDPKRTEYHRAIVTASPKDGRLYATSTGAEGVGQRSSRVGSLASANSLLVLQPG KGSIAQGSLVEALMMGPIVREGAAVAL ANIA_03777 MKFILPLFTSLPVALAWLPGIDKDIYSAAGTNIFNVTSASSKRW LPASKKIRGVNLGSHFVIEPWMASMAWSNMGCSGQRSEFDCVMALGQETADQAFADHW GSWITQDDINQMVQYGLNTIRIPVGYWLKEDLVYADSEHFPKGGIGYLEDVCGWASDA GMYIIIDLHGAPGAQQPKQPFTGQYAPNPGFYQDYQYDRALEFLEWMTTSIHQNNKFR NVGMLEIVNEPVQNADQASSMINSYYPSAFTRIRNTESSLGITSNNYLHIQMMNEKWG SGDPTQSLTDNYFAAYDDHRYVKWDSSVAVDKESYISASCVDDRGGNWPTIVGEWSLS VPDNVEHTADWEPSSNTDFYARWFAAQAIAYEKQEGWVFWSWKAQLGDYRWSYKDAVD AGVIPKDLDSIYDYSPC ANIA_11415 MYDAVQRTYTCWSGSIDCGLVKFNPLHEALPGS ANIA_03776 MAAPQAKPATSHVEGPEALDLDPKAVTTDLIHTVRVPLTEDDNK AIRRKTDKRILTILIWVYFLQILDKTVLGYGATFGLKQDAHLTGNQYSLVGSIAPIAQ LAWQPFSSFLIVKVPHRILMPALVFGWGVAQACMAACHSFGGLMATRFLLGLFEAGCL PLFSIITSRWYRRAEQPLRVAAWYSTNGVATTVAAALSFGLGHIRSDVLAEWQIIFLF VGLVTVLSAPFIYVFLDNDIPSARFLNETEKLQAMERLRANQTGTGSREFKMHQVVEA GLELKTYLWVAMALLLNIGAGVTNVFGPLILSGLGFDKYKTTLLNMPFGAFQWIFILL ASYLAQKARLKSVVLALFMLPVVAGLGVLYAVPRDDSAQGALMAGYYLLAFLFGGNPI IIAWVVGNTAGMTKQSIVTSLYQAASAGGNIVGPLLFNDKDSPEYLPGLQACLGIFSA LVAVVGMQWLLLFWMNKGQERRRLANGKPAKMVDRSMHGNFHQADSDESAVGAGEDGQ DQQTGNNAFLDLTDRENDEFVYIY ANIA_03775 MSFADASTLTLLRLPTEILLMIVKQMVPSALAASVHTSARLRAL SIPVYDSLPIEQVLVSFKHVSATDDHQAMALLLPRIRRELRSDPYVGYAALVAACTSS NTHIVQRLAIDDGIPFDVGARCLEPTPLLAAMKNKNTGPLGILVAAGADLRHVVHYSK LLRPRYLFEIGHGCNVDWTDHMDSTPLLLAAERGHLDIVSFLLEKGAQTNHTDLEGRT ALFWASKGGHMGIVRVLVAQGADLNRADEYGRTPMLAAVQHGRTEVVQFLLDAGADKK KPDIHGNVPRDYAERSQYREEFAGIFGFPLL ANIA_03774 MRIVQPTLAISGALALAVPSSAQNMSFGANNFYHSPIATAIAGN LFILNNISLTDARPAIVVSHPMDAVKEQSANLYATKLAEAGFVTVSLDLPFWGGSEGE PRNVVSPEPYAEAFSAAFVSVDRERIGAVGICGSGGFLISAAKIDPRIKAVAAASMHD MGAVNRHGLQHSQFLAARKRVMASAAQQRWVEVDGGATQYTSGTLEVLTANSTDIERG TTFTAPRGASSRPRAQRGI ANIA_03773 MSSDDSDCTSGSENCHFSCPSGGTWYVCPEAPFFVGCCSSDPCT NTDSNSTNPCPDVYAASFDGSIYDSIRPNTCIDESNDNWYTCNFTQPRFMGCCSINPC ANGTCPHENVLPAAWSQSRGDQYELFLDEASSTDGGDGGLSGGAIAGIVIGAVAGLVL LLAAFWFWRKKRRGTDGKGGYAPGHGTGPATEGEYGYQQPTSPYQDSHFSSPGQTTIS AGVKYPSGSTFSPSLSPPMPSEGGRPISEISGSDEHFRHQSGPNHGLGVFAKPDPIPE LDSAAKPPEVHELDGFSRS ANIA_10445 MSASLPGDRELPHSQYDLNTYWGRVRHAADISDPRMLFVSSAGL EQAKRLISSYKQNEVPTMNAELWRAKKVVDSTLHPDTGEPVFLPFRMSCYVLSNLVVT AGMLTPGLKTTGTLLWQIANQSLNVAINNANSNKSTPLSVSQMAKSYLMAVSASCSVA LGLNALVPRLKNVSPNTKLILGRLVPFAAVSSASALNVFLMRSEEIRQGIDVYPVPEK EGEPVQSLGRSKVAAKIAVGETAISRVLNATPIMVVPPLILVQLEKRKLLSPRMVLPA NLGLVLATSLFALPLALGAFPQRQAISAASLEEEFWNRGGKDGKVEFNRGM ANIA_10468 MNCPSRTDDTLPHCDWNQNPPFLAPDLTTRQDLNGISNVREFKD AGTGVITMQHCLHDADLLSRYKRESEVLRQMHPRASLTQSVLATAVLISASGFVDWLT GTVVPFRQTTTASVGHLVLDKETMQVRRYAKRQACASGGVDGSEYNTSLHVGALFIIL GVSTLACALPILVIRFSRLRIPPAFLFFVRHFGTGVLIATAFVHLLPTAFTLLGDPCL SNFWTTDYPAMPGAISLGGIFLVTLIEMVFSPVRQATRKVSKQTDQESAPPAGTDHLS GGNHEQSCDCDRSPHIRPKGPLVGRAASFSRAIHRIGEESDRIHRISSAPEASPLPRV SEVKRFTHRQGSVDEFQLSEKQKYNKDVMQVFMLEVGILFHSVFIGMSLSVSVGNEFV VLLIAIVFHQTFEGLALGSRIASLEWPDKAIQPWLMSLAYGCTTPIGQAIGIATHSLY SPDSEVGLLLVGTMNAISAGLLIFASLIELLSEDFLSDESWRVLRGRRRVIACALVFL GAFCMSLVGAWA ANIA_03771 MVYYFTSNVVQPSAYIYMGKDKFENEDLIKYGLEKDVWYGFPKS AFHVDNLSSAHVYVRLRDGESWDNIPQELLVDCAQLTKANSIEGNKKDNITVIYTPWS NLMKDGSMATGQVSFHNHKLVKKVFVAQRENAIVNRLNKTKVEKYPDLRAEKEDYKKM LQREERKIREEKKNREKQEKREREQLKWQKDHAYDDLFSEENMEASNNQDRDPNFLDD FM ANIA_03770 MTPSDPRRVASIMLSWCSLDLVSCTTLQTLWAGYGHICAITARA TSDKAAKHLDNLCGGGSGTAGSTYHLILKLISPPRKGSSNADEGHLRKMLSYKVEQYF YTQVVPLLGDEVAVAKCLASTRDMEGQTGEEELKGSLATIMVDLRQKYPVAGEKRGVL SGVQVHGALNWLAGFHRRSWELLPGSLDGYVLPPLEEAKRRQNGEKNVGSGLWLNGGY TYLATRRKEYASLVQDSDSEWSEALCTPFEGSSLSVAEIVALFLTPCGRPLESYIHGD VKSENLFTTSDGEGVAFFDFQYVGLGLGVCDLAKLFTCSVPLHMLVADDNLPEQLVMS DGERQLLDAYRTSLLESKELGFYEWSTFKRHWETALVDWCRFQASWGFWGNTEWLEAR VRSILNDQGWRNWLRQSIDTKQ ANIA_03769 MTGNTDSSSRPSSLACLPCRQRHVKCDAVLPVCTRCRTTGSECQ YVRSRRGLRSHGKKSLSKPLDENVFSVPADTIPDWLDAAFPSDLSIETLLQPHDGPIV QDFSLDETFALPESELVTPKVAYDPMIQLYYENFHPSHPFMVPRRALGTSLGFLIPPQ LLSVMRYIGAHYYPDPALKQAFRQAAFDAPSNQSIEAGFKVQALLLLAITDHCYCHEQ SAHRLIQTAVNLALETGMNRRRFASEHSYGHSVLEESWRRTYWELYVVDGLLAAMREQ SSFRLYHQPANVQLPCDEKMYKSDETLENLQNNWSLGQDFSAFAYRISAMQKLGAVLG LNRSLEDGVGSHIETIDAHLVSSLMVLPPLHGESYDSSYHDEMIFQAQMILYLALIYL HHPRSGMRFASFHANPPTTCTRLQLPATLTTQSYCDSELRPSQTLDLHSHKLLRAADL LSGLATLPSPIHRRTPFFTCALAMCIIVHTAALLLVGAEKQEAIKARIQLSIGGLNVL GRTWPLSKSVRQQMVDMYQEVVGK ANIA_11413 MVPGTPPGHWSSFALQGRVFQSRLQGAYLGHLTEENIE ANIA_03768 MENASLDQNLIDQPQQLATPLDSDPGSPLPANSNTAGARSPSRP STSPTAAEARVPIPRLGASERVPSHTRIPRACANCQKKKTKCDSRKPTCGFCLRSGTA CTYTKSKRESQQLQVQSLEKRLTAYESLLRDIVSRSDHDHRQTIHDAIRHHFQASPEF FSTLLSAQPLSGQRIPSPRPGLSLRRMHLAWQSNEKSNALVLCQEPPIRVTSIRHWTS LVDDDVASHLLSFYFTWENPTWQLIDQELFMHDLEHRRTRFCSSLLVHTLLFFGCSFS YNLDRITDRREEKVLGEKLYGAVQRLWLQEKESTDLPTVQASILIGLLCCTFGIDKLG TSYIIHGAELSARYNIDESDCPYFSCDGNDNPTTISNSQKLVSWAIFDIQALACQVYR KSSSCKGPPAIRFSPEEAGMLDEGAGWRPYPFETSAMQPFFHTAAMVRGDLVTMVHDI ARFALKFPASALSKEDCDYGSSMYQRLRNWKTMLPWSVLPEHNSTPHIICLHLYYQAT IVSLCEIFLLNADSTSTLSHLSFNPLQIKSQALDVIGSLILFYKSTHGYKSIPIVMLH YFCVAGVHAVSKLHPSEPKWGAILEGSVLGLWHMSLGWGRLTKAFLRIIDLALKARRL DRSFIPPRVSAICDQLNGSLWTGLDTVSLAADYMVHHDPAVLGQTSGAGSRLKAHALQ ELLVVMEGLTVSPSASATG ANIA_10467 MPSRRSHTKSRRGCFQCKRRHVKCDEELPRCSLCRKRGLDCDYP QSGDADGQLPLTPQGSNQHNDTLTSSEGWSAQTRMLEMKLFHHYTVEASFTLRQDVLE AGHFQVAVPRLATSNPFLLDILLAFAALHLAFLEQGDSKWLEIALKYQSRACSAFSRV LADLAPETLGPAFICSIFIMLCAFAYPCVSQNRDTFDPLLQVLEINRLLTGCAFLFEQ LDSVEQPEEIKAWFIYKRRVVLLKQGQVEDAQEDPGLEQLQRDLLKSLNHLRSSIDST ESPHKAIYLSTWDTLQEMVKEWPRGRNQGGILAFPIHISKEFMARLQEGDWIARILFL HYGVGMHLLSNKWYVGTWGRRLVATGLGPQEEVPEVWQETVQWARQAVAYTVPYDGER QKEKSEMGLR ANIA_10444 MSPSPSLSLSRLLRLSVCTTLCLVLVVFHVPSVLPSPLDSYDRY TRAHKYERSAEAHDGKRGAVASESAICSRHGTDIILMGGNAADALGRLLIPVDGSDDA LCWGRCIDLGMYHSGIGGGGFMLVKSPDGSFEYIDFRETAPAAAYETMFNNLTDASTL GGLASGVPGELRGLELLHSKYGSLPWSVLVQPAINTARNGFPVGQDLVRYMDSAVGDG EDFLVNDPSFAVDFAPNGERVKLGDTITRKRYAKTLETIAAEGPDAFYSGPIAEYTIK ALQAANGTMTLEDLRNYTAVVRDYSQINYRGYQVTSTTTPSSGSVAMNILKVLDTYEP LFTPENVNLSTHRLDEAMRFAYGLRTVLGDPGFVDGMSEYERDMISQQTADEIHSKIS DLRTQNVSAYDPAGIESLETPGTSHIATIDRSGLAVSAITTINLLFGSRIVVPETGVI MNNEMDDFSVPGSSNSFGYIPSEANYIRPGKRPLSSCTPAIVTHPNGTTFFIAGSAGG SRIITATVQNIIHAVDEGLSAAEALARPRLHDQLIPNQVAFEYAYDNSTVAFMAARGH NVTWMAPGTSTAQAIRVLPNGTFEAAGEPRQLDAGGFAV ANIA_10443 MARIGREEKGISPFFYFAKSVPCKPKTKSRKKTTSRTSTNNRAV KEPTNLLITKAELSDNAQVNFNKKELESVPKPILLPSFGGNPDNGKHEGRGGDINLDA DPLNSTDFDDDTYSPLLRFICEHSFMRNKHYPVSLSSRQQFVRDVHEEAGELGYKEKE VNRVLLDIKRYYLKSVGSAAAFSEGIEFGDEIDDSDPASHESEVPSSSDQQTFKQKRD EALTRADSLVGKRLVNTTSLSRRTALTPCDALDHDDVAEEGKLSKFQKKRKRKRERRQ SSDQPYSEAHKGARKTAITVDLESAKVASLNKSEKPLICGKGKMQEEPRNWSKKKIQR TARRQSSQSSIEVRDCRTDDLPGVTTGNEVRSKYFMHSNIGDLKNGRAAEIESSPLVR KHRSMPDHVLSMAEDMGMLSDILLSDSTLSDVPSDIDSFPNSPRASPDRSLSLQRCLT ESLEEVTGIPSEPVTPTRCVIPCDAMEHTPHPFDLSLDRILIPEKRPRLKPTRISRYF QRPFVNEDSCLPFPPIDAPNFGLVQEQLAHDPFRLLLATIFLNRTRGGVALPILFQVF DRYPTIEAMAVANPHELVSMIHRLGFQNQRARKCIALAQTWLSCPPFRGRRYRKLNYP RKTDGRDVKAGECIDDEDQRIAWEIAHLPGVGAYALDSWRIFCRDELRGLAKDWRGNG AASAGFVPEWKSVLPQDKELRAYLTWMWLKEGLIWDRETGHTVKASEKVMRAARRGTT AHIEEGNWVLETSPVKRG ANIA_10457 MPGLDSASRSGTASFLESRTSLPYPSFSKAHSREAVGKPGVPTP DPTDLTEHNRDDEDKVDSKQQRPASQNAPPSPPLTSVDQHSRKGSTVDDKGEKTTEKV KDGKTKIRIKTELNRSSSSLRAKKDDSSKSSKVTVRPETPKSTSKRSSHSKDSPTRTT SHKSSRSKLDQERKRTTVSPSRSPPTVRDAGLGSANGSDATIAAPRRSPSRVKSPEKP PSRNQTRSSMSNRPASANRNRAPYEVAMEYGRPPTVGSTYGTPPPPPPPPDVPVSNPR VDYLLHNGGLDYQVPKSLLLSTAFGDQAQLQPHLAASKVFEPFSRLLDDYQTVMNKNG SLAVATGSRSVARRLLDRLEAVFARDISSESCRCLVCEQDELEDIPSGVSWGEVLELV SGRRELPNWPPFLLTAELGAADLSGEEHIPMQKLDIDVPEEYRDHFLRQSRKTKLAVD KWLSEQVEQPTSAPEEVDDETLTFAMLTHLGTEQRPIFRALLGISSTSPTPRPDGQPR ERPAALISSSYAIQRLYRLPSRPRDSETAMYMLNNPGIHHVLATLAAISDDEWDILIS GRFDGFLRSGAEDSLPNGTPNRWSHSRSNTPFSAGGRMSRGPTPSPLDRPMSQPYGGP GSPGSFGGPIALDEEMEIAALAEVEREIYAGMEALEDAFEALHCKAEAVRLALRERGA GLSIANQNRRGTYVEARLGTPASQYPWESGTDDDFLDDTYSLAPDDSASNISSNRRRR PKRRTERRTPAPVEEEDEGEEGEAVGRRDSRNSRRR ANIA_03765 MSLFKAFLSLTSSNDGSHPLFPRVAYVEGDNGSGLDIDPLPEEH RRGLVAVFVMAILSTVATFMLISFITYRLIFWRGSYQRYIGYNQYVVLIYNLVIADIQ QSLAFLICAKWYADNKISANTAACFLQGFWLQVGDPASGIFVLAIAFHTFYLVALGKK LSYRVFVSIVVGLWVFVAILVIIPIAAHGRQVFTPSGAWCWIDESYEPIRLGTHYFWI FFAEFGTVSLYAILWFQLRRRIKQSAILGSSHIESLRRLRRVVGYMVIYPIAYIILSL PLAAGRMATARGDTPSVVYFCVSGALITSSGLVDVLLYTLTRKNLIIESEPSLDKSYQ KFASGKNRLNTTTDLKNMRTDISALHTYKGNDEEEQTRDGSTDDIVKNTGGGVELAPI GKVYQHTTIEITSEPAYPSNGDASGRSSADSLQPTGKMSPTARRWGR ANIA_03764 MLISPRRSLHVHLFPPLASHNIPGLLSQSAQCYPTMFITLLLFA STIAYALASTSTTHDPNTDPLAYVNPLIGSTNGGNVFSGASLPYSIAKAVADVDGQNT AGFASDNSRVTGFSALHDSGTGGNPSLGNFPLFPQVCADSDDVNSCRFGKSARATGYR NESVVARPGYFGLELESGIVADMTVAARAALFRFSFPSSTSTEDGGSPLILLDLTDLW DSRQNASISVIAEDARILANGTFLPSFGAGSYRAYTCVDFYGGLVRDSGIWVNDRAGT DVQRSGSATLSRRHYGHEPLTWNGSIWDSFRVQHPLLTIIDPETQSRMVRSLLDTYKH EGYLPDCRMSLCKGWTQGGSNADIVLADAYVKNLTGPIDWSLAYEAMVNDAEKEPLEW SYEGRGGLQSWKRLGYIPYLDFDYLGFGTNSRSISRTVEYAYNDFCVATVGRGLGKGQ EYETYLARSGNWRNLYKKDQTSFVNGTDTGFVGFFQPRYLNGSWGYQDPIACSALSGS WCSLTSNPSETFESSVWEYQFYVPHAMSSLIHTLGGDSAFTSRLDYFHTSGLADIGNE PVFLTVYQYHYAGRPALSAKRVHSYIPSRFNASFSGLPGNDDSGAMGAFTAFAMMGLF PNPGQNVYLITPPFFEEISVENPVTSRTATVRIRNFDPRYSSIYIQKAWLNGEVYGKS WIGHEFFTEGGTLELVLGEEESEWGRASEDRPPSWEGEYNGGTAFGSF ANIA_03763 MVILDKIVSRADRAPAESHPADPKGPQERVAPNSGSDSDPSDLD LFTRNEKEVEQNPDKVTQDAHLGVQKAEAAALVWSRPAVYATYAWIWVCFFLLALQSS VSSTVIYNAYANFSSAPQVATANILYSIIGGVLKLPIAKILNLWGRSEGFLIFLGVYA VGLIIVAACNGPDSYAAGYVLFWVGYNALFLIMDVFMADTSGMRNRAFTFAFSQTPFI CTAFTGPLAGQSFLEVANWRWAHGAFVIINVVAFSPLAVVFKFYQKKAERMGLYQHEP SGRTTIQSIIHYIHEFDLIGAALLMAGWVLLLLPFSLTSAGRSQYQDATFIAMVVIGF CLLFVFAAWEKWCARVQFIRYELLKQRTVLGACCMAAISFFAFYCWDLYFYNFCIVVY NLSVSNAGYMLQIYNVGSCFQGVLFGIYIRQTKHFKYACLLFGLPLLILGAGLMIHFR GEDQGIGYIIMCQIFIAFGGGTLVIGQDMAVMASADREGVPMMLSLLGLFSSLGGAIG QAVGAAIYNNSFTGALRSRLPNDLKHMAEEINNGGYLAQMNYPVGGDIRNAINYAWGR SQMYGSIAATCLLALGIPAIAVWRNYNVNKKQNKGIII ANIA_03762 MSLEQRLARVISRGPPQTEQAPASARHDYVKAHDRTLDTWRIEE PRQTETTSSKIIRVVHTLLDGDQFGARVGLFQIIRTYPDLTRASRTWRSFHLVSGSIK YRDAGG ANIA_03761 MSASTSSSLLVQTPPNMSVSTATPTGVDSAPRNPRNFRRGHRGR RGNPSRRTQTQEQTQFLSAAQPHNLPAAQTQQLQAQAPTPTTSVSQSQLATQPSDTAG QQRSRRGPRRGRRGAGNTPVDGEGQRQNRVRGGDQQIGAGAARRTFGGRLTKSQETSE DDKQAPEGTEDAGLRADAPAFVPGSSSSNAAPAKGKGKGKSLRTRQPKVTTKSEAEDI ITRIHEDIAHNLYECPICTSEIGRKTRVWSCGLCWTVFHLSCVKKWSKNEGAAVQDPS RTVPGGENGEASGPRAWRCPGCNLAQEVFPSSYTCWCEKETDPRPLPGLPPHSCGQTC SRKRKDCPHPCDLTCHAGPCKPCTAMGPTQDCFCGRNSSTKRCQDTDYENGWSCGEIC GDLLPCGEHTCSRPCHEGLCGACEVKIDARCYCGKVETEMLCSSKDEELDSQLLKDCD VEEWTGCFSCGDVCSRPFDCGVHVCQQSCHPQKAQAAHCPRSPDVVSHCPCGKTPLAD IPGYTPRSSCEDPIPNCQKACGKTLPCGHACEKICHTGPCGACMRAVTINCRCGRNSF KSICHQGSFEPPQCFRVCKAGLHCGRHACAERCCPGEQKAVERQAMRRKLKPHLRPID EDVEAEHICTRVCGRMLKCGRHTCPEICHKGPCNTCREAIFEEVPCSCGRTVLYPPLP CGTQPPACSFPCERPKPCGHPQTTHNCHTEDESCPKCPFLTEKTCLCGKRTLKNQPCW LADVRCGQICGEPLKCGSHYCQKNCHRPGECEDASQPCQQACGKTKTVCGHPCTEPCH APYPCAEKTPCASTITVTCGCGRLRQDRRCNAMKAVTSKGQLQQPQRLPATSPLSCDD ECARLERNRALAAALGVNINPSTTMAQNTISSTNLPYSSETLDMYIQLSSTSPLSTLQ SHEATLHSLATSTTQRSVRTQPAKSSIRAFIHSLASDYGFASESFDPEPHRHVFVLKP TTWTPPVFGLGNGVSIGIAGMSVSECVKLRERHRAKEREAQREAAAEAKALREAAKAQ AGSSEGGWAQVAASKRNNGVSSARNTPLSRTPIGPGSGSLYAALAMNDDGSSVGGSGV KKEKLVLRSGVGASKQARRSSPLQSTEVADSWEEEEEKEEQEEERRARESEVSGSTGT RTPEVVEGNRDSGNETAAGTASSESLPASEGERTQADETTVCF ANIA_03760 MVSFSCEACGDVLTKKKLDPHRNQCRGASFTCIDCMVHFQGTSY RSHTSCMSEAQKYQGALYREKPAKNGRKGNNNNNQNGNKNDNNSAKTNTSHRAPYIED APDSQVNLVSTVSTTSTSNGTTSAPAQEGTKVNVFDFLVDEKTPNASKVSLAEPKEQM KMKDHAPSVFERSQSSTRAEAEEGDENKDYEENGFTYGSGPIQPPVYPNKDAAVSQEF MTPAPKKKKDRRTERKQSPPALTATSSDKKRKRRTDDHDMEDADTPMLEAPSSVLNHP GTPMLHSGLTGGLDRMLRSPSLDGEDDLENPRRRYQDPSSPLKRTRRDEKEGHGDAGL GISIKNRAERLVSSMFAGSSVSGSSVAGNEAEPRKSKKTHKVRYDDQAPSSEALKTKR KSSAQMEADRPSRRVKKYEYPDSPRDNGRDMVVYRQENHPNEAQRQMAAHFLSLVTKG PESTRGFSVNKVLKRFHKDFSDDIDGDRGRGQGRSRADREQRLEDEKDLWRTLRLKQN ERGEIVLFF ANIA_03759 MANRQITRRILISASILIFFLFVLFIQPYGPPSPSVRAPGHLEK STPVKDDLIKGDVVMPRLGNETAKAELGRATWKYFHTMLARYPEDPTEEQQETLHSYI YLFARLYPCGECASHFQGHLKQYPPQVSSRNAAAGWGCFIHNEVNAMLGKPAFDCNKI GDFYDCGCGDEEEESEGSGSNSGHKTAPRESSFGDDTISPVEISREPITRGG ANIA_03758 MASIRSFTLQCLPWSRSSLIRSQQRRWAQVHDVRFLATHHDPNQ VLDRYRAKLDQRAKQEGFDSVEALKKAHQEKIDKLRREASTVLTPEPPAPSKKPHSPP PPPKPQSAAQSQVAAAAKSVSSSGIKPLGSYLDVEKVRALPPKEIEAIWRLRHASNSN SICAVIPLETYQRIASAARQNPQFILPLPRSASESQSSEAETTATGDKGGADIHFLQW AFHPPASAPSAVNTHTSTVIFTPLAAYKLHGAYAQPHTTITHHLDLADDKGLVLMHGQ VMPDSGVSTAEATWLVSCVQRFYDFGGQASGRKGELLRMFTQGDVQNFRIEELMAEAE KL ANIA_03757 MRLPMLASSGLAVVASGLLYFKQNELIYPRNIPADARTNVPKPR QFGIEDYEELQLRTPDGESLHAYFIRAPRKRVDQNLTVLMFHGNAGNVGHRIPIAKIM QDYLGCHVLMLEYRGYGLSTGVPDEHGLKIDAQTALDHLRLRGETANSRIVVYGQSLG GAVAINLVANNEDKGSISGLILENTFLSIRKLIPRHVPTSNICTMITISSVFPPARYL ARFCHQTWTSEEVLPKITKTPILFLSGLQDEIVPPSNMTQLFAICNSKRKVWRTLPNG AHNDSVAEPDYFEHIHSFVTEEVLKHN ANIA_03756 MVASHGMTGMLGEDGIHIDMNHLKSGEVNLGTSIMAINFKDGVI LGADSRTTTGAYIANRVTDKLTQVHDTIWCCRSGSAADTQAVADIVSYHLNMYSIVNN EPPSTQVAAALFQELCYENKDMLSAGIIIAGYDPRHGGQVYSIPLGGSLHKQAYSIGG SGSTYIYGYCDANWKENMTEEEGVEFVRGALREAIKWDGSSGGVIRMVVLTKNGAQRH LYLPDNGYTAPGRTN ANIA_03755 MLGTAVPSEPVYQSSSRQPAQSSSNLETGSSISTSSNTTPTFPA PPSASAAGSSRFSTQDVPAYIRASSHPSSRSSESSTVTPGPTPIPTSAQMNPPSVVPS GQGGQTGTAPPGFGGRHTASHSSPASPQLRPTLRSLRSLGGSEASSPSRIKIRDLSHI QSFASEEFLAPPQRTRASGHWAQERQFEISSMPVTDIIEMVAGLLTKITTTNDSHHEQ VHRQIPSVDGSSNLSQQAHSVLAFHGKNVPSISILSYLTRIHKYCPTTYEVFLSLLVY FDRMTEMVNKGQLDRLRGRYNRTDALQSDVAFRPRSSESSITQRSHTSPMVTPPPSAG ITAQDSASTSPVSPAMNPLQGEDYLSHFFVVDSFNIHRLVIAGVTCASKFFSDVFYTN SRYAKVGGLPLAELNHLELQFLLLNDFRLSIPVEELEAYGTMLVEFYAREIVAQQQQQ QQQQQQQQQHSQSQAGPMARESESDAMYMRRQPAHPEVRQTSTPP ANIA_10456 MRDGGLGKLQKRMRRFGDTLNPDDAYLSYHDIRLTRGDLQSLKD DWLTDNVRLITFLYLEREFLTEYKSSNIVLLRPSMSFMILQTPNPHSLRDALPDFTRT THVFLPINDCRNVTEAEGGTHWSLLLISIVDGVAFHYDSLPPGNYWEAKTVTMKFGAL LNRPIRFVNLDDSPTQENGSDCGVFVCLSMRHLLLKRLLRANSNEKVSMSLGGWKVDA RLGRKEIAKIIEGFRKEGERRRSASLSPSGKKSRSPPRIE ANIA_10442 MSGSDVDHVSGLVDAGLSQSRRLSSSSTAECFYDALSDNGSHNP SLTLPSSRPSSSRSGTTYTTKQGASGPQSFALPATVVPNGSPNRHQRSSPDPDEYYRS HSPTLPLETATRIRAESASLPGASNPAADGRAVYTTQRVPSGPVHLPGLSSVERGQYR SVSDTSLQGRFDFPTGPASVRPLAVRSKQTSFKDLVNKFNNNVDQVLPFPSASASASR DHSRASSPAPGDHIIQSGTASRRRDTGTSIAQKQRASRRDSEGDAGQVFSTQIISPSS NTTGLSNSSLNDHQPSNLLPRRRLFGELLTVDTDFQGYGIPSHLRRRGSDGSIPSPNP VLFDQFDQSSNQTPLTPTAWYLGRTPFLEAVSTGESSGRHRRTRSDIPRNSPVGASTH PSDFHMAVSGPLQPGSRTYSTSPHSKSRIPLYSHRLNSPSASKESSPSPEAQSPINGR SNTQVPFPPKGLSRLPKPSPKSPRDLVSQGKSKFSTTTTTTPTRGRREIGYGRSRVKL SEKSPQLEAYIAAPPPKKSPPLRSSRPRQPVSHTAPSASKSRVGETVSNLQKQIYRER ELRNSRPRERRLPELGNVDFATRRQKIQQAFNRTVKENERREEEAAELRRRASEIESI SKHKDNLSSDTKPIENKEAATVPAVQPDDTATVIEDSVEPCGEEPPDIGDVEAFPELH IDTDAPDHGPPALMMDSPTLGQSNLPQMEEEDSKPSVLPPPSSATSCSEDSPVTNFDP EPQAEIAQQDVHTSHRTLLNEIMRIRESSPSSSSCDEQEYGFSDNDDKESIPILLRDK LSFENLTSRTGPLEAQHNQTAGDISNRWSMSSWSSSLRNQHSTDGQCDGSGDEISQMQ QSVEDSELATQSCSASSITQSDTGPHVTGSIAHDFGPERRQDNASSRKSFGHSKTPSL AKLGGWDSRKITQLYLEELARGRDHSLPLDVRASPEPRFSIHDLRADGRTDSLTDEPV LVSSVDEISGPDRLSHTASLVLRDDWEHASPSIMDWMQIAADDAPVPQDQSADISPQN DSAQTPTLVTSNRQASCIEDGNTGLGLAINIQSPQEPATHEFSISLPRHDSSLPASKT QVDGNITQQSLERSRSIRLGQQPVITQETACFVPLDPVHSTGSSQDSLARHDPSPSPQ ARDSSATSLVPSSSEQLRTSTSSPSPDQRRLKKRRHVIKELVDTEYTFGRDMKVVDDI YKGTSSSCLDLSAEDIKILFANSDQIVHFSMTFQDALKEAAKSVYVMPKSQRWSSKRS GRNSHVSKNDSESIEGAGPSDLEKDRATSIGQGFLNHISQMEKVYTEYLKNHDAANKK LQTLQRSANVTIWLNECREWASDLTSAWDLDSLLVKPVQRILKYPLLISELLDSTPPD HPDHASLVKALEEVTNISVRINELKRRADVVGQVVGRKRKESDVRMGFSKAFGRRTEK LRQQVGLSDMVADKDYDALAQRFGDNFFQLQVVMRDAEMYTRETQASLDRFSEFVTAI EAFIDVSHTSYPELEGKWRVFKISVQDIMAATLPEHLDVVRKSVIDPMVTLLKLHEGP QRVMKKRDKRLMDYARFKSIKARGDKPDKKTAEQADQFVALNETLKDELPKLYSLTAR LMEACLKNFVQIQTTWYIVLQKKIGPLIDTFPEEVQKIVDDWTTRFDFSEARALSLGI CNGSLLADTVNLVNFNTPSTAPGVSSPRRPSTVHSTSTRAMDESPKVSHDFNASNQSF QSPIMDAQSQVSFGRHRADSAFSTRIASETPDLLITQVLQQGNNASTSSVPSPQSQTE SFPRLPSISLDTPFLADVIPLANNDNAADENRPSSSAGRYSGFFSSAMPMSDSSQEIA ESEANVVKEPTVLFLAASIYEFNIDRARREAGYPYLTYVAGEIFDVIAEKGELWLARN QDDATHQVGWIWNKHFAKLST ANIA_03753 MGKKRKRPVKEPVKDDPICRAKSSPQQPAGNTARSLSTNRNQAA DAGKICHPVISLYYRHVVTLRQYILQRIPRSSRARRRRIAAVGGHSAARDGLAAVPKN EKDLADLLDTTLVGILKELPPTRSEERRRDFIAFTQAQQTTQTGTDSGPIVDFAISSI FNRPSHGKLENVLSHGYRQGGGRLPCSIPNVAAQFPNKNVQMLKQSPWTEVLALLGSN GDEIMLKLLLDCGLFMAVDARKGVYCQISGQAISSLKPIDTSPEDCPAAFNGSSSPVK RHAVPWQGAAPRAHTKGPKENQQQLSPNAIIFCRQRMLYARPHLNANGGITFGLPNHV LSRFHSAKSLQQTVHVMKYVFPRQFGLHNVFTSHSSYYENPLSKNYSSREEEIARKEG LEAARNQLRKSGFHAAIGERQESIKIPKRLRGKPLELIRQLQNRSRRCCYKKLLQYYC SEELSGPWILGQLSAESNSVLSTSSSRPLVTQPSLAHQDMQRELRPTSYAKGFSKGSG ATKPKENLTDHATPAASVSAFCRAVIRNLIPLEFFGVGEQGITHQKMILGHVDRFIRM RRFESLSLHEVCEGIKPESLRQAPSENNISASDLQKRRELFHEFLYYLFDSILLPLIR GSFYVTESQVHRYRLFYFRHDVWRRLTAQPLAHLRASIFEELAPETAEKLLSGKKSIG YGSLRLLPKTTGIRPILNLRRRTLVRSIYAGKNRYHPAQSVNSAIAPVYSMLNYERGR RNDLLGSSMFSVGDMHSRLKKFKESLMSRGWDQRKRLYFVKLDIQSCFDTIPQAKIVR LVEKLVSEENYHWMKYVEMRLASEFDNMWPLRKPQQRRTWSKYLQRVGPVGRPENLAD AIANGSVVGRRNTVLVDTIAQKEYNGEGLLDILNEHIRNNLVKIGKKYFRQRKGIPQG SVLSSLLCSLLYAEMERDVLGFLQTDDALLLRLLDDFLLVTLDSGLAMDFLRVMVRGQ PDYGISVNPAKSLVNFAAVVDGAQIPRLVDTPLFPYCGSLIDTRTLEIFRDQDRMLEG ADSASVALSDSLSIDSTRTPGRSFYRKVLASIKQSMHPMYLDSTHNSLPAVLLNVYKS FVTAAMKMYRYTRSLPGRARPRPEVVVRTIHDATQLGYRLIRGRHGLCRVTHPQLQYL GGAAFQFVLGRKQTQYAGVLRWLDGTLAEARQSVGNSVLLAQAVQKGNRTYREWRF ANIA_03752 MAAVLTAPNSPPELSGSKSSKSSSYRSSSRMSSPDGVFTDIGNF EEIGLEDESAPYLESSVSHGRAPGVARSSTARILAKSPVSTTRDLLSAAPRKPYASQG RPGPRLMTASRDLQTPRPSSKTRRNRSASPLRPSQSPGIASSPSSQTLSPSAVNARPL NRKPSWQRSRKSLKELEAEYHDSDDELPEDASLWNIPISPRPVEDRAPSRDHSPNRSP GPQPFPLSHSVSEAAVASGPPRRSPATSRANRQMARSSSAGPERGQISPRNPRLYSYN SMMSDLSEEAKNLTQALEFHADENDRKRGDSLRSGNSSLRSSDESKRDSKSPIELPPV QKSNIMIDPLPISKEKEKVLSRTRPSWLPPKDQKEERRHLREYKKMMEQSREADKRKA AQAASAKCERDNTRDTLQQIWDDYVYPNWTRAIGEPRTRELWWRGIPSRIRGQTWNRA IGNELALSDESYNKALKRAKDARAKSEGDSGESNKKMMQWFDAIQADVSKAFPELNLF QEGGPLRETLVDVLEAYAMYRSDVGYLTGLHTIAALLVLQFPTPSSAFCAMANALNRP LPVAFMTMDHGAIGRTFSLASATLRYKFPRLATHLYETLRLSDEEIFESMFRSLLTNG LDLERLSRVWDCWVFEGDRIFIRAAVAILGCLQTQLFGFTEPDDQSRLAVKNILAWGP HDIGTKPKERRSAPAAPIAGFAGGLIGAAAGHYWILTSAGDEDGFISEMREAGKVQPR A ANIA_03751 MCPSPRTDADANAIASPNGRQSAYAGGELSPSDSEDAGLGGSHY SSGGIGAAGAGIADLDDADDADEADDVIGVSMGYDQTRLKSRTTPAEEKTRIIERNGG YSGDEGLFAADITEEPESLADDGDQDKDENWRAPAGKNGTAETPVAKAQNLRTGFTRS ILKGTLPLRPRAWSGDSHTGSRSGLKKFFPSLHLRASSLSVSRYRSRSWSSRLNLDQE KGGDVSAAPQSPQSPQPPNPSSTASNGTLVTGTAPVEDPIGDLSPLQAPSARTRGKHS FVGSNPTLRRSSSDQSLYLRASSTASSLEHRPQYEHIHSQTNSRFKAIKDTLQDSSSR LLSMPTLHLQDLRSDWGYKQFLSDAHHRRTETNQTDDALISTTSPPEANVHSPSAIPR AKSTRKSAAATYPVLFEAMSELTGDVVVMGGYRGSILRSAKPPHRQLWVPMKVGLNLR KVDLEVGLNPEDEERMEETVIPDGVLSHVGPVDICRRLLKRLQKCENAVRGELRVHNY GYDWRLSPHLLSRRLIKYLEGLPCNSPDIPPHKRGAYVIAHSLGGLITRHAVNQRPEL FAGVLYAGVPQHCVNILGPLRHGDDVLLSSRVLTAQVNFTFRTSFALLPEEGHCFINK RTKEEYRLNFFDPAVWDEFRLSPCINRPLPAPGLSSINLPLRKRFSTMLSNSSTDDSI GAEDSTSDQSPTPSGHSTSNSVSAAAAAAASKIKPPKPKGEILPGPTTDPRGSSTTGP STQPVTIPAAAARDYLARTLSEVLAFKRETLHREDHQSSNRYPPFAVLYSKSVPTVYG ARVNSRESIKYADAYDDLAFAAGDGVCLASAAMLPTGYRVIRGGLVKSDRGHIGLLGD LEGVGACLKALVKGRKEGVGIGAMNSS ANIA_03750 MDATALLCNICPKHPKFSDVSHLLTHVASKAHLSHYFKLQVRSH QEPQAEALLDDYNQWYKSNNLAKLLSDRMSSKDSRRRKSQGKYAAPDTAGPTDRDNGY QVSPLPACLNSLPDYIDPRLSDPFMNSGSSAVHQQASTPSRHKQAMGIAPERWKRDNE EDSGDERTSLTQATPCWPNVLRSRDDQEAPSSNGPFIYDPFVDDKDSFVYSNANDMDK ERADEISRLKGVLWPGMDIFDSATEQMKRKRNQKKDESVLKMMERTSMGVEPTELVFS PTGILRKQRVISGNVEDSSPLKGETPIPKKRATRPKRVFAQMDPNTQRGPDGKRARKN VKQDCDPHEADIDQRLYTPQRAVQAFGRLGGQISVGDNMEEFALALRDHDLKQHNKPV VFRDMSVSRESRAEDQHRFPQRETAASQPLFLRRDIAINDDLQSPHTAAHSLNIIEKG PRSVDKENLEQMLNAYSRMDPLVGWHSPAMKRTDRGISPQYLFGDAHYVGYSPFNCHE SPTGYSFNPLAGSLTRMATEENPIYAAESENVLKGPSTARVSSPDATISEVADDDFDR LYMDGSS ANIA_03749 MPLPSSQPSASSSPNLKRKQPTISSFFTKKPQAPKQSTSNEGPA PIDNDSEITDKLAEDDEEDIVAPVPKRTKSNGSLTVNRPQSPKAKSVSRVEQESSQRT ELSKFASSPAIETEGNEATELDGSAKVRQQEREKLHQRFVRKLGGPDCLVGIGRNCVG ETTSIEEAAEGDEDDETPQPVQPKGKAGKKGGGKLTPMEKQVIEIKKKHMDTILLIEV GYKFRFFGEDARIAAKELSIVCIPGKFRYDEHPSEAHLDRFASASIPVQRLHVHVKRL VAAGHKVGVVRQLETAALKAAGDNRNAPFVRKLTNVYTKSTYIDDIESLEGSTAGASG ASATGYILCITETNARGWGNDEKVHVGIVAVQPTTGDIVYDEFDDGFMRSEIETRLLH IAPCEMLIVGELSKATEKLVQHLSGSKMNVFGDKVRVERAPKAKTAAAESHSHVSSFY AEKMKSADAADDEVASNLLQKVLGLPDQVTICLSAMIKHMTEYGLEHVLQLTKYFQHF SSRSHMLLNGNTLTSLEIYQNQTDYSSKGSLFWTLDRTQTRFGQRMLRKWVGRPLLDR RQLEDRVNAVEELKDFRNVVMVERIKGLLGKIKHDLEKGLIRIYYGKMIAQEFADIES PADTGFSSPAISQAIMSLPTILKDVVFFLNKINMHAARNDDKYEFFREEEETEEISEH KLGIGAVEHELEEHRPVAGEALGKKMVTYVSVAGIDYLVEVENNSPAIKRVPASWMKI SGTKKVSRFHTPEVVKMIRQRDQHREALAAACDKAFLALQAEIATNYQALRDCVQSLA TLDCLVSLATLASQPGYVKPEYTEETCIHVEQGRHPMVEQLLLDSYVPNDINLDSSKT RALLVTGPNMGGKSSYVRQVALIAIMGQIGSYVPAQAAKLGMLDAVFTRMGAFDNMLA GESTFMVELSETADILKQATPRSLVILDELGRGTSTHDGVAIAQAVLDYMVRSIRSLT LFITHYQHLSAMVHSFPDGELRNVHMRFSESGTGADEDITFLYEIGEGVAHRSYGLNV ARLANLPAPLLEMAKQKSAELEEKIRRRRLAGFVAAVGAVVQSNQADESVIERLVSSM EEL ANIA_03748 MDLVNSLEGRLLFAVPKKGRLQQSTLDLLSGCDIQFRRENRLDI ALVKNLPIALIFLPAADIPTFVGEGRVDLGITGRDQVAEHDAQLGLPEGEVSGVQEIL DLGFGGCKLQVQVPEKGDVQKVEQLIGKNVVTSFTALSEQFFSRLEKEHGPAEKKTNI KYVGGSVEAACALGVADGIVDLVESGETMRAAGLKAIDTVVESTAVLVKNRNTQNPLV DLITSRIRGVITAKKFVLCQYNIPRDSLSTASSITPGKRAPTVTALEEDGWVAVSSMV EKKQIATVMDELTKVGATDILVLSIANSRTD ANIA_10462 MYSGNSLFSHLLHRCGLNYSNCAFSLTNNSLAFMMRRFERIYDV VEPVEEYRLGGYHPVHLHDVFHQRYEVIGKLAYGQHSTVWLAKDQQPGLQQVVLKILK AEASENNRELSILLTLSDSGMGHPGKRHVIELLDYFYHTGPNGTHLCLIFPVMISDGE GMTICGNTHEAGYIRAISRQILLGLNFLHQLDIVHCELQPANILFSISGTTNMEELVQ PPEFSPVKWLEGVTEDDSAPKYLVPTQRRRGQLDSRHFSTIEVRIGDLGGAQYINHRN QQPVTPLALRAPELIRRHTEDTAIDDTIDIWTLGCLLFELATNEPLFPLDTFGLARDV IDNNHCSLIDQRLDSNSLRNEKFRGHLRDRLPDNFGAENVEALASFLLLMLRMDPRER LPARDLLQTQFISEGIQH ANIA_03747 MSLASGPGRAAGTSVDLKLCVEKHIDYIKKLDSRKDELEYWLTE HLRLNGVYWGLTALHLLGCPDGLPRDNAVDFVLSCQQENGGFGAAPGHDAHLLYTVSA VQILVTLDAVDELEKRGLGGKLKVGSFIAGLQDKETGSFMGDEWGELDTRFLYGALNA LSLLGLLDLVDVAKAVSYVQRCENLDGGYGVTPGAESHAGQVFTCVGALAIAGRLDLV DKDRLGGWLSERQLDHGGLNGRPEKLADACYSWWVGASLAMIGKLNWIDGSKLAAYIL RCQDPEAGGFADRPGNAVDVFHTHFAIAGLSLLGYEGVEEVDPVYCMPKSITSKILSA ANIA_03746 MPSRHSIIPNLDLSPSTLQAGAKNSPLSPGAQSSDGLSPLTPHS PKSSSSSQFFRGATIRPVTQDSNQTSDSPAFPTSPGAATEPPTPGFTAIPQYPPSPRE SPKHSRDPSRSFFASLKAPKYSHHAQRSDSSENYAEKPKSRGSSRDRRTKITSKLYES SPDLPATIAQQEKDGNPSDEKTSQTQATELKKVGTELEGFNTLKKTKPRFANLLSRSR SIRLDDSAMGRAPNRRPSTSLLKLEENCKPDTQESAKLRQERGVKGTANGTIRTPAAE RPTEFGNSSTVRKGTLVPSASLSQVSGASAAIFNNLKQSSSGAADRLGKAGKGFFGKI TRSGSTNERELVADDNYVCSVINLPLIEQARRTRIAKRLEDCRDKTEFWMPALPYRCI DYLNFKGCEEEGLYRVPGSGKEVKHWQRRFDTDLYDINTIGSLFKAWLRELPDELFPK ETQAMIAEKCEGATTAPQMLKDELSKLPPYHYYLLFAITCHLNLLHSYVDQNKMDYRN LCICFQPCMKIDAFCFQFLVCDWKNCWQGCWTEKEWLQREKEMDEKEKAPEEKEVQAF IADERAVSSGSSQSSTYEAPSRPETPKNHKKKPRKIDTSHTRSISQLPELGPPLSPIQ I ANIA_03745 MANSETEAKSTIKPKPERLPITVSKPTPYTFDLGHLLANDPNPL ELPKSEPLNASLKATARDGVQSLLNQLLTTCPITSSQQGVLLTLPAPSTILPRHKPLP TPKPPTKWELFARKKGIGKYSNKPGAALADKERRKKLVYDEESGEWVPRWGYKGKNKD DDQWLVEVKEKDWKKEEEAAAKGSSIRGMSRAERKERIRRNERKMRANERNSRKSGGK ANIA_03744 MASSFCSGCLTRLRQGPRAVLPPTTAVSRAAFHTSTALHRAVQK PKVSGHEGPKYRVGAAVKIKKKKPMEKSRPPAVGERKALRKRIILSNPNALEVEGMQE LNGETMVDSRLRGQVLALPVPMIDQLRAVQAFKTSQGWSIFRRPGTVLRRETLEMGRL FDNISGEAAGSRTVVKKIITGQRKTGKSVHLLQAMAMGFTKEWVVITVPEARDLVTGT TSYAPLSEEEPNAYVQNAVTAALLSRTVTANQKVLSALHISQHHPALSAVKPGMTLED LAKLGIQDQANAWPVFKALWAELTATSAVPGLEKNFRPRPPTLVTVDGLDHWMQNSAY RNAKHEPIHAHDFVFVRHFLNLLMPGKGKSTLPNGGALLYATSSSNSPSIYAFDVALK QVAARHAGVDPSSSEFPTPRPYSNPDPRVLEAFNSPKPTAAKEGMLDVQELGGLTKDE ARGYLEYFARSGLLRETVSNESASEKWTLAGGGVIGELEKLGRRLRVAA ANIA_03743 MPSSHHSHRPPTSATPTPEWRVPAAPPRPSGRPVASPPPPPPPP PSYNPATGNYGPSPNYSPITGFAGVNTATWGVNYNRQNYMHSPPPPLPPRPSSTSGQP SNTQSPTVTPADYHQSSIPSYGGGVSSGDYHQQWASNPTYAPQQPATSLPPPPPPPPP PPVTVDTSSYHNPALSVPVVSQTQQAWNQPPPPSYPNITPSQYHPLSTGASYPGPTSA LPAPSFQQDVDVRPAASFSPEGPASQSPIAAPPVPPKAGPSVLGSGGPSDWEHLSPTA GEIDDVAAFRPRIDAPANATHDLSPSHGGGTTGMTGSGHSPTPSFSDLDYRKPTVSEA SQNYSTQSPTSPGTRHDFPPPAQPVRMDTIGSDYTTDSLVASSENIDGVIEAWNMPIS ADDKPSPVQQSPKVEQAPVSPHMTSSLVESVPTKQPEPTAPGNETRVDLVDKTKDAVN ESARAATESPRPPSRQVDRYEDLDSWSQSSLERYVAMLRKEAVADSDEERFKIFTTFM AKETKLREILYSIEHETTAAAEAPQQSADAQTPAKEPNNKPTTTPDESGLIPVETEEN HSAPNIDDVEDGSYSPGGRPILPRLHTPQSAALHRSASNPGGPKYTASHAVAAHSLRS TSVPPNNPVYSPLTTNPPQRIYTPFRYTEGPQRGSDKLQIDRPAYQAYSALRQAGAES GRVMADTAPSTEGNRKRATSGASIQNDLDETFVGLIREKSVNYRTKPSQTSIPPLPPS LRQGKPPNPLEELRTIISTPAYKKSESLWHTTVRQDLEKYPNDFSFVRETGRNWEMAS KARKESLEQERIKRQEESESHIDALFNEKEIGYADINILEEEFRQTEARTQLEEERKE LEDFISNVYGPLDKRLEEEISALQVHYDSALSQLDRENNNKQEGAGKHSISHTMKIVN EIHHRLEMRHHKRLEIALDRERRRQKAERRPLVFMGDSAALKKLDNEFDQMEKQNILE AARARDERANKLMDLFDDAIMRGLGENQSLLDELSAKVTQVNDTAIRSSSLPEAEVEQ ILKSVHSLVEYLRKDSESILHSFGVADSALNNADYSVSVAEARYSNAEPEVFRQLEAE KKKEDAKIQDNVRTKLQSFSVGPAKIAVAINDALRTLGKTPFPDMPVPADVIPASQLY DISLPTPAVRPASTLGIAARKLETDPEHRERLRKALDDAKKRNAARQRSASVVAKE ANIA_03742 MSTPTGQFSALSIQGSSEIEPKAASDGGGGRGQGLGRAESSNMT DMAAVKASSGLLYDLCGSGFDHETEARALLGLTTAYEVLFCERKRAGYEFVFAETARV RIPLLGWGKTQMNFSGEVNDVVTSGYGNGDGYTCTCAAFRGRDAVACQHIFWLLDQVR AQFHSHSPSPYAPPKIVLSSDGHAQGFPRIEQLLSNQSITTLEGLAEHYDWPYVCSEV EEGGMSRTQRVRDILSAFSTEILPEEFRVEPVESDSEPEDTECNCLSPKKKRSPEQCV VQGDMEATIFQLAVHDDNVFTSLCKAMPQGACAAIYFDKMLARSRSILSEFDTYCQTL GGPYETEDKGKALSMAAVVHTLRSNILKIHKNIHVRAPHGLQGAAKALIAILEDISTR NKDALAGNPYGCVSFLPANANWSAHSEDDEDSRNLYHQLIGSADEEEEEGHFVLDALA DLSGEVLYPFRERLSAVLARVEVNRAPRGFIMKLVAIVRVAEGGTDMGFGITRKRSGE RDESERKRVR ANIA_03741 MAAPEIPKKQKAVIYDNPGTVSTKVVELDVPEPGDNEVLINLTH SGVCHSDFGIMTNTWKILPFPTQPGQVGGHEGVGKVVKLGAGAEASGLKIGDRVGVKW ISSACGQCPPCQDGADGLCFNQKVSGYYTPGTFQQYVLGPAQYVTPIPDGLPSAEAAP LLCAGVTVYASLKRSKAQPGQWIVISGAGGGLGHLAVQIAAKGMGLRVIGVDHGSKEE LVKASGAEHFVDITKFPTGDKFEAISSHVKSLTTKGLGAHAVIVCTASNIAYAQSLLF LRYNGTMVCVGIPENEPQAIASAYPGLFIQKHVHVTGSAVGNRNEAIETMEFAARGVI KAHFREEKMEALTEIFKEMEEGKLQGRVVLDLS ANIA_03740 MAFPIVDSHIHLFPESHLPTLAWYTPDNPLASQHSVDEYRSAVK SSTSLRGFIFLETDRLSSVEESETGKHGWTHALDEVSLLARIASGTPLPGEGHNAEDR DLCLGIVPWAPVSGGPDALEKYMALVKERAGSEEVWRKIRGVRYLVQDKPAGVMLQPA FIEGLKWLGRKGLTFDLGVDARQGGIWQLEEAVEMMRRVYEGVEEQKKVTIVINHLCK PNLRLQPTSEDSITTHPDFTQWKTQVTAMAQYPATYMKLSGAFSELPPLSPGFESDIE SVIDKLQPWTDAVFDAFGPERIMFGSDWPVCNIGGGGNLVSWSRWNRVVTSVLERRGL DEKHSKGIWGQVAVKAYGVTLRDTRL ANIA_03739 MPYGDYEYDTDRAQRERSRSPRRRSRSPRRSRRSYSPRSRSRSR DRDDYRRSDRRSRSPMSAAQGPSGGHSGSGYSGRGGSYPPPPRSFEDRAVAKEQMMQS VRESSQQDRRVYVGNLSYDVKWHHLKDFMRQAGDVIFADVLLLPNGMSKGCGIVEYAT REQAQNAVNTLSNQNLMNRLVYVREDREPEPRFSGGPSRGDFGGPGRGGFGGYGGGPG GGGRQLYVSNLPFNVGWQDLKDLFRQAAQQGTVIRADVHTDASGRPKGSGIVAFESPD DARNAIQQFNGYDWQGRTLEVREDRFAGSGPGMGRGGYGGFGGRGGFGGRGGFGGRGG FGGGFGGRGGFGGGYGGPPSGPGFDAGPSVPPNPFTDYATSGGEKSNTIYVRNLPWST CNDDLVDLFSTIGKVERAEIQYEPNGRSRGTGVVQFDNADTAETSIAKFTGYQYGGRP LGITFVKYLTPAQGPADPMDDAQPTGGLTQDQIM ANIA_03738 MARPILQPLRACSNPVFSCSLQKSILATITAVSQQPHRRQPAAF RSRLHAHRPYHSRHHPELPPHEYTNSQTTILAAALKHVPSHGFTKDALTLGARDTGFL DVSVQLLPRGEFDLILFWLASRRGLLRAAVEQSGLLTTASPVSVEDKTKALIMERLRM NKDIRHQWQDALALMSYPSNIPLSLSELHALSSDILHLAGDSSVDASWYTKRLSVSAI YASAEVIMTRDSSPDLSATEAFVTRRVEDSKAIGDKLSGAKQCLGFMGSTAVGLGRSW GLKI ANIA_03737 MVKEELSEFEKQRLANIAERDALLKKLSLDAQSTGVFTSNMPRG TSANQSKPKKKPAPKVKKEESLLPRRTSSRLRGIAADSEIAKRKADEEYDRRQEEERA KRVRKSDSFSFNDIFVSGQKLSGDALIGVDVVTKGVAVPYQRTFGEEDIKSTDDKDLK ALRKEMNSLSLWEAWEPNRIKITPERIYSMTFHPSEAKPVIFAGDKMGHLGILDASQE KPTSAVKNEDDEDDEDDDDPDPVLVTLKPHTRTISSMTVHPSKPTHLYTASYDSSIRE LDLEKTSSVEKYAPESTSDDVPISGLDMAAGDPNTIYWTTLDGAFGRYDMRTKRQSSA TTWQLSEKKIGGFSLYQTHPHYVATASLDRTMRLWDIRNLSHTDPTPVGEHQSRLSVS HAAFNCVGQIATSSYDDTLKLYDFSSKGISSWKPGHILDESEMKPDTIVRHNCQTGRW VTILRPQWQLNPQSAIQRFCIGNMNRFVDIYSGSGDQLAQLGGDGITAVPAVAVFHRS KNWVAGGTASGKICLWM ANIA_03736 MGRKPTLTTHETRALAGLISKLDPEKRPTPEQLAHEPGSSEESA QAKPEETNAEISAIFAAVLRDVRNLQGPPEHRASDKATGAVREETERRKERLGSEAEQ SLDTFAASREQARLGELPRDAVQTQESERHLPDTNDALAELLRTNELTLARAIELVAE RETAKIDSTLHAAVENTTDEAFWKSDTATESPSPLSFPACVPIVPVVVAIYPKVLRTA FVLLNLHFPDSHLISEFRATITSLGRESATLGLTTSLFNDMLYFHWRVTHDFPEVIAL CREMEVTGAQPNIGTINILEGIVRERNEDLVKRQSGETSEQPWWDFPDNRRAMRKLLG EDGMLERFRRQYREGKERKKIWKPYL ANIA_03735 MESESSNGYKRNSMHQGAYSRPVERRPSKKSSSKDRHGMVYPDS FRETTIRTVTPDSSEAGNNSPVSETEPLPSSAAPSPRTAARIRGPERESRRDYNLYYS TGDEDDTHLELRSQRARSRTTTLDDQRSDISSSSFLARTRNRLGSINTTPQPKNAEDQ SASSIGFPSIQSPVFSPRTPSRPRLSRSPGSSGLESSSLLNTDSSKILQLMKTTCGRM HGILSFRTSSTTAWSSGYCAINVAMGSLIYQAKGEPALAKTLIPDLRGCQVRSLVDPE TRTNYLSVSTFTSGLGVELRPHVSETFDSWLAALLCWQPIRPKGVQNKMTKPQSVTMI DRRVVDRRRNSESTVQKDAAIIKVGKMLLWDRPSASGVRPSSGRRISTFRQQRALSSS WQKVSCTLQENGAFRLFTESDITLVTCIQLSQLSRCAIQKLESSVLEDEFCIAIYPQY AVHSVSGLTRPLYLALESRVLFEVWFVLLRAFTTPELYGPANPPEDDTVKTPGSVDSG NANTTSLTDMFRIERMLTVKVTEAKMFGNKGVDETPRSRKQSRSHFNAAAASATNDYY TEVLLDGEIRARTAVKYRTSNPFWREEFTFNDLPPVLSQVSIMVKTLNPAQRDWSLVA HGTYALSQDTNPTRLLDDVEVSSQDAMCGRVDIRLDDLEPGTETEKWWPILDDRDQCV GEMLMKARMEETVVLMSQEYAPMSEILHSFGNGLTVNMAQIMSSELNQLSEALINIYQ VSGSTVEWISALVEDEIDGIHKESTANRLRYTSRLHSNDTREHGQDREVLVRDLGRTA TLEANLLFRGNSLLTKALDFHMRRLGKEYLEETIGERLYEIDETDPECEVDPSRIHRA DDLERNWKNLVSLTTSVWKSIASSASRCPAELRLIFRHIRACADDRYGDFLRSVTYSS VSGFLFLRFFCPAILNPKLFGLLKDHPRPRAQRTLTLIAKALQGLANMTTFGSKEPWM EPMNKFLNSNRNEFKTFVDSICAIPADRPTPIVTPSYATPIQILGRLPPPSREGFPSL PFLIDHARSFANLIRIWLEVAPGRLSQLEELDPAVMKFHEIAIRLQQRTKECLSRAEL AERPSGNLEVKWEELVDSLERSVTLYEESSSKPATPAAAPSSAPISETVVPSAASFTG SHRNSIGYFASRPSLPRRSTDYAPEADEETPPSSSSATWDQSRVPFSIPRWSEPRDST GSSKNSSTYSLEFPDAAKSRRSSMTRETTSKYRNFFDFVPAPSRRKGKDRDANQQQSR EELRNEF ANIA_03733 MFRARRSRISLVFAVIFVLLIFHFSRSRSYDQPAILQVPPPPVD HHNPPFPDQNLKDPYENDNSATGSGAPPPALVEPEEYQRPPLYTDSDDSPTPSKERLD TPSNVPSQEPEFDAARLQTGAQTQNKHEDDEDIVPISHWKPMPERHPVSPEALIKLPT GQSKELPQLQAKFKDESSSDKMQRLQQLDTIKSAFLHAWNGYKISAMGHDEVRPLRGG FKDTFNGWGATLVDALDTLWIMDLKEEFSMAVDYVKKIDFTTSTKKEIPVFETTIRYL GGMLGAYDISGHKYDILLEKSVELADVLMDAFDTPNRMPTLYYKWSPEYASEFRRGDF KAVLAELGSLSLEFTRLAQLTKQDKYYDAIARITNELEKYQDLTKLPGLWPLNLDASG CRRVPGVSREPAAAGQPVRWSSDEINSTSSVSYRTRQIHEGGEPVRHDNDSFETGFPV SVDTRTPPPKQDCTGGLNDQLSGIDKFGLGALGDSTYEYLPKEYMLLGGNNDQYLNMY QKAMDTVREYLVYQPMLKNNRDVRFLATVSMTKSLDANPPGRTTFAYEGTHLTCFAGG MLAIGAKLFGLDKDLKLGSQLTDGCVWAYEATKSGIMPEAFQLVPCKKGEPCEWDEDA YYMAMDPYADKRPISHNKRSAGPEKGNWHVVATAESSSPQEDKTQKSTTTEGRHTGTT TGAGALSHEEFVTGKILNDRLPPGMTGISARQYLLRPEAIESVFIMFRLTGDPSWREK GWKMFQAVDKATKTELANSAISDVTVDNPRPVDSMESFWLAETLKYFYLLFSDPSLVS LDEYVLNTEAHPFKRPKY ANIA_03732 MELEVSVDNEQQFWTSMIVLPVFSALVVSVLRTDLFRIRAGIQE IVSAPCSTEDLIDDALRAYRALFCKYYGPSHVLINFRTPPEQYLQSEFEVTTCSLTLV SSAIFVAHKDYVRRQMIYALLQEDDPVTLHMIASFLLFDGRHHEIPLYMMNEEGAFPR LLELLQVQSLRMDDESAANLHRLLMDLLYELSRIQKIKIEDLILVDDDFVRGLFDIIE HVSYDANDPYHYPVIRVLLVLNEQFMISAHNPDGEQSPLTPLTNKVIKVLSMHGNSYK TFGENIILLINREAETSLQLLTLKLLYLIFTTPSTYEYFFTNDLHVLVDILIRNLLDL PEEASALRHTYLRVLYPLIAHTQLRLPPHYKRDELKRMLSLLVRGQLTGDAAADSEKI MHFDEVDETTRRLVLRCAAVDWLRDEPEPHESSRPSQREDSSILSFPSIDSALLSDAD IGTSLESSQSIGSNSTRESSLEDLSSPPGEDRDRSASADETPRKHNQIERLGMHLTPA SSSSLSVDEIASQREKPGVITPSRHDGPVPRTQVSPTKHKVKPLPPKTRRSRGRRATV DETASPISKTSISEERGNSSPSPFTTTPIITTSDQFSPDIERRDSSSTIHSQTHALPV PPVQHQQPPPTTNKTTRRSASNPPPAVPPPRRSTHHHLHFSSHCTPVASLSPSRLPSQ QGSITPTATGTQAQLPNQGQNQSHKQAQKPEPPRTRRSGRKHTFTDSSDTSLSSHSQG VNSISSCASNGSGSSGVATTFLHPDYQYQYSHNNSSAPAPGFAAAAVGIVEREDGKTA EPESGANGHQLISVEEALQKVSLH ANIA_03731 MARLNTRGQKKQEDSARTRPQRLTERYVSPPPSTIKERRGEPQK PWTMIMPRGTLFDEEPAEKPRLVRSKSTRTRAKTFSEQNFDIFADSDGTTERDTPKAK KATPLKLARTNSMILPVPQQPRARTSRKSELYNYDKENDPLEEELDPEPTSLSRNPSD ASSTRRSPTRSRAAQQFTTYRQSQQESENENENDDSYNSLDDFIVSDNDEPSYHETSE DESEEEEEERKVPPPTQKRRLFRGRRPNPTLELGNALRESSRRPDLRLEPSVPAAIAV PSPKRNSVSRKLFQKEADVSEKMDRLNLEDSDPSSQLQNDLFGYASSGVKAVLAILTL GRAVAVSKSPSSPRPRDSPFQTPPSSPSRSLLRSPRKDKVQIPPTPYRESSDAFWSLE ITNNWIDEHSPRKVDSLLQEFDESDYDSRAKPKISGAKQPVKTPSKTALKKAEAEAKR AALARKKSFDDKKAALAEDFLKVLDDAVSGGEVQKRSAETGGVRIVWSKTLQTTAGRA NWKRDRSVTDSRSMSPANSSSSSGSETPSVTIKHYATIELAERIIDDEDRLINTLAHE YCHLANYIISNIRNQPHGASFQAWGRKCKEALKDHPIYGSRIEVTTKHNYKIDYKYVW TCVDCCQNYGRHSKSIDPGRHRCGMCKGLLQQIKPKPRSVSPRKKPAPVTAVNDMTAG LEAVSLDP ANIA_03730 MKFSSILAGAAFFASSVVTADLDPIVIKGSKFFYKSNDTQFYIR GVAYQQEYSGPKSDTNNYKDPLADVEACKRDVPYLQKLNANTIRVYAVDPKADHKECM SLLSDAGIYVIADLSSPSESIIRNDPKWDFDLYQRYASVVDELSQYSNVIGFFAGNEV SNDPETTDASAFVKAAVRDMKRYIKAKNYRPMGVGYATNDDSSIRVDMADYFNCGEEE DSIDFWGYNVYSWCGDSNYEKSGYKSRTEEFKDYSIPVFFAEYGCNAVTPRKFTEVEA LYGDKMAEVWSGGIVYMYFQEDNNYGLVSINNGNAKTLEDFSYLSKQLASATPSGTKK ADYNPTNTALESCPTTGKKWLAAASPLPPSPNSDLCSCMEKSLSCVAKSDISGKKLSS TFSTVCGYQGGKFCEGVSGNATTGKYGAYSVCTPKQQLSFAMNQYYEAQAEQGNGQDA CDFDGAATSQSTSEPTAACSSLLSQAGSDGTGSVKASPTAASGGSGGSGDAGADTSEG AAFALSPGSVHVGVFQVGAYVTTAIFAGAAMILL ANIA_11412 MSVPSGLGPMAIWLRLRPQFREWLGQPGRRVAQTA ANIA_03729 MSGYPQGSGYQHGNYDDGYDHQGHGDAYYQDDHHAQAGYYDNQD YGDGYYDRGGYGDHGYQHDGYYDAGHHDDYYGDQYYAQGGQGRGRHGRDSEEESETFS DFTMRSETARAADMDYYGRGDERYNSYTDSQYGHGGYGYRPPSSQVSYGGNRSSGAST PVYGMDYGNGLPAGQRSREPYPAWASDGQVPVSKEEIEDIFIDLVNKFGFQRDSMRNM YDHLMTQLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGFANMKL GKADRKTRKARKAAKKKAQENPEDVEGTLEALEGDNSLEAAEYRWKTRMNRMSQHERV RQLALYLLCWGEANQVRFLPEALCFIFKCADDFYNSPECQNRVEPVEEFTYLNEIITP LYQYCRDQGYEILDGKYVRRERDHNQIIGYDDMNQLFWYPEGIERIVLEDKTRLVDIP TAERWTKLKEVNWKKVFFKTYKETRSWFHLVTNFNRIWVIHLGAFWFFTAYNAPTIYT KNYRQQLNNKPPGAYYWSAVGFGGALVSFIQIMATIFEWMYVPRRWAGAQHLTKRLLF LLLVFVINLAPGVVVFGFSSVLPGKVPLVVGIVHFFIALATFFFFSIMPLGGLFGSYL KKHGRQYVASQTFTASFPRLKGNDMWMSYGLWVCVFGAKLAESYFFLTLSIKDPIRYL SPYHVHQCAGVKYIGDKICYYQPQILLGLMFFMDLTLFFLDSYLWYIICNTIFSVARS FYLGVSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAIIISMYREHL LAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKTEFFPRGSEAERRISFFAQ SLSTPMPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPH EWDCFVKDTKILADETSQFNGDYEKNEKDAAKSKIDDLPFYCIGFKSAAPEYTLRTRI WSSLRSQTLYRTVSGMMNYSRAIKLLYRVENPEVVQMFGGNSEKLEHELERMARRKFK ICVSMQRYAKFTKEERENTEFLLRAYPDLQIAYLDEEPPANEGEEPRLYSALIDGHCE LLENGMRKPKFRIQLSGNPILGDGKSDNQNHSIIFYRGEYIQVIDANQDNYLEECLKI RSVLAEFEELTTDNVSPYTPGVASSSEAPVAILGAREYIFSENIGVLGDVAAGKEQTF GTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGISKAQKGLHLNEDIYAGMNAMVRGG RIKHCEYFQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYY AHPGFHINNMFIMLSVQMFMIVLINLGALKHETINCNYNSDLPITDPLMPTFCAPLTP IINWVNRCVISIFIVFFISFVPLAVQELTERGLWRMATRLAKHFGSFSFMFEVFVCQI YSNAVHQNLSFGGARYIATGRGFATARIPFGVLYSRFAGPSIYTGFRLLIMLLFSTST TWTASLIWFWVSLLALCISPFLFNPHQFAWNDFFIDYRDYIRWLSRGNSRSHASSWIG FCRLSRTRITGYKRKLLGVPSEKGSGDVPRARITNIFFSEIVAPLVLVAVTLVPYLYI NSRTGVSADVDGGNDPHDAILRIAIVAFGPIGINAGVAAVFFGMACCMGPILSMCCKK FGAVLAAIAHAIAVIILLVIFEVMFFLEHWSWPRCVMGMIAMAAIQRFVYKLIIALAL TREFKHDQSNIAWWTGKWYNMGWHSLSQPGREFLCKITELGYFSADFVIGHLLLFIML PALCVPYIDKFHSVILFWLRPSRQIRPPIYSLKQSKLRKRRVVRFAILYFAMLILFLV LLIAPLIARNQDLNLKMSGILLELMQPLDSNNNDTMTSYTGSGVPKGMEPIASPSSYL TNLNN ANIA_03728 MDVQETQRLLSEYLHELANLFHRVPGSAIFLRYVKSSYQNDPIR SAVELFLFLFAVRYLLAPKYSTKPGVVQLSEDEIDDLVDEWTPEPLVGKPTALEEMEI DKRPVIAGPVGPKVRLSNGRTVMNLGSYNFYNFNTNESIKEKAIQTLRNYGVGPCGPR GFYGTQDVHMKTEADVASYLGTASCIIYSQAFSTISSVIPAFSKRGDIIVADKGVNFA IRKGIQISRSIVRWYEHNDMEDLERVLAKITKEQARKPLTRRFIITEGLFESYGDMSD LPKIIELKLKYKFRLILDESWSFGVLGRTGRGITEHQNVDAAEVDMIVGSLAGPLVAG GGFCAGSEEIVHHQRISAAAYTFSAALPALLSTTASATINILQNSPETISHLRDLTKA MWAQLDPRSDWVRCTSAPENPILVLVLKPEVVAAKRLSHEDQQYVLQDVVDECIANGV LITRLKCLDDNFEPKQNVPAALKVCVTTGLTKKEIEKSGTIIRHAITKVLSKRK ANIA_03727 MKWNASTAAFLLSCLAVAFPIDASGVAEPEAMIEVIVWVDEHGQ TLSVETMQPTATVANTPTALPPIPAIPALEAPHNLEPVIAANTDIKANNKPSLDKEYS SSMHPKQNIQSFGISYSPYNADNTCKSQEQVNMDIDRLTHYAFVRIYGADCDQAKKVI TAARRHNLQVLAGVYDLHNLYGNLKTIIDAARPDLSTLHTISIGNELLSRGQNSAGEV TAAVENARAYLRTLGYTGPVVTIDTFSKVLEHPELCYVSDYCAANCHAFFDATQSPET AGSYVADVSRRLSEVSNGKRTLITESGWPHKGQSNGKAVPSKENQQKAIESLRKTFWD NHSDLVLFSAFDDMWKVDNQWTFGAEKYWGIE ANIA_03726 MLQSSSTSNWDFAPVFNLLRSPTRQNKGQATCDTSSDHPLPLPA SHEITKDDVERLDTGETSLSGENLSTPKLGDFGSLWELLRNTSISSDSQSETRSHLEQ SQTKNTKPITILKRPMVEGSLEGSLSTTESSLQRVIDSTAQSNHDAQRSVPVQRRRRR HKTTPEASSPESNPEGESDSCPSVFDPPLSKPQSIPSMIPPQVGISEIRTGLLETPPS SFDELDDFLTSKNVKISASAGAPRLQPLVYTSATEQRVGLFTKLLKDFPDYAELIAES GRSKLSKKHDILGRPIHVFVDMSNIMVGFHDTMKLSRNIPVQTRIRRLPLSFQNFSLI LERGRSAAKRVLVGSDRIAAISESEQLGYEANILNRVQKIKQLTPRQVKSRRNPRIAY QDGGHSSETNDAPEERWVEQGVDEILHLKILESLLDTDEPATIVLATGDAAEAEYSGG FMKMAERALLRGWRVELAGLAGLAGQILSATYRKCLTTAARGSVANINYIAMVTLTPQ DLYGGAIKAIIPERWIDASSLRQIPDHQELFLSPSSLSNLIFEINERVSEETALSSLQ STPNQEALEILGPNPGATPETVDKAAALYHLNDIRDDDEDSLRIINPPQLVFARQLPR AKVYKGAAQITSTAVPRSHVAPSIGGAVAGSSTDGGLVSSVSVHYLLVRLEEQESDVL VFFNVPHKEFDEKGDPRGLLREEELASEVINALVDRLEVADWGLFGG ANIA_03725 MSDFARKDFSTKAKEEITPDASKSTQERVKETVTDTTDRISRGV QPDDQKSTTQQAFDKSQRVSDREGHGSTPQSIGDKVKNAVGLGDH ANIA_03724 MLHHAREQTIEGFKAREAMEVKQKMEILDVIENCLDEAGNRDLD DLAETVAVLGTLGTSTEDVGQSIVELTKEEFEIQEQIQRVERLHNYLKRELDTLHEQL QELKSNPAYEIGNLPALTAEWTRGTKVLSAKVNEYKDRSAALERNSNKGATLEEVILE EEDVGRLVDSVRSLEAMIETFHNLPKDITGARAEYMKLEAEFNRLIQTRNSIFENLSD RR ANIA_10441 MATGSYFPPPGAPSSISPRNSTPALSPLSPPLQQRSNALSNRLT SVLSVSYADSDIRDALETLSLRGVHNTAEVRRQLRLDVQKEVVDCNAEIVRDFGNVAE QLKRIGSVISSLKETCDEMRKHIVLAKQDTTPVLEEASALMIQKQEAETKQRLLDAFT KHFIVSEEELLALTSIEEPIDDEFFDVLARVKQVHRDCEALLGAEHERLGLELMEKSS RSLNSAYQKLYRWIQKEFKSLNLEDPQISGTIRQALRVLAERPSLFQTCLDFFAEARD YVLSDAFHYALTDAVSGGDSAVKPIEFSAHDPLRYIGDMLAWVHSTTVSEREALETLF VAEGDELAKGIQAGLNSEPWSRIDEDEEMTFDGQKALSDLVSRDLIGVARSLRQRVEL VIQGHDDPVTCYKVINLLSFYQTIFSKLVGPNSNLAELLKALEKFTLNHFQTIMRDEV NNISTDHSALAPPDDLSAPQFLHDSLEVLTALMKTHEASLGTEDPSITSESEENEFTP VLHAALDPFFTLAKASADELPDPTARAIYLTNVHITTRSTISPYPFATSTHLPPLSAT LSTLRVELLESQHRYLLDTSGLQVLLTALQPFSQSNESGTEKDLAAIADLPAFQAEAL ISTSQQLDDFLPSALMDATDNLKRVQSATFVKSVTEEAVEAFCRDFEFVEGMIIGADE ARGVGQSDGAVNEEGEEGDGDGDGEVELELEVEQRGQGQSLRKLFPRTTGEIRVLLS ANIA_10466 MVQPLRRGVRPLTWTRVLPRQTRQPASSHRRAQARYVQIRATPT DQPATVNGSNVPIVDTPSSAESADARFEVIGAPYSLLSVTLSASQNLYTRRGTLVGLS GKADNVISTLSVLEPFRRAPVGVPFLYQKISSASPVTALISTRSPVTSFAVVHLNGSV DWMVAQRRALLAWTGRSLSIRPTINTSLSVAHWGSSEVTGRGLLALVGSGQLYSVELK EGEKYIVHPSNVVAYTVSSKPPRPYRFKSTSLKLQVPGLKSLPTFLQNTQFIKAMSET DSWKTAMRIFHTVRTWSRMTIWGDRLFLQFDGPSTILLQSRGARMSDVLSTQEVNEFA STPRGLTIVPTKQEEKQKGSEQDGKDDVHKAPGTRSVDEIEQEIKGVTQSIAVLTKEG KVVFQKPGQQ ANIA_03722 MSSSDTVAVDNLSNAPGPTSMVEYEIYDEREIPTTDQRQNSCTE AIGTSTVQNDPEKLAVRTRSLEASGPGTPPPDTPPDGGLRAWGTVVGALCGMFVSFGW ISCIGVFIDYYKTHLLQDVSTSAITWITSLEYFMMFFGGPFVGVLFDNFGPHLILLTG SFLHIFGLMMISISSKYYQILLAQGICSPIGTSALFHISINCVNTWFRRRRALALGIT ASGSGFGGIIFPIMLTRITQQLDFGWAIRVCAFTSLFLLIISNLTIFSRLKHQRRKPL CHPKDFFRPLKEIPFVLTSAGTFFLYWGLFLPFAFIPTQAQRYGMSPYLASYLLAVLN AGSIPGRILPPYIADYLGRFNLMILTTMICIILVLALWLPSRTDGTAIAFAVLYGFTG GSAVSLAPALVAQISDIRQIGVRSGTYFAIAAFAALTGTPIAGALLPDPLGGSYLGVE LFCAGSMCAAVIFYAVARGLVPGGGWGVRVRV ANIA_03721 MSVRVVARVRPLLKSERDVDIILHTGSKHTLPNKAERESSQATS KLAALRDRDTIVRIPNPKNENEEYSFQFNAVYDAKVTQQELFEAEVSPTVKHLFNGFD VTIFAYGVTGTGKTHTMRGGKSLADRGVIPRLLSSIYRRSRKLEKDSDGQTSVSVSLS YYEIYNDKVFDLFEPPEKRTLAGLPLRDNSGKTVVVGLTERPCHTLKEFETLYDQANI NRSTSATKVCFLMVMTARSHKADKTQLNAHSSRSHAILCVKVAVTTGDKTRISTASAI DLAGSEDNRRTDNDKERMVESASINKSLFVLAQCVEAITKKHQRIPYRESKMTRILSL GQNNGLTVMILNLAPVRSYHLDTISSLNFANRTKKIELREVENEPIFKGPPRPAARPS VTSQRQPLRPLTASVNVNLAANKDAAKPVDKPAKAFSVYADKPHSRTSSQFKKPEIPS RPSISSELPGSRSTKASRIAQPPKAPPKYQQEDISAKIEELVEKKVEEILAVRAVSEK SRQTQVRELNEQVQRRLELLEQRIEGSEDSRAEGLSYLLMAKQHQGRGEDISALRMYQ LALPFFPQNEKLAKKISNLKQRIQNKSHQSIETTSTPAPAIKKEMGSILSLPSSGKQG TKRPAAEDADADYEDHDSYTSDSEEEFDLTVSSHIKRKKRAKTAKQTNPELGLATVDD DDLTVPSPRTIHLLSIINSRDVSQIKLLKESCRRLR ANIA_03720 MASPQGGYPPQEGYGQQPVAYGAPVQQPGEAPAPQVHTGGRKKR AYAGEAFEIGSGANAALGGQPPAGGAYGAYPPQQPAYGADPSQMAQGYAPPVAPGVAQ MTQQFGAMGVTDPHLMPPQQAPQAPQAPQAPRAVPLNQLYPTDLLTQPFNVAELDYPP PPIVLAPGTSVYPSPYANCPPKYVRSTLNAVPTTHSLLKKSKLPFALVIQPYAALHDA EDQVPVIPDQVISRCRRCRSYINPFVTFLDHGHRWRCNMCNLTNDVPQAFDWDATLQK PADRALRPDLNHAVVEFVAPQEYMVRPPQPLVYLFLIDVSYASVTNGLLATSARCIKE SLDRIPNADRRTRLGFIAVDSSLHYFSIPRDGSETSDPRMLVVSDLDEPFLPIPGDLL VTLSECRENIEVFLDKLQEMFQNTQNNSCAMGSALRAGYKLISPVGGKMTVLTSSLPN VGHGALTMREDKKVLGTSKESSLLQTANSFYKSFAVECSKAQVSVDMFLFSSQYQDVA SLSNLPRYTGGQTYFYPGWNAARSEDAIKFAREFSDYLSSEIGLEAVLRVRATTGLRM STFYGNFFNRSSDLCAFPAFPRDQAYVVEVAIDETVTKPVVCLQTAVLHTTCNGERRI RVLTLALPTTQNLADVYASADQQAIATFFSHKAVERTLGSGLEQAREALQAKAVELLS TYRKELAGGSVGGGGLQFPANLRGLPVLFLALIKNLGLRKSAQIPTDMRSAALCLLST LPLPLLIQYIYPKMYSLHDMPDNAGLPDEQTGEIVLPPPINLSSERIVPYGLYLIDDG QTQFLWVGRDAVPQLLLDVFGLPDRSQLRVGKQNLPELDNDFNGRVRAVVEKSRDHRS KGVGSIVVPHLYVVKEDGEPGLRLWAQTMLVEDRADQGVSLVQWMGSLREKV ANIA_03719 MVQQMPPQGGSRKISFNVSDQYEIQDVIGEGAYGVVCSAIHKPS GQKVAIKKITPFDHSMFCLRTLREMKLLRYFNHENIISILDIQRPRNYESFNEVYLIQ ELMETDMHRVIRTQDLSDDHCQYFIYQTLRALKAMHSANVLHRDLKPSNLLLNANCDL KVCDFGLARSAASTDDNSGFMTEYVATRWYRAPEIMLTFKEYTKAIDVWSVGCILAEM LSGKPLFPGKDYHHQLTLILDVLGTPTMEDYYGIKSRRAREYIRSLPFKKKIPFKALF PKSNDLALDLLEKLLAFNPTKRITVEEALRHPYLEPYHDPDDEPTAPPIPEGFFDFDK NKDALSKEQLKILIYEEIMR ANIA_10455 MTPLATHPRHILLLKTKSTPHDGYDEFFSAAHTKPTFIPVLSHN FHTQNLSSIKHLFENGSLAPGRDRQYGGLIFTSQRAVEAFGETLSGVEESYLISSSRN LPLYTVGPATARSLTTLRDRYLPHATIHGAETGNGENLAQFMLEHYNALFFQQEGQQA QKKPLLFLVGETRRDIIPKTLMGASVNPERRIGVEEVVVYETGVVEGFEGDFERVLQD CYNDIEDGMIWVVVFSPTGCDAMVRVLRQKEARIAGENGKGARKLFVATIGPTTRDHL VKEYGFEPDVCAAKPSPEGVGAGIEEFMRSLELR ANIA_10440 MQKSRAINPVLGSRAFVPNPRIQFQAQRRFLQDITITRTGKPLL KIQGGRHSLGGHTATVFGATGFLGRYIVNKLATQGCTVVVPYREEMAKRHLKVTGDLG RVVFIEYDLRNTQSIEESVRHSDVVYNLVGRDYPTKNFSYTDVHVDGTERIAEAVAKY DVDRFIHVSSYNANKNSPSEFFSTKGWGEEVARSIFPETTIVRPAPLFGFEDRLLHKL ASATNLFTANHLQQRSWPVHAIDVGTALERMLHDDTTAGQTFELYGPTNYSLAEIAPL VDREIVKRRRHINVPKRILKPAAYLLNKYLWWPTISADEVEREFIDQEIDPTAKTFKD LGIEPAELSELTFHYLKGYRSSSYYDLPPATERERAEEKKYVHVLDDQ ANIA_03717 MTDFAEKNRQVFNKQAAAYKSDFEGAVEALVSVVHDQRTWVSDT WVDTEAGKGKEIRALEYACGPGHISLVKLHEIPGVTIKANLSQALAPFVSSVVGMDIS ENMLEEFKKHVHEAGRSDTMVAVKADLVSESSPTEISGPEYFDFDLVVVSMALHHFEN PEKAMNRLSERLKKGGVMMIIDLIPNDHHDHEHDHALQQMGEVVETISKHGFSLDEMR TMYENAGVCKGFKYQVLEKRLPFTKNGKSFEKTIFIARGQK ANIA_03716 MENQKISDFLADQLQQAPEQCQASFLSFEEYWERKLWHQLTNAL IDFFRLPESAPQRLPLFKTFVLSFADKINQLNFVSLGLMASTECADDQERLSFLTSLA DKVNKPDSQDAYIYALAEVANVKQRLHNLDGAQKDLETCQKVLDSFDAVENVVHASFY KVNADYYHAKQEFASFYKNALLYLACIDLEDLSESERVSRAYNLSVAALVSDSIYNFG ELLLHPILDSLTETPHSWLRDLLFAFNRGDLTAYDVLAGNISKNQLLQAHRFFLYQKI SLSALTEMVFRRPPHDRNLTFASISAETKVKPEEIEHLVMKALSLGLLKGAIDQVGQI AQINWVQPKVLDMKQIEGMRNRLKDWDAGVNQLGHWIEGVGKDVWAA ANIA_10439 MAASLRNLRNNPYFLFVRLPYLLSFLCIVSGVIWLFLLPLDDYS RKTYISENALLPGQVHAYFAGSEQNVFRGYKKELEGLLDGHGSDGEKKKSEERTQVIS EKIQSILMASGLKVATQGYEYKSSGITHQGENIYAIIQAPRGDATEAIVLVAAWKTID GQLNLNGVSLALTLARYFKRWSLWSKDIIFVFPPDSKSGTQAWVDAYHDMQPPTVQPL PLKSGALQGGLAIEYPFDHRFENLHIIYDGVNGQLPNLDLFNTAVSIAGGQMGIGTRL QEMWDHNGSYEKRLQTMLRGMVKQGLGYAAGAHSSFMPYHIDAITLQTQGNGWQDEMA LGRTVESLCRSLNNLLEHLHQSFFFYLLMQTHRFVSIGTYLPSAMLIAGNFTIMAIAL WLRTGYYKDSTQAATVSARLVRDENITTSESEKAQLASKDRNETAPTTGNPATKAAER QLALPLTLIIGLHLIGLIPLYIFNNISHDKYTLATYTSIAINAILPICLSFILSRITT PTQISHQLHLIKSLSLLPLGLFLSTLATLNFSLSFMTGLLCTPLSFMHIFDAKTKPFV RYTLSAFGMAFLNVISPPVVLLVGFLTEAAFGWDVWGMWTQVVVWCVWWPAWVVGGVV LGGGLFS ANIA_10465 MTSPAALPPLPFNPSRVRSYLLRLPLFTRLVVLAIIVFWLLELQ TVWSVVQWGALIPDELGLKTMYRTNTYPFIHTGFFHAFLNLLALTPLLERFEAEHGTL TAIALFMGPLSTFPAGLYVLIEKFILHGNNSVVGSSVWVFLLLGSEAIRTYKSHPYFS LGTTKIPTWTSPLLVCVLVWILVPNTSFLGHLCSILIGYLLGLGYLKVLVPPEKVLRF IEGKLNLLGRLPHYVSVDQKTYGRFGVLPTSGAPAAERGTPLSFISGSSQRLGSA ANIA_03714 MLQRISRAALAVFLLASIASALAHGDDHEMDMNMDMDHMDMGAS HENAQPENGDDSPMSYFAYGQYTGWIVAHIALMVVAWCFVLPTAIMLSVARSRMALLS QFIFLVVNAVGLFVGIVYNSQTPDLYENNAHHKIGWVATWVISAQVVMALIFAYAGRG EKVENAYERAQFLPVSTDDMAETPTTLPAGIRHEYRWSRDSGQGTERNTASLHSRASS SCPSPSDEYDGFEKPDEDIPEQSSESRGFMHTGVLDRFLANRVPSLVSVRALRILNFV YNVIDRLILPLGSIALVSGGVTYGGIMRDREVFNGLAHFIKGGIFFWYGLITLGRMMG CWADLGWAWNVKPPREIVGWKAKIRSGEFTESAAIFSYGVSNVFLEHLGGWGGAWTAT DLEHVSIAVLFFGGGLCGMLFESKRVRNWLNSTVLRAPSRLEAHETANAWNAPDTQEV SLNPMPALIILLLGMMMGGHHQTSMVSSMVHKSWGNMLIGFALARGVTYVLMYLKPPT SYLPSRPPTEIVASFCLISGGLIFMLSTRNVVEALEYYELDAMFATSIALGLTAFIMA WEIVLIAIKAWALKRQSRPQLAAYRFPA ANIA_03713 MGMAWRLFPGSWMDALIMIHGKLIALLVCFQPDAGSGGGMTDSL SAYGAAFVLYIVRPFYYEYTSSPSSESAWISSFIVIDNSSPVPPAFCRSLEPPVDPVR PSSLSLILQVRRVSTVNILLSMFGISTSMGVSINARHGPSTPLIVYSLVAEHRSM ANIA_03712 MASNPPGACCASGFRHEGNPVGEIKNVNGTDTYIVYPKDNKSPE KAIIILSDIFGIYVNAQLLADEFAENGYLAVLPDLFRGDAIKLSDMESGRANLPEWLP KHQTPVVDPIVEATIKYVRGDLGVKRVAGVGYCFGGKYVCRFLKPGKLDVGYTAHPSF VTEEELAAIAGPLSICASEIDQIFTTELRHKSEEILIKTGQNWQINLYSGVTHGFAVR ADLSNKHHKFAKEQTFCQAVNWFNQYL ANIA_11411 MTHESVWYSRPRKYGKGSRQCRVCAHRAGLIRKYGMDICRQCFR EKASDIGFFKFR ANIA_03711 MATVASVSLLSEAEFGCEHLASQLMHDSAASNQFKASFIKTHNA LGRRDIFNSNPSSYLKPKYTCLTCSEPCMNGDRKSHTENTGHQFYMESRNRALFCQGC EDLVYDHGLERCRSATPESTLKVARKRRFSESSADELYVKSNANRRPCAKQGVRGLFN LGQTCYLNVILQTLLHDPILSTYFLGNGHQPHDCTVADCIGCAVAEAFADFHSIDKPE GFAALNLLLASWRASPTLAGYQQQDAHEYYQFLVDKLHTSAEGNRENHEKGCSCFFHR TFYGKLRSSVTCDRCGNVTKTEDPIVDLSLDVQVQAKKRAMGGGTGPSATPTLSGCLE SFTSPEKLMADVYNCSGCGNTPQKATKQLRIKKLPAILCMQLKKQRFEHTFSVSEKVE GRIDFPLSINMLPYTTNPDSAVDKSRYTYDLSSAVVHKGKLEAGHYYVYCRQGDEWML FNDDQVTPVTEAEVLGVDAYLLFYNLRCLANAAS ANIA_03710 MDAASRSSSRSRAVSRPTTPLRPGSRSSVREAHGYDRKISRVPY TQPAINALEPQFADLADRMAELEANFDKLQLMHESLTRFSESFASFLYGLNMNAFCVD FPEAPIAESFRRAKQAEAQKEAEEEESAKGNEADMTFMTSDTTFIEHPPSTVSTKQVP KPGTSRGRVRGTSTRGTTTSRYATRGRGRPSALPRGKSMR ANIA_03709 MHASLLHRRACYSSAKSIQSACHPLGQTSRRAQIFAPSSRPLFS PISARSYLPRRIFSTSLSSPFREPRKGSTGWAITFTVLLIFGGAWLQNKYRTLGADSV PQSTALEPQEKEDLFLGVLNTLKTMPIEAAPGTVGNLTPEQEAKLQEFWVLLFKVCGV QMDGIEETENRPPSPAQEKKQPPKRRFGFFGGGSQEKDESTAANDAASGIASLTITDG DDKFGTSKEFQKALAEIKPEDMRTAFWSMVKQDNPDSLLLRFLRARKWDVKKALMMLI STIRWRLLDAKVDEDIMVNGEHLALEQLKSSDSAERKKGEDFIKQFRLGKSFLHGVDK LGRPICYVRVRLHRAGDQDIEALDRFTVFTIESARMMLVPPVETACVIFDMTDFSLAN MDYHPVKFMIKCFEANYPESLGVVLIHKAPWIFSSIWNVIKGWLDPVVAAKIQFTKTQ QDLEEFIPKSRIITELEGDEKWEYKYIEPKEGENDKLKETAKRDELITQRQKLAKEVQ DATVAWILASRKKEEDKAKEVTEKRKDLIGRLRTQYWQLDPYVRAPSLYDRLNIIQGD GKIEFYPEAATNGEAAKSN ANIA_03708 MAACIFCRIIKGEIPSFKLFESDKVFAFLDIQPLSRGHALVIPK YHGAKLTDIPDDHLTEILPVAKKIAQVTGATDFNVLQNNGRIAHQVVDHVHFHMIPKP NEEEGLGIGWPAQATDMDKLKEYYESIKAKM ANIA_03707 MAGFLQPRGSVASVIKLAFRSTHFLPTRAPSSYLRRAFSVSSSL PMLSTELTEAQVSALRANKERLAEDLHHTCQWGYGIRWGDGHTDTGMQRLALSQEDKQ VRDWFIETTKALGCKVTVDAMGNIFAVRPGRRSDVPATFIGSHLDTQPTGGRYDGILG VLSGIETLKTLNDLGLETEGGVGVVNWTNEEGARFPISMVSSGVWAECIPLEKAHALK EVPTVASLPTAASAPESMKSALEKIDYLGSVPCSYKETPMAAHFELHIEQGPHLITAG QQIGVVTAVQAYRWFRLNIFGRDTHTGTTAFEHRADALYAFARMMVRAREVAASQGCL ASVGIIEAKPGSVNTVPGTVSFSLDLRGPKTELVEVVEAQLRKDFDAIAAEEGKGIGK PCRVEWTLDFDSPAVNFHPDCIECVQQSAEAVTADAGVADPKSLVRTIMSGAGHDSVF TSKRVPTSMIFVPCKDGLSHHPEEFCSADDCARGTSIILQAVVRYDRKRFSS ANIA_03706 MLIPKEDRKKIHEYLFREGVLVAKKDFNLPKHGDIDTKNLYVIK AMQSLNSRGYVKTQFSWQYYYYTLTPEGLDYLREWLHLPAEVVPATHIKQQRSHAPPR GMMGGEERERRPRPPREGGYRRREEGKEGAPGEFAPSFRGGIGRGRGAPAS ANIA_10454 MASHVNVLLSSFPGLSLPPTVSFALPATSTLSDLCEKVSSYIPY SVPLRSLILTTTNNKQILPSSLAVSELISPNGDSTLLPLRLTVPMCGGKGGFGSQLRA AGGRMSSRRKRNQGDDNGSSRNLDGRRLRTVNEAKALAEYLAVKPEMDKKEKEERRRR WEAVVEAAEKRQEELKNGGGKQKIDGQWMEDKDEMNEKAREAVLAAMKEGTWTDNLKD ALLGGSSTSASEGSGQESASASEDSGEEAEMRDAPAQPGSARPAAPRRYIGFDDDDEF MSDSEEDEEAMNEGSEGKGKAKA ANIA_10438 MSPRVLWKFPRQCQQAQRFFRSQHPPSRFRREVVCGLALLSTVQ LRRQSTSASNPVSSTESTQAVLPLCCPGCGAYSQTVEPNEPGFYSKTRKQTRKLLLEA QKEPLKESATQEAVFTVQKAVEEAEIAPKPHPDTVSQYLEKSQSPVQVCDRCHDLLHH NKGVSAISPSIHSIGAYLDESPHKHNRIYHIIDAADFPMSLVDGIYEELGIQEQRSRN RRSATYKYKHGKKLPTITFVITRSDLLGPTKELVDSKMEFVRSVLREKLGISSEEFRL GNVHMISAHRGWWTKKVKEEMREHGGGIWIVGKANAGKSSFVQACIPKDSRNLEKMAE LIARRGEASPAPSLDDQPAFDPDSLLPPAPNEDLYPVLPIVSSLPGTTVSPIRIPFGR GKGEIIDLPGLSRGDLADYVQDEYKRDLIMTKRGKPERHTIKPGQSLLIGGGLIRITP LDLDTIVLAAAFIPIETHLTRTDKAAEMQAQERPYPGTNIVKEGTGALIKSVGIFDLK WDVTRSHLPTSIAKAVEDHGIKPPPLPYKVMSADILIEGCGWVELTAQIRAKAFKNSD GYGDGSTESSTHFPRVEVFSPNGKHVEVRRPIQSYEFTAKKAAAEKRAIGARGRRNVG HMKRKQNQKP ANIA_03704 MLSSRGEKKAKSFDIPWRFAVAPTYDKEANPDGIICFGMAEHGP VRADIAEYINNNVKFTTNSVCYPSMSTSNPLPAAVSSHLNKYFKPLIPITPEMVVKVN GCSAAGNMLSFALAEPGDAVLVSRPVYGRFELDYGVQGGVEIVYADTDVNEAFGTACV QRYEEALEKARERGVRVRALVVVNPHNPVGMNTLNSTSFVGRCYPPETLVEIMKFCNR HKLHLISDEIYAFKDFASGGLHLGFIISRNFELRRACSAMLRFHSPSSAAETIGTAIL QDEDFVSRFIERSRRDLAHSYSIATSILDQEGINYVKGGNAGFFLYIELSPYLSLPNQ EHEFALAQRLLDNGLFLHPGEEHCKEPGWFRLVFSHDEHILREGLRR ANIA_03703 MPNNNWSSNTSSNDSGVTGAAKFVTSTLGNTVGGVSKTLGGVTG AATRGLGDTVNSATGSSGRPVGDALGNVGTGLEDGLKKVGKGVEDAGQWK ANIA_03702 MTPDELREKYPKFFGTMAYPYMNGTLHAGHSFTASKVEFMAATA RMEGKRALFPLGFHCTGMPIKACADKLADEVKKFGKNFEGYKDEDEETAAVAAPTQEV KAEQQEKFSGKKSKAAAKTVKMKYQFQIMLAIGIPIEEIHKFADASYWLQHFPPLAIR DLDSLGAGIDWRRQMVTTDANPYYDAFVRWQMNRLYELGKIQYGNRYTIYSPKDGQPC MDHDRTEGEGIGPQEYTAMKLKVKEWAPKIAELVKGKIEDDADVYFIPATLRPETMYG QNCCFLGPKIEYGIFRVKEKQYYICTKRGAWNMAFQGTFFDSEHFPKTQDELPTVLTA PGSAFVGTLVDAPLSFHKDGVRILPMEGVSATKGTGVVTCVPSDSPDDYATLLDLAKK PEYYGIQKEWAELEIFPLIETPTYGNLTAPALVKKLKINSPKDVNQLAQAKELAYGEA FYKGTMIVGEFKGEPVSAAKDKIRKALYDSGDAFPFADPMGKVVSRSGDDCVVAYLGQ WFLNYGENDAKWQKDTLDHVVNTLNTYSNETKNGFEKNLSWLNRWACARTYGLGSKLP WDPQFLVESLSDSTVYMAYYTIAHLLHGDRYGKTTGKLNIKAEQMIDEVWDYVFCRRE ISDELISKSGLSKDALQAMRREFEYWYPMDVRVSGKDLIQNHLTFFLYIHVALFPPQY WPRGVRANGHLLLNGDKMSKSTGNFLTLKDSVDKFGADATRIAFADAGDGIEDANFEE SVANSNILRLFTLKEWIEEVVKDESLRTGPADHFWDKVFDNEINTLVREGKKNYQDTN FKLALKSSLYDLVGARDAYREACISAGIGMHRDVVLRYIELQALMMSPIAPHWSEYIW LEILKKPDTIHRALFPEVAEPSPELSAATSYVRATASSILSAEANFVKKLAKGKSAHF DPRKPKKITIFAAKKFPSWQEKYIDLVREAFDAVSLTINDKELNAKVGKLGEMKKAMP FVQGLKKRLISTKEAPEIVFERKLPFDEFGVLKEMTVNLKKTTGAKEIEIVAVDEGGK TGEVLGSGEKREGLQAENAVPGQPTFLFANIE ANIA_03701 MTTKTRLQASLSRAAAREAPSLGQWLEFPGYQLAETVASLSEDW VLIDCEHGAITDLKCICKSPQYPAPTARPSRALDAGAHGIMIPMCEIAEQARFIVARC KYPPAGIRGAGAMFAHSAFHQNPREYLTTANDNIVIIVQIESRKAVENCEEIAGVEGV DMLFVGPNDLASSMGHVAFEHPHIAEVQDAIARVLRAAKMHNKYAGHFALGAEEVARR WKQGFDFVNCGADIVALSAWMGNEMGKLKGIIGS ANIA_03700 MPINNAAVRVSHLTLAIQDRPVTPPGPNEVLVQVISTGICGSDT HNWNNPNVSRELILGHESAGLRGLIVEIDSEVKDRHVGQRMAVEPGFACATRKCPDNQ EDAFCLRGNPNTCANLKYCGLDPTDGTLQQYFTCKAHMAIPIPEEISWEEAGAIQPLA IAVQLARRAALSATAKVVGDGGCGPLGLLVIAIAKAYGVCKIVVFDIEQSRLDFALSY GEDIGVLSPKISENVEPLKFVFEFTSSVVREHNLGHGVDISVEASGADSSAQMALTIL KPRGTCIQAGLGKRLTKPLFFLLIANELTLRGRSGSRRDVTRKRLSLYKVKSASEAVD YEDFSLDEGTGGVSGTV ANIA_03699 MKHWQLRSDMEGLSILPQEKDTFKGPHNVYLHRDRVNTSRSNVT ARILATTASPASRYAASFAERCQHMDNLCERLEGLAKTLSNCITMNKINGRQDVPTSP RSSASVTNPIQPARHTMERMAMSQDPVIDIPEISEIQDTSPGFRLGAVSGSSGNMQGH DTQTYPTPVDAVGHMVADSYGRLRRVYLSPRLLDGDLTDIISWRRYIGGATNKLIIEA VQILSPGGSSEPAPSKDNEGNEVSLPFFIQGQVWPELPYLPRPQDLSRPPQYVSDLLV GIYFDQLHYTFPILYKPDFMRRYQLMSAANGARRGTVVGRGFLSVFFAVCACASSLLP RASGSASLPGIEYYQKALLCILHRLGNLKGAALGIPKTTQYVETQVARCTWWSVFTLD WFQNAYMRSLMSTCLGRPMATDEADCCCELPFDISNEDLEHGIPPRPAELKNPSDLPL PSSPMTGFFAFARLCRIAARIHRFYSGDWNALLPTLNGFVRELDEWLQELPNEIRFSA NLTQSGPNLTMPLAAHYSEGPATAITPSKPRKFSPKLENPSAECINAARSCIQAAELI RERVAPSHYLAFCVQYLTISGILLLSMADDNNSPTLLPDIRNALRFLGDLEAIWPGAS RSRLILDRLLQSPRPQPRAWGVMGTGMSNEGDGENMDRHGQEPDVYGGTGAGGWHPSL PVLDELLWEQFPDSSEVFLGLSNFPN ANIA_03697 MTALLIVSNRPLDCGFIVEDSLVQNTNVFISLRIPAVMVRRLSN PAALNAPFFHPVTIFQRPPLVIWARVDGYFCKKGRFEGDGGCESKAGCSVTVAMAGIG YRLPTIEGSVTGYYAAHWIQLLIEPSYVSWPPRHVPNRRHLKCIQHNLLSPRNDGKRS VRLLLSHSWFRFCGSSNKGGAEADVLVDQFDMTRAIDTTRGETGGCLRYVLDMVGRTS SGLTGEPKNRHSRIHYYGILIKFLHICEPVAEQLMRSLERLLESEALIPPGLIVRKAR LAGVDDAPKMLRDGSASNRRIVIDSDSSGALQT ANIA_03696 MSKVVRSVKNVTKGYSAVQVKVRNATSNDHWGPTGTEMAEIASL TFGSPTDFYEIMDMLDKRLNDKGKNWRHVLKSLKVLDYCLHEGSELVVTWARKNVYII KTLREFQYIDEDSRDVGQDVRVAAKELTALILDEDRLRSERSDRKLWKSRVNGLDDYH GGHANGLPPPRRERRDRRRDDEDAEYRLAIEASKHEAEEERRRRAQAQSNGEEDDEDL AKAIKLSKEEEELRRRELEESNAQSLFDDSTPAAQPQPTGYNQGYQQQSAVDWFGNPI NPQQPLTTGYLNNQYANPTGFQGQATGMNGYTNGFQAQPTGYTQNPYAQNNFLQPQAT LQPQQTGFSTNNPWGTDMFNQQQQHQQPQQQESMLTPGSNNPWGNNQPESLKPMPTGS NNPFAARTQLQPQFQASRPATSGAPSLNTLSEERATKQFSNQFQSSTNPIANYQAPQS SQQNQSLAPPKPMDPHTARLNALLATSEGQDTFGNTGDLRIPAQHTAPGTFVNSAGQG LDRLRAAHTGNNPFFGQQQQQFVPQQTGYLQQPNNPWGAHQQQPQQGGSLIDL ANIA_03695 MAPPITIVPSLETAKAAISQSKNSRFPPNLLPLTSSISADLLTP TLAYLRLAENSKLSFLYESAATTETIGRYSFVGADPHTVLKTGPGHGPESDPLPILEE KLANFRVASVPGLTLPPLTGGAIGYVGYDCVKYFEPKTARPLKDILGIPESLFMLFNT IVAFDHFYQVVKVVTYIPITDNDAELDANYKHGQAVIQRIIDTLQRPDTPLPPQGPII QNQEYTSNIGREGYEGHVVKLKEHIAKGDIFQTVPSQRLSRPTSLHPFNLFRHLRTVN PSPYLFYIDCEDFQLVGASPELLAKEEKGRIITHPIAGTVKRGKTAEEDEALAEELRS SLKDRAEHVMLVDLARNDVNRVCDPLTTQVDRLMVVEKFSHVQHLVSQVSGVLRPEKT RFDAFRSIFPAGTVSGAPKVRAMQLIAELEGEKRGVYAGAVGYFGYNIASADGSKEIP GAMDTCIALRTMLVKDGVAYLQAGGGIVFDSDPYDEYVETLNKLGANIACIKGAEEKY LSMEAKS ANIA_03694 MDPNPTPDQLPSLSTTTNKAVLADDAEASSSKDIPNPLTVDNRA VPLDEEGPLSPNNISNRLTQLARLSTLRGSHANRFSAEAQSINQCLNQLEFLLNPRSN VQLNMTQGVASNQQTQNQRGRSPGSDPGPDPGASRRPDGLRGPAASSNSMAHSHARSL GELSTAQLTQPARTLFETPKVYNLVKEFDEEMQRLSDAFLKRREETFYIYSLHDKERK RMRCRIAELEAEIEELQADMQQEMAEREALQGTVRGFETWIERYQEEYQLAHKKKPAE TPRQKGRGWWSKQKVCQPGDFDVDALFDGITAWMRGWADVEEEFRNRETARRQRRIGK NKQRQDITNIQRESSTND ANIA_03693 MAHPALTSHHVNYLIWRYLQESGHGEAAVTLQRAWNRDPQTLPF APYIRTHALVSLEGNPVPLEPSNYFFGPKPFDPDALQGPDETSVSADQPPASPTGKIA QDGVLTNGHSTADVAAPQNVKASRKGDRAEANGEEVPMEIESTNGVPNESKPDITKSP SVGKTAMVDGDGDVDMGIPPASQDQEPTAAPIFTLTTGHSVGVQITPAKAADLSPNTA IIDVAGDDHVTTTIWRPHDPTVVVAAGVTFCSLYKLSSTSSPVHETLVENKGDNVWVS AVAWDPFGQKLAVATYNDMRGSITMYDVNGNAVDLLPEVPRMISGLKWADSGSQLIVV ASDSKMSELVLWDDSLRPEVFPTPQIVDDSILDVSWLGTSQVFACGGISVFQCDVKSS IHLSKTYSSGDPEHPWTFIRCASAGSLSVAVAASSSNASFWIPTHDMHLKDVHDGHIT AIQLKPEPSEQPDQPLILASSSTDDTVKLWHIDLQNQKFNCIHRLSLGQSLPILSSCF SPDGYALAAASKDSLSIWNAQRGGTAMATWKSPSADNTESGEGEAPVVNGRNGNMEDA LDCSLNWDTDGKKLAFGFGNKETDGIRSWRL ANIA_03692 MALRINIPPATRVCLISLITLSFLYNIARWRQLDTTPGTPAVSP AVPYLTLVPSQFIFYPWTLLTATFVEQNIFTVLLNLATLFYGGKYLERAWGSREFTKF IVTIALVPNVVIVPCYLFWAAISGGSGSGSGAGSTPHSGYALFSSSSSSSDEACTTAC TFPADSALSSVTQICGGISIQASFLVAFKQLVPEHTVTIFKGLVKMRVKHFPAVFLLL NTLSGIIVGTRVAATLAWFGLITSWTYLRFYKRQPDLTGTSTDGVGIKGDASETFAFK CLFPDKIQPPIGLVSDTIYSFLVSLKICTPFSAEEIASGNQQALARGEAGLPSLLSNR SGRSMAKREEAERRRAVALKALDQRLQAAVAARAHPGTSASSPNQPPTQTATPSVPGQ TMLGETSYTPDHA ANIA_03691 MRPKPVSSLQKTYDDCYLMCSTAVYFEGQNNEDEALRSWRSALE TIYYHNAYRLPASYTPSTETERALQESIRQLELQCRERVDLLDALRESRKEQDKDKDK DKGRDPSSLRKLRSPPTLRPRYNTNPNPGWIGDGTVPAVGYTDLSKPPAIPTRPLPGS TVAAAVASDQPSSPNRPTTARTTSQTSTRSTSRTPSPDRRKMPSMPSTLRDPTLKRKK KDTSRRKDLRPVAASQAAGLAWGSISRTPSSEKTVSGAAVASSRQSISNDSNTRKDFD DPRNDLPSPRSLYHSDKASSDAALASVRPKMSEQKYSDSIRGIPRAHSGDAQLRRRSP VKESPSSTPKAPKLRHRDSTEHRPTSGSRPRQSPSKMVSDPPTRPPSKPAVKPKPITL KAPQSLSSGTYSSSGNTTPQPRDTVRDPDRDIVRGLDKINIAGSPVRRKPNPETKRPI TPPFSDLDSQGRKSAEDADAVDAEDVDEDVEDDSFMEILKKLPKGVDVNAARQVLNDI VVRGDEVHWDDIAGLEGAKKALKEAVVYPFLRPDLFSGLREPARGMLLFGPPGTGKTM LARAVATESKSTFFSVSASTLTSKWHGESEKLVRALFGLAKSLAPSIIFVDEIDSLLS SRSSGTENEASRRSKTEFLIQWSDLQRAAAGREQPVKSGDASRVLVLAATNMPWDIDE AARRRFVRRQYIPLPEHHVREQQLRRLLSHQVHDLSDEDIEVLVHVTEGFSGSDITAL AKDAAMGPLRNLGEALLHTPMDQIRPICFADFEASLLSIRPSVSKEGLRAYEDWARQF GERAG ANIA_03690 MAAPEQVPGQEYEASRIFRALRSPSLKLWPRSEYASWRFRWNCR ACGHVPGGLAEGRPIGLITHSCYLCGTRMQVLHPTTGGLYTGLTHAVSTIYRIEGWRT LWKGVSSVIVGAGPAHAVYFGTYEVVKEMAGGNVDDGHHPLAAAMSGAAATIASDALM NPFDVIKQRMQCAKSVYKTEGFHAFYVSYPTTLCMTVPFTATQFVAYESISKVMNPSG DYDPFTHCIAGGLAGAVAAGITTPLDVVKTLLQTRGLAQNEEIRAVKGLFGAATVIKR QFGWRGFLRGARPRIISTMPSTAICWTSYEMAKAYFKRQVDN ANIA_03689 MESDDDFMSVASSADDFLGTQGSDDESLGDDFGDDFDGGFSKDK DIFSNTRKPYEVDFKVLSPEDIEREQNLQINEVSSILGLPPESSAILLRFGRWNREKL IESYMDHPELTLEEAGLGTNFESTPKTEVVPGFTCDICCEDGDDLETYAMRCGHRFCV DCYRHYLAQKIREEGEAARIQCPGNDCHMIVDSKSLSLLVTDDLKDRYQTLLTRTYVD DKENLKWCPAPNCEYAVDCHVKQRELHRIVPTVQCGCKHYFCFGCTLNDHQPSPCRLV KMWLQKCEDDSETANWISANTKECPKCHSTIEKNGGCNHMTCRKCKHEFCWMCMGLWS EHGTSWYNCNRFEEKSGAEARTEQARSRASLERYLHYYNRYANHEQSAKLDKDLYLKT EKKMTSLQSQSGLSWIEVQFLDTASQALQQCRQTLKWTYAFAYYLARNNLTEIFEDNQ KDLEMAVESLSEMFEKPVGELANLKVDILDKTAYCNKRRVILLSDTAENLKNGVWQFN VEW ANIA_10459 MAPKKGGGSNKPRGNATEEVEETLQAVVLADTFETRFEPFTLDK PRCLLPVANTPLIEYTFEFLANAGVEEVFLYGGAHSDQLEGYINASKWRAPSSPFKQF TFLKSTSTSVGDVMRDLDGKHLITGDFLVVSGDVVSNLPIEGALAKHRERRQADKNAI MTMILREAGRNHRTKASSVSPVFVVDPTKDRCLHYEEIDHHSPESSRLTIDAELITTF PEIDIRQDLIDCNIDICTPDVLSLWSDSFDYQTPRKQFLYGVLKDYELNGKTIHTHII EDHYVARVRNLKAYDAVSKDIISRWAYPLCPDTNLLPGHNYELRKGTLYQEHDVTLAR SCVVGRRTVIGQGTSIADRSTVKDTVLGRNCKVGKDVTLEGAYVWDNAVIGDGTTIRH AIIADDVVIGKNCTIEQGVLVSFGVKIADNVLVNEGRRITNATREEDDNPPVSDPKIV GEGGEGYEYVPYDEDDDDDEIASNASSGLIYNMAKLSLSTDSISTLSSEISDYGGSRS GSFATSVSDDEDQDSHFVQDAAASVFDSLRDGVTSDVVQLELVSLRMTANASDHEVRR AVTSAFMKRVAQLMEGGQGARDAVHDIFSKYHEIIERALFDRDTDAKPDQVDLLIQFQ QDLVHRPRGETVLLFLAKELYELEVVEEEALEQWWADERSTSTEEMRKVRAQTQQFID WLAEAEEEESSEEEEDEESDDE ANIA_03688 MLEDPSYAEIVRWGDDGDSFVVLECEKFTKTILPKHFKHSNFAS FVRQLNKYDFHKVRQNNEENGQSPYGQNAWEFKHPEFRANSKESLDNIRRKAPAPRKQ AQAHDDSVPTQQIDLLNQQIVAQQQQIQHISDRYAQMSVDHQLMLQEVLRVQKTVVNH ENVIHQLVNYLVSIDARQKRDNKAGSFQAQVGASPSQVTPMDDGVSTPLQQASKLLSD MNAEVQFNLVPVESMGEPPKTGVVSTPTMETAPRRVAPQPAAAATNPALVYPKMNGEM EPVVYPVGATNGIDPMYGEHVNNVPYTVPPKQDMDDRRQFPENRKKSNHVDPGWMRSP RILLVEDDATCRQIGGKFLYSFSCEIDTALDGLEAVNKVQDGSKYDLILMDIIMPNLD GVSACHLIRQFDRTPIIAMTSNIRSDDIQLYFQHGMDDVLPKPFTRKSLLEMLEKHLV HLKTVPQGMEGHQATTPVTIAGQSSAAQSVTIKEDTSSPSQSPAAAMTAWQSPNQFQP MAAVPANLQQVQGQYVPANPATTAYAMDQNGMQYPAPPTCDRSHVGTSLN ANIA_03687 MFASRRLTAAASVPRAFSQRALFHRTAPAFVQKGDPIPDLDVLV ENSPGNKVNLAKELKGKGVIIGVPAAFSPACSSTHVPGYISHPKLKEAGQVFVVAVND PFVTKAWGTTLDPTGKSGIRFLGDPTGKFSEALDVTFDSTTIFGNQRSKRYALLVEDG KIKEAFVEPDNTGVKVSTAENVLG ANIA_03686 MYFRTTTLLSRSCRYLRSPVSAKATFSLSARSLAAANAAMADTL PGAVTPDLLKSKLVEQLQAQHVEIEDLSGGCGQAFQAVIVSPQFEKKTMLARHRLVNS VLKAEIAAIHAWTPKCYTPEQWEQQQGSA ANIA_11410 MALIASIADGVAYPGGKRDEAGEVLVLVRMLSRIRGGLHEVP ANIA_11409 MPTAGMGEAWADGCKSGSFTLSGKPQSLGDEVRTVTGLFNSLVF PQP ANIA_10437 MNRIPARLFSAMSAFLAPSSSPFTRAVVNSMRKLYPESLADKSF DNTGLLLEAPFNPTRRQKNSVLLTIDLTKAVADEAIARKDSVVVAYHPIIFRGLKSLT FNDPQQQSLLRLAAEGISVYSPHTAVDATPGGMGDWLCDVVTGATTPSSSSSVADLES PPSALYSAPTYPKPGPVSPSTSSKIIPHTRSTIHPSPAPVPSGLEDAGMGRLVTFAEP QPLASVIDNIASGVGYPGGIPIAIPQSASVEDIKIRTVGVCPGSGSSVLMKGVKQIPD LLFTGEMSHHETLFAIENGSVVVALAHSNTERGYLRAVMKDKLEGVLKGEWAELRTEE GKGEEAGLNEVYEDETCEVHVSEKDRDPYGIMVRRA ANIA_10453 MADSTASASSEAAKSAPKPQNPALKMMGLPNFRFKLPSRNWMIF LTITGSFTAALIYDRREKRRAQQKWCNLVAHISKESLPVEETRRKLTVFLAAPPGDGI RSAREHFKEYVKPILVAAALDYNVIEGRREGDIRAKFAEEIRKQRRKSGEVSAGIIGD EEKSTEDVIAEARKAIGVREEPGPRGDLVIGRHTWKEYVRGLHEGWLGPIDPPVSAES QPALEEPQVPAAEVPANTEEQATPAPEQGQAAEKKEEGEEEKKPSKPTGPASAYIAPS DYSGRTLPPSIPQTLDGSAAIPFPHLLGFLNTPIRIYRFLNRRYVADSVGRDVAALVL ASHARPYAEGPASSSSDSDSPVDPSLSSSITYEQQSQLEHEEHDWHKSVHKTDDSNPD KEREWLNDVVMDPRIAARMQRYVLSGEEEARAQRIAQGSEYIRGEERPPVVPFWQRVW IKYGYGEDPEVAKRKPIIGNLDEENA ANIA_03684 MSNSSFLDRPLLKVSRPVAACSRCRTAKIRCDGKLPACSACERA GKADTCSSSDEFPRGKERSYVGSLEAYCERLEKRAAELRERKRLLTGGEGGVVHENSI TSASSVPATHAHSQEVSNIDDLVGEFGYLSVSATSRDFQGITSNTSFANLILAVSSGE QIPRSSPRPLPSRSEITPLIQHYFETFYVQLPFFLETSFWASVDSVYQNGAHFAKPFD NWAVRMVLAMAYGSLSNSQLDVNHRNALSLVQEALQYTEDVLRPGTLAGIQAILFLAQ YSLIDPVHFRTWYLVGMAARVLVDLGLHQDHHAEYVLSSEKQDLRRRVFHCVYSLDRA TSTALDRTLSFSDDSVNVAFPSSKLEKTYIFSHSSEPAWNMVKIRRILSAAYQQKYFT TTDPSFQSPTPTWVLYSQATEWFYNTPKNISQVLAIRYHLEFLYTITVILAPSTRHLP PCDYTKLLLFNRCIDYVHQLHQILESQIRLHVMDSIEIQRVYQTIRRLFNIVNQSFDV LMSPVPAAPQVPEDCPKPPSLELEDCLHCHERALECLNQAGNLLQYGARRWNHHALSQ EFQKLSAPVRSILLPPAVTYAPTLGSYMPEEPAILPPADFLYGGLNLQHSSPENHNYE ANIA_03683 MASQLDRNTTKPRFTNPWETSPSKTSEEFSPSFDGRIAHTLAAC TRCRQRKTKCDPGIPKCGPCDRTNAQCAYYDSARRRTISRTYIVQLRETARRLEKELE EEEKDFQQAADAELIIRGAGRIRFREYDEARYLGPSSGIAITRLVMELAKQNTYSKSI KDVVPESTAQKIKDVFDDESAKPTSKIFPPISLIPQPNLPTKHLTYKLIDVFTVKAQG MLPTLHMPTFRQEVEEVFNGSNDPCQNFQLRMVIAISMQKMSPEYAGLADSYYLAALP FLEASLKRMDLKSLQCLVLIAQYSLLTPTRTAAYWVVGTAVKLCQDLGLTEEATITRS RTGKQLDPLEVDMRRRLFWIVTSMEFGLSHSLGRPSCYSVSHDHIHVKFFAQVDDKYI TREGIHPDAKPVLPKCIAIHFFKMRLLQLEIRRTLYLNKRDSPVDDHDPWFSQMLSKL DQWVSSCPTHDGGSGLSGKWFQGRLHTMVIFMYRPSPQIPEPSVYAAQRCYDAAVSNI FMHLEQIETKSVDLTWIFTQSLFMALNTLLWTLSYPEVRKEHPLKEVEHNLEVALQGI MKAAERWPGVRSAGMLYETLIAACLRAYNTEESFVVHSPSNPSSHATPASSQDVQTPP SMASPASTAASIHSQNLLAGGSSVADTTSAGTFSRGPSADRSLPLPQITSSLSISTDP VKALEPPPWDPTVPPEAAPDTHNSLGFDQPDESLLPRSNLNFDPSTPFNAFPSVLTGL PGWDPNFSLSSMTTADTFPYSNATADPMNWADTFGGQYSHYFNGDYPANPWRGRTLSQ QEQLELMDSLADHIPDVTTQLAMQTATAHYQS ANIA_10436 MSDRISSAPFRTGPPSPSPSSPAPFTSLKENTYSTIPPEQIPQT PTSPPLMSVSATNDASNLANLQASSQATSQTASLSSPPSTAPMTTQNSQQPTAGATNS FPTPASSVGPDHMNKSFGTDFSETGASNTTGASAVPTQQSEHRRTDHNRDSKSARARQ AVKDSQQLGESGHAPHGSAMDLDTERPAQTNANWLSLDSLQKDFSSAFHLCKSSHIAT GPDPSVDLISLYGLGPVAKSVARNDPVTGEKINRLRKSYEGKLKGLGLAGRNKAVKHD PATPGGLRQMTMWPEEEWQNQKVFGKEIKVADMDSALYNLQMKAMKMEPGTVPNNDYW EDVLGHDKPTKNANAGEGAKKTHPSASAPRAVSQPNGTPVPAEPERSRPSRGRKRHYD DNSFVGYGEGYADDDDDAAIYSNGEGGGKKKRKKDHVPRIPAPSDRGGSYGVGMFGIG AR ANIA_10460 MSTTFEKTLSLIDAAHAQDPKTTTPPNPESSPVPYELHYANKMT KYLSLRSPSASEALRLAVRAQHLRRWEVPRTDFPATKIGYHSWRSHLAKRQAEIAHSL CLEGGYDEQFAGRVAALVRKEGLRSGEDEEVQVLEDVACLVFLEDQLEEFQNGYDEEK VIGILQRTWVKMSERGRELALEMELGGRSKELIGKALGGSA ANIA_03681 MEFWLIPIGKPLLSTDEDEDEDDTITNGSGSMEHDVEAQRSRAY HTFRDSSPSSSNYDVESTGSSNTRSRIDPRIVSDAILGLSDGLTVPFALSAGLSALGD TKVVVLGGLAELAAGAISMGLGGYVGAKSEAESYQTTVRETTELIDSSPSETQAIVYD IFASHGVPDDAIAPINASLHASRDRLREFLITFHHKESEPDCNQAWTSAITLALGYFI GGFIPLIPYFFLNQVLIALYCSVAVMAITLLVFGYIKTAVVRGWSGKDNILAGVKGGI QMVVVGAFAAGAAIGLVRLINGES ANIA_03680 MPIPLYTDQTTNQLQGQANPNTTQFSQQAGAPGTTSGSSSTSNT GPAMTTSFAQSSFPSAMDAQGQRLSKEEADRLYEERMEDEYAKREGGA ANIA_03679 MEPQTQKPSRGPQSQFQTGHEVPVQQMKKPGLQSQMEKPQPTST QIPSEDQAAGKLLGKKAIITGGDSGIGRAVAILFAMEGASSLITYLPEEEEDAQETKR RVEEAGQKCYLLATDLRRKENCKKVVETALEKLGGIDILVNNAGTQTMLPDISDLDES QWESTFDTNIHPVFYLSKYTMPHLKSGSSIINCASVNPYIGRPDLLDYTSTKGAMVAF TRGLSNQQCSKGIRVNAVCPGPVWTPLIPATMTTEAIEQFSGTPMGRAGQPSEIATCF VFLASQDSSFISGQCLHPNGGVVLNG ANIA_03678 MSASGIPDAPTPSIPGQTRSETPNEGDEAGRQVTSVGLESPEHI QNAITATDSTGSALLDQTKERTQSASDPRTRGSTDIPTVMDTPGTASERDAALSEQMG DVSIAADRTEQESIPGTSASPPPPPEKDEPYVNRAGINDRAEKELPDVPDGDDKKAYN GKERANDDDSQPEIQSIMGQFAEATHDGAQEQVLSPRMELAEQFLGAQSHFPPRKSSL DQGVAAGINQQTPKSRSVSSQSLEKQPSTTAHKLHRNSTAEEFTLTRRSSTSTIPPPP EPEPDQPFDFHRFLEQLRHRTADPVAKFLRSFLHEFGKRQWMVHEQVKIISDFLAFIT NKMAACEVWRDVSDSEFDNAKEGMEKLVMNRLYTQTFSPTIPSPPSIPRSASRSKRRE MERLHGPWRKGQHQEDVERDEVLAQKMRIYSWVREEHLDIAPVSSSGRRFLNLAQQEL LKINGYRAPRDKVICILNCCKVIFGLLRNAKKSDTSADSFIPLLIYVVLQANPEHLVS NIQYILRFRNQEKLGGEAGYYLSSLSGAIQFIETLDRTSLTVSDEEFERNVEAAVSAI AEQNRASEVADPRTSSESAPGPSRKSADVPRTSSRREPSQSREEDNAPVAGLLRTIQK PLSTLGRMFSDESESPPQDMTPHPGMTRRLTPNIYQPPRVSGEETRRPAERSHPRTAQ PSPSRMLDAQDAAARQASAEDAQARRIQRAEHNNVVETLANMFPNLDRDVIDDVVRMK EGRLVALQFHYLKDAVLTRLIIGSDWQ ANIA_03677 MCGIFFSLTAAENPVLLDRDLRYLLTKRGPDEGSYSIGVRGAGL NLFNHEGNGRMWLSFASTVLSMRGDDVVRQPLTDSGRTGSILAWNGDAWKIDGQPIPE KNDTEIVFNHLLQATTQDYLAEDYTGVSNPVQRVADAISKITGPFAFVFYDAVNFRLY FGRDCLGRRSLLWGLDAAGNLTICSLSDASSPSSFQEVEADGIYMIEFQDDQSADPEP APAAGPLCFDVKNIQRIPWSHEALPKYHMISPIPPMNKAIPEGTPSQLTVTSSVLREL EDRLRQSLALRIQNVREPPLAGGSNVKIAVLFSGGLDCTLLARLAHDILPPGETIDLL NVAFENPRVVAAAAKGLGQRSSAYEGCPDRITGRAAFAELQRVCPSRNWRFVAVDIPY VVTITHRDTIKRLMRPHNTEMDLSIACALYFAARGQGTAIDSQRPDARPFQYKTPARV LLSGLGADELFAGYSRHGIAFSRNGYRGLINEIELDVSRLGKRNLGRDNRVIAHWGRE ARFPYLDEDFVAWVVRTPVWEKCGFGVPGAPIDAGIDSEKKTLRLLALKLGLENVSRE KKRAIQFGSRTAKMEKGKTKGTDALD ANIA_03675 MSTPNIPHQGEFGCPGSFWLGLRTNLDDPEFFDFTEGFGEDFTD PTMLSPHLVPTGIMASKDSLGDVPAGTVSPSDLFMDASAPPSTSFTDLSTPSFDSPGY FSQDTSPVFGADLDLAPGHEEWAPLFPSNDGMSMPFDPTGLEIAAPVPAVKAEPTVSS PTVKPVSSPARSPTATSRSTTKHSTVAGVSARRSKPLPPIKYDESDPVAAKRARNTEA ARKSRARKLERQGDMERRIAELSKELEETRQMVEFWKSQAQARARGA ANIA_03674 MSDAQKPVEETPVAAPAASATETPAATEAPVATEAPATEAPKET TAEGTEGAVEAAPAATEEAKADAPAEETKEEAKEVVPASEGTLGYKAPGLVKSLRFSK RFFYFSDEAVEAKQLSAYRSNEKPAVANPIVAWASQTGKGLLFFTKRPEDKATPAGII SLADISDVTKEGSNEFLFKLNGHKHTFQASTTAERDSWIAALEAKSTEAKAEKDTITS SEGYKAELEKLSKPAAVAAKPAETKEEAKEGEDKKEEETPAAGAATSSATASAEETKD KDAKSRSRSRKRTSIFGTLLGKKDEAEEKKEEKKEEEAKPAETTETPAAEASAVTEPA ATEAPAAATETTDKKEEEKKEEKKEETEAAPAPKSKRSSLFGNFFQKVTSPSQEKTEK EAAAPAEPAPVASTAPQLDNPVEEAAKPIEPESVTAAPEVEAAKDTPAESPAETPAAT TKDKRRTSFFGSFGKKKADSGDEAAEGEAKPKNKLGGLFRKPSKAVKSEAKEAKETTE TGEAAEPKTEAVAEATPAEESSKPADAPATEEAKPVVSSTAAPVQAAA ANIA_10458 MGDDYLSNGTVSHHHTPDCKRRSLYVGLVSSGALSGRLDEMLST LDVITHSDTSGGVNSLALCESKKDMGVRCHRVDLVEGPFGCWLAVAAVMGCFRPRVAW PRNNRGLVSIHGSAAS ANIA_03673 MSIPNEALQKLLQEIESRVITSQQQIGITKAQMTSKNRDIRMLE LTSKELSSLPADTNVYEGVGKMFVAVPRATVDKRISTETGELKGEIENLEKRMNYLEM TFKNSRENLEAILKSGRA ANIA_03672 MGLPVVDFSSWHNVKDERSRQRVAQQLVDACQRVGFVRIINHSL PEGALDEAFEWMKRLFALPEEDKMKAPHPAGWAVHRGYSWPGLEKVTQIMSTDGDGDV KKKLREVPDVKEVYDIGSEDDTSQPNQWLPNAILPGFRDFMVQFYSECDRVGKEILRA IAVGLDLEDAEYLTRKHSGNSNQLRLLHYLPVPAEDLEKDRVTRCAAHTDWSSITMLF QDDCGGLEVEDISRPGTFVPAQPVKDSIIMNIGDLLQRWSNDRLRSTNHRVSLPTLSD RIGGPDRMTRERFSIPYFMSPDDEVLVECIPSCMNDETPAKYEPITRGDYNKMRMSMM Y ANIA_03671 MKPSGLIVTTFLLTAGAFATSPIPFDHPITASPDTNRRAYDILQ RLNKRDSNCPGGYSPCDNLDNSGICCRTDAICTSDEANHIACCPSGASCTGTLGGSSG AFETTRTSSDATTTTDDDPTSTITGSTMSGGYPFVYVPTAFSDADQCSSYYDRCERSY TACVTYFGGYGVTVTGGGADFTQTGAAANAIETCSSLSQSACHGLNLGVCGNYEGGTD GAGHRRTSSLQDLVVGLVVGIAGFFI ANIA_03670 MHTSQLLQTAISIVAFLSQCRLADATPLGPSGTEGGSLEQRSCD NPCGYYSQLCCASDETCITDENNQAVCSSSSSGSGSGGGTWETYSTTWVVTETDVETR TSVWSSWVAAPTSTSGSCRSDLGESECGSDCCSASEVCSSDKKCVLAATTSIWATDTG TATAPVRPTDSSTVTETATTTQAFETPVTTDGSPALGVDAPDDGGLSGGAIAGIVIGT LAGVFLLFLLCLCLCARGLLNSILACLGIGRRRREETYVEEHHSHHTHRPGRTWFGTR PSGPSHEGENRSGWGKLATIGIVLGAIALCLGLKRKKDHSDEKSSTSYTYPSYYSYYT STIFSERELRPTNPTEQAIRTIEVPGITINDDTLKVDDMIP ANIA_03669 MLQTQSQHVFSHQHQYPQADAAWLQHQQQQHQAQHHPHQAQQHS SLVAQQHAQVQAAAAAAAAAQQQHYSRIAMAGTGAMTNNAAASNTTQGLGVGGVGGDG ALSSAMSMIDGGVSDENRKVFIWVAELLDSNRREAALMELSKKREQVPELALVIWHSF GVMTALLQEIISVYPLLNPSQLTAAASNRVCNALALLQCVASHNETRTLFLNAHIPLF LYPFLNTTSKSRPFEYLRLTSLGVIGALVKNDSSDVINFLLTTEIIPLCLRIMETGSE LSKTVAIFIVQKILLDDIGLAYICATYERFYAVGTVLSNMVTQLVEQQTVRLLKHVVR CFLRLSDNSRAREALRQCLPEPLRDATFSSVLRDDAATKRCLAQLLINLSDNVSDGAA GVAM ANIA_03668 MVSRKRTRSEADAPPEQTEQPHEEPGLLTKLRNSWEFANLMQYI AIFGKLMKIDEDFGIEDLENECLKPGPSEKLLEIGLCLLKWVSSHRGLRFDNFDEYTR RQYNAKAPHIPNPFGYDEVPKRFLDFDVFLKLRVLHQLTVWTFWNPDRIRDKMPEQRE IDQLQWRIEEIGYDRKGRYYYILDDNRLYRRTDPPIPPPKPVKSKSKTTRKSARAMRA SKRRKVTEGDHDEESEEENTDVNVDIENPYAALKWECIAITLEDYQQFLDSIRNTRDA DEKILRDRIEEHVMPIIEQEQAAQERQRIKREKELINLQLLAGAKRSSRLAEKSEKER QEREAMEAARKREEELAAARKEEERLRKMENERRSRIMTREQRIKERERKRILHEAEL ERIQEEQKKLERGESRISERQLKAELEKQRKNLEDLSQEDEWIFDCSGCGVHGENIDD GSHSVACEKCNVWQHSKCLGIKQEEAEREDFHFVCRDCKRREEEAKLPKLPPLKFKVG SSPSSNTDGHPETKAIQPTNEDAVVNGSPSKQPCLPQPTQPPFPTSPERRPQSSYASS FSPSHAPFSPSKGMNGLVSSQDQPQLPPIQHFAPNSRGPLAPAPSLTQRPSSAHSIHS PTLPSPIQNRPSMSPTQGNRDVGPLAGFPPMPSSDGPGPGPTSWTPYGQHQTPRPSTG HHASFSSVHSGNGSFAATPTANRASSPHSHHLLSGISPTKQSPRPWTAGGMGGAPILP PVQKLEPSPKLMGRSSPDAPIPPPVKCMTPEQEERRQRENAMGLHGHLSQPNGQHAMS PSINRIPPLEPSPLVPKPEPSQPGYDSHP ANIA_11408 MRRSYRGPIKTAADGEAAEKLDQGDRSEKSACTGHLGSERSTSS GSRESRRQSLPPRLGECHAACQCHSGQVEDVDEDAGARNE ANIA_03667 MQRRLIENHPPSPPQSTDGDFASDASQFGDMYPLYGTPEPYAGA GQGHDESFNEQSSVTINMRYAPTAEYPQNASLHHPVRTWPFSPTAEYSRQELRQAQVL HNGARFSTPPADDGFASGSLDSAPSARWPSPARRQHASRARVLRSPRQRRRAKNRSST DSSFVLTEPLSVLTKDMTHVPLKDMESHVNRPIEERLADTAKNDGKIPRPMNSFMLYR SAYANRVKEYFRQQNHQVVSSASGASWNKETPEIRAKYERLAVIEKRNHLKAYPGYKF TPAKDKKKRSTFDDSHSFSGEFTPEGSPAQRNISRNSTFSTPEIGSGWNGGHPTPPDM GDHGLPTDGYFPSLWPTSHPARQPVGMMLTSDPSHFMQPASNPEEIHYAPSTMLAGLP GAAHHDLLQPQGGQVDPALLNYPGSTIHPEGGSQVYGHPHYQMWQEGNNNVYAPFEAS MEPSPDSYAGAPSIQPGIDGHEIWDSSQRATLEPAGGDFDNWLNQPAGY ANIA_03666 MYGELGNKLVQHAKRTQSLAHLPPYQTELVRTVAREVRDLDRDL AHLLAPFEGSFNPSQEPAVACALLVDHLCIRRNKRCLLAYHRVRTEKLEELCWKGIDV LEHQQATAAGDDGGQMGGQNSESTGAQGTSGNESSLSPEEEEYFREYSDMLATYKGQW TDIDLTGSLEPPKDLFIDVRVLKDAGEIQTEYGVINLTKNSQLYVRHGDVERLIAQGF LEQLS ANIA_03665 MGVLGRRQRADSQASHPPPISNDNVAPDTIDTLTGPSCFTRVPE PEPQVPGGTGTSYRTPSRSFYHRSFHNASDPAHYSSHGLREQTAELASLALTREPRAL PQAMEIFRPVDGSAESVAPLSLSGPDRTQEMGHTPTVHSGGSSVLTALIRDPSSSVSR EGQERTGDRNEEGERAHAVGPSAPGNADEEEDTSTEWTSLLAKTPSRPSRNYGGAGDV ESQVYAPKETQRTLPSALTTVSTCFQVLAHPKSWDRRTVWRQGVVRPFSLLPSVFLGL LLNILDALSYGMILFPLGEPIFSHLGSDGISMFYVSTIIAQLVFSCGGSIFRGGIGSE MIEVVPFFHQMAFTILNRVGQDNPKSVIATTILAFSVSSVLTGLVFFLMGTCKIGSLI GFFPRHILIGCIGGVGFFLILTGLEVSARLPGSFEFDIPTMQKLFNLAALALWVTPLL LAIGLLVLKRFVRSNYLVGAYFIVVALCFYIVKFIAHIPMDSLRNSGWVFDAPSSSNP WYHFYTLYDFSAVHWPAFVDTIPAMFALTFFGILHVPINVPALGISTGEDNLNVDREL IAHGVTNALSGFAGSIQNYLVYTNSLLFIDSGGNSRLAGVMLAGATAGIMLVGPVIVG FIPVMVVGALIFLLGIELMEEALVDTWGKLHRHEYLTVVIIVATMGVWDFVAGILVGI ILACLSFVVQTSRKSAIRATYSGKVAGSTVRRPPIQQRYLKEAGQQTLILKLGGYLFF GTIVDVENTMRGLIEDEAFSRLPIRFIILDLCRVYGVDFSAAEAFTRINRILKKRNVR MMISGLDVGGDVGKSLQNVGLFEPELTVRIFEDLNSALEYCENEYLNVFYSHREALLR RKAAPQNLEVPAIQHRSQSAEGFVGSPRHQYLQRAATTTLSEDESAILPPAAWSAMRQ PLPLLLQTFQGLTSRNEDFWFAACPYFVRTTYAMGTTLFREGDVPNAFYLLESGMLRA EYDLPQGRYFELIVAGRPCGELPFFSETRRTATVKAEQDCVTWSLDAENWKALKEEEP DIARELLTVSLKLTTERMDSITS ANIA_03664 MPRYAHRTQLTDSEDDFSESDYSMMEGARRHVRRRSISRSRYGP SHSNAYLSPHGTLYEDVHIKRSASTGARRDRERHRERDRDRDRDRERVDRVQPSTVIV DIKNDSRNTSSNKNRKQHRESASHILDDPYLDPYEDDLDEVAIRRRHRSRPRASTTSV SHSRDPSPLPPARDYDLVIDQKLLEKNDQRQDLELLRQQQEIKYLERQLARQRAEQHE VRIVKDEEDRYEDDISDRLRRLQRFEEQERLEDEKRKAERRYRLQRLEQAEREAAEQE EVRKKLRHERLVELQKKIEEEEERERIKKEIRDEEARIALAEQEKKAKEARMKAAAVE EWKLAQERAAIAEREAAEKRDKEFKERLRLEFGMTPEEFAEFMKKKNQKEEKKEEKKE EKKEKGKEEKKKEEKKDERKDELIEQRKSPWIKVHRKYLLPETLDTYRLPWSWDDVDG NYLIIKTWISEDLQDELFQHTRRIREGKILTQTSSTLTELRVNDRNKDKMYLVRKKSP SRRSWIFT ANIA_03663 MTSFRSEKTIQPPLSTPYIAATPPTFRTATGLECGQDIQKSEPD RVSQRSTLINSEKTTASVQPNGEIDRTRSHPGPWKHRRYVQQCKSSSCIRPGSKAGLK IRPSASKTTFHFGAGLLSGLTSSILLQPADLLKTRVQQSAHPSSVFSTVKAILSSPNP VRNLWRGTLPSALRTGFGSALYFTSLNALRTSLASTSLTNYDADVKKIGNGSSALPKL SHSANLATGAAARVAAGFVMMPVTVLKVRYESDYYAYRSLYSAGRDIVRTEGVRGLFS GFGATAARDAPYAGLYVLFYEQLKRYLSATTSSTELPSSSSINFVSGGLAAGLATAIT NPFDAVKTRLQLMPGKYGNMIRAVRLMVREDGVRSLFGGLGLRIGRKALSSALAWTVY EELILRAEMKWARDEAEARL ANIA_10435 MTARSMASTVSLYLILFVLFVLFYRRCYRSSKKPAEFRTTAECR AIIDGSQFPDARKNQLTRLEARALPNQPLIGAFGIKNAFTTGNEAEAKDFVENVRRLI EVSAVDWHGLAGALQCMLESIIEENRDGARVKVSLTPTVQALVLRESFWILFQMGEDA HLEFKQLADLGKITNSTWVRMKEERALEFKDNIVLQACLTAVFANHKTDINILDPGSN PLKLILPSFETVWRIVLRLFIVLHCHDNEDYKRALLEFVRDPTLTQFRLRPDNAVSVE FLVKEALRLYPPTRRIRRAFQFPGSSPNNQISNIGRANVEACHLNEEVWGPDALEFMP ARWSKMSSVQRRSFLAFGGPPFLCPASHAFGPMVIGLLAGVMLDVFGKMNGYGKEWVL GSDDERDMSEVHSRERLRNERDAYGGLFLDLYSRRIDVSS ANIA_10452 MRLLPSIPYPPPSQDGYWHPVTSTLNWCEEDYYATIYSAEIVNT LTNLLFMALGVKGIQSCRRNGHDTIFQVAYYGYLVVGTGSFLFHSTLKYPMQLVDELS MIYTTCLMCYASFSYSRSNNYRIVLSIFLTALAVFITLYYHYLQNPVFHQNAYALLTA IVLIRSMYTMEMKLRPSLRHSTEEDRLEREKKGLPVLSKEQQHYENERDLKTLKTMWL MVGYGLSVFLGGFAIWNLDNYFCSTIRRWRREIGLPWGILLEGHGWWHIMTGTGAYLY IVWGIWLRHCLNNRQEEYYLWWPHIWSIPEIVRTSVTTNGSAKKSQ ANIA_03661 MSTGPFRIVEHTVPGQHIREYPGATANEQEDILSLVVKQYIPLD NPSPQPGDVTILAAHANGFPKELYEPLWEELHARSKENGFRIRSIWMADVAHQGQSSV VNEDILGNDPSWFDHPRDLLHLVNVKRKEMPRPIVGVGHSMGGAHLAQLAIIHPRLLH SLILLDPVIQRQTTQLDASDLGKQKLVIAKTTQSSTYRRDYWPSRKAALDSFKRNPFY QAWDPRVLDRWVRYGLRDLPTSIYPLDESSSNVASNNSAERPVTLRTTLHQEVFTFSR PNYDGPPGFAVPVNKVTHPDLDPDHLGSWPFYRPEPSRIFAQLPHLRPSVLYIFGGTS DMSTPTMIADKLAHTGTGLGGSGGVTAGRVRDVVLKDKGHLVAQEAPVQCAEEASKWL GPELQRWREEEKMFQDQWSQKSKIEKVTIDHRWKAHVPAPVRPKKKDSKSKL ANIA_10434 MAGHQDDNLRLFDQLKVCIVCSKDLSPDAAHQLASTLETHGGEP VVYEPPADFPDIAAFSHIMSTTIDFPQFDAAKDALIPVIKPQWMHASLAKRRLANVRQ YSPDPRLFLNDVVVTCGDIPEGDKDAIIGGVLAKGGLYNPRLTGMCTHLVDLTIDSDK AKQVRARNLNVKIVLPHWFDDCLKLGRRIDERPYKLPDPEILRAAPDAPIRSAESRDI IGASTPEPSKLPTPLTSPVKPKLSVFHGKVIMLSSDLEIGSHLRDTISAIVEENGGKV TTDVSEATTFICRFRDGFNYRVASRLNKDVGNLSWLYHLMTYDSWTSPYRRLLHYPIP KTPIPGFEKFKISLSNYVGEARSYLEHLITATGAECTKTLRQENTHLVTAHDNSEKCS AAREWNIHVVNHLWLEECYAQWRLLPESNNRYTHFPRRTNLGEVVGQTRLDRSALESM FFASEEASSEPSRKAMQKREQNTAPGKPLGSTDIDDNMVSNTTNATPAGRSKKNTNLH TPSHLYLASDGKENDTPSSTSSRKSKEAATARLHEIAPDIALYEKEKKRVGGVIYGGR RKTDEGRVVLNSKKRSSMDAQTDSEAEDTTEAKRQKKSKPPITMHLLITGYQRWVGNM KKEDAEKRQLRELGIMVVQDARKCSHLAAPSVLRTPKFVNAIAYSPVIVQVEFITQCL KKNKLLDPEDFLLDDKEAKKFGFSLEQARVNARANKNKLLRGHHIYCVETIRGGFDAF KSIVDANGGECNLFRGRVSYHTARKDSDEEKQSSRKDIYLLSSAAPEHQKLWPRFRQL VHDMGKTPRIVRVDWLLDMAMSQELRVAEDYELSEGMIEQSEE ANIA_10451 MGATAKKQKREVYRNKVAAVTSAESGEAALAALKLPQKKYYRQR AHANPFSDHLLKYPLSPAHMDWATHFPAFVNPDPSQTNLAGTRKLIKDVEVVDIGCGF GGLLVGLAPVLPDTLMVGMEIRVQVTEYLTNRIKALRHQQALKLQLQQSSAASTPAAA PSPSPAPVPETPTANDPSVDDSEIFPSTLIPGGYQNITAIRANTMKFLPNFFARGQLS KIFICFPDPHFKARKHKARIVSETLNAEYAYALRPGGLLYTITDVEEYHYWILRHFGY DVEAEQAQKQGEGQEEVQESVGKREGSAELFERVSEEDIEKDECVRVMKEATEEGKKV ARNGGNKYVAVFRRKSNPEWV ANIA_03659 MIHRSPLQHHKGKDSQRKVTPPGPSYMSDDQIASYLKDLRTNRP TRPGGSRPLPTRTESTSKPRDNLPPRATSAMSMYGHPKTPPAASSTEVERPRAASALS NHRPVSFRSSFSSSAGRPLVQEPRVVPVRQNVSPTRAFSRTSMNTQLPSYQESPERRK EREEARSLRAALQGMGIDDEIRIHEDAQKEATELVWMHQNPGLQFKNPYAPYRNPDIK KPQNSEKQSQPYEPPSPFRRSWRESHRLSFRGQSARNNSETDSNGPGEESSPRKSGIP RKNLKVNFALDKDQGQTDAGYGVEPAEVKKDSPRGIFRNPKDQIYEEPQNYEHNDKNR SVFSKSDSSALRNKPRNSVQGSRPLPSRFGSIPFVDKLSRFELHKHPPTQSHNPEYKV NAPSPPIATKQANTDSVATKDGLEIRSEDIRAATSKKRSERSSRLPMPTGVSDRTGRP IVSFDPSWQPTEAQSPPKREIPEIQVSESAQSPPSIEVSEPSSVPVINLPDEKPPTIA EMSPSKHNRPDQKPPSEPEKRQRPLSGHKKSTSNDRWMSTYSRAGVPTASCEACSLPI AGKVVTAAGTRFHPECFTCYHCHTALECVAFYQEPEAKRNERLADPSADEDAHSLRFY CHLDFHELFSPRCKSCKTPIEGEVVVACGAEWHVGHFFCAECGDPFNSQTPFVEKDGY AWCLNCHSRRTAPQCAGCKKPVLDDIVITAVGGKWHENCFVCHECGNGFGPDGRYFVK EGEPRRTAKGRIIGGPVQLAVCERCEGIRLKSSPRA ANIA_03658 MADHRSLDIIRSHNDGSGQDIQESSEEKYPENIDDERTSTVAAK SGDLPPDGGYGWSYGVFLAYYLSHDVFPNTSALMYAFTGGLSISCALLVAPLATYLIH VLGTRAVLNIGVFFETLSLIASSFVSQRWQIFLSQGVCFGIGMGFLFVGSVGITPQWF HRRRSLAMGINAAGSGLGGLTYSLAVGAIIPRLGLSWAFRILGIVSCVVNLVCANLLR DRNTAVGSRFKAFHFPLFKRPEFLLFLGWGIFSMLGYVAILFSMSNFAVSVGLSAHQA SIISALLNLGQGLGRPFVGMFSDKLGRINIATFLSFLCGVFCLLIWTFARSMGLVSFF AVLVGTVAGTYWATVAPVLAEIIDLKDLPGGLSITWIVLVAPTTVAEPIALLLRDNDS PSRIYLHVQLFTGLMYIAAAVCLWTVRGWKVGENLRVKQEKSAVAVETDKKDESVVLQ QQSGGTGASRSQQSTKTAVWYPHALMRGMVSAKKV ANIA_03657 MKSLRRDYSTDPSTANVTSKVFVRSTKSGKVQKIVRELYLRQDI PCSSRLFVPFVLSEQPAGTTAFPRGHYIVPDTNALLNGMDLFEHTGAFYDVIILQTVL EELKNQSLPLYNRLLALIKTDEKRFYLFFNEFRLETHVRREQDESINDRNDRAVRTVA KWYMDHLRAVTKSKKEKSIPAIVVITDDKENLRKAKEESVTALSLENYVSGLEDADRL LDMINESREAREAKEARGELYYPEYYSMSKIMTGLRAGNLHQGVFNVSPYNYLEGQVK VAAFDKPLIIMGRHNSNRAISGDVVVVEILPKDQWKSPSTKIVDEEAVTKNDNPEGED NETVITEKERRALQEEVRQAHGKNHEGRPQPTAKVVGVVKRNWRQYVGTVDPTSTGSQ TTGRRQQNVFVLPMEKKVPKIRVRTRQATELLGQRILVTIDAWNRDSRYPTGHFIRSL GELETKSAETEALLLEWDVQYKPFPKAVLECLPPEGHDWRVPASKDDIGWRGRRDLRD LLICSIDPPGCQDIDDALHARKLPNGNFEVGVHIADVSHFVKPNNAMDLEASVRGTTV YLVDKRIDMLPHLLGTDLCSLKPYVERYAFSVLWEMTPDAEVVSADFTKSVIRSREAF SYEQAQLRIDDPSKTDELTESMRTLLHLSKILRQKRMDAGALNLASPEVRIEADNDEV GDPLADVKTKAMLATNSLVEEFMLHANITVAAKIYSTFPQTAMLRRHATPPPQNFEEL INQLTKKRDMRLDVSSSRALADSLDRCTDAENPFFNTLVRILATRCMTSAEYFCAGAH AESEFRHYGLASPIYTHFTSPIRRYADLLVHRQLAAAINYEGEDGRATIEGSITRNRL EDICRNINYRHRNAQHAGRASIEYYVGQALKARSEKLATNGVDIGIEEDGYVMRVFEN GVVVFVPRFGIEGVVRLEDFVLPSDEALRSVEDRRELVTRRESEFDPEEYTLKVSNKG HQERSVAVELFQRVKVNISSVKEETGRGVGKRRVRILILNNEL ANIA_03656 MAIAAVGQLCSTANISSNLAQCRTLVRKAVEAGAKALFLPEAAD YIGSSPAETISLARPVQESEFVLGLQSEARDNNLHINVGIHEPAANGRVKNTLIWIDD KGYITQRYQKVHLFDVDIKGGPVLKESSSVEKGNEILPPFDTVLGRIGLSICFDLRFP EISLALRRQNAQIITYPSAFTVPTGRAHWETLLRARAIETQSYVIAAAQAGPHNEKRQ SYGHSMIVNPWGEIMAKLGDEYEEPQIVVANIDLELLGKVRTEMPLLRRTDIYPEI ANIA_03655 MTLRPLSHCLRARCIRRSAQNIQGFSTRTNLRGGADHGDHYDPP TGYLFGVKPGQKYVKEGWENIWYYGFIGSLLVAGVAYVFKPDTSIQTWALEEARRRLE AEGILEDPQRK ANIA_03654 MNSIYKGPRPIPASADSRSKLDAFRYKNNNQNGTAMSPKKTTPH KGHTNKENQTSWLNGVVEQDKSESDNRQNLQEGPEPKAVKDCPQTPGNRLPLADLIGN AEDAFSRAPMAQEFTPEDYVIWQHAPPSSNPSTQTPATQSKKRRHSSSPSSSPLAGSK GARKGSFDLQSIQALLKTPQNDLATDLWNNYVAKTAVNVTDLQQPRFAGLLSSSPRTP TSARAGQDSSGLRRSISCNAEWPSTKAKRRRVEGESPRKGRAIFSRTRSNIMVPKDLK TSNFSSLVQEMERSLKKAIPKYSDTSKTAPAIAHTETRRSRSASPLETRLAKGPVREA ILDNEVNCALPSANQKPPQDSSSEFGDDDLDEFLGLANVSDPFVDHNQVGSKLEPHNT NFDHSLPSMGKDEQSFDNKNNDSHAQSHKDLKPASNNDTDNPDNDEFDDYFEGLSDNL EELLAGCDQTPHTKLTSAVQQHLPTSDHRPEKPPTLGTELRYEINEQLITSSDEFDDD DFDIDCLDQPILQGEDSPDDVRHS ANIA_03653 MNGHCIVSDAQNMIIIHPDHLISATVIADSISCQRRAVLQERTK RTSDLGKPQVFGNIFHELFQEAMKWNRWDTAFLRTLIEDIAVRHVEELYLIHMSINEA VDHVMSRIPGIQRWAELFLRRKPGPQSFVEDRNSSKLKLSINKLLEVEEHVWSPMYGL KGNIDATVQVACNEGEGDKNLVVPLELKTGNKETNQAHRAQTALYTLLLSDRYDVEVT FGLLYYLETSKIMRIRGIRHELLHMIQERNRVAGYVRERTYLPPMLRKPSMCNRCYSK TACFIYHKLADDGNGETSGLGEEFDKAMEHLNPSHRDFFRKWDDLLTKEETSMMRFKR ELWTLLSHEREALGRCFGNIVIEPGTACEDKDGTKINRYRYTFVKKQQSPTFSFAESQ ITVGEPIVISDEKGHFALANGYVVQISPKRVTVAVDRRLHNSRTKASGFDSILNQSFR GIMEIEGDTPPSESAEETLYRLDKDEFSNGMAIVRSNLIAMMEKDLFQAGQLRKLIVE GKPPAFKPNVPELSGLGMAGLNIDQKQAIKKVMSAQDYALVLGMPGTGKTTTIAHILR ALVAQGKSVLLTSYTHTAVDNILLKVRDDNMRILRIGTVAKVHPEVHQFAELTATPKK TIAELKATYEDSQVVATTCLGVGHNIFTQRVFDYCIVDEASQITLPVCLGPIRMAKTF ILVGDHYQLPPLVQNKEAQAGGLDVSLFKLLSDAHPSSVVNLEHQYRMCEDIMLLSNT LIYSGRLKCGTAEVAARSVEIPNIGGLKQHHLSDFSQTCNSRQLCLGTSQSRCWLRDL VDPLAKTYLVDTDTLGTPAGEVANGSRIVNPIEATLCTQLVEAFISCGIPARSIGVIT FYRSQLSLLKQNLRHHLPALEMHTADKFQGRDKEVIILSCVRSNQENYVGDLLSDWRR VNVAFTRARTKLLVLGSKNTLRNGNELLSKYVDLVENQGWVYSLPKNAVENHIFDEVP LSTYDQSTPSLIKRGSPRKSPSVMKKTARNPLSPVQQRLFPTEPREPAKKGMKLLNGQ KILGNRLVLQDVVNDLVG ANIA_03652 MFGLEEDDASRREKCYTTISQLPQFIDPKQPPIKKPPFSAILSN HFVHTVPPLYPSPRVIRGPLTKCQVEVTMPKEVYLSIESSLDSNLQKLRYARLSMTLS SLIEGDFFNTNIKSGNILMISEGRSGLDNVFTLREGVLKLELGKEDYEKTGLTGKPVR TGGKKHGKERFVIELNLRLPSMLHGKKGFQRVEWAFKNVLNQPTTWLFFDLGTESTGV AEGGPGDPTLKGNNPELIPCEPVLTTYDNVVTPSFSELNAMTQSEPDLRDICGEVSEW LAMVSIRSRRVSATDSIDPYLCRYVAPEISGKAAIATDLISLKWGGLIPPHWITQLFI TLFRKSAPRFCKTPAWFALSANTLGRDAIESKDGYTIMALPASGGNTVEKDEDAEAKN TRHFICWEYVGASIL ANIA_03651 MAPPRRNLLPKERFSFAFGSLRTQSYHDPAAKGPGTHTIRTIAW NPTGQLVATGSQDRTLRIWNPERSQARYSTELRGHTAGVEKVLFNPARDSELASCSSD GTVRIWDVRSKTCVSRLEVGSDAFTLSWSADGKVLIAGRKDDTLIPITVESPSSPTVT GQVTSAEPAFYKTLDPHPQPVQTNATTFSHHIPPADLPNPTRHLFATTGEGTVKIISY PSFETLHTLHAHTSACLSIALAPTGRYLAVGGSDALISLWDTTEWICRRTVSSNNGGA VRGVSFSFDGRFICGACDEKECGGNGIEIFHAETGESVHTVNTGGSSNTGVSAVAWHP SRYWLAYAVTADYGTPGGLRIVGAAGGGGL ANIA_03650 MASYRDGQTQQQLNFEFPAAHNDQNPTNQPPSHAAAASINNGDN SYVPRPKRIACAVCRRRKLRCDGRKPSCGTCSRLGHECTYDEARKKSGPKRGYVKQLE ARLAQVETLLKTQEPDNVTVPQPKEHAFPVGLSKEPAGTEMHVMGSMNNSLSPPEGPT AAGQMPFTPERMDAANTFAWDMISLGLEEPFPAREVIDELARKYAELDQMKGLGEGIL TLTHCQAWLLIGSYEYKMMYFPRAWLSAGSAARLAVMLGLHRLDGEGLEVKQCLPLPR DWTEKEERRRVFWMAFNVDRYASIGTGWPTCIDERDIMTNLPASEEAFTNGKPEKTPR LSDVLLGSDLEELSAFASVAFVSCLLGRNLTHLHRPEPQDNDNDLNGVFWQRHRSHDN ILLHFALSMPSHLRLPAGIADPNVLFCNLAVHTSTICLHQAAIFKAEKNGMPNQIILE SKRRCIVAADQISSIMKMVSSMDMTKYLKFRPDDTAARSSLQFVFVVLEALKNHNPLT ESFLVQLEVDIEGTPFQDIRLPNGRSKSKNFFSAHTHGENALYSEALNNSPNADKVGD CTTWDPAIHAATDADSNPNRANLPVCLPTRQRRQPPRQQPSHSTSIAASAVPPLSVMN NLPGFSKAQDILHDSAAPATTGSILFDLDPQPRFHDVTNSNSAPESSASSTMNSSSTP SFMRTDHSSSPKQAQSRSSSGALETSIQDSAVTTSSRLESTNVNAFIEYSNPRNDTNS NTNPLLSMGSMNTPRGTHRPQQNTDMPAPWEFQININGDLNDLNSGGIDLMNTDMDGF NNAQWAHILGSEEWNPN ANIA_03649 MLQHRVAFRGIRLPFRCVSSLLPRSYSTGIEQHTESISVESSSS SIDPSLSFAPPPVRQDSTINIRKYTPRTPGVRHLRRPINDHLWKGRPVHKLTFPKRGH SKGGRNNSGRVTVRHHGGGHKRRIRMVDFKRDAPGPHIVERIEHDPGRSAHIALLRSK ETGKLSYILAADGMRAGDVVQSYMAGIPDDLWQSMGGTVDPGVMAARTAWRGNCLPLH MVPVGSLIFNVGLRPGGGGQLCRSAGTFATVISKGVNQVAAADQESKESQAAGEEKKP MTQREKQARERTLQHITIRLSSGEVRLIHKDCCATIGVASNPNKKYAQLGKAGRSRWL NIRPTVRGLAMNAQDHPHGGGRGKSKGNVDPKSPWGLPAKSGYKTRPKWKINKAVVVP RVRNQGKRRRGYH ANIA_03648 MPPARNLRTRGTMNENDENGPSTRLTRAKAAALTTDAPAANGAL KKPLQTKKAATGANGTQRKRAALGDVSNVGKADNGETKDAKKATSKTGLTSKATMQSG GVQKLSRSNLSRTAVGAKDNNVKKPATEAKRPGSGSGMGSAMKRTSSQKSLQEKTIQQ EEPPRKKVDIEKVVEKQAEAVSVKGDVKAGAQTEELEKPQDFVADLDTEDLDDPLMAA EYVVEIFDYLRELEMETLPNPDYIDHQPDLEWKMRGILVDWLIEVHTRFRLLPETLFL AVNIIDRFLSAEVVALDRLQLVGVAAMFIASKYEEVLSPHVANFSHVADETFSDKEIL DAERHILATLEYNMSYPNPMNFLRRISKADNYDIQTRTLGKYLMEISLLDHRFLGYPQ SQIGAAAMYLARLILDRGPWDATLAHYAGYTEEEIDEVFRLMVDYLHRPVCHEAFFKK YASKKFLKASIMTRQWAKKYHHLYIDSALTEPYNSIKDNE ANIA_11407 MAARDNENVTARVAAESVESVGWRCADGRTGGWAAAMLQKGHAG DILCN ANIA_10450 MEYLIRFAQTHETFRQPELQALANLHNIELEILHYDQISPYCIV RLANEETARILISRSILARDIFELWGHGTTYEALHADVRRRTQHLWKQYKQASFKFNV ESFAGKRSSAQKTEIIQSFSYLGFEGPISMKNAEEDFWVMEQYYDRTHNPTAATGMSQ HPLPSKLDSAPVNIYLARKLAESSREVVNKYDLKKRRYISTTSMDAELSLITANMANA APGKLFYDPFVGTGSFCVAAAHFGAITLGSDIDGRSFRGKEMHKWKMTGVQLNFQQYG ISSKFGDCFTSDLTNTPLLGKQFLDGIVCDPPYGVREGLRVLGSRDTSRRKEELIIDG VPAHRRPGYIPPKKPYGFEAMMNDILIFAARTLVTGGRLCMWMPTSGEEEAELSVPMQ ENLEVLSISVQPFNNWSRRLITYRRLPEGVLSDVSSGRRKDDAAGVSADDLNAFRRIV CPLFPTLTSPLGARPNLSKVLHEKSQKLKSGFPMTCTIYIAYSINTQYL ANIA_10449 MDLASAPRGESPPLKAPLVEIEAAEVERSLPQENAAPEEKGSNS QSEYESDSDALDDEWETQSLYEDAIQMIRDDQLRDGTIPGACTLEEAIEFRKRLHEVG KAQFVEETIARDTVTAKKLCTAFGILPPSFLEGAPDEAYHPLLAIAISREFARRQKLP QYNSVDDAVKLLKESKNIIVLTGAGISTSLGIPDFRSKDTGLYSKLENLGLNDPQEVF DIRIFREDPGIFYSIAKDILPTEKKFSPTHGFIRLLQDKGKLLTNYTQNIDNIEANAG VFPENIVQCHGSFATATCVKCQYKVAGDEIYDDIKKGLIPECAQCRKRIAEDSQKPQG QKRKRNSTSAHKDRSKSGEDSSDGEDYEIPTPGVMKPDITFFGEDLPDEFGRRLLHHD RDKVDLVIVIGTSLKVAPVAEVPGVLPPHIPQIYISRTPVAHTNFDIDLLGDCDVVVS ELCRRAGWELKHEMISPDEKVDVTPVFGYGSRHVFKVSG ANIA_10433 MGKPRPHKKKASKTREKSVLSAGGSISKRKMNEDPRKLLEQATI LLQTGQADAALSIAQQALEIATSNSPAQLSSLNTIAEIYVELGEIDLARKHFLQAVEL DPTGSIPESEGGGAEKFLWLAQLSELGGKDSVQWFEKGVGALRGIFRRSPLFFIAFSR ASYQADIFRWEEDAESRCENLITEALLVQPSSPEVLQTLASIRISQLREDDARAALSR SLELWKDLPPEDPHVPDFPTRISLSRLLMEVSMLLEALEVLERLILEDDQSVEAWYLG GWCLQLLAEIGEAPRDPEAESNETPESKRHASLVASREWLKQSLMLYDLVQYEDERLK EHALELVEAMNKELGEEMEDDSNVEDGEGEGEEEWEGIESDSDHEMADS ANIA_03646 MGREDQIEEREVLDSIFPEEITDVSDTSYRISITLDAPDNDEQS QEAEPPILILQVTYPEEYPDVAPELDLTAPTNAPKHPRLDISEDRDRLLEALQPTIEE NMGMAMVFTLVSALKESAELLMVERVNAVHAVQEMEAAKAEEEENRKFQGTAVNRETF LEWLDKFKKEMEEEVRKKREEKEAEEKKANKKGPVKEEKKLTGKQLWERGLAGKADFD EEYEETMPAAVDKMKITA ANIA_03645 MHHLVVPKKSSAHRVACLALYRALLLRCRDLQRRRPELVSPQAH VRERFRKYKNLQSPSQTANALKAGYEALDLIDSAALGNEDDTGLVWRILAKAQSTKEQ KRELQNVLSHIRPVKQPNKKQIRAEENRRFQEATAQRHPDATSILERPRPIVSGKRRV PVLVNASGIPFLRIKKPQPQSLSRMIRRKLAERQKLVERRERLEPEILFGEDEDQWDE LTNGRGEGEERWSSAPRAAFDEVYRRIGEDNRRKQALARSMWEIVLAERKLAEEEQKS EEEKHAKEGNHDDEKKKKRMVLSRKNILKKLNISAET ANIA_03644 MAANAKVPRNFRLLEELEKGEKGLGAEACSYGLADGEDMMMSNW NGTVLGPPHSVHENRIYSLNIHCGPEYPDQPPTLQFISRVNLPCVDAHSGKVDPSKLP CLAQWKRDYTMETVLIELRRYMALPQHKKLPQPPEGSTF ANIA_03643 MPTCETLNISIHRGAKKHQDLARKPRFVNPFILACHTRHAKLAG IGVVCLQRLVASRSLPPERLKDVLGGLKEITNLTLDIQLKILQTLPSLLQHYSNDLGG ELLVTTLEICATLQSSKTLAVSSTAAATLQQLVVSTFERVSMEDSKSRVFRRIISSLS PAFTLELIESVLLNSGQLFVDHPELTQVLRARLIPMTVRYLSERHSFPQTVRIARILL ILLKRYLSLITAECEMALVLLIHLLEPDGTASWKRVLCMEMFRGLYAEPGLVRIIYSL YDKVEGRKNILRDHMASLVRLAAEKPSLIGVSSRSTAPSSAEHSRSITEEQITLEVGG VAGVIGTTVPSNDTNMPGISNQWSIVRSPYLELLDKIEPPLPPDTYIYSLVLNCVSAF AEGLAKFILPLTVPDLKPKRKSRIAGERNGGSTASSPDLHRENSLKRSKSTKRFPVPI NPLELESHPQLSAIQACAGIVEDCWPAVLATCSTFLYASLDDDFYHNLVRSFQKLTHV AGLLRLSTPRDAFLTTLGKASMPADTNVAKPMSPVATQGTQQNNPMEQKHKGKDVSYS TPQTLEGPLATMGDQALSLSTRNLLCLRALLNLGIALGPTLDQPAWSIVLGTLQDTDL LVNKSLTKTQSSAGGTGEVVGVAKGSGPQGNLGTEIMAVHSASSKLFESTTDFPVNTF RDFLVALLDLSATTEEAAAAAAAAASETSEMTGGRTHLHPSSGRMRRSSHNDQSAWQL LTDRLMATAANGEINQDLRLRANEVLNGLVFETMKQKDNESDADRDVRQLRNLETLQS QVNLLYESTGCKLGSPSTSIADVHEQSLETLKNILEQYAETFVQCWTAVFGLISSVFG EHAAKECQNSSKDVEGGKRVLIADSPRLIQVAYKSLQLIASDFLSQLPPPCRLHLVES LSKFALQQQVFNISLTTTSSFWNVSDFLHDQTGHFSLEIYVELAVSEEELVALAKTGG PPASSNALWLLLLLRIVDITTDSRSEVRNCAIHTLLRIFDAYGQQLSPKAWCLCLNRV LFRMLEQIEATSTSILIKGGRDSEAKAWIETMVLVIKGICDLITNYFETIAKDDRFDQ SWELLLGHFQKLASRRLLAFSQAVFSSLSSILLRAQSPTGLSTKSLQSAWAVWSNGHP TDKKEMLDLDQPNQEAAISYLHSFQQIYRLYKDNLTKQDIGTILQHMNLIVRNSIIPK YSPDIDRPSVLQVLVIDCVRVMCLEKDDSQGQIIQCLSEFTDYALTRWSPEDDSRKPT YVAFSKSAMDLLSWYVAEYGIKQDIFADGSLSTALEHLAAPIIQRYEWPGKDKEPSIW RKSTTALLNILRVAIPYVEKQYGTASEAEVSRFWKWVAEIVNGIVSARGYRTVLIPGP ALLSDEAFDIDAFLELKSLIIPSIGAPVIHDDIRRSFACALLHSSFIYLPQRHDLPAK YREDGSLEGIYHIRPGRTFEPPPTTRSKMAYAALDTLFELSSSPSLSLNIDEEAVKRT SIARSISPYLILRCAVSLKGYIADQPLRGLMPQPTPARRALLHLLRGMVELQSEPSTI PPPPMANGLTCNSSCKKHLEWIYPLVVQAVQVAGKERGAGEVLHALSEVLRVVGTA ANIA_03642 MTSLFFSTPLDIDIVLEDGDERQMVDVKLDKGHREKVPLYMDGE SVKGAVTVRPKDGKRLEHTGIKVQFIGTIEIFYDRGNHHEFLSLVQELAAPGELQHPQ TFPFNFKNVEKQYESYNGINVKLRYFVRVTVSRRMADVIREKDLWVYSYRMPPETNSP IKMDVGIEDCLHIEFEYSKSKYHLKDVIVGRIYFLLVRLKIKHMELSIIRRETTGTRP NEYNESETLVRFEIMDGSPSRGETIPIRLFLGGFDLTPTFRDVNMKFSTRYYLSLVLI DEDARRYFKQSEIILHRLAPEIPPTPEVAKQQQIQMQQAAEQQQHQLPPGSATAGPGR EHVNSQAVPPPAA ANIA_03641 MLSASERNPAVMDPSRTKVSALDLNHSHSHTTTNQISSSSEQQA SFMPSQCPQHIWVVTGPAGSGKSTVGRYLQQELGVPFLEGDDFHPAANKAKMSAGTPL TDADRWDWLISLRSAATTLLSTPAPTSTNPTSTSTRAQAPTGVVVACSALKKKYRDVM RVAAYGSPNVRIHFVYLKLEPATLYARVSARQAHYMKQGMVESQLRDLEEPGQGEWDV ITVPVQVGMGMGEVQREVMNAVEKVIRGYEGGL ANIA_03640 MVSKHLQVATPPSKDAILESLVENVRACNARLSPGRDKIVFGAC ELEVELPLLLNRPGAPLPCREPRDDFETVNAHFSAQIHAFLNALHDLEDMADKPSSDD LDLCRSDECLRPVICVSNQSFEPYLDCLHRAFHTRRLTVQNPDSLPLLNRVTQLRILP DRDSTFNAINMRPVSLSTPLELATRLPQLRELDCPWLWERLPVAFTSKALRIISRVWA GPWRDDRAEFARAVRHAMPLLPSSLTKVRLWFWRPSSHCDEMDQAAQMPDLVGASSSS LTTEFEGMDPVSLGLRDLGSRLEELDVRALITPDLFPSGGDGLSWPHLRHLKVEFHPC APDGGWYFSGPRGEDPHATGFAITREEHYPPGQEDDDETHELMSDEEEEYWGDAPDIY DLRNPDMFRLRPIAERINPLLLAFASSLQQQKMPALQDAELFTWLTWRPSKERAKEYE GSDDVPPTSYEEETVMFRWGVRYEAPAVGRNWKEKVTWQVGDDWRPKDEVIKAFEDLV GGDEENMEWKAFEFVEEREQDPEDYL ANIA_03639 MMNLLRCSRGFSKAWTSSQSRTALSVLRSSWPTIGPTTRSFHAA LALGGIRSQVLKDVGEGITEVQIIQWYVEEGARVEEWKPLCQYQSDKAVDDITSRYEG IVKKLHFQADDTVPTGRALCDIEVDDAQYPEDHPPTESNAETSPPARTTIDSQPVPRP TTPLPASPAAEIPSNGAKGRYATLATPAVRGLLKQLNVNIEDVKGTGKDGRVLKEDIH RFVAMRDAPSATPSLSQDADTAVNLTHIQTQMFKTMTRSLTIPHFGYADELNINNITA LRKKIANDKSDPRKITFLSFVVKAVSLALNDYPILNAKLDTSNADKPQLIMRPRHNIG IAMDTPQGLIVPNIKDVGSRSILDVAQEISRLSALGKEGKLTPADLSGGTITVSNIGN IGGTYVSPVLVPNELAILGIGRARTIPVFDDAGQVTKGEVVNFSWSADHRVVDGATMA RMASKVKELIESPERMLLSLR ANIA_03638 MDVFLDVLDTFFFDRLYALILPATNPVEDTVRESQKLYNQNIGR YVPLAPSPYVDASIWKRDDIVRQATSLFLIAWIFGLAMYLIGSMIVYHTMFDKRLMRH PHFLPNQIRLEIRQGVTAIPVIAILTAPFFLAEVRGWSKLYDFASEAPFPAYTWLQYP LFVCFTDFGIYWIHRWLHVPMVYRWLHKPHHKWIVPSPFASYAFHPVDGWSQSLPYHI FPLLFPLQKSAYLGLFVFVTLWTVLIRKSSVSRGQYLGK ANIA_03637 MILMQRGAILQVQGCLPRPCSDAPSVTSLIREKLIFNVMRYRPG RYCVPILREVLSEAPVPAGKPGRKRQSCQQCFSTKRACDKGSPCHRCHLLGLSCTFEP QKALTPADSGSRGISSLPPMHASGSSRDSPLFSFLRHFTDPGREKDRLAIGTTAECSV RRNLETLYSHIQDALIPLDSMAAWFGDLSGSGSFFSPPFSTDDFISADFLASGPGPTK LSGQLNELMMEVVETSRSMELEGSSTMQLPLDTTQLVPLFTVSNVGIFVSVFFHSLYW HLPVVHFPTFDPGNISNPLLLSTFLTGATYSNSLNEAALLPRLLDVAEEYIFRKVTAL STQSGPPILDPTSNWSTIQLIQAGLIIEMLQFGQERVETRRRIRVIRHPSLVSLMRCL GIFNLKRSKPSTVVDGDDTLWKSLIAEEVCIRLASWTFLADGFLTLCFKNRPAISIFE LDCPFPWKTGLWEAENASAFSQVAMDHEEELPLPSVREAVRLLLESPNPGPVPSRFSL SAEHLLIIIYALNSLAFMARVDFFEAVSLEKIRRAASNWKQIWDSSSNNEQKLLLGYP KHAEELWLLLTVTLDIDRQRTNLPYLDTDSAATDDLGKLNQFIEWCSRYMKTPNALNK G ANIA_03636 MVAEHLTIRNLTSTPITLKRIERFRAPEKPRDVDIGALAKNFTR LVTNVTRAEAPVASITDDTKPFQHKDVDIHVEPFKTVRTELRSYIDSDKERIRWFFES RGERHQIQTPVPTTETASMKPLSKDANLRFTGIFTPAESHLAVFSSANLNAWMRELKD DTLLSSLSIPGTHNSPTCHIAPPSVRCQAVSPREQLKNGVRFFDIRVQPKFPNDKAKD ELALVHSTFPISLTGDKYFRDLEREVIDFLEHNPSETLIISLKREGPGTHTDEQLSRI IRDHYARPGSRWYTDPKIPTLGEARGKIVLVRRFNILEELKEIHGGRGWGINATGWAD NCANATCPSGQIIIQDFYEVMETQNINKKIQYVTEHCKRASETCYPFGILPGPKATRA HPFYINFLSASNFFKLGTWPEKIAEKVNPATVDYLCRKHGEKEGADWSTGILVTDWVG LDGDWDLARAIVGFNAKLMVRQVKQENERKDHEQK ANIA_03635 MPPRIRLTNARVPQPIRCQRVLHQFDVAIPVRYASTATATTPAP SIEQMTISPAPIARFPPSQPPSHRRPEFRRSQLLRQYTSIIRTTPLMVFLQHDNLQSV EWAAIRRELSKAMQKVDAKIAAEGRSTPALAPHIKIQIIQTSIFEVALRIVEYFRPDK ATLEKGKSPSAVDPATQTSAEISLAGSKDDLTLTHDLSRAAQAAVRNMKGKHELSTLL AGPIAVLSIPYVSPEHLKAAMTVLAPKAFGYTAPTRRANPDWHEPHVQNGLNKLSVLA ARLDNQLFDVDQTKWVGSIEGGMDGLRSQLIMALQSMGSSITSTLEGAGKSLYFTLES RRSVLEDEQKGTSGENKAE ANIA_03634 MSASPRQKPESISISPLLKKLAYPASELAVEASEIASAFALIFE DRLSDIQTAALLTLLHSTQLDKDAEVIAKCSHRMREAARQTDKAALRQAIQSRGRKEG NYRGGLCDIVGTGGDSHSTFNISTTSSILASPLLMMAKHGNRAQTSFSGSADVLNAIT PVPPKIGAVTAENLSQVYAASNYAFLFAPNFHYGMMYADNVRRNLGLRTIFNLMGPLA NPVDWAIEARVVGVAYKALGPVFVEALRQNGVTKGLVICGEEDLDEISCAGKTNCWQL SEIPNPDYDLSKEDDEDEEYRTPPTIAKLDEFQLEPADFGLPSYPLTAVYGRKMPKDN AAKLMQILRNELPRDDPILSFVLMNVAALLVTSGICEADSSNMGPGDDGQVITERGPG GGRWKEGVRRARWAIESGSALKCFEEFIEVTNKL ANIA_11406 MSREAYQVPSLGGGNAFSNDNLGAGSLDGPIVAYLCGDCNARVS LKRGDQIRCKECGHRVLYKERTKRMVQFEAR ANIA_03633 MATHPDFPLWKLKYTPEQVYDLFFHSNFRFLCNPKRPAICTRFG LPSDRLWRFEFVVLKGEDGDEMASPKGREKSFFPYLTHAGNDTGSRKMCNIPGTASAS LGRDRSGYLHAAATSGLMAALSCVAMRRTCSSMYGFYSTLARRFSGLTLRLVGGQVIS SGFRDAASLAWRLALLCRQSTLDSPRHEAVLKNWYLERKQQLEKSLALTIRNREFVTE IPSWQRQLRLGHRKGYGSLSILAWDALHS ANIA_03632 MSAILSADDLNDFISPGVACIKPVESLPQKQSNENPYEVTTEDK VQPENPPPAQISLTDCLACSGCVTSAEAVLISLQSHNEVLNTLDAQPEIRLVSGENGT VIEDSGRTRDEGRIFVASVSPQVRASLAATYGVSEKEANHIIHQFLSGPNGLRAGGKH GSGFSWVVDTNSLREAVLVLTADEVSESLTGSSAPKRPILSSACPGWICYAEKTHPFI LPHLSRLKSPQALTGTFLKTVISKKLGVPASRIWHLSIMPCFDKKLEASREELTDAAW NRLSSGEPNTPVRDVDCVITSRELLSLASSRGISLPNLPRKSLPQSLRLPFPDPALNV FLFSEKSFSRQTSASGTSGGYLHNVLLSFQARNPGSEIVTQRGRNADVVDYTLMSPEG EPILKAARYYGFRNIQNLVRKLKPARVSRLPGAKVATGQTAGGRRQPISRNGASAGSS MDYAYVEVMACPGGCTNGGGQIRIGDAREFNAQHDASVTSETSKPLPHEQRSWLARVD EAYYSADSDMDDAVEDVRTVSVTDNEDRVHKTLQHWSAITDIPLEKLAYTTYREVESD VGKPSAPNDTSRVVELAGKIGGGW ANIA_03631 MMPSFPSLSVSLISTISLLGTVYARTTLEGCTFTETVSEKNEYS IVWYVPETGEICDVSVCGGGLDPFDYDNPACPEYTGTAPYKPSFLADFGPSPTAVTAE TTADAAVTSAADWDEGEEGDEDDEFASTTITSTRFSSTVATSSTETARSTSTPLIPSS RASLTPWPTSTSSAAVSESAPDSTTIIRTSPVASSLPRPFPTTNPVTKLASEMTPSPA PLQPVPPRPAPSQPVALIPEPPKLAPPKLAPPELAPPKPAPPQPALPQPALPQPALPQ PALPQPALPQPALPQPALPQPVPPTTSSINTGVGGSPTMIGVPTVTVIPAPAVNDGAS LRLGTSLGALGLLAAIVL ANIA_03630 MHPILRPKSYHKRLLTLQHWTALRPSTSRKTQSASHSWSMRSPT VLQTARARRIHTGPIPRTPPSMSNEPKVDLAMFLGPSLPGRVYNFWFQHVAEDANLTL PTLEVFKPWFTKDAVFDLECATHFKPILAAIHQSHSPHPEPHTPSQTQTPSPSRLEAQ AQQILTLIKPTTAHDWLGLIILLDQLPRNCYRGTEAALVYTFFDPICRFIASRALEEG MAVRPEIRYRLALRHWFYLPFMHSEDLGHQELVLRSYQEMADDIRRLLDEPAHGVGEK ELSCRVILASNREAVEANLAQSFKFQKEHHDIIARFGRYPYRNGVLGRTTTPEEEKFL SETDISFG ANIA_03629 MPELAEIYRIVHFIRQHLVGKTLAKVSTQHDDIVYGKVGTSAAE FQKAMEGKKVIGTGQQGKYFWITMTSPPHVVMHFGMAGWLKIRDADTYYYRTDKPEDK QWPPKYWKFLLETDGDPKVEAAFVDFRRLARIRLVDCPAEEIRNYTPLKENGPDPLVD KDVVTKEWLGSKLSSKKVPVKALLLDQAVISGIGNWMGDEILYHAKIHPEQYSNTLTD DQVKELHSSIHYVCSTSTEVLADSDKFPEHWLFKHRWSKGKKNKQSSLPNGEKITFLT VGGRTSAVVPSVQKKTGPVAGDVKQEDESSDERQTKRKRTTTKKDTSKTDKIDSRKES KQSVKLEDEDALEDKDTRQTNRRSTRLRK ANIA_03628 MPQQLSSKDASLFRQVVRHYENKQYKKGIKTADQVLRKNPNHGD TLAMKALIMSNQGEQQEAFALAKEALKNDMKSHICWHVYGLLYRAEKNYEEAIKAYRF ALRIEPDSQPIQRDLALLQMQMRDYQGYIQSRSTMLQARPGFRQNWTALAIAHHLSGD LEEAEKVLTTYEETLKTPPPLSDMEHSEATLYKNMIIAESGNIQKALEHLESVGHRCS DVLAVMEMKADYLLRLDKKEEAAAAYTALLERNSENSLYYDGLIKAKGISSDDHKALK ALYDSWAEKYPRGDAPRRIPLDFLEGDDFKQAADAYLQRMLKKGVPSLFANIKLLYTN SSKRDTVQELVEGYVSNPPANGAADGSENTEFLSSAYYFLAQHYNYHLSRDLSKALQN VDKALELSPKAVEYQMTKARIWKHYGNLEKAAEEMENARKMDEKDRHINSKAAKYQLR NNNNDKALDKMSKFTRNETVGGALGDLHEMQCVWYLTEDGEAYLRQKKLGLALKRFHA VYNIFDVWHEDQFDFHSFSLRKGMIRAYVDMVRWEDRLREHPFYTRAALSAIKAYILL HDQPDLAHGPLPEINGADGDDAERKKALKKAKKEQQRLEKLEQEKREAARKAAANPKS LDGEVKKEDPDPLGNKLAQTQEPLKEALKFLTPLLEHSPKNIEAQCLGFEVHLRRGKY ALALKCLAAAHSIDASNPTLHVQLLQFRQALNKLYEPLPPQVAEVVDSEFEALLPKAQ NLEEWNKSFLSAHKDSIPHKYAYLTCQQLLKPESKSENEKELAATLDAGIMSLETALA GLDLLGEWGSDKAAKTAYAEKASSKWPESTAFRVN ANIA_03627 MPSRAVNKPVDPKQRDKDINNKLQLFGIYHAFKNGKLPTNKQCD VAINSALAHKALTNPSKELSEEGRALVQDLRIIIEEAKKLILSKNDGQLLQEFIYDAQ HISTEDVQKPNVAGNDTAEQDAARAREGLKTLGTLMITNGEFRKLLNDAMIIARDIAG DASQKAADSVRPAEHELSQVDQPAEDNVWHEKPDLSGQKEQLKSRFSKNKDKAQQEAS DVANTGAQAATGPSGDSVDPYSGVNASLQHAQSKVPEDQKAEANKRSQEYKDRTKNYL SGKFPQERRDQTIYRLKKMILEIQGHRDYQQAIETLLDLAEKYGGHGRHIAQQGTGSV QGTRGQSKVQTMEKNLRTLIERFANSTSMDDFFDSLENIYRDADKDPELKGWFKNTNQ FIRKTLQQQGYILQDDWNRQYNDLAEHGRFLLRDRYRDHTNRVLDEIKFLGDQFNQDR QNRAFGDAVEKLFIDLGRDENGNPKFKKHLVKDITDVILPAIFENVRYVPIPRIEVQD AMADVVIENLVIESDNLMPNVVEFGSDNYYRWGRKKISSKRDNKIMISVSGIQADLRD VSFYINKKQGFPSITDTGVMDIFLGGEGFSFKIAASTAQKQDRQNFVKLDKVSVKLDD IDIKLKKSKHKVLFTLFKPLLFRTVRPALQKALEKQVRDVFEKADAFAYDVHQEAQRV KEHVKENPQDAPNIYNRYLNSFRAKLEEGRRKAQEASQQAAQRDTKAQTTTTLKGSLF PDIKLPGGITTKATEYEELARKGERWESPIFSIGSASESSDIPKPEQITRKPHNTAES KLRDRQSNGAATGGAAGGLAAAPRGPVTNGGALATGRATDGGPATAAPTLTNGSTAVT NGHAKHYDSLLSNGADGKLAQDISQISGTGFNPQTA ANIA_03626 MWNSRKVGVLGGGQLGRMFVESANRLNIQCNILDAENSPAKQIS AHDDHVTGSFKEREAVRELAKKCDVITAEIEHVDTYALEEIASQVQIEPSWQAIRTIQ NKFNQKEHLAKHGIPMAEHRELVENTPEQLAKAGEELGYPLMLKSKTMAYDGRGNYRV NSKDDIPDALEFLKGRPLSQELAVMVIKTKDAVLSYPTVETVQEDSICKLVYAPARNV PEHINQAAQKLARKAVATFEGKGAFGVEMFLMNDNSLLLCELASRVHNSGHYTIEGTA LSQFDAHLRAILDLPIPPESLQLRQPSIMLNIIGGSAPDTHLKAAEAALSIPNASIHL YSKGDAKPGRKMGHVTVTASTMHKAEEYIQPLIDVVDEIRSQRQDIKTNPAPSGVTKP PPQVAVMMGSDSDLKTLVPGLKLLRDKFGIEPAVDITSAHRTPTFMAEYSASAAARGI KVIIAAAGGAAHLPGMAAAHTALPVIGVPVKGSSLDGVDSLYSIVQMPRGVPVATVGI NNSINAALLAARIIGAFDPDVQRKVEEYAEDARKENMDMKNVKMQDLGWEKYFNQM ANIA_03625 MPEMPAESSSNLPYQQQYLNLTTSSAYDPNPVPSSSNKENAAPS DLRTNSQPPPSDPGTQLPSSTERIPDSLSASQAASTSTTLPAPLLLILQSIQSTLRSL FSSKPPHTIQRLAELILRPNAHYRTLPAYMRALDRVVSVTSTADVFPFPMQSGAATAQ PNGTLNGAQATFSLSDDALGSDEALGGALLTPIPWLNNASSPEPEGTGISEVSIVTTS PTLQNQLLSQPADLTPQEHVGIGGNVLEGETPAEPTEEVPHARGPSVLGVEDMGLQDE SSTLSGFTQESVAGAGTTESAIQGQKDEDAKPEITTATADGDGDITLSDEPHISQKGQ DEKK ANIA_03624 MAVSNTLAARGGALSPSQTTSQMATTTVSVEGMTCGACTSAVEG AFNGVEGAGEVSVSLMMSRAVIHHDPTLLPPGKVAEIIEDCGFDATVISTDSSSIPSR SASDHGASEANVVTTTLAVAGMTCGACTSAVESGLAENPGVRSVNVSLLSERAVIEHD LSTVSAEQLAEIVEDRGFGARVLETSTSRAGPRGSESTDPSSQSMTTTVAIEGMTCGA CTSSVQAAFDGVEGVIQFNISLLAERAIITHNPQILPSRKIVEIIEDAGFDAKVVSEV QALGQKGGPTQVTLDVHGLRDANSAAALEDSLMQKPGIISASVTLATSRLVVSYDTSM VGIRTIVAVIEAAGCNALLADSDDKNTQLESLAKTKEVLEWRRAFLFSLSFAIPVFLI NMILPMYLPTLDFGGIRIIPGLYLGDSVCLLLTIPVQFGIGKRFYITSYKSLRHRAPT MDVLVMLGTSAAFFYSVFTMIVAIVIDPHQRPNTVFDTSTMLITFITLGRWLENRAKG QTSAALSRLMSLAPSMTTIYDDPIAAEKMVEEWDKVDGQEQKTATNEMSTVSQKIIPT ELIEVGDIVVLHPGDKVPADGVVIRGETYVDESMITGEALPIHKAKGSLVIAGTVNGT SAVDIKVIRTGKDTQLSKIVKLVQDAQTSRASIQRMADIVAGYFVPSIISLGLVTFFG WMFVSHLLPHPPKIFVTKEGGGKVMVCLKLCISVIVFACPCALGLSTPTAVMVGTGVG ATQGILVKGGAVLEAATKITHVVYDKTGTLTTGQMSVTEARIEPHWSSSDWRRRLWWL IVGLAEMNSEHPIGKAIHLAAKSESGNSGEGGLPGSLGDFEARIGKGIAALVEPASGV ERVRYRVLIGNAAFLQSHSVAVPESAETTPDASGYKNSRVGITQIHVAIDGHFAGTIA LQDTVKVTAVAAVAALHRMGISTSLITGDSRAAAISIASAVGIAPEAVHASVSPSDKQ SIIASLQETGDRVAMVGDGINDSPALATASVGIALASGTDVAMEAADIVLMRPDDLLS VPASLALSRSVFNRIKLNLVWACGYNIIGLPFAMGLFLPFGGFMLPPMAAGAAMALSS VSVVVSSLLLKFWRRPSWMDAELLEKEVGIASDGNSRKNWLGATLFTSPNKTRRAAQW AQGIGARVWSVFTGRPSQSASIEPSYVPLQTVEPAV ANIA_03623 MDQVHHRALDALQSFIALTDSSSATSPRYIASIITNATSSPHTY VFAELLERPAVQALRSPDTPAEFQSYLTLLEIFAWGTWQDYQQTPNLPPLSEEQARKL RLLTLLSLASTIKPLTYEALMTSLSLSAPSELESLVTTAIYSSLITGRLSPATNPPTV NVTSVAPLRDVKPSSLPTMISTLTAWEARCGSVISDIETEIAKIRADSAQRRQKEHAR AVAIEKTLEKWNAEGGEQLQGGNPGQGQGQGQGGLGKNAGWKPRDLSTAMFERGFFGG GSNKREFDDDGYFDGGSSDFDQHGSGMDIDEGAGARASGARHSKRFLGKKS ANIA_03622 MTTPGMVELEQRNRLPTLFEVLSRRTLAPVDLFSFYIYMRDQQR SVDYLDFWLDVSQHMSLCRHYVRELRRSVLVATPDLEKAESKGSSTPLENFESVNDIP LVEAGPSGLRHGLRDLDNKEADQRLSAFLRSDGHSSKHSPQNSLGSQNDPARTMSNEQ PRPSLTQHESSSPGHTVARGDIRASAEKILYTYLLPGAEREIVLPEEMVSSIINLVED DGRDDPEVFDPAKDYVFQAMERDAFPGFLQAKALGNLVPLSIMARLAFALISFGGGFW GAFYVVLRDKPRNIRCWVILPFVIACYFIVSYQYKIDPVMAFAGYSEYTFMNWSPVRE PYVRKLLVKRAVATVLIASFVAAALSILFILVPGTML ANIA_03621 MTESGLQAAKMSCLDCESSILTESRPRRKAESLGGGSFPRFSTT EQENSHRFSRAGTEEAAAANRSFSREEERRGEIEFRVVNNDGSRDSFIVLTGLKCIFQ KQLPKMPKDYIARLVYDRSHLSIAIVKHPLEVVGGITYRPFNSRRFAEIVFCAISSDQ QVKGYGAHLMSHLKDYVKATSDIMHFLTYADNYAIGYFKKQGFTKEIQLDRSIWMGYI KDYEGGTIMQCTMLPKIRYLESGRMLLKQKEAVHAKIRAFSKSHIIHPPPKEWKNGPV KIDPLSIPAIKESGWSPDMDELARQPRHGPNYNQLLHLLNDMQNHSAAWPFTQPVNKD EVLDYYEVIKEPMDLSTMEEKHEKDMYPTPQDFIKDAVLMFDNCRRYNNENTPYAKSA NKLEKFMWQQIRNIPEWSVSTI ANIA_03620 MTDTGPIFTAVSSNANQLYTLLHCISFAQNATVQITPDGIRFSV EEGRVVQGLAFLDKALFTTYTFHPPTGVNNEHDTFMDTEPDGANYPCFVVSLSALLET LKIFGIGDSSSASTSRAASVQPPTASASNAFTAPALLLNRSCTFQYLTDGSPLTVTLT ETGVKTVCELTTYEPDEGDLEIPFQRDGIVMKIIMRSAWLHNAITELGATNPSILKIS ASDKQEPYFTLSGAGGPFSESTVEFSIEQDEGRKGTTHDTHRKVMTNDGARPRATRTK LAPTVTETFLISPPSSMGSRLRQDFRFAYIQKAARAMATANKVSIRGDRQGVLSLQFM IEFDASGSAMTGNSVGNSMRSGAVRGVDVNAPGSTVSFVDFRFVPLLDEDDLGGKAVR DDLE ANIA_03619 MHSIVATENSPRSTEVQVSLLTHIAIFSVRSFDNTRSETIQFHT PLTLIVGYNGSGKTTIIECLKYATTGDLPPNSKGGAFIHDPKLCGEKEVLAQVKLSFK GTSGAKMVATRSLQLTVKKTTRQQKTLEGQLLMIKEGERTAISSRVAELDQIMPQYLG VSKAILDSVIFCHQDESLWPMSEPSVLKKKFDEIFEAVKYTKAIDNIKALRKKQNEEL GKYKIIEQHAKEDKEKADRAEKRSIMLQEEIETLRVETQQLTQEMRRVAELADKAWRE SESYSQILGALEGKRIEAKSIQATIDNLKRHLVELDEPDEWLESNLEQFEARQLQYQQ QEETEKEKYMEIKERIEEARHKLGLKQAEYGKHENDKANFERQVERRQRMSKGLARSY NISGFDTIVDQSDVEEFMARIRKILKDQTQVLDRAKREAQGELREAQTSLNQISERKS VLQESKNAAKRQIAANDKEAAGYQAKLDSISADEGAQAALESNAEDIHSRLDQAKERE RSASRDKQIEDINSQIRELEDENSRLNAELIEATKKAGDLARLDHLKKELKDRERSLE TMKSAHGERLSKFVNPNWKIDTLEQDFQRTLEDKSNAVIMAERAKDSLSRELEQVEYK LKDVEKSLTQRQKELKECVEEIREAIDDEPEEYPDVVSQRQNQLDMAKSTAEQGAGIE EYMSRCLATAKEDKICRVCARSFKNDNDLRTFFNRLEGLIKRAKLQAQAEDVEQLEQD LNVARAASTAYDTWKRLKETEIPELQQEDEKYTLERDELLGQLEDRDKAVSEMVEKKR DIEALSKTVSTIVRYDSDIKSTRAQIQDLSSKQQQNTAAPRTLEDIQDEIASIGEKTR ALKKTLSKLTNEREHARAEINSLELQLRDVKSNLDNVKFQLERKADLLSRIEEYKTLN NQQREAIAKADRDIEDLNPELLKYQAIYDDISQRAEARERELQQIISQLSDSIHQVEL ASEEIDAYNERGGPHQLDRSKRELKSIENEISQLETEQAALTRTINKISTQLKDSENT KRQYADNLTYRQSVRAFDKVTSEIRELESKNAEVDRSRFKEESERRSREHNALSAKQS SKMGEMKSKDDQLMQLIKDWDTDYKDAAYKYKEAHIKVETTKAAVEDLARYGTALDKA IMKYHGLKMEEINAIIGELWQKTYRGTDVDTILIRSDNENARGNRSYNYRVCMVKQGA EMDMRGRCSAGQKVLASIIIRLALAECFGVNCGLIALDEPTTNLDRDNIRSLAESLHD IIRARQQQANFQLIVITHDEEFLRYMQCGDFSDYYYRVSRNERQKSIIERQSIAEVM ANIA_10432 METSAMNTPSTEGDNTSNYHAIQAKNVIQLELDDSRSGLSQERQ SILRSALQLVTDIAASERHQGDALAENESLDDGLAVPESPPREMLFMLLRGPMESPGD EWPDHISDKAYERMVTALLQNDLDRNGRLFHQYSICVYVKAVDRLFRISRTIDNQAIR RELSQSRRIYTRAAIKSISQFNLLHWPDLLSIQSLISSALLMQHLGRFNQCWLMISYA ARQITALNYHKINNTQPSTQAEEDIHSAVYWCYYLDRTLSALLGRPVSLPDLDVSPVD LITLDPSSPYGSLFRAVLELAEVQGELHRVSCCSDNSAIVETCQALETKMHNILPALQ TIAKICAQGRHALTKMVQYDRVAFDFCYYAIFVEVQRTRLKSFFTPLIHRQCLLNARK CLQAFQFLQQHQDPAEPSGFGDAYPSFLTCAFFVVFCNIIATLDHNDYRLIRSIIEDL SRFNQDPHLEKLLSLLRSLERLCEPLFLENHSAGPARQGPPVQQETSVTFQTEAQTSS GITTDIPQTYPLDDTGMGSLNHEPIPLQNTGLSFPVNELMWQLFNSEVPAGWLAANMY PFNG ANIA_10464 MDRFDSHLHLSSKSIPVMSAIVVTGSSRGLGLELVKQLFGRVSE TSGLLIVTARRCTPALSEAIAQSKGSAVSVPLDVTDEEQVARSVEEVRSTLNGHSLNI LLNCAGVHGEIHGKIALIQCIPLMQNSAVKEIINMRVHSSGFGSITSAQDYIYALCPA YKISKAALNALTVQYALSYRDEGFTIVAVIQDDMGGKNADLTLSHGAEAVLDIVAVLE TKDNGCFRNLCTRMGRV ANIA_10431 MRIPVPWKVLGSTVGTGGACTILSSFFFTRDIEFVPLESSDPIF HSEHFKRYNPYGNPTIHDLHIKRVPLSQIDPKLRADEDKLLERYCGGVWAGLGFAPQR ILLALLCKETDRTDPAAPESVTLWSPSELLESEYKPGTDIAGHFEVIERPKQGHSILI RGGDKISNRGLRPLDGFIELTASVDQQHGLVEFGCKTVFFQGLGPAAGGKLPMPGPVV WLHEQYAKALLASGVSYVCM ANIA_10448 METRKRLLGPKHPDTLASMAGLASTYQSQGRWREAEWLEVQVME TRKQVLGSWHPDTLTSMANLASTYWNQGRWKEAEALEVQVMETRKQVLGSWHPDTLTS KANLALTYQYQGRWKEAEWLEVQVMETRQQVLGPEHPNTLTSMANLALTYRNQGQLKE AERLGVQVMETSKQVLGSDHDDTLASMANLASTYWSQGKWKEAEALFIRVLNTQKQVL GLEHPDTLASMADLASTYQNQGQWKEAEELFIQAMEIQTTVLGSEHPDTLISTANLAS TYRSQGRLKQAERLGVQVMETSKQVLGPDHPYTLTSMANLASTYWSQGKWKEAEALFI RVLNTQKQVLGLEHPDTLASMADLASTYQNQGQWKTAEQLFIQVMESQKLVLGPEHTN TLTTMANLASVLLRQGQWRQAEELHLRVVETRKKGLGEVDPPTLNSMVDLASIYKHQG RLAEAKELERQIEDARIWQLEQDSDTDSETSIISSIMSEAYSHDSTTSSGSLYETQRA VPERVARALFEEMELQAYYRRAIEKFGKAHFTRNHDHILRRLFKDLRLEAKNPHHRRA LRFANWLSLNRVTELICELCDPNPDLQQLRTTQLLLTQKEDRQFILNRHLRSLANKLD NTSISELHDPGMTVTEAVVMPDFETKKDSKHHGDDRERREDGEDEEDEEDEGDVDQAR MLQQLDMEYLMGGKPFRSYKDSVRHLSHTPNTMKEAIESQNIDNIRRLLRKGSDLAVM DEYAWIEELYKLGYSVDDIAELLIENAKDSPWINFEPNSYEEAEPQHGLHLRDCAHQF SFNRPLSQGQLLTTETVSRTEIVQEIQELCGLAGITPGSPELRSRTGSVKFEEQNSVA IISYAVNENDHDLDGNSIISRLYRIIERLSSAAGRVQSAGLCCDCFTIIRFPEDQPNP QLSSVNQVEMCCMEFELIVQMKTELRRLLSVECIEMTDVAGIRAVTERILELLTQTAR NIPVDTVDCVFNYCCLAIQFLSLGFLSYFQAHMGPIQFFFLDTLQTTYVLSGNEVSPS RYHEIIASLTNLTCMGEMIQSPVFTFRIRSAETKDSVEKTGPKHDLLASIEDILDTWG PGQVIARRLDNLPYAIRLGGGFITYDGRKYHWSQDVAAEQWSPIKWERRHKVVIGAVV TVNGLCTIDENQYWAKSSTILEPLGTYPTWWELNQRQSGFQAGNYVLYQINGVWCKMH GRTLKQSKLEQDDEMLIPFLDDLWGLQVSFCTSVARRVALREMVADLLPTFATATYTN EQQELWEDLKMNYNIVDSFKGGNLKDWFRLLTPQLYQYTLGILRKMFNVLQHTGIDRE GRYLLVSWPHEHDLLRGFKIPCEKQSSWARILADSDDCATFAYISSKCLETETIKCSG PLRNWTNTTLLLETAVIFHNLKEPSFELENDEIFFFKKLDSLLYVRVHRRNETSAASL LTPSTSIASIPWSLQQRLFMREKQRRKRRLREKQATYDIGETVVVLAAKGLL ANIA_03616 MVYTIVVHMRAKPDQESISKLSAKLQEASAVYSKDKETLSWHVM QSVHDPQDFCIVERYLNEGSQTYHLNNPYWKTFDPYVIPLLEKPMDLRRFEELEEKKE ANIA_03615 MSQSAMATTEPTHGQADSVASVESPAQDNPGPKDHSDYTRALEK RLSELESRLLNVELHSKESVSKRVISGDNPESELENAAKSPSDDDAGPEPEQLPVVRE IRRLNWINFVNRFPDQKDAALIELLMAPPSLEDEEKKDSLFCAKLQLVGAEEAQQLMA TMRERNVFRSDAYLQSVRISSIPLARELVDILGSDDDITAPLIFRRPFAPLIYHIDDF KKKLAKLEAELEKTTEEDVLSIFPSSVVPTQTAMTASEKARADRTTLAGDFRYLIQFL EREILPFANLFDSSSKPETSSRHRKTCFRDLWHLFRVGEYIYNPAATFSFQSNPKAVV NESGDQKLWKLYRKRTVGNDFELKCYRIDHNGEAYVCIPTTFTISYFKGEQDIVNLTV YPLRFAEDHKALLQVYKESGQKCKECIEAKFLLHTGWALTPESQSSLQYIASDVILDA GEAMKLHFNWKFDSKYPSTKEWDRGYPYYLYHVFYWRLKDQKAVSSNIQNACWVDDWV GRKEKIDYCERVDSFLSHGINGREKEYQLNDDDIVLLPRRLFAYVLQERRFVAVETRN LSSVKDSTSGTFENLVINPDHMSLLQSLVHSHYMRKQIQDSGRYSVNQDIVHNKGRGL VILLHGVPGVGKTSTAETIAHQWKKPLLPITYGDLGLSPSNVESKLKDVFRLAQLWGC ILLLDEADVFLSERKATDLERNALVSGGFPSHGAESSLTVSAFTVFLRVLEYYMGILF LTTNRVGTIDEAFRSRIHISLYYPDLGKRETRKIWKLNLDRLRAIEEERAGTTGKPAL TIDVDGIKNFALEHYKSSQQGKGRWNGRQIRNAFLVASALARYEKEHPDSKSQPSINT SPYNTSSYDISARHFKVVAEAGVGFDKYLYEIKRKTPGEQALLHGYRIDSVTHKSPQE PGSQFTAGQGGIPPSNQGLFPGQSSPSLQGHYDGLQAHNPGSRQSQAQFSQYGYTADG LRSQPFVPHGHDPTFNRSPQTGYGQEFGMGMGMRNEYNLQPPPVTSSKHSFKGSPRGT PSGFSGTAGHGDDDSDSDD ANIA_03614 MLDRWRKLDPRNGLREQKEIAADFTLKSGKEFHQALTAPAKDLQ EALEMYNATAEEALRFSVNTCTFDDVLSELKAAVRVYEQKATGPANVLRRFARAAGDY SVEIAPWCDLVPADNGLNVLSAGLRMIFSIAKRNADNRERILQAFHDIPALVNKASRQ QSQFAFSKDVRHSAITFYETVVRALAQLIVQLNGSINSDTRFWDRVSRLRERLFGSSL RGKSIDTILRTVSIQVAKFEECLDLVRDKIRMGTYETVVVAAEAMHEFNEKLQQLQSD MKSLKENAEKSKDDGPDPILQRQVSTEAIELGQKYGSMNRKDQHSLDIMNHLFNFLIS NYSELMQSLGVYFRQHLEDLDTVLKEQHQFDDAAQSHARQLLQSPRFSHWFSSQSSDV LWSVICIIDGITGFEYGPWLNDLWDVMDILDKIVKDPALRPHFKLLVTTPFADGVVDR TVMEHQRLVLPSDSSSGGFAEMSDRLVWDEIGQATRLEEYRRAVRLNEEEDSEDSDGL SE ANIA_03613 MVSFKSLLVLCCAALGAFATPVGSEDLAAREASLLERSTPSSTG WSNGYYYSFWTDGGGDVTYTNGAGGSYTVQWSNVGNFVGGKGWNPGSTRTINYGGSFN PSGNGYLAVYGWTQNPLIEYYIVESYGTYNPGSGGQHRGTVYSDGATYDIYTATRYNA PSIEGTATFEQFWSVRQSKRTGGTVTTANHFNAWAALGMRLGTHNYQIVATEGYQSSG SASITVY ANIA_03612 MRNQDMNGSANGEAHLRSPTSMVPEPIAIVGMGMRLPGKIHSAE ALWELLISKRETSGPVPSSRYNAGGFYSASKRPGSVAVQRGHFLDESDALDRLDTSFF SMGKAEVEKLDPQQRMLLEVVWECMENGGQRDWEDSNTGVFVGTWGDDWQDFLAKDPQ QTGGMLNVSGAGDFAISNRISYEYNLKGPSMTIKAACASSMICLHEACQSLRDGGCDA AIVAGTNLIITPTQTIAQSEAGVLSPTGECRTFDASANGYARGEAINAILIKRLGDAV RDQDPIRAVIRSTAVNCDGQSAGISAPNPAAHERMIRRAYKFAALNDALETPFVECHG TGTPSGDPLELQAIASVFGGIQDTYVGSIKANVGHAEGASGINSVIKAVLMLENRVIP PQVNFAVPNPRIPFEAAHLVVPTEPTPWPTGRPERISVNSFGITGANAHAIIESAASY GVVRPCWNGSSVPKLLMFSAKTTGALKSRAAQIRRYADAYPDRANALSYTLGCRRSHL GHRAFCFAGANEVTYMAERVKQTPVINFVFTGQGAQWPRMGKELMEEFPQFKNDLVHM SKILAGLPHPPAWDIVEELLQPMTESRVNGPEFSQPLCTAIQVALVNLLDVLGVSPAA VVGHSSGEIAAAYAAGALTVEEAIVAAYYRGRVATESSRTGAMAAVGMGRAEASLYLE DGVVVACDNSPNSVTLSGDKEALGSVMEQMKSDDKNLFIRLIKTGGLAYHSHHMLNLG SRYEQCLQPFVRAHSARLPFFSSVTGKRLAEEALLDARYWRQNLESPVKFYPAVRALI ASQQPADQLFLEIGPHSALGGPLRQIFKATKTKGRLAYSHSLVRGRNAVESALEMCGQ LFLQTVDIRMEQWTHGGSTLTDIPAYPWQHESSHWSETRAVREWRTRAFPPHELLGSR ILEGSDVEPIWRNLLRLKDAPWLSDHRVLQDVVFPCAGYIAMVGEAIRQITHCDDFSI RHLSISTAMILGDEKTVEVMTSLRPSRRMSTQWYEFAIYSYNGNTWTTHCDGQAKGGR DDKAVDLQMPGLYTQLPRQVQSPYPIFTRAGLHYGPAFQGLESVCARPGHRTATASLR LPPETGSVYPLHPTTIDQCLQLLGLASAEGLAHHFEQILLPTMVESLYIQGALDAAAP MQTGARAVLTADNSGNFQGEMAVVSGDQVLLSAHGCKLSPLENERAGLSRDDRIAAAR LYWRPDLDFIPLESLMSSHTKDLGALQLMETYVFLCTVEIQHRIRNRAPYNGHFGKFH HWIDECVDQGRRGENQIVAGSGELVKLQPNERMALIRQLRGKIADSEFGNVAELVSRL LDNCVEVFKGETEILDVYLRDGGLTELYAITGDRIDSTEFFITAGHTNPTMRVLEIGA GTGGTTLVALQALTSINGEPIFTDVSSGFFSAARERFAEYPGLEYRTLDIGKDPLEQG FDAGSYDLIIASNVIHATETLNVTLKNVRKLLHPRGRFFLQELTPSAAKMINLIMGPL PGWWLGEADGRATEPIVSPQRWDLELRAAGFSGIECLVHDDDNQRDHLGVNIIAKPVR PAPDFPCVTLLLRESHDDLNSVKLAKQALSDKGYHIDVCSLGAQLPVHQDIISLVEID SPFFEPDCPGSIAVLQRIVSQLGSSKLLWVTGSAQMGPVDNPFYGLTLGLARAIRAEL SPSLATVEVDRLDPKSAETIVEVFEKFQDTASSVNPDNEYVVKDGVVHIGRYHWTQIS GELAQSHDTSGHPLRLETRREAGAKVLGWASQTPIPLGPNDVSICPAYVGVGLKDYDS LVGLEGSGTVAAIGAEVQRLKVGDQVMFLKDDCLATSITVAADHVAKIPDALSLADAA SMPWAYCTAIYSLSIVGNVRKGQTILIHEATSSIGLAAIILCQMLGLEIYCTVTSPNQ AEHITTAFNIASSHIFAFGDASFQSNLMAATRNRGVDNVLLNLPSVDVLQASWKCVAS RGKLITLAKPGLYDRAELDLDLLKGNRSFVVVDITSLHDVYQELLDETIHLYTHGHIK PITPNSIVGADQLQDLLAVVNQSKSLGTTVVVVPDAANALPVHTAHPELKLRPDRAYL LVGGLGGLGQSVSTYLVERGARHLVYLSRNAGAHERHRQFIQELESQGCSVQAVQGDV AKLADVKTAVEAASQPIAGVLQMAMVLNDRPFLSMFHDDWCTAIRPKVDGTYNLHKAL LDADTTLDFFVVFGSVSGAFGIAHQANYAAANTFQDSFVQYRHAHGLPASILNIGAMA NVGYVSENHAVEEFFRAAGMPFLSEGDFFEALHHSIRHQFTAAPLAQSRSSATAHGRG AGATNVAQLALGIRSTKPMDDPSNRVLWKHDRRVDIYRNIEAARLQDSTDGRDGGEED KLAAFMTELRSSPSVLDRADTLAFLTHEIGVKIYEFMLQPVEELDVTKGLVTLGVDSL VIVEIRNWLRRKLEVETSTLEILNGGTIETLGQICFHRLRGKYGGSAPGR ANIA_03611 MTVEDSSLKPYQNVQFKTLDGIYLRGRLYPAAQRGPAVILSPGY NVVLDNFPPGVPEELQRTGITALVYDPRNTGRSGGFPRNDIDPFKQVEDYSDAFCYLS TLDIVNPKAIVFWGISLSAGIALSAASVDKRVAAVIAIAPIFKFLPVTETDARRLKTK MLKDREAQVLRGSEGYILPIAESLAEIPFNSHVNANLGDETDGEDKERRRRTEAEQVR EQHERWREDAAAADQDFDETLTHNPYGTTLQSYHRMFLFEAVPEAMVKEIWPTPVMFL TPEQDQISPPQKQTEVFESLRAPKRQVFAPSKEHVYVLHGPEMPMLMKWQIDFIWQVA RGLLKPAA ANIA_10430 MSSPEIEPIAIVGLGCRFPGGADTPEKLWQLAYEGRQCWEEVPS NRYNWHAFHHPDPEARGTHNARGGFFLQQDPAVFDANFFAISAAEAAAIDPQQRLLLE VSYEALENAGMPLEAIRGTQTGVYVALVSRDYDRMIYKDPSQIPKYHLTGCGDATACG RISYTFDLKGPCVSMDTGCSGSMVALHLACQALRLGETNAAIVAGTNLLLGPDMTIAM SALHMINENGRCYPFDSRGAGYGRAEGVAALVLKRQADAVRDGDPIRAVIRNTGINQD GKTNGIMLPSFEAQQQLTSTLYRQAGLDPNYVCYIEAHGTGTQAGDAAEVTSIKQVFA SAARTRERPLFLGSIKANLGHSESTSGLAGVIKTVIALENATIPPLAALETLKPDLHS LLESTKIIIPSKPVSWPHEGTRLASVNSFGFGGTNAHVILESAPSFELQTQPTNGFHT DSDCICVDTDSDNGRPQLFIVSAKSRVSLEAAIYNVRDWVSRHGATYIKRQELVKTLC HRRSIFNWRASIVASSQPGILSALEKPHTTKSWTKVQVVFLFTGQGAQYARMGKELVG LDSVFSHSLDQSQTILTELGASWRLLEELLREESASSINSSELSQPATTAIQIALVDM LAEMNVRPSAVLGHSSGEVAAAYAAGILGRREALTIAYHKGFVAGWCRNAISSQGAML AVGLGEAQVMPYLQHGSSSAGQCTIACVNSPSSVTLSGDQAALAEVQQRLDRDSIFNR RLKVDIAYHSHHMQAVAGQFGHCLRDLPAKKAAASVRFYSSVTGSETSTALGASYWVD NLVSQVRFGPALEELTEKYFASSSNSLVLLEMGPHSALQGPIRQIMNSLERPAGRWTY ISSLVRNKDAHIAALEMIGGLFEHGVQVDLTADLLALPREAHPVVTDLPPYPWDHSNT YWQESRLSKDYRFRHHAPHDLLGLRLDGTSTIEPIFRHVLSVDELPWLQEHIIDGFAL YPGSAFLCMAIEALKQVSQDRGGKRKIAKYVFRDVSFSKALVVPSSPASIEVLISLKP SRLLKGRMGVAWEEFRVTSVSADGTWNEHCRGSIHAEFHEEHELEDRTGSAGRFAVIR ELHLGEQQAIGRLKVPDIAPLMPAQHMQPHVIHPTVFDAFMHIVLPLYHRHCSQGPVM LTSIGEASISADILNKPGDELLVACRLAHAGRRHGSVEVSIFQRDAQGDLIQYVYRQG TLLVPQLEKSASTNQWLAASINGSSIEETSGFHTSGRPLQLHFKTPGLLDSAVFVPVD GLLDTLEPDEVSVKVYAHAVNRVDIAIASDRAEPTEVMMGEFAGVVVAAGPLCEGAYR PGDRVCGWGSRPYTNIARVKCHMVHRLDDAISFIEGASIPIAFQSAAYALTRITRLER DQTILIHGAAGAVGQAAISIAQHVGAEIFATVGSPEKKQLLAEQKGIPTSKILSSRTA AFRDDILNLTNGRGVDVVINCSSGDLMDESIPCVADFGYLIDLTKSKIPLSMDRGLRK NVTFASIDMRLLATQRPRQLKELFAKVMELYQEQSLTAIAPITTIPITDLSAGFRLVQ SQRYAGKIVLAADETVLVKQLAPKPELPHLTADGTYAVVGGSAALNRMLCSFLEARGA EHVLSVQSPNPAAKPDAQVSSQELSTLNGVPGQALWSR ANIA_10447 MQAPMQTSEVLDAPSLSHLVKLIVQRSRLVQKESSSAPNEISSA RDQTDTVEKKRATTTSPLPPLPIPELRAIINRHLSYLRAFATDQEFQETVRFASDFQT PGSIGRRLYDRLQVMKAANPDTWYHDLYLQNQYLVRNGPLAPYMTFFFTHPVNIGRHS QAERAALIASTVIRYKFCLENGQIQPRLVNEQPQCMDLYKYMFNTVREPTLGVDLMSR YPGNDYFVVLRRGHVYKVEFDSSAQHAQYERLERIFQTILDTRIDEVDWLGVLTTADR ISWAKTRHEFMHLSEENASYIRTIEQSAFVVCLDDGSPETPEERGRHFHFLDGSNRWH DKPIEFIIAANGASGVLGDHTGLDAGTVHELNTEIAEAIRRHQDRRTLSNGTSCEVTV HPVRYSAISPGIEARIHETRSIYTAAISSREHRYTTWTGYGSSLMKAYKIPANSAFQL VVQLAGRYYFGQTSPCWETVLQSNFHTGRVEINQVVTAQVAAFVDAAAEAVPLSDCRQ LLLEAARAHSSAVLACTRAGGSDRFLSMMREIVEADEQEPELYHDPVYKRARPRKFIS NCFTTGMAENGCCLREDDGIWLHFEVEPESVKYSILGPAGDTTRFCDSLARAAEKVWD ILQAA ANIA_03609 MSFLGLLLSAVFPVAIYGIFLVVYRLYFHPLRRFPGPKLAAATF WYEVYYDWFKGPYPGSSWNLDRLHNQYGPILRKTPDELSIRDPDYVDVFFAGGRRDRY SRQGKEAQGSVQSTLLGSDHRRRRGALTGFFSKRSLDTLEPFIMDKVEQLSASVEENF LKTGNILEAGVAFGALTLDTITDYCFDQSFGCLSKPDLAPEWRRTFWDMLESIPFLKN WTFFAEMFFWVPQWVVKHTNPAMEQFFIMQAAIRAKVARVTMEWEQDQALQLQGKDPF MKGKRKRTIFYDILNSAVLLPEDKTPKRMAEEAFGMVVAGGYTTGKAMANLMYHLHAN PKWLERVREELDSLMPSPDQPVKLSDLQALPYLTACIKENLRISNIITDSIMLVEPVD TLTYKDWVIPPKTPIGMTLYHMHMDEQIYPEPKAFKPERWIKGAEAKHDLDKYFAPFS KGTRGCLGVNLANAQMYLGLGVILRRFDFQLFDVVKERDVDTVRDCFVGLESPESKGV RLRVMDKRE ANIA_03608 MSSGLGEKARTVLDVKDDDPDRQSGTKAYFRVWGYSSVQDHVIR VTGLFAAIACGAALPLMTLVFGTLIDNFNDWGAGKLSPDEFRSHVSQNALWFTYLFIS IFVLSSFNTACLRLTATRCVRALRHDFIRSILRQDLSYFDNCLPGTVATVLSNNADLV EIGLGEKLGIAIEGVAQLCAAFVVAFARQWKLTLVVAATLPLAMLVIVVTVILETRIT TKILAIYSKAGGIAEEALASTHIVKAYNAASKLQARYDSYLERATQLGVKRGPIRGIQ YGAQFAIMFCAYALAWFYGIRLLVKGEIESGGYLITVLTSVLIGSQSLTLIGPFIGEV SKTAAAAQELFQVIDRKPNIDSLSSDGRTLTGVTGHISFRNVSFAYPSRPSVRVLDDV TVDFEAGKTTAIVGSSGSGKSTILALVSRFFDPVSGSVLLDGHPIHELNIRWLRGQIG SVQQEPVLFSESIFANVCHGFFRTDMDLLPEHERRIRVQEACEAAFAHHFIQGLPEQY DTPVGAGDGLLSGGQKQRIAIARSIIRNPPILLLDEATSALDPNAEGTVQAALNNVSK TRTTIIVAHRLSTVQRADNIVVLRKGRVVEQGSHRELLAKKGTYFDLVAAQTEDGIIS TAGDQTGTSEADSVLVKKAAVEDYNSAMSSQSSKATAHEKRVPLLKCLFILLRGRLQL WPLFFCGLIVSIGAGSVFPVQAVVFSRAILIFQFPLPAMASEMLHKGNFWGIIYIVLA VSVLICYAGLGFFFTVAASFLSGTYRSRYFAAMLNQDVSFFEEEDQSAGVMTGQLSTD PQRIEDLISLCLGFILLVVVNVLASCILALAVGWRLALVAIFGCLPPLFLAGYVRVRL EITCQERTTRLYLESARFATEAISAIRTVASLTLEEKVIQMYDERLSHTSPKFIRITL VSAILLGLCESLYLATLGLIFWYGVKLLSQGEYNVETFFMVFVAVIFGGQAAGFLLGY TVNTAKAHTAANNIIHILGSRPSINASTGKQETVQLDSDTAIEFKDVHFSYPARPTVP VLQGLSFKVKKGEHIGLVGASGCGKTTVISLLERFYEAGSGEIFINGIPLHDIDVHSH RARIGLVTQNPTLYQGSIRDNVLIGISISHQNEELDSQIEEKLTKAYKDANIQDFIQS LPEGQQTDPGTRGLALSGGQRQRIAIARALIRDPELLLFDEATSALDTENERLVQEAI ERVSHGPGRTTISVAHRLTTVRRCDRILVLHEGRVEEEGTHAELMARGGRYYQMVLAQ GLDR ANIA_03607 MDPTHLQLAQRSHQYGLDPELTSASYGISMGLPQPQHSLLQSHS SINSSAPGELPWPQRILSEVHDLLLLLSADGVVHFVSPSCKAITGFDKPHLEHDYITR FIHDEDKPVFARELHESVAMARPFHCHFRMYQADNSTCLLEAHGHPHFEQLNNDATGA ANFNQSQHQQQQQQHQQQQHQQRLCQGVFLVCRPYHNESRHLLDSFLEHKLENVRLKE RIAQLKREEERDLQTAAQQTRSLQVPSSHAQRPIPQTHKAFASNLDPSLLSSGAADDN ESSDTLDNFNDMDVGFGQGQARAARGQKQGGEETSVSHLNDVELLTGLHFTKGERAQG ISTGTRDGRLYYSTTTNAKPSREQRVPPENESRKRLKTEYKCADCGTSDSPEWRKGPE GPKTLCNACGLRWAKMGKKRQDSGL ANIA_03606 MYIPKKYRNHNLIYILMAVELAFIIPILTFTGIAAHDRSFIDGE VPLHILGILYPIVLGIVQTGLIVVYCVSAAWQAGSDTSDPDHRQSGAPWYITKNCNVA HDSGNVTYCQQAKALFAFTILIIVLYTVELGIAIQNCFITEEERAERDEEREEKETMK AYEDMILKSPTMIPMTPSAIPMTPGPGGTATATAQFPRSPMPVVTPRSLAFNRLDSND ASTPTSTDLPLREHFTAPTPQAVSVSQEVEAEPTIQQPQPQIYFPPPPKKAKK ANIA_03605 MSSPSRKLSVPHTVRTAAEPRQNRLYPVRLSHIEQANPTVRLLQ LALPPSIQDPNSQDQLENSDDATLPEPFTFFPGQWLDVHIPSVPNAGGFSITSTPADA QVLPSLEPSPELVPADEELPPPIDPRGREPYVELAVQSAPSNPASAWLWRPKEEILGK ELSIRVGGSFIWPPAGVKLEELQNVVFVAGGLGINPLISMLSHLNNNDESTGVYHPSL NIHILYSSKLPESTTPETALDQILFLRRLREIVRSQSQFQRLRIALDLFLSNLQDQSS PFLRQSPEDLAIHTRRINRDDLARTVTGTDSKYSPDNTVCYVCGPPQMTDEVVGIVTE LLNGRKDRVFFEKWW ANIA_10446 MTRRFVRAGVQLAIFASFALLLIVTLDNRFRVLPAAIHGHLPSH YAGFVVTDVTVATCSILNPFSSCKSSAPWWTQVEKDLYLRTGWTSAAYIRFQRKKEEE LLPADKVVIDLKISRLAPEVQDDPKEDKADWEQRPGGIWLKRTAKRHASDSHSAITSV DVLFGADAVDPRPGWEVKDTPILLDSRTESLEARITVRRGDPLKIKKPVPRINENGRF KIMQLADLHLSTGLGHCRDPVPPELIPGQGCEADPRTLDFIERLLDEEQPDLVILSGD QVNGETSRDAQSPLFKSVKLLVDRKIPYAAIFGNHDDEGNLDRHQSMAILEDLPYSLS SAGPEDIDGVGNYIVEVLGRGNTDHSALTLYLLDSHSYSPDERQFRGYDWIKPNQIRW FKTTAQGLKAKHQQYAYMHMNMAFIHIPLPEFAQRGNYFRGNWSEPSTAPGFNSGFKD ALEEEGILFVGCGHDHANDYCALSKNEAQKPSLWMCYGGGAGFGGYGGYGGFIRRVRF FDFDMNPGRVVTYKRLEYGNTDARIDEMMIVDGGMVKGPD ANIA_10429 MGSTLPYLKTNPQIIFFSDFDGTITIDDSKSIASAILIKTLCQT DNLGFGQEKRRQLNKDVLENKVTFRDSFREMLDSVPNAFDDCLEILKKNMRLDPHFKE FYYWAKENNVPIVILSSGMIPVIRTLLETLLGHNIDDHLTIVANDVESRDGRDINTPG GWQIKYHDDTHFGHDKSLEIKPYAAVPFDERPTLLYAGDGVSDLSAASETDLLFAKAG KDLITYCEREGMPYTVFEDWSSILATTKDILSGRMKVKKEQKE ANIA_03603 MTPRHVTPIYAKDANVIVSLLDLHVAQPGEGDLTNGPAPLQILE SGTGHGALTLHLSRAIQAANTLPPPLPTASQVKYLEQLPEEHENAEEDLEQEDQEQRQ QQEQEQEQWDTWRATRRAIIHTVEVSPIFSRHAEKLVRGFRRGIYAGNIDFYVGRVEN WISDQIKKNSSQHYQPFLTHAILDMPSAHLRIPHVASVLKPNGILAVFMPSVTQITEC AMYIRKHGIPLELDKVVELGTGISGGRTWDVRFAVKKSKADPGWDSANDPSGSEVMAE ESGSESVGGDGGEPAQAGPVANPKEEPVLVCRPLAGQLIGAGGFVGIWRKLHIPSSSG V ANIA_03602 MYSQTHQQQHVIMNGGQAHQRFGMQMKFQTQNHHPHPAQQPHHH AHHNQPPQHVGHQHNFSSGALASATPHFTPSHIQNGTHTNIDEELDESMNEHWQQQLQ LAAESRQASSPHYYARAVAQQTKGIQIAPSQPEAQENGVNQKNGITKTKPASRQGWHA LDFGGQGLRALAPSLFKYAFLEKLYLSHNKLKVLPPQIGQLRKLTHLDLSANDLTELP EEIGMLTNLRHLLLFDNNIRTLPYEMGYLYRLEILGIEGNPLEDVLKSLIMKEGTKAL IRYLKEEMPVHVPPPARDWLVLDETAATSPDKVSVLSYNTLCDSSATQSHYGYAPSRV LSWEFRRETILNELRAHDPDIICLQEIDQGSYNEFFREQLAYSDYKGVFWPRGRAMGM QEEDAKGVDGCATFFKGSKFILLDKQVINFGQTAVRRPDAKGQDDIYNRLWQKDHIAV IVFLENRQTGSRFIIVNAHLYWDPAFKDVKLIQTAILMEEITKHSEKYAKWPPCTDKA AFRFREAQGEQTMPEPAPSAEYASGDQIPLFMCGDFNSSPGSAAYNLIANGGLIEEHP DLEKRMYGNLSKVGMTHPFKLKSAYGAIGELSFTNYTPDFKDILDYIWYSSNTVHVSG LLGEVDKDYLQRVPGFPNYHFPSDHIALLAEFSVKGKKGKVVEADFGPQRN ANIA_03601 MKSVYSNHSEHLKPLSRGQVRVLYQRRREDPEYALIRPLKLESS SIRVLINRDMALLKIDTHQHVMPPAVKKAIQKNPGLAQGMKAPDWVLERTLEFMASND IGTSILSCPFPLTVVCQDAAETAALAREANEYLASIRDRHPTQFGFFASLPSAEDTAR CIDEIRYALDRLKADGVVLFTSYNDKYLGHPDFEPVWRELDSRTAVVFTHPTMEDIKK SIDEPFTIPRVLMDWSHETTRTAVHLILTNTIRRCAGNCRIILSHGGGTLPFVAGRIA DMGLQTHISGKSADEFLADARLFYFDLALVGHAMPLQLVMDFASDGHVLYGTDYPAVK DGDVAQQWMAVGDKPLIAATRMAAQTLFPRLAE ANIA_03600 MDMMSVALGAGTGPFNTNLSSTASGSDLHSTHSATSSGPWAATS TSSLASLNDYTNYPLIRQGDRTYLRDPENNYPLPCDLPEIQRQILRSLMLMRVFGGPF CNPYLADKPPKRVLDLACGSGLWSSMCHDYFARRGHRDVALYGIDIVNVAPDLRKNGV NWHFKRHDLRKPRLPFPDDYFDFVFIKDAAMCPLSPAQQAQGLAEPLRVLKSGGILEL WDSDSVFRSLLPNPAPARKLASREQDTAEATATYTFSSATPFTNAQNKFLQDYNSWIG KAFDRRKLTTLPCATIGLAFNSEVDVLGKVESRRIAIPLGEPRWEREGGKDGSGPRKQ LTPDQLSIRRTALLTVIQMIEGMEPMLMEASGKSRDEWDRWWTAMISDLFQKGGLANG ECLEVSAWWGQKK ANIA_03599 MIRSPVSRSQLLASAFATRRSTAIRKLSGSPQTNNAQPEAPSSK PKPHDIDPRWLTMTKRRVGRCMMFGLKPRQVQEAGDIVQQIARDWRELIAGSEGYLTD ATRRGLFRQRVAWGEMHGHVNNVTYVRYAETARVYFTHNFALHIDPAHKTEWMNLVSN KGLGIILRSIKIDYKFPMQYPDKVTVYHKLVHDPSASHSSQFAFHLQAMILSEARQRP AARVHEDLVTYDYKIGKKAAIPPWLMKQLKDTWELQEQAKRHWQQRILDIEARVRKLE VESWDREDAVEDMGSAKN ANIA_03598 MGVEVQRISPGDGKNFPKPGDTVSIHYTGTLADGSKFDSSRDRP GTFVTQIGVGRVIKGWDEGVLQLSVGEKAKLICTPDYAYGARGFPPVIPPNATLTFEV ELLKIN ANIA_03597 MPRSSATARKSHSNRQDHGGGGSGKKPSKQKSSGHLNATYNGTA GSETGPSSQVDWPSHRSGDQSIAAAAAKSNGPVDSLKADTNGRGYPGGYAKGNADMSY GQTNGGVSPNGGLAGPASRRTDKSVTGTKRTTSNASVNPFQLASTILRSCPMYDTIAI LIFLLQLPPMVLTLVQFLFASLTFMPPSGTASGSFTSNFDIFQGPAGTPSLGTMIAMD GFCLLVWGLFMWTWAQNFALDLAHVQVAITLGGGGAGKNGGVNALCVGIVLILHLIRS KGIQDFVVGHLVSAKIISPDLLSHYSYLMPAEFKRTESQSSPSWIRSLLADPGPITIR QTRGYRSVCRLTNPDRLGVRIRGQRFFLSYGPDGQIITAAHKDGRDRLISAKKRRRQA NQVRSRQPFWAALASTKVTVMREYEHSRALSKTARGLATTEDDLQGVSLDDGLVWITY VDSSTIKFAAGDFASSDDHSASGVCEAGRVSSEDAEPFYVCVNGAPWATVVITKEHDP SKASNTIYWRGEISGLAPNCAYTCSFVKCDTDEEICAMSVKTPAANDAEQANSVPAPP QPSYRPSSPTTTLKNSIINAEAKLNEKRARLRKAKNDHKLAISKIKKELDNYTNRLQS GTDENRQKQRSLQLERNIRQTEEATAALDNQIDNLGNVPDDEYQEWVEQKAKYERELE LLKSAKAEIAATRTANARELSSLESELNSTTQRRERLQGRRTRVNEQYERIISANAQG LNERERRAAEQFAREQDQSKLEQSFNEQFASISQSVQDYQLRTSQLWQQCTAVEQALQ QQLLMEPAPLTPEGELPGTSTFADAPSVPLGTLASNMPSHRSLLGQSFPPLKSSPLQH YASPIGTAPSHPTSPIAAPSYQPFSSSPFGNAASFLDPDFVYRDRSFSNRSARSSLYG SEFPDAITARRVPFGVDPFELGNEKRRGSGSDSTPLNGPSGLRPISSPFQRAASRASG TGSGGSGGSGSGSGSPSSARGKGN ANIA_03596 MKLLHSQASLAVSRNLRAGIGSRYIDAHSYASLLPRHPPQQLPH RSLTTKASINPTTATPSSTTTTATNQQDVQSSLSTQIRLLMRRIPYPVAIITSTDPNP SPPPTHSSPTVKTSQFTSRFRGMTVSSFNTVTLTPHPVISFNVRRPSETLHALISSGR FLVHLLATDLATASLARDFARGNQNLALEEGMFEFVGVAPSSVSDSETGTEALGTKEG PTILPLPMLRRKGREATLSTYAPETGSSRLESSFFPFIFECRLLPESVVDVYDHTIVV GKIVRAITSLNTSSTSDSAEIPSEDLCLTYANTKFWEMGKEVV ANIA_03595 MSLHTTLRRTAASPLISQGTTTFICTQCRHATLLRRPKRPYTFT QLITLSDGSTFTHRTTSPLPVYRSTRDTRNSIMWNPSSSKLKSVEDDEAGRLAAFRAK FGRNWDATAAPEDSAAAGGDKDKEDARKAAQKEAEEEDDNLLDLISSFGQEEVESGKK K ANIA_03594 MDLDGGDSPWGDVPSQSTNNLAASQSETEGTGAFPPSLTLMCGL MLTPTLRSPRPINTARDTPFDRPLGDKPAENSPTGLEQAPVPPQKEAFASRNVRPAST TSQTSSGAGMMDSVNLEEDGTGFRNPPPVQPPSDVEASKRQQQPSVSVEKAAHPTFEI SVGDPHKVGDLTSSHIVYQVRTKTTSKAYRQPEFTVSRRYRDFLWLYNSLHSNNPGVV VPPPPEKQAVGRFDTNFVESRRAALERMLNKIAAHPILQHDGDLKIFLESEAFNVDIK NKENREPDLGQSKGMFSSFGISVGGGGKFIEHDDWFHDRKIYLDALENQLKSLMKAID TVVAQRKGLSEAAGEFSTSIQSLAAVELSPLLSGPLYGLSDLQLRIKELYDRQAQQDV LTLGITIDEYLRLIGSVKTAFSQRQKAYHSWHAAESELQKRKHSQEKLLRQGKSQQDR LNQANADVADAERRVHQARLLFEDMGRLMRNELQRFEKEKVEDFKSGVETFLESAVEA QKELIELWETFLLQLDAGEDGNPFYPPAGEHNASAESAPGAPSESTVSTAEAA ANIA_03593 MSQGLQQQNNDHLVQSDDPEHPANLIPELCRKFYNWGWVTGTGG GTSIRRGEHIFIAPSGVQKELMQPHNIFVLQYPTPKYPPSERKYIRKPLDLKPSACTP LFLAAFERGAGCCIHTHSQWAVLVTLLVEREKGPEGCFEISNIEQIKGIPKGPGKGML GYFDTLRIPIIDNTAFEEDLTGSLEKAMDAYPDTYAVLVRRHGIYVWGDNVAKAKTQC ESLDYLFQLAVEMHKLGIPWVK ANIA_03592 MRLNTALTSALVSSASLMGYAHAEDDSTADATSVVERPTFTPTS LEAPFLEQFTDDWESRWTPSHAKKEDSKSEEDWAYVGEWSVEEPTVYKGIDGDKGLVV KNVAAHHAISAKFPKKIDNKGKTLVVQYEVKPQNSLVCGGAYMKLLQDNKKALADDFS NTTPYVIMFGPDKCGATNKVHFIFRHKNPKTGEYEEKHLKAPPAARTSKLSSLYTLIV RPDQSFQILIDGAAVKNGTLLEDFNPPVNPEKEIDDPKDKKPDDWVDEAKIPDPDATK PDDWDEDAPYEIVDESAEKPDDWLEDEPNSIPDPEAEKPEDWDDEEDGDWIPPTVPNP KCSEVSGCGPWSPPLIKNPAYKGKWTAPLIDNPAYKGPWAPRKIANPDYFEDKTPSNF EPMGAIGFEIWTMQNDILFDNIYIGHSVEDAEKLRKETFDIKRPIEEAEEEASKPKKE TKAAGTSVSFKEDPVTFVREKVDHFVGLAKEDPINAVKQVPEVAGGLGALLVTMILII VGAIGASSPAPAPVKKGKEAAKASKEKASEAVSSAAETAKGGATKRTTRSSAE ANIA_03591 MAALRRLHATAQQFAPSTTSAASTATEYPTTHEAIANPIDTTNF LNNEFVPSKASTWIDLYDPATNNLVTRVPQSTDEELRAAVEAAQKAFPAWRATSIMAR QQIMFKFVNLIRANWDRLAASITLEQGKTFADAKGDVLRGLQVAETACGITTQITGEV LEVAKDMETRSYREPLGVVAAICPFNFPAMIPLWCIPIATITGNTMVMKPSERDPGAA MILAELAREAGFPPGVINIIHGSAKTVDFILDAPEIKAISFVGGNRAGEYIYTRGSAN GKRVQANLGAKNHAAVLPDANKNQTINAIVGAAFGAAGQRCMALSTLVTVGETKEWLP EMAERAKALNVNGGFEEGADLGPVISPESKKRIEDLIASAEEEGATILLDGRGYKPEK YPNGNFIGPTIITGVTPGMKCYKQEIFGPVLVCLEVETLDDAIELINKNEYGNGAAIF TRSGPTASRFQKDIEAGQVGINVPIPVPLPMFSFTGNKKSIAGGGANTFYGKPGLQFY TQQKTVTSLWRAEDAVSTKAHVVMPTHS ANIA_03590 MATPTDITFENYNGSWTMDRTISDPTDPILAMQGLSWFMRTTLA WVTITLNTKQYQDAEHPDDKTIQHIDVDNIVTGGVQGTSEARVTDWKKREHSDTIFGR VEGQSRLIRGSAKDGKVRPDVDVCTRIQDEKIGRFLRGEIGADGSETEGFLVDPAGEG FGEGEGLWLQSWVESVDSTWTAEQIWGFETINGQRYHTRRVVCANNGEYVLARLVYTF VPPRNEDEDIAY ANIA_03589 MAFNKKYAGLPDLDLAPDIYETPDLTDEASTVPTATLRTASNPD DTGSDSDIDREPVNADEARAHFLGATVDARHVNFSDSIATKRKSYRSKSRQRRKDTLA DEEDSDEETESVERKLARLQREVEELKDEMAASQNRPTSESGDVSGEAKDTSDDSVEK LSQALDNLYASSRGLLQPHSAAALISRKLASAPTQASEVSGGQSKAAASTEAETTVPV AGILSHAASFDTRLALIESAMGISTSLNPFVTEGSSEPPLQPVLPALDHLNSRLSTLI TLLVGPTPIPAVPTTSAAATSTAVTTPHLEMLSTRVRKLTADAEALASARKRALDSAK AAHSSRHRTASDFSSPIDPEQAAQRDEQATKIQALYATLPTIQSLHPILPSVLERLRS LRAIHAGAAQAAESLDELEKRQADMAGEIEQWQEGLKVVEEKMSQSEAALKSNIELVE PWVRDLEKRMEKLESGK ANIA_03588 MLVCSILCFIIFNKTNSRLGYLLLLASIPVLVLFHLSIAPYTKV EESFHIQAIHDIETYGIPTHNVKDVLQAEYDHFTFPGAVPRTFAGAVALSGLSSPIIW LKHDIDRQFVARAILGLFNASSLLYFASSLRRTAGRATAIWYLLFQASQFHVLYYASR TLSNMFAFGMTTFALASLLPEPVSSATYRKRSRVALYLITIAGIVFRAELALFLATHT LFLLASGRISIQREIIPAGALGLVLGQAITLPIDSFFWQQYPLWPEFTAFKFNVVAGQ ASAWGTHPWHYYFTNALPRLLLNPLTYLIGIPISLLQPATRGIATSILIPSLAYIAIY SIQPHKEWRFIVYSIPSLTAAAALGTSYIWTHRTKSFIYRFLSLGMIVSTLFSFLLSS FALLPASSANYPGAHALNALHNHVLSAAPKSARGQHEPITVSVHLGNLACQTGVTRFL QLSDDRSKQQGSNISSTISWSYDKSPPPASLSAMQTFWSRFDYVLLEPHEAETLLSLS GSPTEYAYSQSYAWETVDSVTGFAGLHVLRPGEEASGGVEEGILKWLFGRKVNEWGIL HSWRKIREGIRESVSKGWWVEVKMKEKIRILGRVR ANIA_03587 MGSFLKSFRKDVGSAAPSVGAPPAKKEPQPLPMTPLEKMLTELG PIRGDGSDKFYGMENFGNTCYCNSILQCLYYSVPFREAVLNYPKRTPIEDLEAALAKA LRYQDPNARLEAEALAEKQKAANSPRPGQPPNPQQKPEDKDSPEYKKKLALQTLPLLE TTDNSVSYGIPESLFSSLKDMFESIVGSQSRIGIIRPQHFLEVLRRENEMFRTAMHQD AHEFLNLLLNEVVVDVEKAAAKLLESPQPASDVSDSVIPSSSSGSRTPNTTRWVHELF EGLLTSETQCLTCEKASQRDEVFLDLSVDLEQHSSVTSCLRKFSAEEMLCERNKFHCD NCGGLQEAEKRMKIKRLPRILALHLKRFKYTEDLQRLQKLFHRVVYPYHLRLFNTTDD AEDPDRLYELYAVVVHIGGGPYHGHYVSIIKTQDRGWLLFDDEMVEPVDKNYVRNFFG DKPGLACAYVLFYQETTMEAVMKEQEQENTEPPVEVNASTLKQNGFSSSATLAHAHSA SQVPTYEDHDRFTGLKRAPTAPQLSTHPEHTTTDSESLPSPAPDPAPLTSLPPIPPIP ETPPAPLTSRKSDLQSKKERVKEEKERKAAEKEKEKQRRKEIETRLKDRQRREDDDLK AALEASKVSKEDEDRRNHAENGTSKKNAGGLGRFRSLSQRLSTKESRTSLSRIPPLPN GNHTLSKVPDESEQTHPKSPTPPAPLSRPASQPLNDDLLGSPRADTLAVPTEQEHIKN SKHDRSSHGKWRSFSLRKKSFNILSS ANIA_03586 MRPLSAYALRPYVCPSCRSARILNRRRFGSEHAPVTDIYDVVCV GGGPAGLALLAALRASPATSKLKVALVESQDLDKARSWKLDPHQFSNRVSSLTPSSVS FLQKIGAWDHLDVSRTQPYQAMEVWDGETGSKISFDWSMETSPFEDLRTVATMTENAN LVHALLSRIAASGDENLSMFSRSTVSSIENGAANGPNGADLSAWPVLSIAPAGSESSN APASRIAARLLVGADGINSPVRRYADITTDGWDYQRHGIVATLSLADHIPPPFPIGTR TAYQRFLPALGGPIALLPLPNNNATLVWSTTVENAAYLKSLSPGAFIAMVNAAFRLGM PDLQYMMRMERPSSSSVTNLDEDLHESELTWRLQHTPSPSHLPPMVTGVQEGSVASFP LRFRHASTYISPRVALVGDAAHVIHPLAGQGLNLGLGDVASLSRTIEYAVTHGMDIGD ILTLERYTAERYATNAKIGGTCDVLHKLYNVPGQGPVAWGRSLGFEIIDRVPFLKGFL MRNAD ANIA_03585 MTDTKTSDPRDRSVSALASASTSASNPADSTTTSAQTKKQTQSN SQSSSLYPGLGANFAHDPKAPFSVNYDQEVYFQFMAGEEGTDTGSPDANKNKNKNKTL NQIYREEWVRRALNPAISDPRGDPSPIDFECAESQRIRERF ANIA_03584 MDHDDFDSVSWRHGPDSDISRPTTSGTDTAESPETRRDPNGKRR MSSASEIPQAGPHADALDLAGIGDGVLECRVDTPIKENDGTKDAYISYLVTTHTDFKS FQKADFTVRRRFTDFVFLYKTLYREYPACAVPPLPDKHKMEYVRGDRFGAEFTTRRAW SLHRFLKRLTLHPVLRRAPLLAIFLESPDWNAHMRLRGSRASTSGSDGGGTGIFDNFT DTFVNAFTKVHKPDRRFIEVREKADKLDEDLTHVEKIVARVARREADLETDYNDLATQ FRKLVPLEPEVEVPLQVFAASVEETARGIKNLKDHTDQNYLGSLRDMEAYILSVKSLL KTREQKQLDFEALVDYRNKAVAERDSLAANPSSYYASNPLTSSPASFIRSKMEDMRGV DHEQSRRERMRKLELRIDELTREVESAKTTSEMFDEEVVREVADFERIKAIEFRDSLG ALAEQHIEFYQGVLNTWERFVAEMEEEQSTGDAHPNA ANIA_03583 MRLQGAAAALGLFCAATASLHPRRSYETRDYFALHLDASTSPSD IAARLGARHEGQVGELDGHHTFSLPRENGADLDALLEDLQIKRKLRRRDGDLGALDKR DKDLAGILWSQKLTPKQRLVKRTPPPEKLARDPSSDGEEKEDVEAAQARSKLVSTLGI EDPIFTKQWHLFNTVQVGHDLNVTGVWLEGITGKGATAAIVDDGLDMYSNDLSPNYFP EGSWDFNDHTAEPRPRLRDDRHGTRCAGEVAAARNDVCGVGVAYDSRIAGIRILSGPI DDTDEASAINYAYQENDIYSCSWGPPDDGATMDAPGILVSRAIVNGVQKGRDGKGSIF VFAAGNGAASGDNCNFDGYTNSIYSITVGAIDREGQHPQYSESCSAQLVVAYSSGISD AISTTDVGTDRCYSVHGGTSAAGPLVVGAISLALSVRPELTWRDAQYIVLETAVPVHE DDGSWQVTKSGRKFSHDWGYGKIDVYSLVQKAKTWELVKPQAWYHSPWLRVQHEVPQG DKGVAASWEVTEQMMKDANLEKLEHVTVTMNVNHTRRGDLSVELRSPEGIVSHLSTPR KNDNAEVGYIDWTFMTVAHWGESGVGTWTVIVKDSTVNDNVGEFIDWRLNLWGMAVDG AKQKLHPLPDEHDDDHSIEDAIVVTTSVQPAPTATEAPVEPSHTVDRPVKVKPTSTGS ASETETPTPTPTPASDSFLPSFFPTFGASKHTQVWIYAAISSIIVFCIGLGIYFHVQR RRRLRNDRDDYDFEMIEDEDEAKAMNGSSRRRGGELYNAFAGESEEEPLFSDEDDDEP YRDQTTGAELRTSADDTRRND ANIA_03582 MQDEAARLSRSDTLKSSTGEQHDWAYNRSPLQKLEVALGGISKE EKRARALEAERKLRERMAAASAKAAAAPAPESPRTKPELAAQQPAHESEVPVRRPSRK VQSPQGSRGYERQENITPHQEPDFTRPSTSRVRAPSIHGTETLPLSESHGATNKPAVV RQVKGGNTARRSVSVTHQPEELRMISRESSQARPQSSRAIPQAPTTHQLSKNITTQAR PVTNGQQYSNTKMGAAPLVNSRPEPAAQNGRLEDSQAAINPLERIPAPHELGNGAEPI VPGSDENMQRPQTKSKRHTVSFNVPPPTPPPLSEWKTAPTVRLSPSDFELQPSDVDRN KAWWEAGSRDRRQSRALPKDYQKPPVQKPKSHKRFQPLIFLKCGPLLRYAGIKRVTID GPNGPVYKETWRGTILIVTKDSISSYEPVPTLRLFSQPMDLLPPPPVQINSEGVQLAP EYIDPTAGLVKLGRDGRPLYVKPVDHTEEQLDLSSVENDDGIYEMSPSAIDYGFKQPI PANRVHSLDGETVGIYKEIPGFRLYADPGRDVTFWRFNIEVELGKKQQRIAYRLNQGP AIGFWVPAQGQAMNVMYHSGNGFTPGVDSNKFCGPDPLWRDVLNEHQTRPFHVMIGGG DQIFNDRVTADSLHFQEWLKIKDASERYETPLNPEFKNELENGYLENYSRWFSQGLFS LANSQIPMVNIWNDHEILEGFGSYSDEFMSSTVISGLGNLAFKYYMLFQHHSVPEETE ANEPSWILGAEPGPYINQRSRHLFMSLGDGISLLGLDCRTERMSDEIISEQTSDLIWD RCHREIVRGERLLGGLVNRHGAKVEIFDDHWTAKQLKSERKYLIEDLQDLAAEKSVRV TILSGDVHLAAVGQFYSNPDLNTPKDKDYRYMPNIISSGIADAPTTEMISDTLNRRNQ VHHMDSNTDEDMIPIFTHDVNNKPRNNKRLLPRRNWCSIRLYEPGTTPPDTPEPESPA PAIEPRPNALQRTLSLTRGDRPQGLLRRLSGKGRPPTKEISLSRPPPERRMSMDGPFP PAGTGDSYFPVPADFRPGPFLRRPTNLSQKSAKKATKRGDDGVGTFVNLEGGLAVTLN LELNPKDPSGITVPYKLLIPALWFEGTEYDPPAAPVTKGWRKWLGVRRNASRKASGDD YEAEEGFSDEEDEHKAPPSKEEHPQSHSMTVGARPAPTPAPAPRHYEEYDDDEDESEL FLEPEPKTRPNVKRSTSIKKWFGRS ANIA_03581 MVHSKVVIIGSGPAAHTAAIYLSRAELKPVLYEGMLANGTAAGG QLTTTTDVENFPGFPDGIGGSELMDAMRKQSIRFGTEVITETISRVDLSQRPFKLWTE WNDGPDNEPARTADAVIIATGANARRLNLPGEDVYWQNGISACAVCDGAVPIFRNKPL FVIGGGDSAAEEAIFLTKYGSSVTVLVRRDKLRASKAMASRLLANPKVTVRFNTVATE VLGEKKLNGLMTHLRVKNVLTGEEETLEANGLFYAVGHDPATALVKGQVELDEDGYIA TKPGTSFTSVEGVFACGDVQDKRYRQAITSAGSGCIAALEAERFIGESESNEEIPPAH ANPAL ANIA_03580 MTEVPFVAMRAPGSYSSQTETIRQYIPSSVVVNSSCPPAKQLQQ PDRVQPQQCTTNSWSVHNDGFGPRPQVSNAKSYGVDAGNQGINYMSYDLVKETNGSTP NDSTSTPVLSASSNGKSTTPSSVNLASSSTSVSSTKADDTPGPVFFRPRSSIPTRLPT AVYAQQCVAAAYASRLNPYALHPKEQEALQDHLCHLHVTVYLNIRNGILRLWTRNPMV SVSKEEALGCAKDYRWMNLASFAYEWLIRNGYINFGCLEIPEGLVPSKKGRRKEGPVI VIIGAGMAGLGCARQLEGFFKHFRDNLNSPRVVVLEGRRRIGGRIYSHPLQSRQSSTL PPGLTPKVEMGAQIVVGFDRGNPLDQIIRGQLALSYHLLRDISTIYDIDGTPVDEVRD ATAERLYNDVLDRSGDYRYKSVVAPTAEGERDLIDLGRDITTSDGVTVRQYEEARQAG TVGLLFPAKRIRRGVGHKTAELKPATGPVVDTDEAEENPAVVACEAMGWKLNPGISVS QRINLDPIAKASKFQTLGKTMDEGVRQYQRMLPLTPKDLRLLNWHFANLEYANATNIN NLSLSGWDQDMGNEFEGEHSQIIGGYQRVPYGLWSYPTKLDVRTNKTVSRITYDASGS NRHRTVVHCEDGESITADMVVYTGSLGTLQHRTVQFSPPLPDWKVGAIDRLGFGVMNK VILAFDQPFWDTERDMFGLLREPTNRDSMAQEDYASNRGRFYLFWNCMKTTGLPVLIA LMAGDAAHQAERTPDAEIVAEVMSQLRNVFKQVAVPDPLETIITRWASDKFTRGTYSY VAAEALPGDYDLMAKSVGNLYFAGEATCGTHPATVHGAYISGLRAASEIIDSILGPIP IPTPLVPEKGKNTNSGNTNGMPSNTPHIPLDTDTNTPEVPPQQPQLPTSTSTVTSSFE PLSRAAYDQAMNAAVYTEIGPPIPRPGRPGINPFILYQKDFMAKARADCDAEYQAITK DPNAKAPRDTVRAALGLMWRQAPEEVKRPYLEQAEVNRQTGAEIAAKWKRDNVEWERR KEAAKKRWQQDNPFEWWVATEFGEPPRKKLDSTNKELGAAYSPAAAPTPPFGPSTSSG LAGISTPSAASPYPSGTSAGLAGSSTLFTNGYDANASTPAATPSHPPPSVPPPGLSEA TAGNTESCSSSASYSAPAGPSTVPLFGPLPGSAKMSKPNVNGYSANESTPAAAAVASQ SLQPPQPPGSPSGLYGGSTQDTNGSTNLNANPNPGQTQNPNVANGPRPGMGWSWFNNN SATAYSFLLNQR ANIA_03579 MPADYASTARALSVSTTSSRSPSPGEGARPLWSQGATDTRTNAG FAARRSTPIPKQGIMARINAFNERSLALWRKMTFWQKVGSVLAVLSLNILGFGFLYIT GRVFQWLGPVAEKWEHSVPVFIVMWLGVFFVSFPPLVGWSTFGTVSGFIFGVWKGWLL YASATVLGSICSFIASRTVLSKFVHRLVERDKRFAALALTLKYDGLKLLCMIRLCPLP YSICNGAISTFPTVQPLMYGLATAIISPKLLVPAFIGSRIRILNEKGEEMSLGSKLIN IFSIIVSIAAGIFTGWYIYRRTLARAQELEEREREDIRHSLEADHAAHRPQRAFSDDP IVNAAATTLARDEEERIGFNDFDDDNVDLVIDDDDLSSRRSKSPYKDEFTDNESDVFR DGDGTNTDTYTLHTHVRH ANIA_03578 MVTEELLEDCLQILQDKSLDEEDQVEKIEEFLREKTSLSGTSLE NAVLDILWRQRNRTLPDSSPPPPRHTVIRRSSPAPWQMARSSTPLSPHSNLGTSPGSS SWLQSSKGGISRPPLSSTVSPFTSPRPSPRLALAQPIPHSPNLNAYEFSDQQSHVSDF YGDLGSDSNVDWLVADDAMSTTSSVGGLSMHGGLSATAPEFVPDMSPHDILRTVLGDK RSNEEIESALEANGYDLGATIAALTQGADAGAAPSLPDDSRVVVGKSMTMEPPKSTST PGHNRSPVVCKYWLSTGQCLRADCRFSHDLTSHLCKYWVMGNCLAGDGCPFSHDPSAL IANLSVDGNSSATSAGIAFQVDNAPDAFPPLQSTPGSSEQWAGQLGSKYPGYLYGGPG GKNAPHLGGKRSGSMTNLSRPHSRPGSRHQHRELNPTALSVDDPDAFPTLAAVNAKNS GKKNHGRKNRDNNTTRDNMPTSLADVVRMSPAPASGGKGKPSSKNNQTKGRENSAAAQ SIPAPQHIPWLETGSRTNQQYIKYRTEAIRHGTVRNKFLQSAAQAWNRNDARAAKALS LRGQAENEAMRKCHREAARQLYEERNKHLLNAGLDDASEELYVDLHGLHPEEAIEYLE KILLKHAREGRRIIYAITGTGHHSKNGKDKIGKAVKAWLNEWKYLFREFSVPGERGGY VGGILGIDPSSYDKTLAKNLEAEANGDEDASQPVLTMGKIQLLKRGDIESQQ ANIA_03577 MAARNTLRRALLYIPGSSQRFITKSRSLTADCVAYDLEDSVTPH KKAEARSLVRRALDEPAPPSIRERAVRINSVDSGLALADLTEVLKSPNLSTIVIPKVN SASDLTFVNDVITHTLAQQEAQGLPVTKSPISLLALVESAKSLTNLTSITSATPLLQG LIFAAEDFALDLSLTRTPSLTEFLFARSAIATAARAANLPSTIDLVCTTYKSNSGDGK PPAQLEEECRGGRGLGFNGKQCIHPSQVETVQRIYGPDEEEVNWAIRVVVADEKAARQ GRGAWTLDGKMIDVPVAEKAKAIVRKAEDCGVDVGALRAKWSGQEPE ANIA_03576 MEQQPLNSQPQQGDLNWRLSAHPITLLTFLGFRLGALLMYLFGV LFINNFILVFILTLLLLSADFYYLKNIAGRRLVGLRWWNEVNTTTGDSHWVFESADPN TRTIAATDKRFFWLSLYVAPALWIGLGILAIVRLNSVIWLSLIGTYTFSTQTQIRIRG GWKGADLFRVAIALVLTITNTTAFSRCDRFSQASTYANIIRVNVYWLFTPYGEDNHER LLNLPVVLSLTESPITFKPPVFCADEI ANIA_03575 MAGPVRQGIDIPSLERYINNNVPHISTPIEVKQFGFGQSNPTYL LTPQSEGRRYVLRKKPPGQLLSKTAHQVEREYRILHALRDTNVPIPRVYVLCEDESVI GTAFYIMEFLDGRVFTDPLMPGVSARERGAMWRSAITTLMNLHTLSYSALGLENLGKN SGFYRRQVRTFTSLSRHQATTTDVETGVPIGPLSHLEEMASFFAEPANQPKDRTTIVH GDYKIDNLIFHKTEPRVIGVLDWEMATLGHPLSDVVSLVAPFLPHTWGVDNTPSSLSP PIPESNLEASGLPSLEDCLLLYRQSGYDVRSDLAWGRAFAGFRGAVIMQGIAARYARR QASSASAREFGAMAWPTAEGVWKLVCELKAKGTIIGRLIYKPRSVPGS ANIA_03574 MSATVTPWRAPGGSSHERTSSFEASAHVSWILRVVYFLEALGGI SSYNRFPAETLILEAYCCIAILLTQTTLMDGEQLRENHTEVEPFTFSKRVYFDSGDFA LSAASKMSDDNGAVQPGTAHPVRASISHPYAPLPGTSNAPNNANENFHGDKNPSPEIA ESPLGQETQPDEERGYKRYFEALHSFSHYRIKSKIEESLISLHLWAMLLNCKPGRTQG LSLAEFGALLYPLTPVIGQVRASLQIRPPLSTGVCKSLRSSLHRLQIAAQSTNSVGAN TGIEYGEGMIKHQNEVSNKGSKTFNSTQPTKSDTKYYSLKDYRERPEEQQSDGFKTAT KFTKDEMKYHTLKDYREGLYTESGKLDSCRDSQETRTHNWDVQDTHKKE ANIA_03573 MTFSVFDNYQNTINGVQTSTAEKRHGINPATGEPNPDVPVATAE DVDKAVAAAEEAFKTWSEVPFVERQKALLAFADAIEKHAEDFSKLLVQEQGKPDSAEK KIVVRHTPIGVAAGIIPWNFPLALAVIKLAPALLTGNVIIIKPSPFTPYCGLKLAELA QQFFPPGVVQALSGDDRLGPWLTAHPGIGKISFTGSSATGKKVMESASKTLKRVTLEL GGKDAAIVCKNVDVKSVAPQVVSKGFLNSGQICLAVKRIYVHESIYEEFRDAAVAYAK TVQVGPGTQEGVFMGPLQNSMQYEKVKGFFADLTKEQLSLTHTDGKAFDDKPGYFIKP TIIDRPAEDSRIATEEQFGPIVPLLTWNDESEVIARANNTRMGLGASVWSSDLDEAAR IAAKLQAGSVWVNTHFESDPRAPFGGHKESGIGTENGLHGLRQWCNLQTLYLKK ANIA_03572 MNKHRLKVSDDSAGKKPYVGNSNNTRQVRSLKSDQPHFFALYLR LQISAQYSRFARAICIDWGAAKYVQGETQTKSKNGFEHQISMMFNMRLPSEGSFLLSK DDTLRLEPWGLGS ANIA_11404 MWDECTYLVDWLLKTRVRIASFSLPEGRNHASLRDPFAPELIQP YALNSGATTFCSSIRLYEGVSWPEYEEAEDLYA ANIA_10428 MAVMAEIKSSSARHVEDNEKGVLSPQVSDSSLQYDEVTVKRIKR KIDVRLCVVVAVMYTVCQIDRVNLANAVVAGMGAEIDLTGTHYSTIVAVFFPTYTVFQ PVMTVIARKLGPRIFMGFITMSWGLVMVGMGLVNDWRELARLRVILGLFEAGLFPAAV FLISSWYIRHETGKRIGLFYLLGSAISSFGGILAYGLQQMHGLQGHAGWRWIFIIEGV LTVAIGLAGFVLIVDFPEDARRTRWFLTDREIDIMIDRVEKDRGDAHVTPFVLKEYLK YGLEWQGWLLAVNFLMTAIVIYAVSYFLPIVLMQGLEFNVAEAQTLTAPCFLFGTLLG LTESWLSDKYKTRGIVVVINAALQIMGVALLGYAKNNGVRYFGAFILAGSCNANIPAS LTYQSNNITGQWRRAFGSALIVAAGGVGGVIGGLVFRDRDAPDYRYGFILKFSFLGKV VDVPTDPAYGRASLRLRSQLFRWVSQLSLWGGGIGGKRLGR ANIA_10463 MEERIRKRIPKSCRRCHRRKQRCVGAPVCANCELAGQPCVRSDS VPSWHHGMSKGALAQRIETLEAQLAALTERQTRSASVSRSEEHRQYPDDTRSSGEGLR PSTGSGSGSGSNASISTITDSPETQGAVMHFFIQGPGRYEDKVEGNYLGPSSGLAIAE NISRIVQDAVWKSIPVNETHEFQAPCENETTGPASAPDDAMGARILEAYFKSMQMRLP FLCRAEIYELHARRYEPVGPSTAEQFARFKIFMVYAIGAAILRMTEMYDSTPPRNYFV TAMQYQPAIQGSLSISSIEALMLLAMYNLQSSASSSVWYMMGLATRICVDFGLHREVQ YRRLSPYEAQRRRRLFWSVYLNERSVAWSLGRPFSIGDEEIDAEPPADIDDSLPESAD EDSFRTPRDRGELWTGPNIRCFIACIKLSRISSKIRSTVYRLDRDLPSLLHKVYPLLA RLDEYQKSLPYLSAYENDFIQMHWNNATRTLLHPFLSILPPDDELARRCLYSSGQMCQ YFKRLRQRDSTWYSFLLINTLFMAGLTICLCLFRSPRLWTISVSNDLRACSSALFVMA ERHPSVRKYRDALETAINRVMDYVSDAQIQSQTHTTGSMSYTLDRALTDPPTPGFYIP SPQASRASAPGLGVEETSETIPIPLSDGRATTFSGLFTEDFWAGDAFSLHMGETFGLR T ANIA_03570 MTISVESTSNGSAKAASHSRLYSSGSLDGFKSTVLTPVIGNEFP KGSINIVNDILNAPNAEERIRDLAILIAERGVVFFRAQDNLTNELQKKLILRLGELTG RSKEHGLHIHPVVNDAREFGDPDPQISTINSLERKKLYKGAYGNLAAIWHSDISFEKA PSDFSALRLTLLPPTGGDTLWASGYELYDRISKPYRAFLETLTARHSGDGFHRAAQAG GFGLYEKPRGSPLNVGSELTSDHPVVRTNPITGWKSIFPVGTELIAATGTFPKKVNGL STRESENLLKYFHDLITYGHDLQVRFKWNEPNDIAIWDNRSVFHTATFDYDGIGERSG NRAVGIGEVPYFDPESKSRREDLGIEDQLSPVHL ANIA_03569 MLWPRVQLHELGDHSWCPAWLHHHEQFSLSQLWNLRVPFWSRGS LATQACAVIEEQLRDVSSYTIVDICAGAGGPTPFIESELNSKAEAQGKEPVRFVLTDM FPPVDVWSAIAKKQPNIEFIERPVDARHVGRLAANDKRECRVFNICFHHFDDMDARGI LGSALDEADAFIIFEITARQASTCLYSPLVFFWGFYVSLLWYWHSPMHLFFTFLLPIA PLALWVDGFISCLRTRTPAEIQDLLASSGRDLDGWTFSSGEKNVQWPFITLYYFVGRN NSNSNSNNEQQTGEFQLNLSWIKMKRIIIVGAGLGGLACAIACRHFNPDTHVLILERS SQAREIGAGIQIPPNGTTILKQLGLLPQTLEKGSSVQHVDFRRYDDGRILRSMPFGDG IMEEFGVPWVIIHRQDFYQLLFDEASRLGVEIRLGAEVADIAFGTTEVVLAGGKRVTG DVIIGADGLHSRVRDAVLGIPTTPQETGDLAFRATLKRTQLEALEDPEIDDLCGQTAV TSWLGPDKHTIFYPVRQGEEYNLVLIRPDNLDQGVKRVQGDVEEMRASYVGWDGRKRV LTDAKGLVALLGDACHPTLPYQAQGAAMAVEDGFAIAKLLGLSNAHLATIADEPSKYI PELLKIYEDIRKARTTRTVQAAVNNRKVFHIPDGIVRAVRDFVLGYAGVTSKSDWTWL FSSRMRRMLVHDLDGECEREFDKFVSTRR ANIA_03568 MLIQTVALYLARFIASLAVITGPFWFVNHNLAFQIFGLPKTIAS QQMAAFGPAIGGRNMSLGTLIILASYYLTYQQTGLALALIATGSGWSDSEGRQKVEGG KRGAERILLLQKPSLESGYLAGWQNILNNCHSKGQTPLACTAGARVQPLRHGLIPARM MQTKGSRDTSCGCLGRVRASERIMGFSLWYPHLDPGLNSSWRVAT ANIA_03567 MASNSSPVSCPVPFIAELSIGDTGGYLAGRWCEPQLVGNETVSC CFPCPFTDWQYSDGLGNEMVPWLALIVLVFVVIGALTYILLPAEDTRRHYLVISPLMG FVFMPLAFIIPLGGTARQCHDAITPNYWLSDMTCAWTGSLILYGAWVLVIGCFFRSLA LYVRLIWDVEPGTVFRWISIITIFGGAAGMLGIALGVSGVSYQVGDMCYISYDNSIAS FWGPLIGVAFISWLITVYIFGYTIRGVLTRGGTAHIYSIFKKRDDGSDPLSRALQIKI MGRSLWLMVKLQWRAIAISCLLLVFVGYVGHVNMRWGSSAEYSEEDLMPWMSCLVAND GDRHACRDEASAIGPDKKTGLSSSCGVLGILCTVRYTMLLAWIDWFRKLFDVLAGLFF RRRQPNRNSSSMTINPGEGVESDRRRSDDYDIELASTSTADAHPELAAAVDYSTRAKN ADKQCKTCAYHIPKDGLQKSGDGI ANIA_03566 MPRRWSSLISITAIFLVLFFLLHRNTDTPRAANRATNGPANGFA RQQSICPSTPPQPPYNRTSTGGFNWGEIPVRYPVSDFIPLSTNSPATLPRIQRSSFPL QSSITKSRQAAVKGAFQRAWTSYTTHAWKADEVRPITAGSRNNFGGWGATLVDNLDTL LIMGLDEEFAAAVDALADIEFSPHSSPSSSQSTINIFETTIRYLGGLLAAYDLTGCRE TRLLDKAIQLGEMIYTSFDTENRMPVPRWNLHKAGNGEPQRAAVQGVLAELASSSLEF TRLSQLTGDMRYFDAASRITDLLDSQAGHTRIPGLWPVSVNLQKGDLTRGSTFSFGGM ADSAYEYLGKTYRLLGGVGKGPQYERLARNALDAGIRHLLFRPMTPDHADILLPGVAH ATSSSVGLEPRTEHLACFVGGMYALAGKLFSNQTYLDTGRKLTDGCIWYYDNSPLGIM PEMFTVPACPSVAECPWDETRGGIYTYVRDGHYFLRPEAMESIFYMWRITGDEKYREA AWRMFTAIEAVTKTEFGNAAVRDVMVEEGNVKREDSMESFWMAETLKYLYLIFGETDL VSLDDWVFNTEAHPLRGAGS ANIA_03565 MPLTTYHLSSSTKGLSSITTLIVGTEEAVLIDPPFLKPDGEAVT SWIKKTSSKPLKAVFVTHHHPDHFFSANSIFEAFPEAKFYAAPYVLAGINREYEDKVK YWPSVFGAENVHVAPRRPEAFDFSFFVLNGDPASPVVLLGPLQGDSVDHTLFWLPSEK TIITGDAVYGRSTHVWVEEVETPALLEAWNKTLRLIAALQPTKLIPGHMETGWELDAQ EDLAHTQRYLDLFSEKVTHAPKKASVQELFDFFKGQFPQCTENLEFFLGHLSNQYGEG GQVWEENRHHNVGVRTVEGLNGYWFK ANIA_03564 MAQYTKTLSPDSLQDKVLVVTGTGGANGIGASLVEYAVQNGASV CFGDVSVQAGEEIARTVKANAPSSPPRAVFVPTDVTKYDSVLALFDRAMEVFGRIDHA VAGAGIVEIGNVFDPALDMQSIREASQPSLLNIGRGQVLDVNLLGCLYTARIASVYLR QNRSEPEADRSIILISSVAGFKESPGLFVYQASKHGVIGLMRALRLYLHGPASAHNIR VNCICPWMTTTVMVKGIQEGWIKAGLPMNSPMDVARITAAVLGDVTLNGTSMYVEGGR AWEIEANLDRLEPAWLGEEPIRHI ANIA_03563 MHSKLLLLLAAVPSLLASPSLLKRSGFNDGQPIDDNGKGAPILG GTDLHRDKQNPDNLGAQSTDNGIVPNLKWSFSDSKTRPFPGGWVREQLVQDLPQSRDI SGAQQHLTKGAIRELHWHRVAEWGFVYEGSLLLSAVDENGRWTTEKLNTGDIWYFPKG VAHNVQGLDDENEYLLVFDDGDFEKVGTTFMVDDWIKHTPRDVLAKNFGVNASVFDTV PEKFPYILNGTIPEEASSAPQGTLTGNSSYVYHTYDHSPEPVPGQGGTFRRIDSRNFP VSTTIAATIVELEPRGLRELHWHPNAEEWLYFHKGTGRATVFIGDSKARTFDFAAGDT AVFPDNSGHYIENTSNDEPLVWLEFYKSDRVADISLAQWLALTPDETVANTLKIDIEV VKQIKEEKQLLIKGN ANIA_03562 MKSTSLAHLIPLATLGHGLSISLPPLIPHIPGVTEALNNIVPPL PILQVPTAAVDSPPFTPSNIKPKKIGYFWTGAGDKHHKDFLATYSLDDDTFGTLIYVT DVPSSGNDPHHLGPSLDGKTLVGGGLLSLLKTQDTAFYFDTSNPYRPTFLKSNRAILS SIADEIRAKPDGGFYITYMGSALGTSPGRLVETDADFNIIHEWPEDVEGVLNILGDQF SPHGLAIDWERKLILTSDFVEPISILKPSLGIRKADTLRLWDLDSKKIINTITIPGGG GIQDVKFIPGNKEGAAIATAVHLGQVWIIYPEREDRNGKPGVAELLYDLGPKARDTVA IYTDITQDGLFLYLTLTTGNHIAALDISDLDNVKRLDDPDEDQPTIGPHYIKVTPDQK HLVVTDYFVQTGDIGLINTPADFKALYIDINDDGSLSFNRSIDFSREFANRGGAKPHS TVVFDFTDPENPLYY ANIA_03561 MRMSTTGWTKKYVYAVIPYFLTLRGVIGLTNTPRECLQVSIMLS VNRAADFAGTASTALDSEGNTLSSDEILELSISKDSFSHLTRRYRFSQVLDTWRYRST SGTANRQTEYDENGEVQSVVFILSVRLSGSFASIIAIHHDFATSCTVALGLRVSPYDQ GLLQQGNERHKELLGHALLVPTILVEISLSTNMLFMQKVRQELSAVEKATGQHGWLEV PATDAPAHDSELSRLGHTVKLHISLSYRRIDSIGVYLDLIKQTLGDVCIGRSILQTFV VTHSPRDQYVQWIANLETALKFRLVDTKYNERRADNQITAVRVPIFTRIYSLLSQRDN MIGVSVAMESKKISEASKRDGSALKSLTVLTAIFFPATYIATLFSLPTFDHTPFWIYW VVVVPLTLVIFGSWSSWTLYRQRRISQETAQRDIHDDIEWDPERAYGRLTGSRQAEIT SGTVAMSALGPSSRHTKLHTKNT ANIA_03560 MAWIAYFCPPMSHLAAMKSRHQTPLFVSNTELDPQKLAAVRKRY AEEASKRLKPEGSSQFVPLTSADEERLRSLADDPWVAHEALNARPSPIKNDGRCRFFV LGAGYGGLQFAVRLIEEGIARSDEIRLADAAGGFGGTWTGTASLACIVMSRAMCTCRC LKRRATEHREPTENPIKRTFRAEKRVAVVGTPATAISVVPEVAKYAGELYVIQRTPAP VRPRGQHETNPKEFQTKVATMPGLQNLVNDAWTDMPAYSAIVGTPNHGVTKSSSKVIE HHADAYHALDLPHMESVRARVDELVHDPDTAAKLKPWYPSWCKRPKFSDTYLQTFNRP NVHLVDTDGKGPSRVTETGLVVGEKVYLLGVEIFGTGYQAPTAGSGSPAARTGINVTG RHGQSLDEKWRTKGAAKLHGYATNGFPNLFFSGTSQATITGKNVFMLGFIAQHIAYMI GEGERRVGRGQGLGQQQRAIIEVTRDSEEAHTAEIPRRAPPFYSVLTDVRPGTLMGTG IVPMLRTRKRRRRGLGG ANIA_03559 MSCTHYSHYGLVSDPLAAGNCKLPQPSKVLLTNKSVQAAVLLAV SLPYLQSRQYPLTLFLKNKPENLEETNKFSSSALKFADTGVRNCEDGIIVEEDAFAIL SCDPGRDLWNTVMGTFYPQRERIPNDLEMHQDEKKDEAKVLQPIVFTDTPDDFSFHPL GVEYRRDTATLFVCNHHIDGSRVDVFVLDAKGKVPVARHVRSVIHPLLPGPNSIIALS DHELYVTNDHYMLRKEHPFLSLFETYAGIPGGTVAYVKLGDDKESVEVRTVARGPFVN GVTLLNETTLAVAISAAAEVRLYRRLPENGLEFLQAIKMPLLPDNLSTDKDGALLIAG HPHPPSLEKAVRKRRECIDAQGLVPQDCWKSTAPSWVARWTAAKGVENLYVTTSGFGS SATAAKDAVRNVGIVTGLYENGILVWRE ANIA_03558 MHAPQQEYFAGTEVVLYGHGSYKEHCTSLFAFHGVTHGATLELA LYEDFVQSQELPLSVMLTSTVVGHFTSSPSSQPTRKVLTLTHEPNNSTPPAFSCPRNA FLHRLLHLPQNTVRSDSFVARRRADVIMSLMIAVWNAGIDESMQKAFGGNYGLTAKIP KDALKITAGKTKEFAADNGSGSIVHREFCDNCGSFICEYGDAVKDKFRYIVVGSLDDP EVLPPKGEFFCKDRASWMPEIPNVFHKKEIKE ANIA_03557 MASASRPRCDEFEIAIFTVLKVERDAIEAALDEEYECDGFVYSR APSDVNTYTVGRLGCHHVVLVYLPRMGSMDSAPAAASMKATFPGIKLALVVGVCGVNP HLKDEDGHEVLLGDVLISTAVVMVNSEQRYPGRLTVWTEIEDVLGRAPTMIRAFLQKL QGVKTHGRLKNKTTRYANAIVMGLGGRGAYPRTNQDKLFPTQYRHKHQDPEKCSICAR CTVPLHRVCDNALVSSCGSLGCDDGCLVRRKRLEDGKRLRLAAFSSNGATGTGDPLQP CIWFGRFSCSEFVLKSGIHRDQVARENKIIAFEMEGAGTWDNLPTIIIKGACDYADSH KNKIWQPYAATTAAACAKAVVEEWRTSQLQPGCRTGVFTT ANIA_03556 MTILLLVTTLVAACAIGLAVGWKLTLMSREEIKKAYEKPASYAC DATSAIRTVAYLTREADICNHYHKQLLSQGYTLVWPVLKSSVLYAASRSLQFLCMSLG FWYGGTLFGRREYSMYPTRPNQPVLRGLELHVKPGHLVVQFEYDNGRYYPLHYPTTST SVIDSEQDYAFPNDGDELARKDPEHHIFLLLLEGGLYLAPVIDPQRILDLGAGTGIWA IGVADRHPLASVVGAGLSPVQPSLSTTENDWAFQRNSFDLIHSRLLLASVSDYPRLFR QALSALKPGKYFEMHEIDSGIECDDDFNPADSSALQWSNLFFEGRRRIGYPIPAPAEY KTLMEEAGFVDVRLKIMKNPTNVWPKERNMKRLLLFNWQERIMNGIPEIHHVTVVG ANIA_03555 MSLFRTIPTPGEFAPLFRLLDDYDVHRSTRGQTVVQSFAPRFDV RESNEAYHLDGELPGIPQSNIEIEFTDPQTLVIKGRSEREYHSNDENKAEQAETEKPV QGESSEVAKTGEKQISTKKAANKPRYWVSERSVGEFQRTFTFPTRVNQDDVKASLKDG ILSVIVPKAVAPSAKKITIQ ANIA_03554 MCGSSADDLLPAPVIIDDEEYEISTPLLRYAPDIFAQEGADDNL ADVGCSNRHWIFSETAEWRERHYNMKRKIARYPPFSFRSSIDDCPGQKLRKAITWCCV ISDLQPCHAQDCVVRANLVRQVLPDCAWVPFREFMVTAFSCWSSTVKGRNSRVIPKLL DLDYLCSLVERIQEADEPSMGVMNSRLPCLDIVGVMAIWDWVSYIATEQENLMRVRMD RIFSFYQSFVFQVINDPRHEKELGRFGICPSRVWNLLVSGGNQPMGFVAITQDLRKLG FPDQGTKHKGCTAQLCLFADENSTVKRQMHPPTCPYQPSTCPEAYFDPDELRDYIASH SDKDWVPTAWDIRGWANDFPSVAPLLKQPRGRYVAISHVWSDGTGVGLKDLGKVNSCL AAYFARIALRLNCDGLWWDAICIPSGRDEKRKAMDRMLDNYINATYTVIHDQELLNFE WRDDGTPAMAVLLSSWFTRGWTAAELNATGRSSDTSVMVLFKDPDSSEPLIKDLETEV LVPAEIQWGYSTFPRDDNIRSHAHLVTSDIIRAVRNSGTSKYTVIKSLPHLMRVLGPR TTSWARDRMILASLLCLEPGKVNTSRTSVEQTKCILNTFHEIPTCYLYHGEVTIASSG RYSWCPPSIFDLGQTAGPMAPYLYGTPTTSDVIIIKDGIASGLFDVSTLTEEDCNAIH PYGSHPAVLAKIRMALSEPHRCLLLRTREDTLSSVPYIDTQILAEVLPEPLEKFRGKE DLRVIPANWFNSGTNLRYVGTVISNRLSGSAVRKNVPCFLGLEGEQESDDEDDSENGD ESGGESKSENDSEDEEEEEEEEEEEDSAEYAEFSDDDMEGIALKGKLPYLCGWALVIP VEHSVEVLQGARKRDFIMTANKTLKSLKKPSAHLRTGRQR ANIA_03553 MPLFWLPPLPEWAAQLVSILPLCALIEFSEEALKLHVFELAGLI PLWSWPVSPKGARLLLSSDNSIDACCLDRPGASPELHCMDGKYGDHYPSSAAATTRLY LSAQSITKRVSNPFEKNPNLSRRQKLDLYLVRPMPTSQSGLWILRGWEKLHGVVSPRY ATSALTGWMLWLAILILSLVSGTYLGFAYLLLMPITGTAASLLLGGQARNLLASENPG TEDRLVVVTDSLNGGSWSAYYGPKDPINALLNRPLLRPTRPSHPHLLRWIIRLCVSTQ WILLIVSSALQGWDAAVVTAWTAFCALFVTYIYPPERAAADWLRFNCGLAISRIRTAF TTRRPLLSALAYINPDRDQTAWMDPILAKAEERTQWEKAMFELIATGQTDEKWAKEYW MHLVVEGVEMGKKLEQAVKQELARP ANIA_03552 MFDAFYLNDSLGSPTHLLLSGLATILPLSTWIGFINAPLVLHLF ELTGQIPLWSWAVSNEGRKLLGEVPAPDGKCVMDRFGRSPNLVCLDGRYGDKYFAANP ETLRLCVGSTPAYQIKNQHTNLALVDKRVHDLAFIHVWRAQDEDRESITTASLFPRLF SWTGWAVLLAFVAAAIYLHCWVAIAYLAVVPATGMAVYYTRGGPRKLRMDASSSQYQR LVITANHMNETAWQVFYGESTVVNRLLNWPLKSHTHPSANHWLLRLFLQAMIMGQWVL VVLASAWRTWDAYLISGWIAFSVFSNSWVFTPDHLTAEWMARHAGIRMKRYCTQLSSR RALLNTLIALNPDSFPTNNISGRTDTSKISEGGFAWIDPILKKGDDRTRWENSTRYAL VKAMAINDNENAASRLGAETHDFQDHVTHGGTTAETGTEYWHPFIHEGIQMARLIVRA AGLDQSAGDYDSQASASNVDIYPGQGAGESQAYYSN ANIA_03551 MATNPGSIPVETHQPSLLCVAKDPKPEKHDEAKAIDIVAVHGLR PAANIDAWKIADGSLWLKKLLPRDVKGVRVFTFSYNALAVFSGADHKLTDAAMDMLLK ITAARAQVPLDRPLVFICHGFGSFVVECALNRALSCPSLYPNLEGCVRGVIFLSSPQS APLEVPFSALLIDSATDSIMTENGISTTMIRWLESNADILQAIPRDFKRIASRMKIKV YSCYEEFPVVPHNQPTLNEYSAAVGLKLENLRMMSGCNHHSLATFSDRECDNYKFIVS AIKKVRNAARKARELDPKVIPELGYEQPTLGSRDDHYQQGPSSPEYQRISLPRILPLQ MDNPSCAVNASQKDVFQQPKKPNLPSFQAPATFSASSVALVPPSQPAGPDQLKIPMRP VGSNPGRSPPGNTPAPSVRSLSMTSSNFDGFMPASSQVAIDRIEAILPTGNATTPVTS AQQSARSPSTASSHSMGFISKQPQPLPDKSNAYTAHYSAPGMVGQRLPEQGMSHQSQV IQPKMPGEIPGGVSKAQLQPQNIDVGEGLPDAKRCLPPQSLTDNASTTLRTTPTSWGP KYSATTQPSPSGLIPHPPQVMHSNQVSYAPFNTPIKQPPRPQRPGHSQTSSAVLSIPM NNSKVPAPLPTGVSSSSNPKPPPLPKNKKTKTPQPTISKKVKRVQEDAAAGQPPKPPR ATRPPHKPKPLEPPTQPPQPRPSANKGLRGQSTSQKPQELSKPSKPQKPPKLLASAPM HIISPGKRTSGPSPLHPPSLDRQVGPVPREKPGLFRKLFSSSQSDRSSGASAGAMHNT SPGVSGQYKPYRPGPSQTSVSVPQRPLAGSTGYRPTGRTFLPQQPHRPQQPHMLFGGG GLGNGHANTQHPVHGQSQGLFHAPFHGHGHGPTHHHGPGAPVPHVQQHGSQGDPTRLS GVGTLGKVGAGALGGAALGGLGVIGAMHAHHGSEHEGSSDPEGSDPEGSDPESSAPEE GSDPEENSNPESSDPEDGEESDCDEDEDGENGDEDDDDDEDDDDEDDDDDDDDEDDDD NEDDDNEDDDNEDDDNEDDDDEDDDDEDDDDEDDDDNEDDDSEDDDEDDDEDDDEDDD EDDDEDDDEDDDEDDDDEDDDDADAYSNDEDDYYYS ANIA_03550 MDRLLVELLEIIILFTLQASLKHLLSVNRLFRALCIPSLFQSVQ VGVTFAQLEGLLQISKSSFAPFVRAIRYEANALLDPKKEKILWTSQDILCMSLRDLPN LHTLEINLVNRIKDQFGHASGCMLPYHDYYLGPLEKLLTAAVMARKNSVMIRAFHIRG FYHQAAIEDCFLQALASKALPDVEEICITSTSPMLPFLNKLPLLKLQHLELIDIWLSL PALENFIRQAVHLKSLYLEDVWLVDELAAHPGGEFGISKGLATTIIEVLTRLSRPLEM TITHCGNTRQFGSNSTTVFQS ANIA_03549 MDSLCSCQPKPRIPRPRNAFFLYREAHYKAVVASAEVSPLPSTT VAKILGRQWRDLPESAKAEWKELAEEEKRQHKQRYPDYRYCPSSRICSQCGGRQIRGA KSHREGSSGSPARVASDEIMDLVPSREMMLEWSDYSDEQVCQLFSLPEKGEDQVARPI TPSPPPFSTIKPCLLEKQHGRWTLCSILLPTDHGYGSQVTLFDSCLTYRMLHVVGWMA ITTGEAYFKLTDDTIRELAEYYNSTCTDLSRQQRFLAAAYAFCYSVGYNEILDALEDD GSGELKVSVTVTQVTVLVTVTTTGTGVLLVELRIVVESMTTGEGALLEGGVLLRNNAV LEEAIPVLALLSFEGVDNPFLEHEEGIISGHVKFRSNRDIKLFLTLERAEEPEALRDT YICDVHGQCCLIEDHLSCWLRTQQEQHKEAMVSPQQLPSNWNRLRNARRHKHSVSVAY VLAAALHFLAPEASPNPVKEAAWDYSHSDHSSIVALVGLDTPQAQTGRETFKVGRRAP MAENLVILGSDFLLWNGIKRGGGRHSIHGLKGSWCQVCATLNFQVGVTAWRQKEFTKE SGLGQFY ANIA_03548 MAFQSTEPIGPSYLTVTNKIFKELVNSDHAAQEYRTHIESRLRE ERANLEQERQCSAELESRIASLQWSRSQLEATVQHAAEAVGGLQKELEDLRKKSGSSE CRVVALSSLSDTLLKILSNISALQSAPQGEVDIVQMSTELHRQQNIIRGLEQSNQRLA DSLQGLKTALEVTLIGESYWASESDNESVTMVGTTSESAPLPGPEVNADDEAIGCEQT YPTQR ANIA_03547 MTKRARPQGQAVPEGSMPRHEDHVTRLAVRLKMRPSSRDEFAIA IICALTLEAEAVEDLFDEIYDRLGEHYRKEPGDDNAYVNGRIGNHNVVVCYMPGIGKG SAASVASSLKISYKRIEVALVVGICGGAPYPLSGGEVFLGDVIISDSVVQYDFGRQYP GGFKKKLGVRDTLGRPNRAIRSILASLQARRSRKDLQDKLLQHLQALQESLSDWHYPT IDDILFEASYQHKHYGLTSPACPCLDSMSEDICKTAVESPCTSLGCDMDRSEGVVGFE MEGAGVWDNISCIIIKGVCDYADSHKNKAWQAYAAATGAAAAKSFLEYWEPTAREDLS AVPVIEEFIGREEELNCLWDYLQPASSQTRRVAVLHGLGGIGKTQLAIHFARKHKNEF TAIFWLNSKDQSALVSSLSSCLSQIQGPPIEDQAVNKEEAVQRANQVLQWLARPGNTR WLIIFDNVDQYSPIQGHGHCGFDVYEFFPKADHGSIMITSRLQGLTELGKSFPVQKLI YKDATQLLLQSSGFSAKDITQMGAEQDLMNLASLLDGLSLAIVIAGAFMCQTGTTFKE YLELYRTSWFDLQSQSAPKRQYQQGNIVQTWNITYKEIQKHDSTAAKLLLLLAFFDNQ DIWYELIRNGLDYSNPPQWFETAVSSKLVFKAKLKALVKFSLVEIKQQEGSYTLHPVV QDWCYHIAALDGLTNQLQELAFISVGNMVPSYDTRDYARLEQRLLPHANNLIQRNIGH WLDIQPEDRINIFGAFHGLGNLYSDQRKLKEAEEMYQQALAGKEKALGPDHTSTLDTV NNLGNLYSDQGKLKEAEKMYQRALAGKEKALGPDHTSTLDTVNNLGILYSDQGKLKEA EEMYQRALAGYEKALGPDHTSTLVTVNNLGNLYSDQGKLKEAEKMYQQALKGYEKALG PDHTSTLDTVNNLGSLYKNQGKLKEAEEMYQQALAGKEKALGPDHTSTLDTVNNLGIL YKNQGKLKEAEEMYQRALAGKEKALGPDHTSTLMTVNNLGSLYSDQGKLKEAEKMYQQ ALAGYEKALGPDHTSTLITVNNLGNLYSDQGKLKEAEKMYQQALAGYEKALGPDHTST LVTVNNLGNLYSDQGKLKEAEKMYQQALAGYEKAVGPDHTSTLDTVNNLGILYSDQGK LKEAEKMYQQALAGYEKALSPDHTSTLMTVNNLGSLYKNQGKLKEAEEMYQQALAGKE KALGPDHTSTLMTVNNLGILYSDQGKLKEAEEMFQRALAGYGKALGPNHSKTCVVANN LVSLASLHAEQDSPRHIHVSPDPHPQ ANIA_11402 MSFALAPRNVKTQKQFLSSTKKAMSVQVTNQPFGKCSNHQQEKN LSFLRPVPINRQRSYDRHGGRPANWQLLSKVICFTRFQLLFDRPHPSQALPQSA ANIA_03546 MRHISRAFGSDDDSGTSTDVSCIFSDESTDDETLDSAPEEESDD DLEDDSDNDSILDNEDEQERPAAYYLKEAECLDVSQLRQKRYSPRTQASLDKTRDYWD RFCYEGNHDPIERFHWLSDSEETIRFFKAFFSWRCDRRRNKKGGRTPGIQYKSSLETF WKWWHLVYKAEVGQGLNKDLTVKILDVLAIVAQEKGLKNGRRPKATMFIEDVAEFARV LLSTTEMTFQFGWLRIQLLLFCQLAAITGCRPGAMLNLRYRDLVLTLIRNPDGGRPQL FIYFTPEFTKTFLGEKEKNTFPIPEIIFDPTLVLSPHVFLLGMLFRIQGFKNFSENGL VLDCPENLYKLGVLDGLGQQELKLKDEILDQFVFCQAVREPDGIRILLGEQLTEGALR YRMKRGGEITGFEQVTKPYGLRYGAAKAFNDSPEVTNELQNVMLQHASINTFVKHYSV GIHVDAQAIVRGLPSQKQLMRFAASMSRSIDPRRPYRLEDTRCVNEIPCVRALQNRVS ERKQYRDKMKRAFEKAEQDFQQNFGDYAHHKEVKELPPPTRQALYSLTKCKKEYTRAS QRYCRAQRVFRNEWQRQRNRLVRENLERYKNEQPVIDSERQLSGKLLDSEVKGALERT GYMTPQHMILIDTILTAPGSTVEKEYQRRIAAINAVIAFCDVEEGSPVRRPNALRKRP AVDALPSTPPAKRQHCPLPDRETTTLSQAVASVCVKNRDERPTICFLCLGNPRMPENK RFKNYNTPGSLTRHFVDIHVVPYPEDMRVRCSICQEELESKAALLNHAERMHGTVSRR PLSALGPI ANIA_03545 MHCPTPYTERLPNTFYKVTGCSSGISQSLVQLIAKSSNLIIATA RNPGSLSSILDGSSVLKLALDVTSIPSIEAALKETLTKFGRIDVLVNNAGHTLVGDTE IAQDKESCQLFDTNFWGMVNITKHVLGIMRGKNPNRGGVILNVRSMGGFIGIPGGSFY HSIYAILSLVVKRGHPAYADLNYPTNTLLGYIGADAYSTITMDLESIKKDLEELKDIS LAS ANIA_03544 MEVDDSPPGGARPGTPLLGENSEPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHLPQAPSICEQVSMVADDQLVLLNDWKLAMTSLAKALDLTVSS LQGRPRDLARGLAARFNSQTNLRTPEACEGPLKRRTSQPTTWASLTAPRAGQGNWQTI APEHRTQAKQPAQRKLKQPNKTDHRIFLCLPASSSLRAIRPHGIRVTLAGKVPDRIAQ VQARSSYYQRRLLALAGDGYFEIPTEYHQVIVPRIPKQLWSLDGWIDTTITDISNKAE CITRRGGTAHDLLLSFEADIILVQEPWTNTAKHLTKTHPQYQLFSPPTRWTARPRTLT YVQRDLPAHSLPEPISPDITTIYTAGLTIINIYRPPNDPVAPAGAGSTPSTLSTLLGY APPENTILAGDFNTRHPFWQPDTESHAITPGATGLLDWLDAHELELRLEPGTPTRGPN TLDLVFSNLPLRALVEDHLKTPSDHATIGIILEQEEPPPIYKLGSTNWEKARALASPP DPTLPIDLLAKQLVQTSQLAIQGASRYNTCRLPRTPWWTPELTDILHQTRQQQNPNYK QLQKAIVQAKAEYWKQRIEQATAPIDAFKLAK ANIA_03543 MADPLSIASGVAGLLSLGIQRIKDQESDLIQITQNLGNLLVIFT ALDEALLRRRPRIDDQELVGSIDRSIWGCKEIIKELEAECHKLQETSTAGFKGQIKSA GRRVAYPFRKSTLQKLEEDISEIRENLSLALDVLQLKSNNTLQEDLSKLRSLLEHINA THISSEIRSWLMAPDATSDHNAACEKHHSGTGTWFIEGHHYKNWLMERNSLIWINGFA GCGKSVMCSTAIQSTFLESQYRHNVGIGFFYFSFRDKSKITASGMLRALLLQLSAQLN DGEKDLQQLHATYRLGTPPVEALLNSLQNTFSKFQDTYILLDALDESPRESEREGVLK AIEIMRQWRLPGFHLLVTSRDELDIRQSLKPAHHQDLMMRNSEAEKDIANFVSYQLSH DPKFQRWKSRHREIEDNLAKKSQGVFRYVECQLIALKRARNQNRLDECLRSLPRDLDE TYERILCSIDKDDAGDVQRILTVLCVSKRPLSVHELIDAHAIDLAEPPHLDRDGRSYD PDDLIDICRGLIEFSVIEQDNEKKTTVARIAHFSVQEYLESDRVQKEAKAFAIHKERA NIELAQICLVYLLEPKLCDSPLDETRLAEFPLAQFAAMHWYSHYRDGSGMESAAEDLI LRLFNNNAKSFLTWLQLHDLDSMRDWDLTLRKDLEDIPSPLYYAALLGLESTLNTLIT TSANKSSHEDFVNVKGGRYGNALQAASYAGHDKIVQILLDHGAEVNARGGWYGNALYA ASAHGHKEIVQILLDHGAGVNARSRGYKNALYAASANGNKEIVQILLDHGAEVNTQGG YYANALYAASYKGHEEIVQILLDHGAEVNTQGGHWGNALQAASARGHEEIVQILLDHG SEVNAQGGEYANALQAASNTGNEKIVQILLDHGAEVNAQGGDYGNALQAAAAIDHEEI VQILLDHGAEVNAQGGWYGNALQAASYTGYKRIVQILLDYGAEVNAQGGCYGNALQAA SARGHEKIVQILLDHGAEVNTQGGCYGNSLQAALYAGYDKIVQILLDHGAEVNARGGY YGNVLYIASAKGHEEIVQILLDHGAEVNAQGREYENPLQAASAEGHEKIVQILLDRGA EVSAQGGEYGNALYAASNAGFEKIVQILLDHGAEVNAQGGEYGNPLQAASAEGHEKIV QILLDHGAEVNAQGGEYGSALQAASARGYEKIVQILLDRGAEVSAQGGEYGNALYAAS NAGFEKIVQILLDHGAEVNAQGGEYGNPLQAASAEGHEKIVQILLDHGSEVSAQGGCY GNALQAASYAGYEKIVQILLDHGAEVSAQGGHYGNALQAASARGYEEIVQILLDHGAE VNAQGAEYGNALQAASYKGNRKIVQILLDYGAEVNTQGGYYASALQAASADGDEKIVQ ILLDHGAEVNIQGGEYGNSLQAASYQGYEKIVQILLDHGAEVNAQGGEYGNPLQAASA EGHEKIVQILLDHGAEVNAQGGHYGNALQAASCAGNEKIVQILLDHGAEVNARGGLYG NALYAASDRGRDKIVQILLDHGAEVNAQGGDYANALQAASYAGNEEIVRILLDRGAEV NAQGGEYGSALQAASAEGHEKIVQILLDHGAEVNAQGGEYGSALQAASAEGHEKIVQI LLDQGAESNPHK ANIA_10427 MGASLYTELSSRTDRHTSGPLRGWLVLTRQKNLVTRSKSAVTTR SMPASRSSQPGSSQPQQDKYSDAALQLDAALREWVARLLEAALQICVRTFERLASRIS GWARPVDAGVGTGVGAGVITGEGRGGTSAGVGVCTRVLDGESPPGICFDNLFQEIDFE IDSFLFGLRNLRRAFSGRLITFTELLVICGTLA ANIA_03541 MRSSKNRKLFLAILLGLITTTKTQICTPETLYSYDYAYYVANQS TLDIIASECTSINGSIRISNNYTGSFYLPNIRNISGDIQWYADPSNMYEYRHSTGRFD TSPVNSISLPDLEHIDGSLDLQYLYDLRNISAPKLSMVGHSVNVDCAYNVDLRSLQHA KYAYIFGNISSLAVPELTSIGDLESSAWGLARGFQLTTDGGPPLNVAFPRLDTVSGTL KVKGNFASVNLPNLRRASSGISIYSDMALDCDAIEEAIFQNVSISCFAKEEGSESHGL SASEKAGIGIGSAIAGITFFILMPLYIRHRRREKERLKAVSEVELMPPSYQAAQQDRP ALPEYSPACKSQAFALVSQTIAREDAGAHMLLVAGADPCSVGEDGANAFHMAALISNA ATLRELLPFVPDINLPDHDGNTALHHAANDEWAALGEIAELVRMGANVEARNNNGQTA LHIAADAQRSETADELVECGASVNAVDKNGRTPLNILVDSYAAYFDSMVGKYEIGESC YFQLPHLFEALINRKPDISHRDSRGASALNVALFWYLRWVKKDAFEEPPPPQSLKARF EA ANIA_03540 MRSLDNTPNHRQICWSVTDAIGRPVPAIDAKRRDRSSEVEAYRR LIPLMHQPGYSPKEETLSPHPILTDKSFLVRMRHTHDALVKAVVNIVDRWWTDTDADF PARLPLEKHVEEVLQWIDEQSRNQMFPEFQDRLGNWRPDFLVTENSCANGPGFQICEI NSRTPDNVIVLSAHKHRQMRQLMGPSSVLQPAGDYDDWIDSFFSLLHTHLPIHILRGR DELQRQELVRLVELRTGIRPRFVNVNNVELKHDESSATGYSLYCRDQVACEKIHQVVV TLFPDEFTLLSQDMLRQLATVAVNDLRASLLVNDERFLGIILQEINSLVAEHHILTPG QGRALQQGIVPTLLPGSLELKYWVGRQIQGEVSKNDYILKAARQSRGRGHLLGADLSP EEWTRIMLDMQDPSIRPGVTSYVLQPFLQQVKFDIIGDEDNKVHGNFLVGCYYTINGR FLGLGPWRSSTDRICNVYSRMGCLGLYSVTRSHVDGQEALV ANIA_03539 MSTNDPMPQICLEASRKAGIPSLATSASYEDRVRSGNAFSSTFL RYLGGEHLLPYFLRFMCPHPVLVPDTFMANLKEFHVALTAALTNIVQRWVIDEEADLP SRMPLEPHEEDILRWIHKITEDKLFPAYDGRQGNWRPDFLLPANETGGFRVCEINARF TSNGLDLNARVNRAMDNSENKPPYLDVEGNPDHMMDRLKALFHPGWPLRFVHNREHNP MIEALMRDLGNMKPRLLTPDDLHLVADKTSPTGYRLQCVREPGSSADHDNETVEDIHQ VALRLFLDELAALPPEMQRQLAFLSCNDIRSMLLIHDKRILGILLQELNDLVVKHNVL TSRQADLLRKGVVFTIIPGSKELDQLIDSYYKGKVSKKDFILKPIRSGRGEGILLGGD LSTVKWEAILSDMKSAALAPSRAQYIIQPFVEQLEADTFLDEEAGVQRTRRVGTYHSM HGQFVSLGVWRVGISKSRTINMTTGGAWKLGSMVRKMN ANIA_03538 MIASLVISLRKAVAQQTNIIEAARAEIREIKTEQKALKGQNTEL QEEIQTLRAQIETQATANPPPKSWAEVAASNSPYNTNTIICQPQRELNCIQISTARRT EDHNDKANNNTFTRFLPTDTANNYIRTALANTGPTKDVQVAGVGTTKTGYIIRFRDTQ SAETAQNNTAWLEELGNKSKLVKPQFSIVVY ANIA_03537 MGGLAINTTTPQGFYKPEEKVFCNDGRENQLLRFVTTHPQLSAI RNSPEAVLASIDEFARTKDFLMNVGPHKGSIISDLIATERPSSILEIGGYIGYSAIMF GRAMRNTGVSAPRYVSLEMNPTFASVSRALIELAGLSDVVEVQEGPSRASMKRLAAGS SNQGSSAKWSMLFLDHSKISYLNDLKLAEELGIVAPGSIVIADDMKRPGNPMYSEYVR ADTATKEAAYMPFVGCLSDGNISLGNPDLIYQTKLVEGLEPTGHMGTSLLT ANIA_03536 MGDTVRTDVLIVGAGPSGLMAGVWMAQMGVNTMIIDQKHNLTRC GRADGLESRTLEILDSFGLADKIWTQANHTVEIALWGAGADGRLQRQSITANSKPGWS RFYESTLSQGQVEEYLMQFVRARKHVEVRLETIPTSLEIDNMTIDHHDAFPFRVNLET APFSPQSSFDGVATPNSELSSGQSDDSGYAGMGTMVEAKYILGCDGAHSWVRKQLGLK LEGETYDDCWGVLDIIPLTDFPDIRKRFIIKSMHGTLMMIPRERKLVRIYVELPFEAA ERYRAEHNAGILMEQVATIMKPYSIRTNYVDWSTINKVGQRICRKIGPHNRIFLAGDA IHTHSPKAGQGMNVSMQDTFNLGWKLASVIKGVLHQSALETYQQERLPVAEQLIALDQ RICRGMCTKRNGGVEAQNGRFDEDHRRALEQENSSMSGLAVTYQPNSLVTPTVWDTSL PSDVPPCTSRPSVARNLRVGARVPSVLILNQSDSQACHLHQILPSTGQWNLIVFGGDI VAANQKRRVAALTDALNAPDSAFAKLNNRVSIAQRGFPVLGMYLIHRAHRHSIELNDL PLVFRPWTNDGVDYGRAWVDAETYHHAGGGKLYSSFGIGPKGCMALLRPDQHLAFLSD IDDVDGLELFLRSVMPGAT ANIA_03535 MRPPSPIILDYTLHDLYTSDTEDSLETVLVYYPLQYKHDHGNKE VKYVYGYNMEEEDEGATSSSTMAASLVPQRYAFSAGRMPLVILNMVAQRKLISEREEN SQAAGSVPAHYLDIYRTFAQLHPDQRPSVVFAKNPDSIELGSGARIVILQPTDCVSHL PHLICPEVHYEILSKRGLALSGLPTPLSRVIDAILADYRDPSLLAEETARITGYIEHY QVPFMVKLPQSISGMGTFAVTCETDRMRIKSMLSTQLGILLRQLNQTNRHLHPCSIVL QDFVDGPVVALSMFVTKTGQPIFIACCEQLFDNKSHWIGGTISYPQQAQFRETFSTLM DKVAAFLHRKGYHGPAGIDIVTDQHSGEQFVIDLNVRVTGTFHLGPLKGHFTQRGLSE AAMTSGDFFCTRDMFEEAFSEEIRQGSLLVSGWVHHESPCKSHAAITVGSKDRDSLQE YLRRVRIVGQPD ANIA_11401 MGLGVLLQLLLIIDPNNYTAKASVATHTQALDHQNPDTYTKYQ ANIA_11400 MNGILQLIDQAQSPSLILGKNTDLQKKIITLCCVFTTEDTTEGY YTIFKKVYNIMHKLTGKKLTFYAIHGTGLHAIGYLSS ANIA_11399 MALSKEDLRYKKLERLQKFAEMDAIKERA ANIA_03534 MANKQTFESPDYHIQAKKTMTAPVTLWLRLLQLKEGAELGVAVV ALPGLELLVVDDKETYCWSLVDALPPGRRAATAVQRPSVICSNGTSINPKWGTNIGGF SIFINLKSASNLTTSDEEHTFDGADILPGSGYIFNLKDASNNILANEPFLFNHASLKF LLVKYIRDGT ANIA_03533 MSFNNALSPPDSLDAALSNSARSVYTAPPNPATLTPDMSTPETS GQSSTVSFSTSLEPTVLQAEEYLTSFHTQLLPYFPCVYIPPGTTAQQLRLERPFTWLC IMAVTCRAAGQRRALYEKIKTIVAQQMVHNSANTDIDILLGLLIYLGCNSTFVQRTDS LRWTPFMDECLKLLEKQQECLNDEILAQQVRLQLISDKLNLGPYHGGLAATPDPIQAP PAFYLHSMHAQLRSIQPRVAPKLAKPHNAPVISKNLNFQQLEHLYACLEATKSWFDLF LTIPPVEYIGFPFSIFAQMVHNLVILYQLSTFEDPAWDIATVRQTADVLSILSTVIRN MSQVASLAGLDGEPDSDVFSRVAKMYRSVQMGWEVKLGPKPLSLASTHSSQSVPEPLD TMPINFPLMSDNDWLSDMLNSITHTNRSEV ANIA_03532 MSGNNNRQYSNRQGSNQSPASQQARSPTVTNTGQRWGDRANRSR SPPVPLSATSRLMYERPPPTMGVVRRSPDPLRVPSHYFEPGGAAAQTTGSTTSSTSSA ASTPAPRRESRGVLERETSKLSGRRESGNVLRQAFDKFGKGGKEDRGKGKDSAK ANIA_03531 MSEIHYDYIIIGGGLAGCTLAGRLAEKNRSLQILIIEAGPNVAG HTLTEYPLACFGAHFSPLDWAYTTVPQTHLDNRMCYNSAAKALGGGSAINYGTWTRGN AADYDRWAAMVDDESWGYEALLPYFKRMENCLDCKGTETGAHGVSGPIHNVSVSGGSA DRIYPLREPLRRAWERLGVKEVLDANAGSPLGLGELVENWREGRRQITADVFNILERP GITTLTETMVQKILIEKDQQGKKVAKGVQVVQGPVFLADREVIVSAGAYRTPQILMLS GIGPKDELAKLGIAAVADAPGVGQNLHDHFAFVQWWRLRHPEKGLSIGTPLWDSLAYG MGLPCDWIATLQAPRNELVQALHQDGATDVGSHPYLAPDACHVETLIVYAPAGAAVSR IEIPMDGTHIASAVLGMATTSRGRITLASADATTAPLIDPNYYATEFDRAVLRAGIRQ VGKLLLETPEGKETVEAEAPHPGFQALGVEPTDVEIDARVKAGGNTFYHPGGTAAMGK VVDTSLRVIGVEGLRVVDASVLPLPVTAHYQALVYAIADKAADLILS ANIA_03530 MTLIPPDVPKLKDQALWSNSANTTLFNYGGRGANSTSTDNGAWA YSISEASWQVQMSSVKPVRLVDGAYVNVPELQAAYWLGGYQSRDTTPSITDDTRTYAN GMIQFNTTTEVYTLLDAPFTPVQQGALVYLPTLHQGVLLYFGGEIPSVQDGIDAQLSP NSWDYVYVYDIANQTWYNQTTTGRVAPRTQFCASVVHQDGTNSWQIYVIGGADFDSKE VMTDVYALPNKTHDGIMLIGQVIFVYPFVQMSYGRQIIGIGGRQAWANDAAAGCYDTP AFLYDAQSEVVRTVFDPSLSTYSIPSATADDIAASPVPSSYDNITMSLLFSRPVNLTS TQEVRESVNKEVIAGGVVGGICGAAIVAGLIWFFIWRRQKVRKSTLKQFPGKPGSELK LQGPGELSAEEAARELDAYYSQRSELQADTQMIQELESTTVNSRR ANIA_03529 MRSSLVLEISRLILLILLSLNAASAADNRTCYMVDEQTIAVDHV PCTTKHTTHCCHKNDICVSNGLCWSQRNGDMVLSRGSCSNVNWSGDCVSARPCARANT SGGYPVVNADIANHQFCCGSVLSSSASDGIECSGDGPFPVPTGTVIPGVAALASVAST SSSTPSSTCPGGNNSNTTLLQSSEKSDDKSTKLGLGLGLGLPLGILAGTALIWGACER KKATSARSELEQLKTAMAHNHQYGHIPQMQAPPPVEMSHDGNIVAELPSAGYNK ANIA_03528 MKTVSVFLYGQGHVLINLILYRPGNPTGKQQQLGQGNDHYQDQS RDTRLLEMRIYPKAKEQRVSAHEPEVRKSRFALLRAAARSGIILTLLFLSLFSYLFGS LYQLTGHTHNLNVVLVDYDGGVIGDAVRQAYQQLRSDSFPTIINHPPSQYPQPAALRS TVCNIEYWGALYISGGASDRLATAISNAQAAASYNRSDVLTWIWNEARYPTVIDTLAQ QITSLSEAARVAYVQLNGTGAVQSLDATSPAAVAAYSNPWELTSINIQPTTQGSRVVY NTLVVVLILIQEFFFLATINGLYVQFGIFRRINPKRMIVFRFIISAVYTLAGSICATG AIWAFRAGWQVNGNQWALTWITLWLFAHLNFLTLDVFAVWLPPPYVPMSLITWVVLNI TSILVPFELSPAFYKWAYALPAHACFNILIDIWSGGCNPQLGYALPVLFAYELSSGIL SGIGVYRRAHYAVITAEREEKSLQEKITNAIADSQSRSTPVQEARPAGGAELDREETV ESGAQPQRTSSAESISDREELADMVWRVTSQMTLEQSSSQRRGSLGPSFAL ANIA_10424 MHIRNLDHRVRNLDQEIRNTRALRRRSTGRRSLNLAAEEARLSD QREAAELELKQFLISRRNQRVTSSLKRTYGDQIRVFCVSNTLYSDHRTQEPDRANAYI QLSGIRDLRHYCQSVPADAQLRATEGFLETQVPALLGSVSLWTAAGSDTVTHTRAEVL RGVLSDAEQVLQQRITSRGSDIRHLQSSLERQFRESITQAIRNSRNDWRDGAVAASRD WATNAIPNGTSWTKWHHSTYAAWCRNNGTYQTPKQAYRCWNEEVLGRGRTQLSAAWDT ILDILEGEKDEIDEEVSRLFRGICDSIDEHLDISPETLRHLLRNLAARQRCIARAIQN ALEDLCYATEKCKLDATGGHDSSYIAGVMRPVYISCREQYGTGSDSRRKQTMNRHLTS SPLFTNFSRSIAADYDELMETTFNQLHQKLCDEVDNVCRDLQAAVTLEGDVSEAGEDP EHTREVQRQVELAQVALDHAQRVLREVERQVVESN ANIA_10426 MPDAADGPRIPSRSRSSSHNPLQDHVLPSVELTSSYSPSSTGPG TPTSSVTDLGDHEDNQRALTPTTQSLFQNLRLSEGEIHEATQSTHSLSNGQQASGLTP TTRRTTYMDLYNATPAPESAPTTGPQETLQTPTSPLGEVSSGLQNLVLAEPDEAAAEV SDENSSSASWHYNGDRDDDGDHIYNVREEELPRAPIYDIRLQNALRNVRGQIADLAQF IGERELTHDPTSDIHGKSSVINSILDENGLARSSGDGAACTTVVTEFRNVDESYPDNY TVKADFMDNAEIRELFEELLSNVRRYYTDAYREVTQVEEQENIRIAATRAWNTFRSLF PNQPQLELDFLSRDGEDAAESIVSTLVEWAIARLDSQPGGRDRLEQPRVANHADECME LLDSLTTDHGGGDGTALWPFVKLIRVYLRSPILRTGLVLADLPGILADRFFRVWGFKL RSHTGD ANIA_03526 MLGDIDKGQLIQLPLFSKDADRWRKWAVDETKRWKEIHQSRMAP TSGQYVQERTSETKPDSQYGCKLRVEDEYFLDSVPDLSDSPGSTPGSGGIQDPPAPPT PGTPTPTGRGLGMDEYMRKQRDALGISEEEEEEEEEDYATAKPIARPVFTDRPQRQSD KGQRSDCAEDPAFHAPLTEACSKNDADRSTQSSLGRKGYMHGISDADINEERWSFVET VVAGVQDSKAPQPHVFGTLYLLSLESAEGLYRVCYRTGNRKFSPGKECCDRATRFYNI PNCVSVKQELLAKFKVLPPDLECRWCGGQHKDWIKIPEREVTTSTEAPKDPVDRGRLN VPGHACELSNRVDEKSGINDVAETQKIKAAVDDLPIKIPPAQEPVVDYQSHSIPGAKA NNIIGRLAEMIGKPTVSTELQAPPLLDWAIAAARILSIPL ANIA_09513 MPESQTYHLHPYGWEDDPEEERFKLSTLDYLSACTYNMYALFFR LDESLSSTAVEALKAGLERTLSQARHLCGTIEKDSDGGHSFTRKKDSTVKFVVQWVDK DPAYPSIDEIEATHFSTVRLGDLKRWSVQPMTYGEKPEAHPDASPAVAAYKANFVRGG LVFIMHHHHYANDVMGWAGLTHQLAENCYAVLNHTPFPLWDPANLDVSRLTKPAPAEE DKVDGPTPPQPTEGQLPRIALLFHLPRSKAAELKRLATPKAADGSWISTYDAFSAFIL RTLTRLRAPVYKLDTSKPLFWGEAVDMRRRMHSPPVPARLQGNVMSAAMNINAPAEIA HPTIADIISHADPDGEAEWPLWRLASYIRQLTNSVTQERLDAMLTMVSRVRDKAALKL RIDCQPLMSILQTDHRDANISQADFGFARPITYRHLLDFVTPGLIIIYPPRVGSGPHG DDEGCEFSIVYEQELAQTLIDDPEWNAYFEFRGIDAVDISSTLEVPEYRYPSQHLYVD GSDGYM ANIA_03525 MFYQIFTGLSMELDNLFDQALQGLLDYEANKDRENCLDKSIHIA RQVTKYERRGQLDDLDTSIAYMPLRIDETVKRDVPRILDYINMSVLKGDRYDVSGLTD HAMAGVEFATEAERLACGRGVANEAEAAAAMGAALLKLCQGGIRMEKYDPLDTAIAKI ESAIERMPDVNKDVIQWQHNLATLLELRFERHPRMMVLDQAIQLSESVVEAGAANRKQ KAIRENSLGNLFGRKFEWTGASEYLDNSIERLREKRLEDLEEAIENSRKACELGKSSP DEAISLNKLSTNLHKLYGHTKEKDCLEDAVKYGLQVWELIGQDPNSPFHQACAHNVAL VLTRRFRLLGAQKVFNDALKQTNKDAYEFPQGRVHIRLRAAVKALGILRLREDWEQAR AIGSGALKLLPDLCERSLTLDDQQYAILQATGLAADVCSLYLQCGLVTEALQKLEFGR GLILRYMIDRQGDVPGLERVYPDLAAGYKTLQRQLVRMRLEAGEKLKAVVNEIRHKKG FEAFLLEPKAEELTDSVADGVAVVVNVTSIRADAIIVSSNQIRALAYSRSLSLNRSQF QKDTEKESVLIVAMPTTPGHQPLSGVIEESNIVRAICRETHVCELLNHPTADQVCRKL ATSDIVHFDCHGSANESDPSQSYLLPQKDGVLDKLTVSRISNEVSQQPAWVAYLSACS TAQVRATKLADESLHIASAFQMSGFPHVIGSLWPTDDAVCVKVAEFFYTYLFDNSEVF AQGSGPL ANIA_11398 MADAITGFAAPTRKGMDARFAVSKFFAQSVVGRPLCAGCLEQGY ECPGLGIASTYIS ANIA_03524 MAALASMVGRIYQAFSPPQPPPKRGDALKFGILGAANIAPLALI TPAKSHPEVIVQAVSARDRKKAEEFAKAHSIPEVRDSYEDILSDPNIDCVFIPLPNGL HFEWAVRAIRAGKHVLVEKPSVSNATEAEILFNLPELSQPNGPVILEAFHSRFFPAWS YFRSLIDPANIEHVNAVSMIPWWGTSKDDIHFNYNLSGGSMMAMGTYNMAALRMAFDA EPEECLSCEVHAYTDGVHDKCDYDFHATFRFPNGAIGEASSTLKGPTYWTPSHVTVTQ KEVIIADETLPQTQVKVQQRQLTLHGFVHGIFWHRIDIKDIYQVRNRDTSKVIRGWEQ TTYHKAYTFKEAGGEFADLPGEPFWMSYRHQLEQFVNRVKGRETQHWISGADSIAQMK MLDMAYEKSGLGRRPTSSFQVNKS ANIA_03523 MQHIVIDPNHLQPPTRDTGTDQVPRTLPPVKNSKVHPSKPGSEN ASIYFIGNATTILEWQGVRILTDPNFLHAGDHLHLGPGLTSTRRHNPAVELQELPRID LVLLSHYHEDHFDRKVEESLRRNLPIVTTSHAKSVLTSRGPDSFTNVYDLDPFHQMMI NFATKIEAKDQYMPSLRVTGMPGKHAPLGTAMESLNDIVGAIPPTNGWILELGYGHSA DGFKVGYRVYISGDTLMFDELNEIPKLYGEHNIDLMIIHLGAAMVPSPSLAPFTLMVT MNAKQGVELMQLVKPDITIPVHFDDYDIMSESLEDFKAAVANAGLGCGVVYLDRGEEY RFSVRR ANIA_03522 MLKNVLRRGALRLVRQRASYATSALTPQQKQIVKATIPALEQHG VTITTLFYKEMLERHPELNNIFNSSHQATGVQPAALAHAVWAYASNIDHPEALATAVS RIGHKHASLGVRSEHYPIVGEHLLRAIKKVLGDAVTPPVADAWTAAYQQLADIFISFE DDLYKQATQTPGGWTGWRKFVIADKVHESEEIISFQLVPQDNGRLPTYKPGQFVSVRC FVPELGVYQPRQYSLSDIPNQRYFQISVKKEFASGARPAGRISNVLHESLPKGSEVEI SMPFGDFVLDTNATTPVVLMSGGVGLTPMMSMLKTVTNNSKSRPAVFVHAVRNGRVHA MKETLANIMTDNPQVKRAIFYEQVEEGDKQGVDYDYVGRVDVSKIKDLVFLPDADYYI CGPSPFMKAQSEALETLGVRPDRIHMEVFGSPTP ANIA_03521 MQYLDNYAVDQILINLTKDEATEFRKLIEKTFEDFSISGERLYQ LDPSAITRPTGQRTLFRPFTLDSSGHLTGILDSEEVTGYRTSMNAMVPFTWRVNVENI VIFGAGMQALRHTRLILTLRGSEAFGSWQSNMIELDPKILHHIIAADGGYNPISGEDT GVVLVDDRHYALQNSGEAVQSKLKAENMVELDQILALMNWKIGFVNDRQIKQTQWFI ANIA_03520 MILQHVYVLAFLQFLFLIQASSAGYTPLQTYHNADKDTTIAIKR ALDSAKGTTYTLNETKLTKSWADATLFSAGISSSTNASVASEDATLDLEGGLAVVCAA CYINGSVSGFLTVENDFNITQAIDNVVDEVANVTESAIDQLETFVDDLIGNVTDIDSI SDFDFPAWPTLDLDLDLDDADGFPDVHAQFEFNDLELYVELDIQLSAGATYTLNLFTS QSVAGFSIPGLEAGALFKVSLVLIAQAEIDISSGFHIKLDDAFALELELFNKNVSQIS MPGGRMEFLPVVIEGHGSLQALLLLEASVGFEIASPNSILADIASFSAGIGAEVFAYV GDFLVQADASTSDDADCAVEVVAEYTLAVGAAAGATVAVAEYEWGPAPSTTVPIFYTT LASICAGSKTSSPTTTPVSTLEERDNDLEKTTTTVSTTSTYTMVSCSSKGLVNCPVRL QSTTSVERALTTVLTVGPNMEATFPVDTFTTLEGAISFGNNVRTFGALSGTPVSYVPP QPTSSETGSAESVGSADDGGNSNKTQLIIGLSVGLGVPAVIAIAIGLWWLSYKHKGSR IKQDGVTAASPGQEQGAKQPMTTVSAT ANIA_03519 MSTWVEERFPDIVVGIDFGMTCTGCVAYTIGPEWGPPKTIQRWP GKLLSELANKVPTSLVYRPDGKTPAEWGFGCDTEDNTSEIKEFFKLHLAPQSASESES TGTSITRKEARRWFQDYISCIYKHVVTHFHGSIPGFEKMRVEFIFSVPTTWKDVRLIE DIRRLIAEAIKAGAPNHWACIGLTEAEAAAVYACHRRYDPGLRLGWRDYGEIAPMDSL IVERLSKVRDELEHTPEHVAWKMLSGRFQRLKCAFGTDVARTPMLSLEVPFLKNSRTD LPVAGIYDGQMSIEWEHIQRSFDKKINEMCELLDRQIQNMETKYPQDRISYLILSGGF GSSPYVRDRLHQRYAVPGVAKHPNVLGLQVLMAEEPQLAVVHGLLLDRIQQLKRGVVM FGSRRSPVSYGIICDLIYDPEKHIGEPVRRDPRDNNLYAIDQIEWLIIQGHTVPHTGI SKDFQLKIKPGEEHLPWKVHVVMSTNPPDLLPQSMKGKGAQHVCSLDISTDDVDRKMK NRRWYNMRPRYWRALFEVRVVVGAADLTFQLWSKDQRIRSSQHEPIRVQWMPAAANE ANIA_03518 MDYSTAGEGPFTTTIPGSTEPITGTSFTIYPTDTTIPTTTRYVL LSSQAIDVITTYQPDAAGSYVSVGETTVTTTLTDLPSTETGSSTETADATPPPTTTPT TPMTTPMTTPTSESTRQASTTTRPTSGTQTVDSNTSSQASANETSNGTLAGAIVGSIV GTALLTFLLAFLFFRRRRARSAAKELEHGVGLRSKSGATVSTAAISNENSSDSFSLAA IIPQSADDETVRSRILTIIDHASLHVDNYYGARSPYPQITPGTRARLAEYDSGHLPGS LDTMLGQRGVSRKVITHALVYRLLQAIRPGGELLPKLLATQPQVDQSPASTENALFAW RMVTAHLYNQDAYNKGPTHTAARDQTASSLAADFTSAFSPYALTTFSESDRVSHLGKL TISTAELGIWLFSQPCTFEFVWNKSQNEFTVVPQVIKTFDEQGKRLPRPQVLIEAVQE RYPSTV ANIA_03517 MTSQSQSRPRFYRNLQNLGEKSVLTLTNLRQKHSTVSISDSKSQ SSTHSSDIARYQNENPSPNSGTNTNKQASSHTISVALDRIQSSSSQAKSRLSVAVPSI LASLSNTAAPTTPNNPTSSLLTLNRHIETQSTQARHLFTQKSHLLSQNEREWIGATIS DTEDAIREVLKITETFRVDQELNNGHVGMKSQIRWLVRDSRRAKEERERLMLCHASLM GVIGRLQSLHLPNEAQMGLVQDAARQHETEGDSERGTVGGPPEPSAPGPIYGSSLSST EPRPKSPVWDLSVQLQDAGMEVAVTEPALLLPNSPVSAAPHSTSISAAEFPMQSDEVA PEKLDDELLDMLSWRWAQGRQS ANIA_10423 MASNKPITTACDACRRRKVKCDGQQPCGRCRDAEISCRTTSVRR KKGRQGATATVLHELRKAPAPVAQVQSVPSPQPTVISDPFIRQPGLLHPSVVQSCAEY FFPRMLGTVPILVPTTFQDHVARIEESLHSYCLVTAFCAFVFAQTGYVSWLNAGNQSA SLGRLLLDEAMAARRHLDLFSASTRQGITISFLLYGCQIGMGNQRQAYYFLREATTLY TAGMLDPADADDEDAGNLFWLLLISERAHAIRRHRPITLQVTRDSPILCDTRSDAFSL GFRCLAELYRPFDQTFLSRWNGTDSSATTEQLIQLEDHLLQAVPADVELPDIVLADLR VSQQWLRTMIWQLATSAGFLSSTPSRPCLGFAYPLQIARDLSLATWKLSKESLETHGI GLAEKIFEITCTLTDVMACMTSAGLRSSSFDLGPQDYLKHLCTLIHSLPGGETKFLPL LLAKISQTLPSMLTPVTRHLSLPSCMAEQVSVSPNVGIGSPVSHRDDWSKALNWAEMS RIGDRTPEARRGA ANIA_10420 MSPTAIETVPTGKNNWWKEATIYQVYPASFKDSNGDGWGDIPGV IEKVPYLHSLGVDVVWLSPMYDSPMLDMGYDVSDYENVLPAYGTVVDVEKLVEECHKY GMRLILDLVINHTSDQHKWFLESRSSKDNPKRDWYFWRPPRYDKQGNRMPPSNYRGYF AGSTWTWDEHTQEYYLHLYAKEQPDLNWDNLETRKAIYDSAVRFWLDRGVDGFRVDTV NKYSKYTDFPDAPITDPKSYIQPAIGMWCNGPRIHEFIREMYDEALEPYGDVVTVGEL ANTPDPAHVLRYVGASAKQLSMVFHLDIGHIGMGSLEDKYVLQPWKLTEMKSIVAKWQ TFIEGTDGWTTAFCENHDNGRSVSRFASDAPEHRERSAKMLALMMVAMTGTLFLYQGQ EIGMINAPRDWPIDEYKDIEGLGYYREAQAQVASGVDPMREERIMDGLRVLARDHSRL PMQWDDSPEAGFTTGVPWMRTHDLYREINVKKQEGDPDSVLSFWKKVLLLRKRYRHLF IHGAFEVLDFDNLDTFCFIKSRGEERALVVLNFSAGEQPFTQAEMAADMELLVGNYAE AELCEKLRPYEGRIYIRSE ANIA_03515 MENHDPQPKQELAHGQQGARQEQGMSLWQGLRLYPKAVAWSVLL SSTLIMEGYDLALLGSLYASPAFNQRYGVQAANGDWGVPASWQSALSNGARVGEVIGL IISGFVSERLGYRWTMIYALIAMNAVIFLFFFAVNVQMLLSAEILAGIPWGIFQTLPA AYASEVCPVVLRPYLTTYINMCWVMGQFIAAGVNRGSFQRMDQWSYRVPFAVQWAWPL PILTGILFAPESPWWHVRRGDIARAKHALQRLTSPQDASFDADATIAMIQHTNELEKS LSAGTSYLDCFRGVNRRRTEIVCGIWIVQTLSGQNIMGYFAYFCVQAGLPEIHSFNLS LGQYALGVLGTIGSWFLMSALGRRTIHLLGLSLLFTLLIITGSLSFAPDSNNAAKWAI GAMLMVFTLVYDISIGPVTYSLVSELSSTRLKAKTINLARAGYNISNIVVNVLTNYQL NDSAWAWGARSAYFWAGTCLLCLCWSAMRVPEPKGRTYEELDLLFEQRVPAWRFKKTA VDPYQGLAETEGHVREDQQKSS ANIA_03514 MMSTTFFVSMLALAGNAFASPALQARDGVQCGGVNYAPIGDVKN CINYLKSKGTDSCKVGDGNGGFCRDGAAVILGSGTTETPCQNVAAAAEAILGSCTNAD QYVGGSSTIGGNSNVVVTVRHVN ANIA_03513 MFSFLDMFGGPPLEPSNPEPKSALQVSCEFGDLDAVRAAASTAD KDDLNAALCRACRKGHIAIILELLEIPGVDVNATFQGDTALFLATLNGEPDVIQLLLE KGADPAIKSRNNPVADCQPDSTPLFALMKQARKPDEDDTDHIERLKCCACLLLEAGCD INAANQQGWTVLHFCSRDSLRLAGFLVDQGADPNAQAHDGSTPMHLFWGLPKQPDTLN ALIGHGARLDIVRPSDGMTPLHLYAKHNMLGDLSLLRPYVSDWGLTDSNGNTLLHAAV QSLRAKETTQELLNLGLDPNHRNNDGCTPLHLLDMPADCLRDVLDVLCAAGADLEAKD YKGRTPLARVMSPQPRYNYAEVLATYIAQGANLNTQDYNGNGVLHYTVRPYTFSFDHF QTLLSLGADPGLANYKGDTILHHLAANLATFSDGKAVLAILDLLNRGMSPTLRNHKGR TPLHLLCGQVSQHYFMPSTVSQSSAIDVLLDAGLELGINSVDNDGAAPIHFAATVSEA LVANLISRGAVPTSTTKEGLNLLHIASIARQGNTVGLLLEHYTWTGQIALVNARCKIG RAPLHDACRSGRLETVAMLLQAGADVNAEDAKQKRVLDACAEFTAEEKLWELTEDSMN LFHTLQTGGVLRELETRPHQPSTSKTRKRRVGLNEVRSEHDTVSIGPIVRLLAAHGAK LDPERRFSNNIMSAAVWSESAEMAVELERLAEQGVWDLHHRKSIEFKYLTMKWKEVPA LLDEELRSSGYILHYDLVKLILRGLHEEVAQALERNRDHVRDTDQKELSELLVLLARH GYHDLFARIGSLMSEQGWINGGERTLGGRLIPYLFAAAQRELPNLDVIKVIVEKFHAD VNLLFTNGLQMEPEVHFSSKVDADRQFKPADTILHYLAQGGHWWHQGAIKYLLEHGAD PNARNKQGKTPLCLAVTLGDLGGYGQLEIARILLEGGADPNIPAYCGWRPLSMAAHDT QLVKLLLEHGARPSPNHPMELFSALDFLNPAVLDAFLDTGIDVNATVLSNTQPHWHTH RLQKLSHRPNYVLHPLHYMAMDVWNDIHARSKAIVMIQHLLRRGADPFLICDRQSPIV HLVFSSGGIIQPFLDMETLDLERRDGLGRTLLLAAASCDTGTNSYAYSPALFQRTGKR INPAPYLEGDPTRAMTLYEKGADLTATDACGNNVLHLLVQHEDQPNTRGRAVNPSKNY WVIEHQRTIRLFLEKEPQLATQRNNTGYTPWDIANNKLHAWALDVLPASEAVETGSQS ANIA_03512 MTMTISALPLRRTAGLLSRTRLAGTPLRFASTKKAQSTKEADPA FSSNAKNPVSSSGASQSINLSRGKEARSSDTADTRSVQSPISSQDGPTSEQHAGEEQT SADAMIKNDPSEPAEKKRANVEAAGRRKLGPEDDQ ANIA_10422 MSTTSPTSPTSSTSTSTFASSPTLSPDNLKRQPQPSASTNQVPT VGSLKNFKRSVSYNIHTSVPEEDAHAHAHTTSPTGWTLDEAGCNQMMKASLTGLLNCQ EVKSGARERTVQNMLMNTERDLRRARRASLRTGALSAKRSTNASPDLAVRSNKGSLDG PKGDK ANIA_10421 MQHITIDPKKVQPPTRDGTAKDTPEHLPVAKASNTHPTKPGGEN ASIYFVGTATTIIEWQGVRIMTDPNFLHAGDHVHLGPGVTSARRTNPAVDLHELPRID LVLLSHYHGDHFDQHVEASLRRNLPIVTTGHAKKILTSKGPESFTSIYDLEPFQQMMI NIASETEQAHTPSLRVTGMPGKHIPMAKPVEKLNELVGAIPPTNGWIVELGHGKDASS FKPGYRIYISGDTLMFDELKEIPKRYGEHNIDLMLIHLGGTTVPSPAMSPLTLMVTMD GKQGVELMQLVKPDVTIPIHYDDYDVFASPLSDFKEQVEKAGLGGGVVYLDRGEEYRF AVRD ANIA_10419 MKGAGSASFLLTLLSTITRTSAHGYVSNIVINGVSYRGWLPSQD PYSPSPPIGVGWETPNLSNGFVTPEEASTDAIICHKEATPARGHATVAAGDKIYIQWQ PIPWPDSHHGPVLDYLAPCNGDCQTVDKNSLEFFKISGVGLIDGSSPPGYWADDELIE NGNGWLVQIPADIKPGNYVLRHEIIALHGAGSQNGAQLYPQCFNLKITGSGTAEPAGV PGPELYSPTDPGILINIYQVLTDYVVPGPTPIPQAVEVAQSSSVITATGTPTPV ANIA_03510 MADLTKIQGFNLIQETYKQVGDHPIRADILVPQTTYEGKRPIVA RFHGGGLVMGDSLYMDWFPYWLSDLALEHEAVIVSANYRLMPQATGLDIYDDIKDFWA WLQSPVVEEILATYTTPTEIDLAHILVTGESAGGLLSINSALQLANSDFVGFPVRAAI GMYPTVDMNSTDFTEPRTTPPFGQHFDESIISAILDTAPDGPITSTSGDYLPLMLAAI EYGYLGDWYARDSHHSETLYPVQQLKKGVQIPRGGITIIQGLNDTVVPPHHSEPFITR LAKVTAGQPGNDKIHLITHDGTCCFQILETETRQYLCFGASVPAFRKSNHRAGWLYDH HYAVLNPFLTFPHAFTGVIFTTTQIGKTMSSIHIPGLLQPVIALNGWTFLVEIWMFAT RIPAVGHLKESSDPAITKAELNEKTPPSVRWKGDNYNNLLEQPTQFYAVALALAIARH GEDNSVDQGLAWAYVGTRIMHSLIQCTSNIVPLRFCVFAVSSGILAALTVRAAAAVF ANIA_03509 MEFTFYYYTPSAAAGGIFAGLFGVCTILHLYQLLHTRTWFMIPF AIGGACKSLPIARDIAGLTQPVETVGYIGRVLSSTEAPNFTKGPYVMQSALILIAPAF LAASIYMTLGRIIAMLDAERCSIIPLRFLTKIFVAGDVLSFLMQASANETGAGIMVKD EKSADTGEKIIVGGLFVQIIFFAFFVLTAAVFEVRMARQRVPVSLELQSIWRRHMMAL CFTSVLILIRSVVRVVEYLMGYDSYMMKQEVFIYVFDALLMFIVVFTLNWIHPSEINC ALGRGRTYFWRVVAIRKFATPTVEMEEGRLSSHK ANIA_03508 MGYQYYMYDPSKGAAIPFAALFGLTTVVHMWQTIQNRTWYMTPF IIGGIFEAIGYLCRFISATQTPNWTMYPYIGQSLLILLGPALFAASVYMLLGRIIRTL NAGSLSPIRPNWLTKIFVAGDVISFFMQSGGGGMQASAKTQDRAEMGENMILGGLFVQ ILFFSIFIVVSIIFHRRMLSTPMHHMGIDVPWNKYLKILYLVSFLILIRSLYRVAEYI QGKEGVLQSKEVFIYVLDASLMLVCCVILNVWHPSNVVSGKQALYKHAEDLEMLTNSG RTNF ANIA_03507 MLSRLNIAAENIPRTKLKLHVIIGALVLVTFILTIARVADSGTP RARTNTWGFAVCIKSAVFMAYQVLTAHVESLKRWANTKVNVVLNIIDTVFWFALIIIS IMGTMGSRSLGFFRGSVFVSGGTTSSMASCLAEPEKELVLSSQ ANIA_03506 MPLRRTHRKSRHGCKACKQRRVKCDEARPVCSNCRQRQEDCEYV TEASFIWAGDNAPRRGRRRVAPPDLTGEDSSATPETPFRLLDRPFSHETPFLNPPLDM TQLRLLVNWQHETCQFFSRNTETRVVWQINLVDEALRAPPLMHGILAVSALHLALSNQ GQEQAFWLGLATAHKGQALQALREGLNNVTPDNARSLMGLSALVVAYAFGSALTSAAG SGSDSDKPSLAALNNVFVLCRGVQQITRAAFAFLRQSNFAPLFSTGDQSVAIPDHVKE PLDYLDHLNTEFLYAGDHDAATYTLVIEALRGLSGHSFSQPNSMTLPVGWAIRVSPKY LEYLQAKRPFALVVYAHYCAFLHLARGNCFLQGWGRSVLEDILELLDEDWKAYIKWPI SEVLGEGIMLSQSALPLSTT ANIA_03505 MLASHTVRRASKIGPSRHARTLTNAPTVAVLYQAIEPPVINGVR KPRKPGGYQDSGADIVYTLQQKGIQVIKADPSAPVSSNEGWTFPDTEEGIYSAVHQGA THLWANTILFSSHPLQTSARLTPLADKIYVVGQPPGLVENFDDKAYLNGKLAQIGGFT LPKSWLATSENIQQLVQQVDRYPIVGKPVRGRGSHGVKVCRDKQQLLQHTKTLLAESP LVMLEEFLAGEEATITVMPPTPSDPHHWSTVPVNRFNHDDGIAPYNGVVAVTANSRVV TEEELKDPAYGKIMRQCEKVAQLIGATAPIRVDVRRFSPGSDFALFDINMKPNMTGPG RPGREDQASLTAIAASAMGWDYGTLLENILEGAQPLSVFRNYSNPF ANIA_03504 MPQKEYCPKGYQQTQAQESSPAVFLHSEGTKGRSFDFSFEAVRE NLFRVTFTSPSHPPPPYPSVTKPKTSLNGIEVATSSSPSQIDIEVGDVKAAVNWENTP VVSLSWKGDSKPLYRDLPLRSYVIDGDGIAHYTEHDRKALHVGLGEKSAPMDLTNRHF QLSATDSFGYDVYNTDPLYKHIPLLIKATPAGCVAIFSTTHARGTWSVGSEVDGLWGH FKVYRQDFGGLEQYLIVGRTLREVVRSYAELVGFPLAVPRWAYGYISGGYRYSAMDEP PAHQALMEFADKLKEHDIPCSAHQMSSGYSIAEHEPKVRTVFTWNRYRFPDPERWIAE YHSRGIRLLTNIKPFTLASHPDFQKLIDGNGFFKDPETGEPGYMRLWSAGGGTGGDGC HVDFTSAVAFKWWYNGVQFLKRSGIDGMWNDNNEYTLPNDDWQLALDEPTVAEAAKKQ TDNNTVGLWGRAMHTELMGKASHDALRDLEPNVRPFVLTRSATAGKSTMPQPEHPETS LTSRQCEGHDIGGFEGPQPSPELLLRWIQLGIYSPRFAINCFKTSPNNNEVGEVIEPW MYPEVTPQVRATIKRRYEILPYIYNLGLESHFYASPPQRWVGWGYESDPEVWSKKLKR GEEQFWFGETILVGGVYEPGVNVAKVYLPRKAGDEFDYGFVNMNAPYTYYASGQWVEV PSKWKESIALLAKIGGAIPVGKSVQTRVPGDETAASLAITELDDYRGVEIFPPRSTSH GTVFTTSWMEDDGISVEQKVSKYTIRYESTEDRVIVGFTKDESSGFVPAWNDLDIILH NGDTRRVIADNGRAVEYKGTDSRGREVYTLRC ANIA_03503 MTDPVPPSKPSQDERIEVAPSPTPAQAPVPVHPPYQHPQDGHKV RALELIEDIGHSTILTPENNKRVLRRIDLRLLPILLGIYFLQQLDKSTLSYGSVFGLI EDANLHGQQYSWLGSSIYLVQLIAQPAIAYILVKIRLGKFLAVMVFFWGISLACMTPA RSFGGLLACRIFLGLFESGIPPAFIAITQMWYRRREQPMRLSSWYAMNGVVNMFGSLI AFGLGHIHSSLAPYQIIFLFFGLVTIAFAFIVLFFLPDSPMQSKFLNEEDKLLAIERL RMNQQGIETHEWKWAHVREAFLDLKSFFWFALMFSISIPSGGITTFGPLIIESFGFDQ LKTMLFNMPFGAIQLIATLGGAWLATRYKTKGGVIALLCLPAIAGCVMLLEIPRGDAH KGPLLAGYYIISVYPGITTAPMIYSWAAGNTAGETKKKVINGILLVGQCAGNVVGPNL YTTDEAPGYRRGLLSNLAMFCVLVLLCVLNLIYIFYLNKRQEKRRVAMGKPAKIVDRS MQIVGETDIDSKDDVSQRVDDNAFKDLTDFENEDFVYVY ANIA_03502 MSEDSYLVAPPPSMKRIPKACSACRQSKVKCDGKRPCTRCEKIK KQCVFFEIPKDPISERLESVESEVRQLQGQLDEMKQMLRYSQVQNRTQGQAGPITGAE QPGDADQQRCRESFSTIAPPVITESATILGQDSMPLQPPVQAPQGETHRPAKRRRSGF EVREEPISDFISKGLITVDYAVDCFTACDRYIPIFDPKYDTFESVRYRSSMLLNAICT IGSRVESRSGSQLSELLQTELKKWINVVIQNEALNCLESVQALLVIACYSAERSLILS FATRMALDLGLDEAFEELTHRLTMKDVEGNPENAGLVQQENELMRKSRTWFGLLVLEH IFSLDGGKPPGIRMTGNARRCRKLLHQPSSTVLDLRLFSQVEVLQINENLGGKTPMTR ADIAAFVQDAKLDLDLWFEAMSPVERKILLMAKASARKHLSLITIEPDSYLAKLKYAM DFVWAKCAFCFLLLLKLSRLLPEGKEEHQELLTHGNRLLDDLTKSVSNSNAISNGNVY LQILRLSIEKYGRTLQESGLGLEGEAADAAPFWELFDAQTELTSFVPEQFVREWDFPG LNLFYFPTTWQDFFADFSLAV ANIA_03501 MSQRPRKFQRISKACDFCNRRSIKCNKNNGPLAPCQNCADFDVP CTYDRPARRRGARRNASPAGGQSAQPGGPTPAPRDDDAARQLSGSVSSTAYQSRPSNA SWDGLNGTGAVVLAGPSEGASVAPWKAFATACQDTIRDLAQVYFEIVYPIFPLFHWPT FTKALESMDYLHDDGLFASTMAMCALASARARDGALYSSHWSSRQLANPPSEIFCMAA KESIPRDLAAAKGTEYMRACAILSIASIQHGQIQGMQQYLGMYHTLATMDGLHDEKFW PKNLDPVTIEIRRRMFWSIYTLDVYSSIVWGGIIRHREAQSNVRYPGELKDDFISKNA HGVSPTENGRVGASGVSDDWICGWNFITDMYRMLEHALDSQRRRPRPNNGTADVWSLF RPPQTAGSWLMDHVLSMYSSLPPQFRETQPVTGDLAHDIFGFQSANIQATLQLLRMVL SSNEEQGVDEKCDVAGQVLSVFSKVPVEYLKAISSPLLHHLGGIGYILGSVMEGSLSE VSYQRVRTLLLEMADLLHRLESGLRRSTGTSERLKAQVNRIDEYMMTKLSSTAFAPQP RPTSILNPPVQDDLVSGVYQQSNITPMHAPMTGMDDPIAQFQLPAELLNDWPWPFDTG TSDGVFPLAFVK ANIA_03500 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALFLNEFDEELLNTGGINWDDNQKKALLDRFINVELLKAMVGIRQEDS YDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVARTRPAGGSDRTGTPDQMDWEATH AQIAALQKEVAALLTSLSRYIKYATIPIHPKPFKGVTGNIEEINKIVRVQLDIGAHTE KGAYFYVIPDNLGYDLILGLPWLEQHDGRYPPQPWEDLYKGKGAVAKILRYLRSRLAD IQKALAPKRHIDPRTKLPRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVQEESGKD PEVPWGPLYNNDPGRTNKRLYPREPFPSCSPSTLCTKTRRRTAVLRRSDRALNAITKK DRYPLPLIHETLNQIGQARWFTKLDVSAAFHKIRMSQRPGMDDCLPYEIRAL ANIA_03499 MANETPKNHALDITVLGLNSGTSMDGIDCALCRFRQETPESPMH FELLKYGEIPLEQTIKKRVMNIILHNKTSPSELSEVNVILGETFAAAVKQFCADYKVD ISSIDVIGSHGQTIWLLSMPEANEVRSALTMAEGSFLASRTGITSVTDFRVSDQAAGR QGAPLIAFFDALVLHHPTKLRACQNIGGIANVCFIPPDSHGGVDACYDFDTGPGNVFI DAVVRHYTNGEREYDKDGEMGARGTVDQELVDEFIQNHPYFKLDPPKTTGREVFRDTL ALDLIRKAESKGLTPDDVVATITRVTAQAIVDHYRRYAPEGLEIEEIFMCGGGAYNPN ITKYIQQHYPNAKIMMLDEAGIPAGAKEAITFAWQGMEAIVGRSIPVPTRVETRQEYV LGKVSPGKNYRKVMRQGMLFGAGRDHLKPVSELINYVDGKVFDNKW ANIA_03498 MAYTTLWRRLSPRQLNVAVQVFSLICIFFEGYDQGVMGGVNAAP YYVTEVGIGKPDGTVTDTTHQGGIVSIYYLGCIFGCFAGGWLADRIGRINGLFIGAVF AVIGGALQAAIQSSDFMLVARVVTGVGTGALTGITPVLVSETSSADHRGGFLGYVFIA NYLGISVAYWLSFGLAFINNGYSDIRWRFLLAFQCVPAILLVFFIKMLPDSPRYYASV GRNEEARDMLTRLRSHKASQAEIEQEYMEIVAVAQDSKPSSPIQFIKILIGKSGRPGS NLSRRAWLCVWLQIMASWTGITAVTAYSPTLLSQAGYSSLTQNGLAGGLNTIGIVGTI ISAQIVDRIGRRMCLMLGALSLFIVEVIAGSVYEASLHNPEKAADYAPAAVAMLFLFN LAYASTWGTVAFLVPTEIFPSDLRAQGNGFGITGWAIGVGMTTLVNPIMFASLKSRSY FLLAGFNLLWIPIVYLFYPETRNRSLESIDALFSTPSPFYWEMERAYRLHSDVLAERG ATTFKDDGPKVEDAQSGSTQDRRHSPKTVANTAEARRNIHYRNIIETNSVKGR ANIA_03497 MHIMNAVDSLLGVGLYFLLPAALLYIINTVFFGAVYPRGVPFIR ERPGKTSFSLKTRLAYLTDCESLFREAYHKYLKNGKPVILPGFGVRTELILPVSSLRW ATTQPDSVLSPGEAFVEIDQADYSLGHSRYVVDAWQGMLVKNEMNAVLENIVAAMNEE LGVAFDRYFGTDEENWRDIDLLEAAKLVVAQAASRFTVGLSLCRNEKYLNDSFDAIDG CVITAGVVGGCPPVLRPLIGPIAGLKARLANRRLRKHIEPLYHARMEALKLPIEDQPQ DHFQMMLRFAQRERKEELYSLDQIATRVTVANFGSMHQTSIQVTNMLLNILASDPEYN TIAVLRDEIDQVLGSDTAWTKAKVSKMTKADSVARETLRCHSFGGRAVFRKVLVDGVT TDTGLPLPKGTLFSFLSQPAHVDEETYEDPFKYDPFRFSRVREAANDPAKAGSLSFVS TGPSYLAFSHGKHACPGRFLVDFELKMIIAYVLKNYDIRFPEEYQGKRPANRWVAEAV FPPSGVRVLVKRRRA ANIA_03496 MPGAIESSPSEWLQLELRRICANVLQLDTKDVDPQRSFLSLGGD SLLAIKILAQCRAQGITINIADIMAATTLESLYSMAQGPAELASSSTSDNASDKDSSL DDSETGALTPTTDAGSSLADTLSPEMKAKLSALSVSQDTAIQAVVPCSAIQDRMLVSQ LQNPHLYSCCFVLRLTHSHPGLPVDAKRLGTAWGEVVKRHSSLRTVLVESTQRPGHYN QVILAGIIPAVEHYEGADHLGSVKFNVNNPIVFQPHSIPHRLQLVQVSPSEVYLKFDI SHLLIDGQSAEVLLKDLSDAYRDGGLAAAPLSYADYVSSYLLEPAQLNTSRKESGMEM SPLTVPMDRPNEGLFDFQTVSANVPLDSRLVQSVCARYSVTLATVCQLAWGLVLRCYA GTDSVCFSYVNSGRSMSIPGVQEVIGPIVQTSMCSIQLGPADELPKILQRIHRDALQA MSQLSPLEANSTSKSARQLSNTTMSFQRALDDAAAQRAGLLVKIEGKANPTDYDISLG IAAGADGLSVDLDFWGSRLDEESARTMLGAFEAAIRGIIDSPDSTVSNISLLSPGEVS QLAQWNASIPKPERVCVHDKIMEISKLQPGAAAVNSWDGNLTYHDLTVQASTLAHHLR DQLGVGPERFVGICMDKSKWAIVSMLAVLMAGGIVVPLGVSHPRARIRELLNDTAAVA LLVDGKHGDRLAGLEVENAAMLTVDQQLLDSLPTIPKPPVSGVTPDNAAWVIYTSGST GVPKGVVLLHQNISTSVIAHGAVFGVNCVTRTAQFASYTFDVSLSDIVMTLFHGGCVC IFSEESRMNSLTEALQGLAVNYVNLTPTVLGLLNPADLPVIRTVVAGGEAMDPGIIEK WSPHARVFNSVGPSECTIIAVAAGPVTDPAQAANVGYPTGTRLWVALPTDPNQLCPVG VPGELLIEGPMLSRGYLNDPEKTAGAFITNPAFVKHLEAATPAWKVLFQKSERRFYRS GDLVRQKRDGSLVHMGRRDTQVKIRGQRVEIGEIEYWIMQRLKEVRRVAVLVIERGQG KEQKSLVAAVEFKEDYEDVRHSDDDISPVTKIGESTVLPQLLPLTEPLSKALHQLRND LLEHLPPYMSPTMYAPVSQLPLNLSGKIDRRAVTQFINELDDVQLQQYLAVSGSHQEP STETEFKLQKLWAKTLGVDVSQISADSHFFHIGGDSVAAMRVVAAARDVELVLRVADL FEYPRLPDLARAVESRVVDEADEEDPAPFSVWRESRGSEPSEEPVELDKIAAMCNLSK EQIEDVLPCTALQEGLIALTAQQPTAYIDRRVFALSQEVDLSQYRAAWQIVIHRTSAL RTRIVSGPQTGSLQVVVVPRHIDWNKSSSLDEYLETDRQTGMMMGQPLNRFAFVDQPD GQRFFVWTTHHSTYDGWSRALVLQQVADAYASRDLPPIASFSRFIQYIHSQPQDAAAS YWKAQLGGDTSADFPALPIANYRPRPQQRHQHTVNLASSSTKVMLPDLLRGAWALVVH QYVGKTDPVFAIALSGRNAPVRNVPNIAGPTLTTVPVRIFIDPEQLVNEFLQSVRQQA VDMIPYEHTGLQRIKKMVPELAAAVDLKHLFVVQPASDGESKFKIPGVTEHLVAVDEF DSYGLNVECMLSGQSIEVDVRFDEKMLSSSQVIRLMSQFEAVVHQLHLHGEGSLKIKD IDLLSPEDVNQLRQWNALPLAQPLDVCLHDLIAEVARSRPGAAAIEAWDGTLTHAQLQ SYASTLAGYLIELGVGPEISVPVCMDKSVWAVVCFLAVLQAGGVVVPLGTGHPIPHIA SIIEDTGAKLVLVDAQQFERLLELTPSRGLTLVPIDTQLLNSLPTAAPQTSVTPANAA WIVFTSGSTGKAKGVVLTHSNLSTAIKTHGARFGLGTHTRTIQFAAHTFDAVLQDYFT TLASGGTVCVPSEADRMNDLAGVMRGMNVNFANLTSTVARLLTPDQVPSLKVLILAGE QIQDSVVETWYKHAEVLNVYGPTECSINSTCNGPISDLSNAQSIGFGMGSRTWIADPT DPNRLCPVGTPGELLIEGPGLARGYLGDPAKTEAAIIQNPSFASRFALSDCRVYRTGD LAKQTEDGQILYLGRIDTQIKIRGQRVELGEIEHWIGRHLPHVKHTAVVAISRGEKQM RLAAVIERENGHKPDPVIFTQLKKTLSSLLPSYMVPSLYIPVTEIPLTVSGKLDRRAI KQTVESMPTEELEQYFAGESSGTRVPPSTEMEKALQRIWANSLGIEVDAIGADDNFFQ LGGDSVVAMHISASSRQDQSVKGLAVGDIFMHPRLADLAVLLEKRPREGEGGWDEEMR DDESPFALLQEVLDLDLKDI ANIA_03495 MSHSMSSSSSSSSSSSSSRDEGQSRLNNVLSNIAKQCRTTSAQV QDVYPCTPLQQGLFALSLTSPGAYMAQHVFRLQPFVDQGRMKQAWNVVLQKHAILRTR IVMLGENAMQVVLKQSPEWRNCSDLRAYLDADQSITLQTGEPLTRWAISDTHLVWSAH HSVYDGFSVELILRDVATAYADGEIPPRPSFRQFIQRMFQQKQKSLTEAYWKKKTAHL DEVDTFPRLPASTYRPRPNSVYKHESSLAIDGPSGVTLSTIANAAWGLVQSSHLGSEQ VSFGTTLSGRNANMPDIDKVVGPTLATVPVLLDVRSSQSVADFLQATQAYFTELIPHQ HIGLQNLRRLNRATEAVCNFQTLFAFQPGMTETQGQSPYGHLLTAENKDKVEAAFYSY ALTFQCSLAGTGIIKVLASYDDKIISSSQMKRLVFQFEHIVSQLISSNGSKRLSEIQL ISPQDLDQLDIWKRKMESYEQLLPLDAIQRHIDTRPDATAVAAWDGTLSYVELDAFAT RLATWLVHEQKVGPEIVIPICFDRSQWMIVSIFGVLKAGGAFLLLDPIYPENRLRYMI KMVNARTILVSESCRARFEGVPGAILAVNAAWFETHTHASIPMRELPVDRALYLVFTS GSTGQPKGVIVTHASYAASAAGHMPALGMNENTRQLFFASPAFDLSIYEILGSLMCGG TVCVPTEEDRNGSVAPVIRDMNVNLISLTSSYARHLRPEDVPRLETLALVGEPLARDV QRVWANRLTLINAYGPAECSVVSTVKRPVTLDSNPANIGTTVAGRAWVVHPKDHEILL PIGATGELLLEGDHLARGYLNDEEKTAAAYIFGPYWAPSPTPRRFYKTGDLVHFDEDG SIVFEGRKDSQVKIRGQRVEIAEIEHHLARLFPNAAGAAVDVFKHEYHVHLVAFLFCD KESWNSSDTPADILQRLGDVNVSTMSHIKQQLEQVMPHHMVPTRYQIWARMPTSLAGK LDRKALRKELGNQSTTVIELDETSTEFPVIDSTNKVALRLNHKILDLASEEKTTLDGR DFPLSILGLDSIQLITIVTFIRSEYGAKMTVETLYDLKLTVTGLAAMITSPHDRPAEA APTLDLSKELQRVYRELTRRSESIKHKRKVFLTGATGLLGSQILRQLLADPSVQRVIV HVRANDAAKGMARVVSAATLAKWWSSSYANRVECWPGDLGMPQLGLQPEQWRMLCGTA DAGAPITSVIHNGAAVQWQAPYQALKAVNVDSTVELLTAMAQWSEPGSFTFVSGGLKR SPGQDLESFMKSLEQANGYSQSKFVAEELVSRFAGHQSTHRVSIVRPGWVIGTEKDAV PNTDDFLWKLVQACIQIGAYPAEGGDLWLAVADAEEVATRILATTFAASGESPSVDNV EIGTTVSRFWELIKVQTGMELTQMSAEDWKQAAQDFAASQESEQTFLPVLAMLQDPQM EFGVQRPANGGPPSNVNAAIRSNIKTLVETGFLSDSSEVVIVED ANIA_03494 MRILFFHGHTQTGPVFERKTVRLREHIQRAYPGSTFFFPTGPIA YKVSDRLDYLSEIQRERSDNFKDPDLIETHAWFRLFEDDPPRGLLESLDIAAEILRVE GPFDGVICFSQGSVVGSMMASLLEGPRRRQRFDEYAASFPGAVRYPKSYKNINHPPLK FGITYGAYMGTSPVFNAFYSEPLIETPFLHFMGEFDPVVPSEMVAAVDKAQIGGSRRR KVMHPGAHAIPVGDRYHEAVVDFIRSACETSPTYFDLPSDEVPLLSYNDTPEQTPFQT PLLTPSLSSAVSTTSIPSSEATILATRRLDQWEKSRSPRSNIRPRSTRRTVFSGRRST SSSAESSAASQHSDHFEATRTPTSSTSTVQMIEPTVNKEPNLAVRVVEDDTIVSGSEY EGEGWQELLLSDLLNEMLRRHGRPGRFYFVPDGEGGRLENGMRLN ANIA_03493 MAKETRFYPYTSNGGATLGVSGPDFAILAGDTRSTAGYNINTRY EPKVFTIQDARDRSIVISVIGFAADGRALKERLDAIVAMYKYQHGKNIGLRACAQRVS TMLYEKRFFPYQLQTMVAGIDADGQGAIYYYDPAGCIEKRSHCAAGEASSLMLPFLDS QAPRLQPLSLQTAQQLVRDAYTGATERHIEVGDHLQMLVVTREGVSEQLVDLKKD ANIA_03492 MNTMNNMHLYADSLGIHPADHYPTMNEIETTDNTYPRYTSPPHP SILHHPQAPEPAPPRAQTAHPPAWALTSQSGYQMNEPSLSLTPEHRPAAGPPNGPNLN HDSDPRRPARRQQPCGVGTTRPLPGCPGQGQEPSRRRTTFTDSISNPDSTIRCWDHGC EGRKFSSVGNYRRHLREKNGQAKMHPCPDCGRVFTRSTARNFHRQSGTCGLIPSQLML QMGMGMQLQVQMQPVSQHSLASGHPPAFNLAPPVLLEPLADWSEPSQMDLYAASGVVF D ANIA_03491 MEKTQTPSLTPDELSARSSTPFEEREEEEEVHYVGHLKFSFIFV GLCLSVFQVALSLQDIGWYGSAYLFTDCAFQLVFGRLYSMLPVKIVYLGALLLFEIGS IICATAPNSIALILGRTIAGIGAGGILSGALTILSQSVPRAKVAVFNGILGAVNGIAF ICGPLLAGGIINGTTWRWIFYINPIISAPTFFITVFLLKLDPPKTNVKTWRGRIAMLD LPAFTLFLGSILCLILALLWGGKEYSWKNARIIVLFILFGVIMLAFMLVQKRKGDDAL VPMRILCQRSIAFGMFFSFCTSGTGFILEYYLPIWLQVIKDLSVISSAVKLLPIIAAA VVFTTLCGILTPVIGHYVPFMIIATMLLSVGMGLLSTLEYTSPIRHVLGFQVPAGVGL GCALQQTLVAAQTILPMNDIPIGVSLIVLAQTLGGTIALSAADTIYTGTLSSSISSRF PQINRETVLTTGNREIRNLVPAESLSVIMDLCNKAIVKTWYLSIGLAAASIIGVLGME WRRVTPPKK ANIA_03490 MIFTPPVWGPEMMAIPASRPIHEFLVERDSRHLYDWSEEKPALT IADRVDALARSLGRELGWSPNTGSPWSKVVGIFSFNTLDFLVASWAIHRIGGICMLMH PTSSAAEIKRHIELTECRVLFTCRSLLPTANEVLVASKVQDPRAYLLDLPEELGRKPS LPDQGQQTVEQLISAGATLPLIEALDWAEGQGKEQVAYLCPTSGTSGLQESALTVKKL AMITHYNIIANIIQATTFEAGPKKGRTEVALGFLPLSHSYGLILAHLTAWRGDTYILH ARFDMQAALASIEKYRIERLYLVPPIISALVNNPFLLDLCDTSSVTSVVTGSGPFGPR LAEALSRVRPSWQVLPGYGLTETAVIISITDPNITYPGADGCLVPGVEARLINSNGNE VEAYNEPGELLLKSPSIMKGYLGQETATREVFDEQGWLRTGDIAVFRLTGQDGKVTPH LDIVDRKKDIMKVKGLQVAPVEIESHLAAHPAVAEVAVVGVRDEDAGERPYAFIVRSP RTMADLDEEALKADLNRHVEATLSEPHWLRKNIRFVEEFPKSSNGKPLKYKLKESLAT SYARKTSPAIPNNTRNR ANIA_03489 MADRALDGKAGSGRKRRLPAFLDHFSARELKIFFRCWVAVWVAS LLIFIDPVATDFGQATFFACMVLFFLPPAGVLFVYILGALSLFVGICLAWAWGVIAMK AALAARPGAETQARVGALQQAAAAEAQETGASASSIAQRLVYNGWMLDARVTVIFYCM LCLFIYFMARLRAANPKATLTSIFGIIIIDMFLCYGPILTSFNGTLPLPLVKPSATAV GLGAVCSIIFFPRSTSDIILEDMQGLLELLKSSLQLSYSALGRSSDQLGPQQLQKWRM KIIAHYRTLEPSFGFLPLDFHIGSWGAEVVTTFREPVRHLVAAILTLSEFHKETVEKR IQTQELELKDPSIHQHEDGTDEKKDRKVGAHHRSQLAELIQGLQYTQHHSIPEDVASE FISLSSNAMEACLDGLSVIGECLQFVDRQRWYHKAPSAAHEELQERTKTVLERLLQTR AAFLADMTESLVRAYGPILDKPDHHNHANQADQLAGIIICMNFQEHMANTMDKTGALL SSMSSALPKASRTRFYVPTSLKYAGRWLVGKKDKAPVMAPTNDDSPAQDPAGDATQTA QEKLRVRRGYRPRTRHPLGKAILGTYHWLTCDEGLFALRMVVVTIAVSIAAVLPNTAG FFYRERGLWALIMSQTGLLVYMADFTFAVLTRLIGTVAGGVLGLLAWYIGSGHGPGNP YGLSAALAVLLAIFLWVRLYLPPVFLQGGIMSAATFLLVVAYSYVDTHNPAYGNPGVG YQVFWRRLLLVLIGVAAAIIVQILPRPPSAARHVCSSLSRSLRTLSDHYALLLSCWGR VGDEGRAITEPIWLELTESLVLLEGPIFNLRFEFSSSRFDSESLGQVKQICHTINGLL ARLLVASASLPQAYKDRLSNHMGMLDHRRIGEIMAVLGVAEQSLRTGDAPPEILPTPL VRRALEHWQTQTLLDEYAVLDAEMIRDENYRSYCVALAAYISFLGKIDELVLVVKGVL GEAHLV ANIA_03488 MGSFQKDKTFHCDVIIVGAGFSGVYGLHRFRQLGLNVKVFEAGA DLGGVWYWNRYPGLRVDSEWPYYQLGIPEVWKDFYFTERFPKGEEIRSYFDHADKVLN LKKDIQFNARVNSATWDETRLQWTVTTEAGHTATAQYLCLFTGVLHRQYIPGFPDLGE YEGQVFHSAAWPEGVDVTGKRVAVIGAGATGVQLVQELSKRASHLTLFLRRPPICFPM RNRPIMPAEEECWKPYYELLFDASRKSPIGFPVSRPTKGIYDVSESERNEYYEKLWKT GGFHFGAGNYPQIRVDKNASRMAYAFWAKKTRVRIKDPIKRDLMVPLDPPFWILTRRS PLEIDYYESLDQDHVEIVSLAQAPIQKFTERGIQTADGKHREFDNIVCATGFESFTGS VATMNIQSKDGVYIKDIWAKGIRTYLGILVHGFPNCFLSYSPQAPTVIANGPTVLQCQ IDFIVDAIAKMRAENLERIEATAEAEEGWRQMILEVGSKTLAAETDSWWTAANVPGQP RQFLTYVKGIGNYETECRATLDGWKGFDVRERPNCGKDGVDSKATD ANIA_03487 MTANSQIILFHYPHSPFSARVLYYLALRGIPYDQCIQPQILPRP DLARLGIRYRRIPLLSIGRDVYLDSPLILRTLERAAGAQPSFASTATLEQRALEQLLA KFALDTSLFKNIVQVLLPQTPLIHNQAFMKDRVDMMGGGPEFDFGNFVLATRPDAIVE VKNAVEMLETTLLADGRQWLAGTAVPSLADIEMVWPLHWLFSTPGALPEEHISPRQFP KVFAWVDRFEAAVGQARKALRAPEALSGERVAEILKMAAADEAGDLVDPSDPLVQARG LQKGQLVQLWPADTGSRHKTVGRLIGLTDKEITIETTEGEVSVRVHAPRHGFHVAPLA SEKANLS ANIA_03486 MSPIPRVNNIADVDSSKYFKHKPGDFVHPDLWHTHDDLERPATV LSRGAISNYTSFAHDARAAWQNAWMWYISKDQAHWDQSTTILDAWGSNLTNIIGTDRS LLIGLDDIFANAAEIMRWEGNWTEAGAKWQGGNGFSIQLYWLFSRQSIPIGQANYDMA SIKALLSFAVYLDDVLYNYAMDAFIQVNCAGLFATYDSSTGQSIEAGRDQSHTMSGLM AGLHMQLALGENLLLKGAEYAARYNLNETVEYDPKWYRCEAVLVNRPWDTISESKRGV TNQNPTWDIFYYQYVVKRKLKARWITKAKNAERFGRSKYNGLSLSIDNDMSAIKLLSM STASNDQSPAEQFLRTNSPRRDYSEYY ANIA_03485 MAWFSSIVNGIGSAANWLLSNSGLINNVLGTVHAVSNGQFSGLG TLEGYVIVEPVTAAEEDCKNDLIRNFRKANKKLEEHAANLEEPPKVPDGGTQSSATLS GLWTNPGLTEGGYATPEMYRDIAKFLTESDMPTELGEGDEKIDVSRSICESIFANVPG IPDPDDVQGVVVQCPQFQVGDSRCTISGRHAHYAIPLGKRTGGELCDDLAWHGAVHFI KTSDSTFEKLHNEAKKRLLFVARDEDAATDGPRWVVTCQVDWRTTSLANRAPEKVSRL FEVKMPEYELLYTGVNGQNQIIKIRAPSGVTPAQVRSKLNKVIIEISKTLMENMNRKF LCNFDEFESLPDNIPSSQVWSALGLVISETQRKLAGQMHSMVDESQNMALQTPEVTVT NSTLVV ANIA_03484 MSGEPYIPSNDSKHPRWLLHLEEWRVYPYDQIEDDRSYGIISYT WGMWADFDKPVSTDYLPRYCFTVKGEKQETGLPWDIPTVAELSIGEAKKLLLETIRAK YVWWDWMCVPQGMANKKVTLRCEKLKSAAGEEIAKQRQIFEGAKEGIVWLHRTTWANC PKLSTYLKADFRPRKNLADMLFEFRLCLEDAQQDEPWLTSGWTLQEGVLLQESLLFDC DGKCHPIDSPAQKIATVEYLSFPATQLADCITSAFLRHSEGWTSSYGMPQGTPDIVQK AVDFISTGPRDNNYTENYRYAGRFLSWLVHSGLIAYWPHEQTVLYLLAGAQSRRFTKK EDECWALIGALNLTDQNLVPWYSEATDKDKEKKEQDLRDIKRQFFVSTLKKYQWELFL TPTVEDQRYHQMTWPERVVHGGVMPLGIYFTSEIRKNLPGLEYDTKNDQLIIIPQEKP QEKPQEKTQKFIRLEQDVFARHYRQRVLYKKGSEEPGCVSFGYNVESRNSSDNSGFIE VTTISKETTSGVEKGRSKT ANIA_03482 MSQAVPAETASTSTRTENHTTTTDKEESSSTTETTYPTTTTIEI LTGTTTTTITITGTPKPYTTSTGTSSTTSDTSTDGDPTPQATVTIYSPLPTSNRSGNS LTTGAKAGIGIGVALGVLLVILGAMIFLRRYRGSAKGGNTGRSELDSAPISESANKTD SPVEMEATERRVFELPTKSGLAESGANWQYAIDPTSVAIHQDYNRDGQLRNPIWAQNA TPVALFADAWLVPWEENLGNAPVSPKYPNVTGSPSKIRLIPYGSTKLHIADFPIAVQS S ANIA_03481 MSFHHSAQNINVEDGHRLVAQLQTEDGEWVDAEFDLNQILGNDN GRFIWDESDFSHSAEEITFNIEGEESVPVLRAFLKNEDGELVGADVNLAERIGNANGS FEVV ANIA_03480 MSRRTTIPDQPARPVSLGSCILYGCGAGLLGVAAMTVGEKIEQF FTGRPSSYVPGHTLERLLSLPVRPDSERFGLNMAMHYGQGAAAAIIRALMSANGIRGP FSDFMFISVRLMIDQTLENWTGVGALPWTWPVNEQVIDILHKAVFAFVTGYFTDRWIQ ANIA_03479 MGVQNPASSGSASPEPRGEEKTEEAEQARNDTLSITGTSLLSRE RWRGAARTVRDQSSRLAEILSRPMGEHEAGLGSSLIDPEKLGSTDDDALAAIFRKDGT EQSNIAQYQQAVRAEAERLMQGMKGLPTSNEPVAGKPADDVEASAHTPAPSSLPGGVL GALLQLQSNAAQHSRTTSLYSAPESETESQATLVTPGITPVSTSSTTTPPNRPKIKPR HWYKKSDHTATASLVRASMSMGHIASSNAWAKADVPPVELQTGDKKKKKKNDKVSKEV KLTIHIAEIIFRQRYIMQLCRAFMRYGAPTHRLEEYMQMTARVLDINAQFMYLPGCMI MSFDDPVTRTAEVKLVRVPQGLDLGRLEAVHHCYKRVTHDMVDIQTAIAELTELMDRK PRYNKWLVILLYGLGSAAVGPFSFSARPIDMPIIFFLGCCVGFMQHILAPRSALYANV FEVSAAIVVSFLARAFGSIRLPGRTDPVFCYASITQSSIALILPGFSVLTSSLELQSH QMIAGSIRLVYTIIYSLFLGYGVTVGTTIYGAIDSNATSDTTCARQSVWGSAYTQHFP FVAVYSLIAALINQAKFRQLPVMVFIGTTGYVTNYFSTQRLGSSSQVANTVGAFTIGL LANLYSRLWHGNAVSSTIPGIFTLVPSGLASSGSILSAIEYSDAVRNGTADTSGGTNG SSLTSLGYGKEEEWIVLFIEKSGASDGMPATRVQWFCCGQAGHWTEDGSERPERCCNE QVLFKLRGQGAPISMRLVAMAWILVVGHALNLVLNKVSATSVYSFGNCGLEA ANIA_03478 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLNSHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSY ASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKL SIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALN QLIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEA HNASFQAIPGPCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_03477 MKEACPKTLLKILEEPAVEIHWLKVRISAHMENSRIPLTRFEDG PQVDEGVDFGRLAVMHQRLYIWSRARFLVVSVLGQMQQKVPNVKDVTHVYYLAYSKAT AYSLDVMAIRDINIGMTHNAVHAVDQLCRILKFFVLQIGTNQKIYGVALLRFQEYIEI YPPLREDNLRIPSPWGDEIFYYGQIDLIQEANKGKSWKWRRPYPDEHDIYLMLYRYFN GPGATAVFIGSYINYIHTYTSSSQDIIARSELYLSLKKPDQAHDEAFNIADKSTHL ANIA_03476 MSLGYGYDAELWQFSQQVYPDLPPIEAYLAFHRDYVAPMVRPVI NGTLTLAEIVALFRKPTSPIARIHGLRLAGLVAERLYDHIKRLFRTPQATVSNITAGE KEISALLTAGIWKQYKAGTLSQLDPFPDGVDMAAAGASVSSENVKKVPKDITDYAASG AFAGSGRTESLLDRINYLECWNTALRMIQTLQAQHMIKSLKVIADHLGDRNCIAVMGA GGPDGFARPIYDLIKMKISDIDRAGLKNHRFFVYHDSNYWHPAFKRLTSENPLPSEFV NNPCDDLDHACLFMREVRQKLLAKDENQGKDIKFHLLIPTWSKLQIKEPLHFPEDLYP LQIEGLKHGGKDQVELNLPAAPAGLLHGVTNTLDPNNWNKIARGTSEAVTLPAVGWGV NGACLATSLCVGSLTPLGPLLAIPLWIVSARTAMEKSAPVIYETIHEALCEEDPRVLG SKERLHMPLRHGY ANIA_03475 MAAVLPLRVIHRKVKQFTEGNAEPRRVTKFAVKDPRELAVQRFD IVSYRWGERTEPWSCAEPSENWGGLPGVTWRIKVSKAKMKELLRLMEEADIEFLWADC VCIDQENEAEKSAEVGRMYEYYKSANRCHIIINMDDVMDPLNIHKNETGAQNEEQEEG LENNCENSPGSTTRHNSVWNPQAIVNDLKLLDHIFYHTAAAAVATDTFLSENVIEQLS AWERAPWLFQISKVAVRAAAVEPGIVNCQFDTWMDLAIDASDKAVKLSGWIEAGRVFK TSGISGILQVIEEDKYWLSFLRTQVQGISSARTDIIAGGPHWWQENHKGICNIFSAIS ILPRTCEERADIYRGLLGAGGSEELEAIAFAFFRQLSLRTDRAWTRLAISSGRRGKWD WIPMVSNPSHITTTDIFAGVVDLGSLTPDGRVRTRGVTGLQGTPRPYVRFQPQREAKS SGFKIVFRGCNAGKNVKTSTFESERIPLNTKMQDVAEDETGRILVQISTIIGALLDPT DDVIKYRQRLLTKLQPRWRVTDPNAKPVRWFDRCVSGTPWEDPEFEYIRAHNHSMSYR MPAVYACQSRLCNESTEDISCEIRVNCGCTIVAPFYFALEAIIAVHGSFLGETSVDLD KNNRIILQDGVGLIQPGDVGKGFHIVAFEGDIDAHREYAVSCRKTKKGKRVQNTVSWP RGRAIVRDEFHHAATDIMKDYGYIQTGAYSQEDSYLQSGGSGNLLLCRNNPLDDYRIV GVCIDNFIKNSKGERTVVIY ANIA_03474 MKPLHLVMLCYLVVASSCWPKSKDQVSLQWSICDTNPQAVFAKL GTVIRAPDKLDPITYYDSYPPLYTPKGLMFRTKIRGGQEISVVKVKLPTTKSHVRRHA KSCRWDQYGNETTFTCKRQAPVNGTNLWSANQRQLAEDFQNNIAWEKLFGYGPYPNPK WKELRIEGYKAVLDDVLVQSLHLMELEVKVHRAEEDKVYQSITDHLSARGVVLCARQE PKTMRLFHMMGCITTQNEL ANIA_03473 MLSKGLRIFSKRKYKQGNFKQCLQDRNCEWITTIACICADGTLL SPVLIYQAASSDIQDTWLQDFDPQHHKTFFASSPSGWTNDKLGYAWLTGVFDRETKDK VQRQWRLLFLDGHGSYLTMKFFNYCDDNKILLAIYPLHSTHSLQPLDVGIFSLLSHAY SSELEAYLYISMGLSHIIKRDFFRLFFPAWVKALSSKNIISSWRIVGIHPFNPEIVLA RFSRELQSRPSTSESSRSILGAEDWRKIKKLLHDVVEDVYSENTRKLSLAMHNLSTEN ILLKLQCEGLQIALQNEKKKCQRGKPLQFQLKALDDGGAVFYSPQKIQQARDLQLGKE RAAEQLKASKEEQKVRRQQEKEAKQHLIKDRRKIWASQ ANIA_03472 MVPRILLAGFTFCQLFLIAATIKYDKEPLAPENKRYGQAVVDAH AIFYLGLASSGDLYYRYQASRLATVTRSGLITMILEQTLALRRSQLQAGDAIILMGTD TEQIMVSMHTVHELWASLASVGLAVWLLEIQVYIACVVPAVMALGCILATTPLSSRCA AAQREWVGHVQERLTVTAAMLGDVKAVKMLRLERVLFRIVSDYRQSEFSISREFCMMM TGVVMLSAIPQDLTPYMVFLIYMTIALTKGNMKLLTMKALVPWISQLIGCFDRIQEYC SYKRTSQAYSLHPSDHIEPTKLSLTAKSSADHDALVTFHNASISWQAAGDPVLDNLTL YVFIGKITMVIGPIGSGKSTLLKYGPDSYQGWLKPLPSRQSSLLPADTVDNECIHLGQ HHRRDYMAESDGLLLAEVKISECIRVISERLLGANGQFRRTNAIVILSTYNCHLHPFA DKTILLENGRKVICLQWWADANKHVANPHLGKYLDIYTLVFIVPVLFMAARICAKNAG YFLSTSWATALFVSIQTCWNCSKEAAYRLTVLQILQGSLLSIGNALLDLTPLLACSML FCIQQALRFALDLVVTLFMVAAHRRHHFLEGPRGCCGINLALNLAITFDSILNTAIKG ERRRRSHSGLSRASSGQKLTICGAFGSGKSSSVLTLLRMIDVHVDWETEVRVLEIIKH QCAAETVLTVMHWPRHVEWLDRIAVTQNGRLVEFDSPERLLARASRFRELYTMSVRAA ANIA_03471 MVITDRLTKGVILEGMSEIDSESVAWALVRVLISKHGIPKAITS DRGSQFTRINRRLSTAHHPQTDGSTERMNSTVETYLRIYTCYDQRDWNRLLPLAELAI NGRTSTATGVSPFYLSHGYNLSPFSPTEEVEQLAEEPAKSPIQKGEAIVRKVKEALDW AQASMAYSQQNAENQANKHRSPATNCQVGDKVWLSLKNICTDRPSKKLDWKNAKYEVI GLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDTQPPGIIVNGEKEYMVEK ILDERPRRYGRGHRLEYLVKWSGYARPTWEAATALEEAQALDEWLDRTKQYRLQDGSL NRDAYIKAKAT ANIA_05093 MATSAPQTLICRCSTDLTSPLSPPSLTPTIINAKSKRRYYHNQQ WEQECVLQASLITALPQYPAPLDSMDELVIAKPAADTNNSHNNNNNSHNNNNNNNNTN TNSNNSQDADSNADSDHNSNSDQNQQKPIIETTDDHSVDDQHIDTVSDSDEPSSPIMG IGLRPISKPVSVVVDNTRRKRRARSLVASEDDDVAAPSTRQKRARANKYLTRKTQKAI QAAARVRDPESKTLTPSSPIAPASAVRKTSSSLTQPLARCLVRRALSLARMPRTKEAA HQTLKFRFDIDAELQKANKQKFWRQVQLSVLYHTFQLRNEIRSLKNFAPVPASEMLVG LDEFDNALAAGADNDLDFLEEDDDDNHNVEEDDDDDNNNNNDRDSNDDDE ANIA_05092 MSHLLFETIPELQIMVCRQCKHGVHLIEVETHLYRKHFMTSLQI QPVLETVQQWTNLIRDPGAVEIPRALDHPLPILPVSTNGMQCRRDLDCPYIATNLNTM HNHWQQVHGWTQYKRRGRAKQSYHMVAWQQVFPTRKNSHLVHIRSCDPTPDEPPVPTT AHQQIAAEIKARAANNKQLATCRTAESDTLHDANPWLRMTRWARYLADVHFQDLLDVV TPPDCDENDATLHEQGDPVSQATQRVWDAMGQLARRSQRTVQHCGNGIHMTAASTMPN QIPHQPLRAYMDETSIAKHMRPWQQILLFIIRTQTEWPWRQKKPSYVMTARQQWTWQR LWQHACRSDKAGASPASRQSPDPMDDRLEAFVMTPLETACLDFCIELLNQKTKVHKYE SPLVCAMAVLGRGEQGWRDADSYPLILSRVLKVARFLVVQKALWLDPQHWAIIQMWAA AAEQGSWMGEAADQELAWLFEDKGYAEASSPSSPSSPETVSASQGRTIGCIRSRSFQT GVDWMVQRFMVRGQHGPVKVLLDWRTYGLKVHYNTTAPGHVTWMGQERLLYKQMEFTI GQFRGFVHAGKTWLVDRISTEPAVARAFITQGAVSANKVQKYFQQVARFKEKLAVAVH LTSGAPARVPELLSIQHVNTDNNWRRNIFIKDSLVVFVTAYHKGFYASNDVKIIHRYL PREVGELVMWYLWLVLPFVRQLAVTWRQVMFSSTSQGSNASELPTHHSSYLWGPDVGT GREWSSERLREVLKRESETSISAQYPLNIANYRDIAIGISRRFLRASSVFPNNIQAER EQAMAALEADEDLDEMGNIADEQAGHSPHVAAMVYGRKSSKLAGSTTTRRLRFRASST DWHRFLGFPDPLPVNTVLGKRANPWEEQAVDHQEQQQQQLAQTDMAQALQRMTGRPAL QLRGVQAPALKAIQDGASPVVAIMPTGSGKSMLFMLPAYAAPGGCTIMVVPLLSLRAD LMMRCQALGISCVSWESRRPPDEAAIVLVTPESTENPDFHTFLNRQRQMRRLDRIVID ECHVILNDQKDFQPAMARLGRLVSAQTQLVFLTAMLPPIEEARFLRRIKHQRSEVGIH RARTSRRNVAYRMVRPSLPRGVPRKPHQWLTQPNVRGFIQQRIQQAGDGQVIVYANIK SQVDAISCELGCEAYHSAVLDQTGVMQRFQSSQTRIIAATSALGMGIDIPDIRCVIHL GRPRTLLDYSQESGRAGRDGLASKAVIVHPQGWDDLDPWVDRVSDAEFEHIQAYMEVV EGVGCRRYVLDQYLDGTVDGYTRQQCQDQDPDKLPCDACRPDRQDERTPTPSPSLSPA ASAAECNVDMSNRPNDPPNAQNRPVLLDLPRSAAVAPSFKALAPPAAVSTVPADGMTP AQYQHTTIRQQTATVQWGLDREFLEQEAWRWLHQYYICTVAGRDGNHELYNCRHPDSQ TAKQWMVQLRSQIDYAPFRCYFQCGMPQSVCQGWQAGQECLWRGALISTIAGMLYGPH GAQVQSAWQRHLAGRMVEQRMVYAQKARRQVDAHVVDVQEVTSVAAFLGQATADRQGM EMQAAFCWLRRVCQECEAA ANIA_05091 MSLDPVDEIMPIFPLLEASILGDRPTPQPAHFRVSTVISQNHAD PFCAYPVPMSNAIKPKITQRLLTISNERRQNLERSSAGSRDCGGRVYSVNPQAILDVG TGTGIWAIDVADAFPAARVTGLDLSPIQPTFVPPTCSFEIDDVTMPWTYDTEQFDLIY VREMFGSIPDWDAFLRQCWASLRPGGYIEVVEHSITPIWDADTSLGPIYALWEQTMAQ VEQVSGRSFSIWRESAQVLEGSGFQDITKRSYKWPISGWNTDPRLRELGRLNQLRLLQ AIEDIFLRHLTSTMAWPYDAVQNFIERIRSTIRDEQRHLYQPVTVVYGRKPCPSSEIL ANIA_05090 MSLNTANSGLTGPVSDTTNTATTATKPVANTVKDTTSSTLPGTF PKEEPPTNERNNVTIPSWGSLQTSFTAWLKSFIPRAVDIFETAVRRFINWLIPPARQA EMYKTAMEHPIAATFLACQLLCVGIPFILFVAGTLLFAAVALIVWVVLSMLILGPIFL VASMLGVGLWGWGWFLFGLVWWLDRLVLGGVMNRFWVQQMQARKAEEEAEEMEKQRDG EDGEKGTAKETNEEKSDG ANIA_05089 MSESAVPRNPTSPDETFRNATACLSQKLKAQETQKFFSHQLYSI IFVLLTIVQLMVGATITALGPLSGRYMVVITILGAVTTVIAGLLAFMEGRGLPQRHRK DLVEIRKVQTYIAGAEIKLKYGDRARAVRDVDAFIEEARARYERMQNVIDMNHPDSYA DGPQLERCNRLEGHRPVADERTPLLRAAAARATGADEEGGLGGR ANIA_10630 MPLYWDQLPSWQRDNQYILSGYRTVSNSFRESFASLGYLHNETV NIYSHLIPAILLSFFSIPLHTSLKARYTNVSTADTVVLSCFVLGAVLCLVISATFPTV QNHSPHVARIANQMDYIGIVFLIVGSFVPSIFYGFYCQPVLQTVYLTMITSLGLLCAA VSATPQFRHPTWRPFRARMFIALGLSALFPVVHGVMRFGIRQMDKQIGLSWVVLQGSL YIVGACFYATQVPERIYPGVFDIWISSHQIFHFMVVMAIISHLYGLINAFDYNHNHLA IHC ANIA_05088 MAQPNFPALSRPLTPPRGGSGHPGYGTQSSSKYGNSDVRASNCT LNKDNSVNGRGTKRPEPNGKSDSGMENNKFAFSPDQLDKLLNPKNFGAFGTFGGLRGL EKGLRTNVQSGLSLDETVLDGTVSFNEAVSRTFVPATKSASPAPLTPSRDTIADASQD RFVDRQRVFGTNKLPEKKLKSIWELVWIAYNDKVLILLSFAALVSLVVGIPQSVRGTG VEWVEGAAIIAAIVVVVTVGAANDWQKERQFAKLNKKKEDRYVKVIRSGQISEVSTYD IIAGDVMYLEPGDMIPADGILIEGHGVKCDESSVTGESDLLRKTPGDKVYEAVAQKKE LKKMDPFIMSGSSVEEGTGTFLVTATGVHTTYGRTVMSLQDEGEITPLQVKLNALADY IAKVGLTSGLILFVVLFIKFLVRLKEIEGGAEAKGQAFLRILIVAVTIVVVAVPEGLP LAVTLALAFATTRMIKDNNLVRLLRACETMGNATTICSDKTGTLTQNKMIVVAATLDT ASQFGGQPSLNNAASAPGSRAHSALEFVSTLSPSTKNHLLQSIALNSTAFESDRDGVT TFIGSKTETALLSFAREQLGLGPVAEERANAEIVQMFPFDSSRKCMAVVTCMDNGKYR MMVKGAAEILLRQSAQIVQDATNGLAAVPLSEEAKITLDTIITDYASRSLRCIALVHR DFEKWPPHGIPTDENEMAVFEPIFKDMTMLGIFGIQDPVREGVPDAVRQCQHAGVFVR MVTGDNIITAKAIAQQCGIYTPGGVAIEGPEFRELSHDQMNKLIPRLQVIARSSPDDK KILVSQLKELGETVAVTGDGTNDAQALKTADVGFAMGVAGTEVAKEASDIIIMDDNFT SIVKAIAWGRTVNDAVKKFLQFQLTVNITAVILTFVSAVASNDEDPVLSAVQLLWVNL IMDTFAALALATDPPSPHVLERKPEPKSAPLITLTMWKMIISQAIYQLAVTLVLNFAG QHIFPKWDSRCIQTVVFNTFVFMQIFNQYNCRRVDNRLNVIEGILNNRWFIAIQVIII GGQIMIIFLGGQVFSVQRLDQPSQWAATYFIYLAPLEGPRARYLRGKPPLRVGFGSKR NPRPANVHEEGPRRTTEAYHTQAPPNFPPVPRQLTIPAFFYAPNSS ANIA_11460 MWAIHKGQSPKPKKFVSSHVYDVITITHHPPPKSSPNLTPSLTV ANIA_05087 MKTAGTRELFYREQTIANLRIVFGKDVDVVTCKQGYESRFVALA YVVGAEGERIQVMQSSALDVTYALRDLLALSSRRVQAYFADHNHQVAKNELATCSIVL PRKPESLLELNQPTPLKYDVLPEDEAALEEAGGDYLEAEANPGGHEAETSSSTPQYLE SCNVEQKGYSILLIIEHPFHPPFGGLRYIGAPSRQVILQAISKIMSENGLSNTVYHMK TLCVKSDTGSYDILGYEYDHIEDLLDHVLKSEKFAKIECVYGIPAA ANIA_05086 MAKPHCSSRSGLLALPRGGSGQPGYGTQSSSNVVGDIDVRASTH KLNRKDNSADKGDTLRPEFSGDPDSEVENNKFAFSPGQLDKLLNPKNFGAFGAFGGLR GLENGLRTNVQSGLSMDETVLDGMVNFNEAVSRTFVPAPKSASPAPLAP ANIA_10628 MDTPPEILPACELLGRGITLFPGSNLFDYLEILGGVEKVQLLNF NLNNKPTTVPGSNKQYLVPEDVFILPAGTEIIAENLLCTTGAALANELAINPSMAYRY FGISAERNGKIGYRVSFNDRYLFGLWSIGDLNYTVQFDMQSLERCINADFIWAARRLP CWDENDASARDAFAKFFATWGTHVTTGCCLGARYQLQVTRPDASDESKKNFHEHIETE YSSVLGINGGTRDTAQYREYLGRRESKCSVMGGHPQLKRSLSRDPTNSRLFSQWIRSI GASGTQDVIHVLADSIYNFLLRSPNPEHKEIGDKIQRASRFHSKFITATGVFKVKGIG GATGRVSSCEVRGAPGISLQVIPRRGTAASSLSKIKFEALQLSGDEIECDVIMSAPPL TPVEVVLASSKEELSQDNPFVFDLQLQLYPEHLSGAITVGLGNKGHEGKVTLPSLTAI GNYVAE ANIA_10629 MTFLSPTNSTLTLPDLLVLETLLGDAESTTKGISLNRGLENCRP RASLTAFSSGEPRSAIEATIKRLKALNDPNDSDFDPTVVLGWDRGDFELHPFMDRWIL QPYAKLAREIVRVETDVVIITHVLLYFTTSFPSAILLFQHFHWIHGLMHWAMQAYLVG TYTLMMHQHIHMGGLLKREFLWFDTLFPYIMDPLMGHTWNSYYYHHVKHHHVEGNGPD DLSSTIRYQRDELFDFLCYISRFLFLTWLELPLYFVRKGKFSFAFKTAAWEFGYYIFL YLFWRYICWKATIFVFILPLLQLRLGLMVGNWGQHAFVDEANPKSDFRSSVTVIDVAS NRFCYNDGYHTSHHLNPLRHWREHPVSFLQQKDRYSAEDALVFQNIDYIMITNRLLQK DYKYLAECLVPIGNQVGMTVDELAIMLRKKTRRFSAMDIRSKF ANIA_10626 MPENYLIMSKSTWQSLNRLATATLIPRRGRSTSNPTSSLAILWK QPFSSGRCVKCPSGEISSLLEQRIRGLKGSPNLAESGHVLSVCDGIVRARGLTNVQAE ELVEFESGVKGMCMNLESNHVGIVLFGSDRLVKQSEPVWRTGEIVDVPVGPKMLGRVV DALGNPIDGKGPIETTERSRAQIKAPGILPRRSVNQPVQTGLKAIDAMVPIGRGQREL IIGDRQTGKTAIALDTILNQKIWNKSDDESKKLYCVYVAVGQKRSTVAQLVKTLEEND AMKYSIVVAATASEAAPLQYIAPFAGCAMGEWFRDHGRHAIIIYDDLSKHAVAYRQMS LLLRRPPGREAYPGDVFYLHSRLLERAAKLNDKHGGGSLTALPIIETQGGDVSAYIPT NVISITDGQIYLESELFNRGIRPAINVGLSVSRVGSAAQVRAMKQVAGSLKLYLAQYR EIAAFSQFGSDLDAATKQTLRRGEHLTELLKQKQYAPMAVNEMVPLLYAGINGLIDRI PIGQIQSWEADVLAHFKSYEPEVLAQIEKDGKLSHDLEARIKEIIIAFNAAFL ANIA_10631 MTRGNTLQSKVFYKGRTEDFVIMVEDAAAVQKWRGDHSIPLAQV LDGWKIFTAQHGPQGIHNEASNATVENEFGTSNDNEAIAKILDQGEIQENINAERQGI RNESQGPRGIR ANIA_05083 MGPKDTFFRSVDMSLIQLYIANENGREVVRALGELGQVQFKDLN QDTNALRRTFTGEISRLDNVERQLRYFRSQLDKASILIPTLSEYSDTMADNLTLEIDE LAEHIGCLEQQISFLNDTYETIMQRVLELTEWRWVLLEAGRYFDHAHGPREEIRQSLN NNETPSLHDVEQQAGLGNDTLGQRGFQAMSIGIIAGVIPRVRMGLLQRILWRTLRGNL YMNQSDIPEPIIDPTSNEEILKNVFIILGHGKDIMVRIRSISKSLGASLYSFDKDCRL RMRRMHDVSIRRNDVRNVVQKIKFKLHAKLTQVAPALAAWVTIIKKEKAIYGTLNEFS YDQARSIHVAEAWCPTSSLPLIKTTLGDINGRAGVTVPTIVNQIWTNKTPPTFVRTNK FTKCFQTIVDAYGIPKYSESNPGLYMVVTFPFIFAVMFGDFGHGALITMVATVLIYWE TKLGSTKLEEMIEMAFLGRYIMLMMGLFSMYTGLIYCDIFSRSFTIFQSQWKWPDNIR QGQTVKASLRDGYRFPFGVDWNWHDAENTLLFTNSLKMKMSILIGWAHMTYALCLQYA NARHFQCKADILGNFIPQMIFFQSIFGYLAFAIIYKWSIDWECRGQSPPSLLNMLISY FLSPGEVQEQLYPGQAVVQVILLLLAVTQIPIMLLFKPFYLRWEYNRATTSMSRTASG RPPPAAANQTGRLTSLALQSSRFAQPRESRLPFRWKLKLARIYSAAWTWSGGWDMGRA AVVARYYCSRVPIRATGCIARGGHIVLAMALYAYRNQTRIAR ANIA_05082 MEDFYWSSQVSSPSSHISGAEYDEIPWRLANDQRPRLKPRRFDR RQGGERKIGKRSGDFDRRRKTHKKCLRRELAAWTPNAKRFPSNWRRNARQPSSWSASG KSWRSRSRNYAGTGRCWSGCTIENSECTFLMDNEAVYDICKRKLDIPRPGYNNLNRLN AWVGSSLTTSLHFNSDLNIDLNEFQTNLVPFPCIYYPLILYTPVISSSCSTYKSFKVK DLTLQCMYPVFSSKISLQSNHLAGFEPRNQMVVYNPQTGKYIAVALLYQGDMMPHNYA RAVTDIKAKASFNLVKWCPTGFKLGINNQKPIFVPNSKLTSINCSVTILSNSTAIAEA WSCLGHKFDLMYSKHAFIY ANIA_11459 MSHRFFGFLLAFSFVALSTGNPQGALFPHDPWLENRKTGPISRD LTTGLSISALHHVVTAHVQSLYRLDEDTVSAEIIHSFPTQPAP ANIA_05081 MSPGQLYTKLCLAAGHKAALESCNGVSSIASQRSLRDCLQFRTN AVRILNDLLQDPVTAVAESTVLLVGSIVTIETINAEFAALQTHMKGLATLAELAGGLD ALEHMTVSSIYQYNTPLMFIPFAANAPSAVTVYAALQNEPPIIPMSAKFRSEILQEPA IFYRRPDAYAIGFVIPPYIATLGSRFASSRWYTEVSPSLKYFLPIFTRLIQHFELGKA YPELVNDLNPPLRYSLLVYLYVRVSHVQSLPIVRHMVETFKHILAPRIPYLLVIAPDL LFWMLVIGGLGSKGYNTHSWFVDHTAYVAQHVGLSDRHQARRLLGEFFYTDQPEDTW ANIA_10625 MSLPAVPEAYIPRDPSTLSIPQATGGEAEPLICELSSPQKRQSK SKPQQQELSPALSGPRHLRGLTSRHTYSQSNALGEKDDSDILWFHTGTAMDMVERVRR GEDIGNGHLGNGWRPWSWSPLEKIQDFTEREIGKDSLRNLEQILPFSVAPHWVSPDII IDGDAETAIETHRNILNRAPRPIALYTDGSGINGRVGAAAICPKYLISRSSYMGQQSE STVYVAELQGILLALVIILQRQMQHAVIFTDNQATLQALRNPGSQSGQYILEAIIMAL NKGRKAGLNVHFRWIPAHRGVEGNEQADRRAKEATGWRRIRGHRGRMTIRSAVKRRAH EVVNARWENDWKSCHHGRELYELTPTPTRKVLRVHQDLHRALSTIIVQMRTGKIGLRH YLYQRGVPDVPNSDCQCGRATQSVRHILLACPTFSGLREEIFGGRSGGPEGEGSVKKI FNTPKLAIQAAKFMLRTGLLGQFGAVRRAEIDEAEH ANIA_10627 MGNAAWELYLLEHGLTADGHVNPDITTDIHRNDSYVTIFTELGN GKFVPRSIFVDLDPSPIDEIRTGTYRHLFHPEQLISGKEDAANNYARGHYTVGKTLVR GVVDRIRHSCSSLQGFMIFHAFGGGTGSGFGALLLEHLSSEYGKMSKLEFAVYPSPRT STAVVEPYNAVLSTHSTIENSECTFLMDNEAVYDICKRKLDIPRPGYNNLNRLNARVV SSLTTSLRFNGDLNIDLNEFQTNLVPFPRIHYPLILYAPVISSSCSTYKSFKVKDLTL QCFEPRNQIVICNPQTRKYIAVALLYQGDVMPHNCARAITDIKAKASFNLVKWCPTGF KLGINNQKPMFVPNSKLASINCLVTMLSNLTAIAEAWSRLGHKFNLIYSKHAFIY ANIA_05079 MHSGDEGTCPEARHSHTSQRKSTKYLPSNCLKVRSVTSVSTESC CVEAHLVKELRNECTSTPATSLFPLVIARRIMVLSKQEKSIPTVTAFRILTPLSQPRA MLIRMQTKTCIGGVQALQRVLSCSRQISKIKDPKRMRDRISQPLKTVKTELWPSD ANIA_05078 MLALAPQISIGGTEFMNLGPLSQNLTPQTLAGGGGITPEEGLPI NFNISPFVESPQPTDDAESGNSPLQQQQQQQQQQHQSPPVPPQIPPHMPPQISFHPQI RPPYGISIPTIHRVKLDRIRRNSAPELSLHELERQTKHANRRASHNIVEKRYRINLNS KFRKLHEIVFCRTDPTFVPDIANANGSSNSANSNSEGPASAPVSAIATQANRSQPPKA SIIDSALNYIESLQREVHELKRRLEVYEKDGAPLQGLGRVGGKALPDLGAEIGSGDAQ DYANDEHTKEGCESSSVKSESPFAWTIT ANIA_05077 MLLLKQGIVGLLFFPALIAASGRHGVHHKHAQRSHPPALDERAN VLSAKVAAVDSQGGDYTCGPDSPCSNGACCGESGWCGYGPTYCGDGCQSNCDATAECG EFAATPNAGCPLNVCCSQYGFCGTTADFCGDGCQSNCDQPKPSPQTTNPQQRVIGYWE GWSTQRSCGTMSAGEIPVNLLTHLNIAFGYINSAFQITNMDGLSADVYKQVGNLKSRN PSLKIMIALGGWTFSDPGPWQAIFPTLASTAANRATFIQNLLGFMSEYGYDGVDFDWE YPGADDRGGSDSMVDGENYTLLLKELQEAITASGRNYLVTFTAPTSYWYLRHFDLKAM MEYVDWVNLMSYDLHGTWDSENPIGNQILAHTNLTEIDLALDLFWRVDVDPSSIVLGI GFYGRTFQLSSGSCWKPGCPFDGPGAGGRCTATPGILSYMEIMELLENSGATAHLDEE AAVQYLVYADNSWVSYDDATTFAAKIDYAKRIGLSGLMIWAIDLDDSYLTALRSIVDP DSLNNVDGLFTLVDLENLFPTEYLPPDDTVLTWGLSTVAGDMTDPSDASFGFLLVTGD SYAVTQLRKRDGLPDPFVFIDCPASVNENDSKDEIHTARVICLSDDLAGCFRVMERGV EGTLVEMPEDCAPNTFARALSLDLSKDQYVPEHIAKRSPTSQVFEFSFDFNIGLMRRD TNNTSVRLDYSNLPGYWDSIVDSPGIQTDNLEKRFFGPRHSHWRSQYESTEFAYSSEL ATRIHEVIDAPLFWQAEEDCPHGTNLRQASGFLKLTGTMDITYGIGGIGTVDISSAGK GNPAISDETEIKLTGKTITAGRRANTASFDPYVQLTYQMATFNDTDDNDFGQSAAPFD GRLTARVVTDLGNMGDSPVIFPSDESNAGDNFDSRSLNNISISDSDVLYGSPGLGGKI ALGTFIKFGLKVSTSFWDWRPEPLDLSLVYNTQTQFSFYPTSYDESCTELPNFAAIVQ SPARGSVCYLNSEEQPDSNVTLAEEASRSQDLSPRQTVIMDMPGWGYNGNRPVSPIDY WNWRPPTEILQGQNAVFPCRPGACHTCETLSDPSHTPCCGCINMDIKYGFWDIPNCIS CDRPDGIYPGPIQHVSNRRRDTIETIGEEQEEKKEEEEKEEKDDLEKKDLHVLEPRVD GKATRTSKKVMACGGSFGLGRDWRYPAFPSVHSYGWEGIENGAWDSISRYWGNVSDSC SSWAVSGQTRHDTRWVFNNGNPAQIRANYQTEHVFEGQLIGDFFDLWLNEGKIKNQRP APASASSKYTVTRLQYEEPGSLLAMGFRDPTWGGQFLDRPATTPVPQPAGAARVNRVT FPPSTAIFRTPLGSDHISLPCSTNQWQNCRIRFISVSWACDAWNCSEEMRLGPGTRSW FQPSINNFKPWTPLPAKPCPPHRTLNACSPQTSYPPNPEPCHVLLPGTLPPPKHHLPA RPPAEVCVHVSANTQLESETFGRSTASRQSSVPHVPAPDLIRPCYPQDETRTPTKPPG FQEDDAARNVPSPSTSSSTDSLEDFFRMPDSPQDNIPIDPVILANLGPWESDDLQLHA PPADGITNPETTCLYPEPPAILGSPTSRFEVPGERDGSDNGGIQGSRYGCQQMNPPSP GTGPDQSSPDSHGKHHIKRKTRKSDGGIRKSPRVRSTSAPREDSFAALRSQFTSLPLN DRLQFLSWLFEGALSHCMSDTSQTACEELKARESRRSRPRPEIDQSRSVRKSVRKSSR KGMSWSAEEVDLLVRLRKDEGRSWSDVTRVFSKQYPGRSQGAIQVYWCSTLSKKAS ANIA_05076 MKRTLLLAAAYLLRSANADCAVYTVQAGDTCVSIGRSTNATYAQ LLAWNSEINIQCSNLGSLTGSELCVSNPLGNYGIPTNTLGSPEIVTTVVPAPSPTPDD TNSNCGEYYLVVTGDDCGTVTTQFQITLDDFLFLNPQVWDNCTNLMRDYYYCVRPVGY ITTYPGYGGSATTEPFVQTPSTPVPENPLANYSSSQPVIPIANKTRLDCYHYITFDNI TENEAANCWNLAGIVGVSPEELILWNPSLAENSTSIEPPATITTSSVTRTITSNPYAY PCTLSESTSYCVAVASPTTSDGQASAIATPVPRAAGEIANCTQWFHVESVRDTCESIL NTYWLAFEEFYAMNPSVKEDCSGLVLGTYYCVSTYPDGVPPGQPDWTGPTFPLPDETA TATSTTTSTSGVSTPSPVQTGIIETCNEFYKVVTGDTCYDIAVENDVALSDFYDWNPA VKTDCTGLQADVYVCVGVQAPSSTTTTTIPTSDVSTPTPIQSGMVTTCSEFYFVASGN SCYDIAVDNGIELASFYDWNPAVQTDCSGLQANVYVCVGVSGGTPTATTSIATMSTTA ISTPTPTQAGMVDNCGEFYLVQAGDGCWNLANEQGIALGAFYVWNPAVKDDCSGLQAD VYVCVGLA ANIA_05075 MKREEDGLDKPCLFKWSPSDLILCLCSANSREMKEALVAELQGP APCYEPDFPGLFPVHSMDLIELWLAAKTTLYSTLLDRYGPLLDVGSQYKILPRFQFTT LSPYWQPQNQNQNQNRPRPALIELSARVSGAPVLTVMLETKVTYHSVLGNATAGPMMF YTGAAIHWTMLGFFVAEPSNRLGEVWSNAFFQQQGLMVRLFSYEYDSVDTFSTGFGRV SGEADGLLNHISTVRPKAALVNASQNGHYAEIKSATNLDSQISRFTLPPPYKEGDVCR AKLTRVRMNALPTEIIAIIAGYLPNSGIKTLRLTCRTLCNTVRLRLDRVFLSANPLNI AVFRAIADSETFRHGIKEIIWDDARFLQVPYGEFHIADSREDLRIDKESGCLQWFVDA CKKNREDLQMRKFAHLDRGKMPKQIVVAEEQAATELPLWICWQYYQNLLQQQEEVIVF NKDAQALEYGLRRFPALKRVTVTPAAHGWIFTPLYETPMIRAFPRGFNYPIPRGWPSV SSSGTYRPRAQPWEDEATKKDYRGFGIITRALASYTKHQVSELIIDAHALDTGLNCRV FEEPNPEYDDLVTILRRPGFTRLDLSLSVRGQEWTGWPCFRNGYLRRALAEAHDLKHI ALSTDVEEDPASDTTVPETGGGRAQLVSLRTILPTEKWHSLRYFSLSNFLVDKNDIIA ILSSLPPTLRFVHIGFLYFVDHGGSYRELLEDMRDQLDWRSRDPTIRPVVSVAKPTMY IQIGHAIWLDGEVSQFLYGDGPNPFYNGGDAVGEVGVVRDAFMDGVEWRNRGY ANIA_05074 MHYNERLISEEIRAIARKNSREALEAFLQQQREAAGELEYTPPL EDLLLAATDCRANQVAAYCLEHGQKATREMMTSVVVHNSFAVYRLMVKHKVVRINFVV PWYGDILGTMASDNKIDWVRFCLEHGANPNASLVEEHLSALACAVHTGNVELVDLLLA HGARLKGSNAIVRAAIDEDLEMVKYLLLRGADIDEVGIKGPPGAEAYGDMGSPLHQAA VEGYMEMALFLIEAGADIYLKDPLGRTAEDLALEKGHMEILDALRQKKMKDNVDIKE ANIA_05073 MSGVILEEEADYGCFPFDIFVSDRWDHNHNATRDGQEQWEQLVW EWESLTLTERYPYQKRAESENGPPELSEEIKRVLATHQTAHERNISLISCDGWQTVWL RTCYDPGLADKYKQMKSTSEVPGWGVSGDKILDDPARYDFDDDGSNSWQQVLIRVPGI TDFSGVWGEGDGGSSIRYRSHIESGLIKILWLDEHGQVAWENRLDTSTSALSRLTGSL LNATSLVEMTGYDGTRGTLIEN ANIA_05072 MGLRHSVLFPLRGSCPGESPSPSPTGWPRRPRHRGLDLLNPTRQ EIGWIMLSENPLGFGILKGYVTIEEMRENLGSNLSHQYTTIGEGYEEIPTVQGAAKPS HDSCNTEYEPSFAIVGIDSDIQLGLERSAEDLYPASSSRPSKFSNEPGKGELLMELIS VTGSDACFRAALMKSWVTT ANIA_05071 MSGQHVCSFPYEETKVRSVTVNIHAIIVRAVEDQRSVSIVALWQ CHRPRRFSLLTEAQGRPLETAQPSMVRADLLEPGGPLSAVSDEAKRYSYSRRSALAHS FGYFEDSSCNTCIAPASGALTRFLTVGAASVDATDQVSLSSGTLRVMVTAMAAKQWHL ISISFSLPSTVSAVVDRKSTVDCARRRVRRSDLRVSAYTAHFLPSPSHTVDSIGGVSL TDIRNTCSNVGDSLAQACLSLDWEGSLVRVQYTLFLALRFSHLSRQLDRVPFLPDGIV ADALPWLCLVPDTSANASAPEIFTERLMQVHLGRFWRRFGPRRKFEYDPLEAEQRYDR FCAEYLPTLSPEFALEPDSQWDAILPKLPMQRQLMHTAIFDSICWNFRLLLILKPTQI ARLAPYK ANIA_05070 MASSDSIKRTGPSCTECRKKKTKCCGDWAPCKRCAKLGLHCSLP VNTAAAGSSRRSKKYQKHQEDQQDRRSPLPRPNGYHSGKLRDPNGRFASNLRKESVDA KNTRNSGRAMRVRPRPARDACPLPTVAAATPSRVQGLKTKQQLCSVTYPTPSESQETG DLRNWAASPAARASGRDHSGLVACLAEAATTPAEALELFTLFGERIAPFIPSLYATDF TALPTQPLYVLAAIYAVARYLPDSTALRDRTGCILRRLISELIFRSMANQSSIAKAEN MQGLVVLYACCEATGPNHEDQQAFPYFDMLFLKGITETYASKIRLGLDYTLDKASDDK LPLVWEVWLYTMSHHCAVLHGCPRTLSGSVELHRAKSALEQTVDHPRIRLLLAEFELC LLWESASSIPASCPKTVNDTLDHWKSQWQESLTGAAAPGHQLFFYFHFTRFHLLTHLV DEAGEIYIAMNETLEAAQDFLQWFKDLPPVSKDRLRYLCDFAFVLMVHVCLCVIRALR GGLVLPKCRKEFLELVQDAAVLMQSLSVRADTRPAIYGCALVTMCRQYQSSQVDGISA GAANNLRDSAEFLHLPRQTQLDMEVPMAAEALMDEELLRQSRLSPGFWTLDPDISVFD GIIAAIPVPEESDMIDNVALHSNPDCLRISGKLANLHNSLDTSHSICPFSYRRKGAKP VTISINFDS ANIA_05069 MTLIQSLIERDAAPEHHVDRSMQKWNYATQSLCIIFMTIFFGLR VYTRTSLQRNWEQEDWYCSAAWLLGVIYSAIALAMGHYGGGVHWDDVSEDNRTQFQKL TYVTMVMYGPTAFLTKLTLLWIVTRVFSPFKKWVIFIYVFLGLMLIYYIPAVIIKIRI CMPISRFWNEEQPGSCMNRNAIIMADAVVSVVSDLIVLIMPLPLTMQLQMSKKKKLRV VGILGAGGLACASSIIRLVLIQLTGKSTDATIAFMRINMFGNAEIAIGVVCACLPALS ALISRTYNEYSSNRYNSHESDYHLSEMRNTTKGTRVQGSRKMSVASDEGTLIANAQSK PKDNEVGIMRTVDISMSVASRD ANIA_05068 MADSKLSQSPYDIPYDELANPRQVWVGEPGSEEEGKAKLGMLTP EVVHGAAASEIRTGRRVTMGWTLTELGYPNLGRQPCKHRIVPLLDGLAFDDYYEFNPQ QSSQWDGLRHFSQTVPGQSERVFYGGTTAAEIYDRKNDRIGMQHWAKEGIAGRGVLID YASWAKKKGIKYSTFSTHQVRLSDIQEIAKECEIEFKKGDILFVRIGVTEEWDTMTEA QKQEYSNNSKPLHAGVEATEDMLRWLWNEKFAAIASDAISWEVYPPQSDIFLHEYVLA GWGMPIGELFDLEALARMCIEHKRWSFFVASIPLNMPGVPATPKAPEVLDQSNISKFQ HASIVMDVFETRG ANIA_05067 MGRFGSQTSTYNRLVSIFVAVGSLTYGYCASIISSTIGQPGWYT YFDLPVEGEPGYDSTTTPTIATANGVFSAGGAVGTLFLMWACGYFGRKVNIQLGAFFS LFGGALQGGANSLKMFQAGRFICGLGIGILVTVCPMYLSEMSSAFRRGWLVGHHAIFL VFGYMLAGWVGFACYYAEGKLGTFGWRFPLCLQCLPPLVLLAGSPLLPRSPRWLISKG KDEEARLTLEKLRKSPDDPDNLVAKEEYYQMKEQIRLEAEKLSAYGNVWNAVIKKPSY RKRMIIGFLTQWGAEFGGPLIINNYAVILYQGLGETGSMPLLLSAVWLTTAGVIYNPL GAWLHDKVNSRRGMYITGFVGIIITTSILAAMTAEYAGTTNRGGNAVGILMMYLYLAF QGTCCDTTMYLYVSEIFPTEIRPIGMGFSLFGQFAATLILLQTAPIGFANSGWKYYLV IILWSFFFIFVIYFFFPETARLTLEEIAKNFGEEVAVNLTEATDEERARIEQVVVQPD PRKIESTTADGAASSNAEPVKQG ANIA_05066 MPDDEDDRVKSRTMQLFTEKYLGKMVVATLQPAEEQPWEPTSWL RANIFAELAINGRTSTATGVSPFYLSHGYNLSPFTPTKEVEHLAEEPTKSPIQKGEAI VQKVKEALDWAQASMAYSQQNTENQANKHRSPATNYQVGNKVWLSLKNICMDRPSKKL DWKNAKYEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQPPSIMV NGEEEYMVEKILDERRRRYGRDFRTAH ANIA_05065 MTQEELIVLWKTLSELLQKGFICFTKLDVSAAFHKIRIAKDQEW MTAFRTRYGLFEWLVTPFGLANAPSTFQKYINWTLREYLDEFCSAYIDNVLVYTNRDL RQHWKHI ANIA_05064 MPKTYKALNIRPYTKESCSYKHKLPWPQRLYSILPTCYGSFAYT RTLDLSDSAKACAVLKAVSHLQDFLATTILLSHFFFSDSFFMRRPFTMTMEEESVALL LQQLQELRTEMRTQKQQLQEENNSLQAELQAINLYMKFAINAACYPTEEEQVYYAYSR LRGKASQCVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDQQRKALVRVNTIKQGKRD FEEFLNEFDKELLNTGGINWDDNQKKALLDTAINVELLKAMVGIRQEDSYNNYCNQLR EINHNLQRVARLT ANIA_11458 MSIRELLGLPGLLCRREKALKVGRKSPLRYRVASITIYYTRRPE LPLSSSSIGKSSKLV ANIA_05063 MGVIYRCTYNDEATWQHLKQWIVDENRESIATSKAPTLIDNLDI VFFEDRARFDGASRDELRVHFKEWRADQFTRLGPADLEVMRGTRLGELVPRITMVHPE LMGSRFQQFIEVDEESLHSMREGFDEPVRPYGTGHVDLVYADWPHDLEDSDEDESQEE YEDYEVFEPIDGCTEENVGWMKVVATGLGPPFFFLSYGIEWWQESYVRPPDVLYI ANIA_05062 MTGSAVLSLVVWKANWELFRILCEGGSEQAADPAPFKYRRRPIY SPSEWLFEGMSSLLAMVIVITIAVIFWMMDGKPLKEWSGPVSLAATISILTTAYSSAL MHSVSSFIRQLKWLHFKDKPRRLSHLETFDEASRGVWGALLLLTNVKWNLATLGAIIT ILRLTFSAFSQQAVQIAQRASTTPSDINSVAFGYAHNYSRDFSNFSTYGNTDKRLSAK QLTEAIPQDPDMQFAIIKGLYGIDTPATFSCPSSCRWDGSYVSLGFKSACKNVKQDTL RSAACDGTEHRNRCNMTTPNGVNIITHRIHTDAATSYVMNTTSTLEPSAEEKLLEIAR FGIYRSSPDGNFRQQNVSITQCSLYLTAYEYANAFAKLPTEVSSIS ANIA_05061 MKKPNHAVLGIGLALCIEAAYSAASQSYTWKNVVTGGGGGFVPG IVFNPSEEGLAYVRTDIGGAYRLNSDDTWTPLTDFVGNSNWNRWGVDALATDPVDTNR LYLAVGMYTNEWDTSNGAILRSTDKGETWTETALPFKVGGNMPGRGMGERLAVDPHKN SILFFGARSGHGLWKSTDYGATWSNVTSFTWTGTYFQDSSSTYTSDIVGIAWVTFDST SGSSGSPTPRIFVGVADTGKSVFVSEDAGATWNWVTGEPQYGFLPHKGVLSPAEKTLY ISYSNGAGPYDGTNGTVHKYDISSGTWTDISPTSMEDTYYGYGGLSVDLKVPGTLMVA ALNCWWPDELIWRSVDSGANWSPIWAWNGYPNINYYYDYEVSNAPWLEDTTSTDGFPV RVGWMVEALSIDPFDSNHWLYGTGATIYGGHDLTSWDSIHRVTLQSLASGIEETAVQA LIVPPGGPPLLSAVYDVGGFYHSDLDTPPTQAYHTPTYGSTNGLDYAGNNPATIVRSG STDADDPTVALSNDFGRTWSANYAASSATGPGPVAISADGDTILLMSNTQGALRSQYQ STFAAVTSLPSGAVIASDKANNTVFYGGSSGSFYVSTNTGTSFTKTATLGSSSTVNAI RAHPSLAGDVWASTDTGLYHSTDYGRTFTKTGSSCTAGWGFGLGKPSSTSAYPVIYGF FTVDGVTALSKTEDEGTTWAMISDSEHGFGAASANVVNGDMGNYGRVFVGTNGRGIFY GEPNGSSPPSSSSTSTTATTSSTSTTLTTTSTPTTTVTTTTTSTTATATATASPYGQC GGSEYTGPTACPSGWTCTFFNHFYSQWLYGVLLAVWGR ANIA_11457 MDISPNVAGASCELDSPAAWWLLKEATTNFNGSTVSELYFPEDQ AALSSFLGQISNRIAKQ ANIA_05060 MSEYTNLPDYDSLPPVKGMPRGCAWGIFDKDGKKDHIGCLNLLT PSVVRAALKEAETGQSVSLNWPINAIHKPGFQRAGLEHKVSSFQDTPFKLHGFDDEVA FNTQCSSQWDSLVHFAHQPSGFSYNGVKPTKEALLQADAPFHKDTDLPTLDHWHSRGG LVGRGVLLDYQAYADAHGRKYSPFETHKITVADLEAVAQWENVELRQGDIVIIRSGFT KGLQEAATPEKQAECMASHRTVGVEGNEATAKWFWNKHFAAVAGDAIAFECLPPSKED GTEGSIGDLVLHQYFLGLFGLNIGELWDLEALSKLCAEKKRYSFLLTSCPLNVPGSVG SPPNALAIF ANIA_05059 MSTVSEASPVLDWYEDRGTPLQIHFIIMLALPVVAVALRFWSRA VMPSVGPGRRTIGRFWWDDWTALLATVSNTAVCILAIKMVQLGLGRHAVIVLPENITL FLRFLWAVYIIFIIGASIAKASALFFYARVFTQTRSRFRYSLWTLQAFNVLWLLGTIL AVIFMCSPVAKVWNQSTPGHCRRPADIWLGSGVSSVFIDVLILVLPIPILWGLQMKPS RKFLAVLVIILGHLVVVVSIGRLASVAWTVQHLAMDPTSVNTVAPIFWHGSEIPIAVI SVSLPSIFLLARRGFSHGMKSMFSMKTIPSSVWADESHVERAEPRPARSVSSIGLVPV RPVYIQNPVKCVTTDSGFTESITALPPIPEEPCEHRTPSVTAEYGSTSTLSTVLPQIP EEVHGRQTPQLTVDCGSTSPISWARATEAQLAELGHV ANIA_05058 MPTRLRLIYLIAGALVLFAFLHLFQGPELSPTSAFLPRDTAGNN TLGFQQIFALSQHPSWRTRGLDAAANLTGLQITIPPQPPVDDRLVEAFANIQGDPWDT QHPSPGASKAWLAHLDLLKHVYQSEIETALILEDDVDWDIALRNQMMNISTAVRNLTR APETDRSPYSLEWDVLWLGHCGERWDESIETIVFNDTHVCPHEDYRGFWPDEIALLPD LKRTVYRSNSPVCTFAYAVTHKGARRILESLGAGQGEAFDVQLQIECHSQRLTCVSVV PEVFHQYFPPAQFGVKSDVDIGNNKGDGPVEETFESVMGSTENILHSARCRALWGSDS YSVLDLLWSISAISKRSGYDVERRSITIRYWVITLPKKDSSEMPILPTRTTCMMSFEL FRPSNLALLFANVFSRPQPQKFVVPACRNENATAVTQYTSGRDGFDAPKVHPINATTY DWWWFDAIQQPVPTEDGGQSQAHIVITFYNLGSEVLTEQFPKRLAEW ANIA_05057 MAGSTAGYGQIIEYIQDKLYLASYDHTPDAKTPFPYPAEQPKSP SKRRAQASPSKKRSPVYFTVDDTLLYNSFHADFGPLHIGHLYRFAVHFHDLLAANNDR AIVFYSRTDARSRANAACLVACYMVLIQSWPPHLALAPIAQADPPYMPFRDAGYSQAD FILNIQDVVYGVWKAKEQGVCGLRDFSLEEYEKFERVDMGDFNWISPHFLAFASPQHQ PVAPIPRDSPEYAALPSTVSEVRSSRLPLPFKNVLEHFATRGVGLVVRLNSELYSPSY FTALGISHIDMIFEDGTCPPLPLVKKFIRMAHEMINVKHKAIAVHCKAGLGRTGCLIG AYLIYRYGFTANEVIAFMRFMRPGMVVGPQQHWLHLNQGSFREWWFEDCMKEKLAQMQ PNPVTPGRSPAKHRAAAVTTPPQNGHSKRSALGEIDNNEATPIYDDNLPAPTPGQPRK SHRKDSRHHPYSRTASGSLVVDKDTRKTRRSTDSSESEEETQLRMLAKQRSSKSPAAS PGQRSISYSATVTASYTLNDDIHEDRENWGGAAQPPKTPVTSKTSGAVSVSKVRSSSR RVTGESKGVRKPSGRIGSTGSPVRVKAQA ANIA_05056 METIKNTVNYVTESIQGAGATASKEVNKDVAKDNNADLTTRATA AKDALFDKKDEKVHETQADVYKEAAKH ANIA_11456 MIPVASASTGLASAGLIKQILEQEEDGTGKKPHIMLDQDCIERG YGSHAVWLDRELTLDHVGFQPEELATVPCR ANIA_05055 MPNMSEAGYQRQLLLLPRLLQEELDRGLFNPFPTYQFTGSVRPV YPLSPRRPVPKIITHPDWAETGIPRREMRLSRSKWDLLDAKGQQAMRKVCRLAREVLD ITAAAVKPGVTTDYLDEICHNACIERGSYPSPLNYNHFPKSICTSVNEVVCHGIPDQR VLLDGDILNLDVSLYHGGYHADLNETYYVGDKAKADPDAVKLIETTRQALDMAIEIVK PGVPIREFGRIIEKHAASRGLAVIKTWGGHGINSEFHPPPWIPHYAKNKAVGTCKPGM TFTIEPILTLGANREKYWPDDWTNVTMDGKRTAQFETGVEVLTARQENSPGGPIPIPE VVNGVADGVANGDANRDANGAAINES ANIA_05054 MAITIPDYDAIVIGGGFSGIRMLWKFQRLGLTARCFDAGSEIGG TWWCNRYPGCRTDREAWVYALRFLPELLEEWDFTERYPSQEEIQWYLRLVVDRYDLRR NIKFRAIVVSAHYGDCDNLWSIRTKDGSMATSRYFLPATGITSTPKEPSFPGLRRSKG RCTQRRPGQSMRSTLKTLESAWSAQEEVKKNFGVTSDIAKKRSDMSSKMVGRGCYNFQ LGTFDDSFMDPDANAATANFIRHKIRSIVREPETAEALCQAYLFGARRPPCADRYYET FNRCHVYC ANIA_05053 MPHPTSQGRAVTPAEARLIERAETAFITAQIDAGRQVYPEDGFQ AESIGGGVAAVTKASFGRKLNHVAGIGMERPVTDKDIEDIERLFKAIGVQPEINLCPF AHNSAMEALKKRGYMICAEMNVYALSLQDYEAEDGSHHKDGECKQTKGNDIDITPVSK EDYALFIRSSTTGFASTGSPSPDLFRALATIATLRPDTRLYVAKIDGKIAGTAGLALI TTSLGKVAELYIDSTLPEFRGRGVQVALLKARLAEAKRDGYDIAAVTTWPGGVSARNM ERVGFKLAYRKDVYTPGSPGCG ANIA_05052 MTDSTPAIRRNGLLQSCEPCRKSKLKCDHGRPVCGRCIAKNITQ RCFYHPAPMTKDASQPPSYRPAKRPRTESSLTPSPEPTSASGTASASASASTVQYGLR LAAADQRPSTTPGYLGSTSFSAVFSEHRANISFEEGNHAPDGVLSKLTDGYRLESGLE VIKFLYRNNIWEILIRKFYAGHLMAVVSNLIIRAIIISLRRIFDAIDREKDVEKQLRD LVQRIFQSSAHPLTSHASMTVEEYFASFTDENLRWEVVGLVLATAGIAMMSTSDDDPD VLRVAPDAQGAEMLRSRLVEASRTCLLFCATAASVNELLGFYQYNDMLLRTQYYGDTS TPCSHDTNIATNDSIGYAAWRKLGNLTATIYAAGLHQESTRAQNCPPFLHQWRKMCFA AAFYADKSLSTFVGRPPFINYRYCSISPPLDIKDEDLIAGEEQLRRAMANLDSSGWNT KGNTLRGSLLRLRFHLAVLREQALEIALGNYDDKTILSKYNELIQTAQNQWQSCPVYL RHDQYIPNGESPNITFARRHIYLDYLYTLFLVQRMVVKRTNTSQEALFMTSREVLAII LEVTGERHPGVDMSRHHSWIVLYYGLPSASTLAFELLRQTQEPGPHPVMPPRPEIIRN LSVFVSCLSWVATPGQGNYRTCKAVEKKLSDILDQILDPKPDPQPVQGFLDASGGYPD HDAAGLYSLLNWYAPNNIDLDSFESPAKDEFLF ANIA_05051 MCLIFTVYFNRRERRPPSKKSRKRDSSDKLRKLDAVSPSRTLEE CLVFSPLLWMVSHYRLFGSPSLTTLHCSVVCLEPVLRSQVIRELKCLHVFHRECLDKW YLQDHYNCPLCHRAYFYQETRPTNDFVWMIG ANIA_05050 MGRLYTFNLALFAATGSFLFGYDSGVMTDVIASKNFLRYFNTTK TSAIIGAINSTFSGGAAIGALQGGLTMDRFGRKFTIQMGASICLVGAILQAAATNLAM ILVGRILAGWAVGLMSMSVPVYQSECAHPRNRGMIIGLAQQMIGVGFIVSTWIGFGSL HAPESSEFQWRFPLAFQVVPAVILLIGLFFLPESPRHLIGKEKYEEAMKVLRKLHYDG TNEDWIQREYGEIKSTIDAERAVTEPGWLVMFKVPQLLTTADRYRHGVLVQVFTQMTG INVINYYQTIMYENLGITGSTNILVTGIYNCLGPLANLLFILFVLDKVGRRRPMLFGA IGITIALFCEAALNSQNEDGHRRGYSIGGVFFIFCVTIIFSWSFGPCSWVYMAEVMPM QIRGRGNAFATGVGNWTVSTLWSQVSPIALGKIGWKFYFIFAGWNICVTLPVVYFFFI ETKQKSLEEIDILFGGRALGTLPEDIADKDNEVVATTEHHDKREV ANIA_05049 MTRNMKLSRCQCGVVSRRRGAVAEKRSQSDISGANNQPSSQYCL IDPRMASSGRRTRPQFSVPSSPSDNESPIRPRRPSLEPQVDILSTRGQYLSSLIRRRS FSSSQSLYRPDGYIDTERDDTGSFRHRVEGPATVRARELIGERRPPYNWRQLYERNNN LISQYVYIDRLLDSSLPRRLIVEYQQNRAVESAKRTNAGAHEGGYGSFENLADDEIPK KIKRTPRNLYRIPSESSLLLPQTTDGEASPLEDVTPKGHDFVDSGARIVTVAIYVNFV ANVILLAAKIAALLMTNSVSVLASLVDGALDFLSTTIVWITTALIRRQDRYEYPISRR RLEPLSVLVFAVVMVTSFFQVAITSAGRLISSEHAVIQLSVPSAAIMGSTVVVKFLCW FWCRLINNSSVQALAQDAMTDVVFNFFSIVFPLVGGFTNIWFLDPLGGLILSIYIIWN WSRTASEHIRHLTGAAASREDVSILLYMTMRFSRSILKIQNLRAYYAGDLLNVEVDIV LPDNSSLRDSHDLGESLQYMLESVPTVERAFVHMDYDPWNIPSHMNQQEA ANIA_05048 MPEGDSFASDAVDKDERGQVEESSQTSVAISESPNSSQQAADSS LVELNAMQSPIDASSNALHPNSAKRKRSGSDLGETNKESATPSMLDSLKSPPSLRLSL SFDGEAMVRKQGELTPSPPKGRNSLRISMSADGQAVIRANGEPSPSKNRISMFPTRTP RLAGLRRSNSAVVLGTPRSLEREKSFGRSRDPRNWESIFDTDARSALATPFSSQSTPK SALLSGGKRSLTRSLSARHPSLLTPNSDTQGTPITQAMRQKRQKLSRTVSSLGRLESG RKALGEKFPSTLKNSKSAKDDLELEAGDSDKENWIPGTRVSQSRRRTVSSHTHRPVLK DSNGRDGRPHDASTSGRSRWSQPSHRKTVGPSKSLSMSGLEGDVSAFMGGNAASQEED LDCIQGLLSLSQGAWR ANIA_05047 MEAEKRSLVKAKEPSMTRLLGTENALRLSSLLHLGPKLYPLSIS LYLFFAQEADNIREAPKNNPHFTGTSRKSQGQGGPTPGSSVLAKNKLVNDVVYEIPQS ANIA_05046 MQFSAIVLSAVALFGSMTFAAPAPAPDAELMARSSCQLGGIFGA GDAACSASCIRAGTYHGGYCNDKQVCICTH ANIA_10613 MNSLPLLLAAASVGFLYVILTKGRREKGLPPGPPTLPFLGNLHQ IPVKGSYLKFTEWASQYGGLYSLKLGTGTAIVITDPRLVKEVIDRKSSKYSNRPESFV AHTITGGSHLLVMQYGPLWRTMRKLVHQHFMETAVEKSHIHVQNAGAVQMLRDFCVRP DLHMLHPKRYSNSIIMSLVYGVRTPSVHTAHMTQLYEMMVRILSLPFLCTQGMPTDRA FVLFQENWSKVMEPGNTPPVDIYSFLHYIPQKLFGNWLSRAKEVRDEMCQLYGQYLDL VVSRRKKIGSTGSFMDTVLDQNEKLGLTRHQLYFLGGVLMEGGSDTSSSIILAFIHAM TKWPQVLKKAQAEIGNVIGEDRMPAWSDYGSLPYVAATVKEAMRWRPAVPLAFPHAAA EDDWIDGHFIPKSSTIIVNGWGMHHNEARFGNPSVFDPDHYKGQTALAPELANASDYT TRDHYGYGTGRRICPGIHVAERNLFLAISKLIWAFSIEPGVDESGKVIEPDLDPRTGY SEGFLVCANDFPCRIMPRSEAKRESIMREYQRAQEEVFSRFESPSS ANIA_10624 MTAATKPIRLVRLAHVCYTHADLTAASRFLIDFGFQELTQTVSP STGQRTIYYRGTTTQQPFVYCAREGPEDAFGGATFVVESREDLDYAAQTLPGSEGIVD LEAEGVPGGGLSLTFHDPVDGFPFHLVWGQRGREEHGENQGGNGLPVLQYNFVRVSNL IPLLSAIHRCPTEKHRPGNSTQRFKPGTYSLASRHRGIAYCIHTKLQSGPAPVHKLGH FGMCVTDFARAYEFYTTRFNFKASDLIHDEAGNDVTAFLHLSRGRELVDHHCFFIFEG PKWHVHHSSFETHDFDTQLLGHHWLREKGYTNCWGVGRHIMGSQIFDYWFDPSRFILE HYVDGDLVDETYPTHRSLASPDNLHVWGESLYFHAHTRYWLWC ANIA_05044 MDPSFLTNSDASEAIPDTNTMSGASVDDIVETEFLIAGAGPAGA SLACFLTSYGLKGIMISAAPGTEDTPRAHITNMAALECLRDLGLDREIRKVACDGEHM VHTRWCHSMAGEEYARIYSWGNDPRRKVNLESEFPCALAKREGKSNMDQGDYERASPC SPVDLPQTLLEPILVRYATWQGFTTRFDTTLLSFARDEKQRITATVRDNLSHKEYQIR TRYLFGADGARSQIVKQLGLPLTVKPGQGLAINVLVKADLSHLVAHRKGNLHWVMQPD RDHPSFGWMAIIRMVKPWDEWMFILFPTRGYDPASVSPSKEEYLHRVRELIGDETPAE ILNISKWYINEIVAETYSDGNNVFCLGDAVHRHPPLNGLGSNTCIQDAFNLAWKIAYV HRGIAGAPLLSTYSAERQPVGHGIVTRANQAFRDHHQIWAALGMLGASLEERQRALEE LTEPTAAGRERRQTLQKAVAHTAHEFHGLGIEMNQRYEGPGIYDADEADPFVLPGRAA EDPVLYYEPNTYPGCRLPHVWLNRAIPGQPVSTIDLAGHGAFTLFTGIGGFRQDWEDV YFEWERLCGVEESGAVLVRPDRYVAWRADSVLKDAAACEEKLSAVLKAILCVD ANIA_10623 MPLDQQDTLQAMFTRRSWPCSEHTRFWVSRPKRPSNCECTTKKG LERQRNRHLIDTSPSTMIYFDNMMTISLFHQPSFPEKLARITSPTQLAALLAAMFAFA VRFRPEEMDVNRRAAWFLNVALQQIDVALDECGDETPPLCLLQAYVLAAHCQLTQGVL GRAWRTLGSCVRLAYEMNLHLVDVQGPRNAAAAVDIARWCSDEEQRRAWWAIWEMDVF ATTIRRTPTAMDWSQIEILLPVDDEHWFQCQLQESCFFEPDPIRRWKMLESCGNQSPK AWFIVINSLMKEAQRISSPRGIPSRSQSDQVDEAHHQLEIIANAIRCFQLALPNHLKY KNQDLMFDVRGARRLSSAVYNINMMTHLARLMVYRYDVFKGRVRVSLLSCDDQHNHDR SSSMREGEHAAIREYFDAADKILTIIQRSSADHIQYTMPFLSSTIWLASAVQLMRSQL CRPGTLKNVSASGTCIRPFSRA ANIA_10612 MAPRSTWTRLIRFVAEDDGQTHLGEVDAAQYPDIGLSVVNGERV AVRQVTGSIFDGIVTEKTLHVARLLAPIDMEDVPLIRCMGLNYRDHAKEANMPIPDVP VLFIKPRTALNGPHPAMINVPMIAQDGSSDYEAELSVILSRTGRDIPECDAMDYVLGY TCGNDVSARTQQFKNSQWSFSKGLDGSCPLGPVLVAPSELDPHQLDIKAIHNGAVVQD SNTREMIFDIPKIIAFLSQGTTLERGTVIMTGTGPGIGAMRDPKVVLRDGDDMRVQIQ GIGTLCNRVYYE ANIA_05042 MPLTRSTSQTKEVLSREWRRISFPKVILVLQLQDDYPSLRYAND DSSYKTATPAHPAQPPKHDYQQGPKVQQARLDGTLLDSIPPLLQEVFGPIPMLIFNNE VTNARSSVQEPSQICLGVLGVEALSSFLL ANIA_05041 MSRLHIHDIESLRASSAPLPLTVAPATSSEHFKSIHTRQRPVAC RLDHHFSAESRDFNGADFKKGASPIGPRKIIPLGTGRPTADYYPWESFTFHGVSPASL ASSTANDSGHSVYTVTKRDATYNLSLGMNYGHAAGSPHLLRFITEHIELVHNPPYQDW RTFLSCGATVALEVALRIFCNRGDWILTEQYTYSGTLETATLTCARVYGVEMDADGLR SDVLERTLASWDTSKGRKPRVLYTIPTGQNPTGYSQSLERRAAIYAIAVKHDLIIIED DPYYFLRLGPYNEASTDAEEPTKISPDAFYSTGDPSYLSLDTSGRVVRLDSTSKILAP GLRAGWVTASSQIIDKFLAYQEVSTIAVSGSSQLMLWSLLDQGWGHTGFSSWLLHLSG EYRQRRNILLRACQQHLPRDIAEWVPPRYGMFLWIKVNWRRHPVIGKFETKATPEEVD SRLQGLEAQIVSDALSRGVLITKGSLFSWNKRPNGELHFRMTFAAAEKADLEEGVRLL GETLRGVFQTCLD ANIA_05040 MQSLAQLKYPFLPYTPYTPTLRLLLYPEHSGTAATAALRHFLNT AEPRRMASRLAACEPCRKSKLACDHNRPVCTRCSNNNRKGHCVYRNAPFKRKRIDTGP ALNPSRSTRTIADTESIVPASAPARTPNRYPNPGYTGSSSHVAIFNYISAKDNSPNSV LDGETTLPRTQPEDDLLTQRGADCLKQLLSTFSLQHMEDLVQSWLARGANLTLTGGIV QHCLKGVDLPISATSSIEGWHLVNAKYLSANSSQPFETCKTMSFPGFCAQFTDQNTRW ETLGIFLSAVTRAAMDTPFFQSLYTSEEWRRTLQKFCMRVADSALEIALSLDCLNDLQ LFLQYENWIVHTNVYGDHSYHSWRRLGDLIASIYALGYHEKLDNYPDCPPFLTELRKA VFARVYSGDKNVAIFLGQPPRIDKRFCHFQVPSSPGAEDIWLLSGTAPGGVDIAEWRP DTRASFMAESRWTAMCAYLKEDILCLQREKGSDAADFQRRIASFERDFLAAVRLNHLH VMFMLSLLQLRTPAEPDTSTVEIAEEIISLIVDLILLRDQIVNSGTSLVWKITYYALP AAGILLLALLNQRTSPTAPRLMGPKVLQHLTILAAELQAGSIIQPCQPNFELISKAMQ TIQSFLDSVAADAMQVAPGFLSGTMDEWPRFANQQTLDFEIGFWQSLADHPLLNQFVD GVLFE ANIA_05039 MHLSHILVMFSKGKDRLNRVQAKYRIENIPLPFVKQDIQAGQEW IRGAMLCALVTASIGILNVILTIIAAGIAYSKKASDTHLTYAEIYEGDCSITSNWTTG MHLVINVLSSILLAASNYVMQCLSAPSRVDIDRAHSKDRENLGGSSSLASFDQMRGYL GARPDFISQSSVNSFYLETQHWNYPIWSFKYKGSGDWGDLFDLCYTPWQGQNDAACYD RAIDTRTLQDFLWTENPTEMQLGNFFNTASNWRNSSWAAEISFRIDVPSDPGGGFSML GECPCEIEYTDGLSHNITISGCMTSDAQQHCQLYFSLPICIAVIVCNIIKVLCMYMTA KKDRKEIFLTIGDALSSFLDKPDATTRGQSVLPANDITYGLRSWAKRALTMPFKNNLA NVTIPRETSPQLFPKRKRWIQAASWRRWAFTYILYLPQPK ANIA_05038 MSDVSTSMSTALRDPFRTSRRSLTRTATATSDVIQQDDTCDEIA KANKMDSDKIADYNSLTWGWEGCNGLQRGQAICLSEGMPPFPKSVDENVCGPQPTGLA LQLLRFRIINARAVSSVSGKNACAKGSSSAIQASGLKGSSERTGTGKAVSERLLNYLT YPIHSLYPQSSAANPISKSAVKTW ANIA_11455 MVTVIMLNDGVDCNQAMRLSHQFVQQEAAQGFQKVERHLRTQSL VVSTERSVEDAFIEGCKNVVMGLTHWRQSPSYSPSDWADLVN ANIA_05037 MEYRPDNYPTLSHSPYQMKPEYDVVVVGSGYGAGVAASRMARAG KSVAVLELGAEWRGLGGGSLLNAGVFLEATPETMRLSAWPAEIRDNSDALAEYYARAA AMLQPSTFPTNLPTPRKMAHLEETAKKLDWRFSRVPLTTFFEPGRNSTGVSMCANQGS GHESTGLNDGSKNSIPVTYLVDAWAWGAEIFCGCEVRYVERDGDSYLVHFAWHQKGRR SFAEDGMAQLFWVRAKEFCFLGAGALGTTEILLRSKKHGLSCSPLVGRNMSGNGNLLV FGYNGSKEINGVAGSTKPGPTITSMIDCYQKTPNGVCGSENNNKVGAFVIEDGCVPEP FAPVIEWMFIMQTINIKHFFSSFFGCPLRQTKRTLAAIMSIVRGPYIPGGAIQRTATY LAMSHDNNEVTLTLENDNPQLQGVGEGRGANIHSIKDALRRVLGVDGASMGYSYFYGF HQEEVSVHPLGGANMSRDGTGREGVTNHLGQVFTGQGTDVHTGLIWLSINPLATITAL AERSVALVAGQRHIKIDLDTENGDIDTYSQPRGPHYNNSHAEPNRDYVSTGWHFTESL EGHVAVPSSCVSYAAAELEGKGCSSTMGILLTVEIYRRVEGGTGPRYKGLCTGTVSCR SLSRRTMCVMRGDLDFFVAGNESASTTLTYTLPLCTVEGRRLTLIGVKAIGQSAAFSI PRLWEATTTVKLHILDFNNNSLGAGVVRIPLLSFWRQMRTFHTIGPRLSSLPVLLIFL LYFIIQLSLVFFYPLIPFCGILSPTRAHSQAISAKQQPSEILEILTSDSAKIRLDVYD PIQPLGTESRAGKQSQPPILFLPGITGLNTSHSIFALPFQRCNMVKYFSSRGHRCYVL TPRWSHDGQTAKDGTVFDSRLDIAAAIHHISSTSPTCDSSSPKPYIIAHCQGSVALAM ALLTGIVKPEQLLGITANSVFMNQVFGYWNSIKASSTLLIRAYEFLDGPYFPISFLER RKDLLQYILDFLLSLYPVARRDRCTSPSCHRTSFAFGLLWNHENLDQEIHGNIETFFA GTFTRSLEHITRMGCAGSCLNNNLEPLLTQKNLQNVRGVPILFMSGSENQVFNPESTL RDYELLRRTFGEHMYRRFLVERYGHLDTIVGSQADKDVYWKVEGHINWCLRNKTTGAE ANIA_05036 MASPSLFTRHPFKFLFTVYYLSTLPLRVLVAALYYLPPSNRSGR TYRLALTTRLMRFWFYFATAVEFQLPKTLEPGADKARFVFIDPEKIEHRYSSPYVSVL ASNPAINPAPIAGFWYEAPPPVGKTPRLVVLHFHGGAFVLGGARPADAFCSGPIALSK DLDCPVLMPQYRLSNSRDRTTCFPAALQDAVTAYTYLLYTLDVAPENIVLSGDSAGGN LVIAFLRYIKNEAADHHLPLPRAVLLWSPWVDLGTPGSSQYDRHRNVSTDFLFDALGD WGVRCYIPDGWNREHPFYPYISPLGQEFQMEVPIFIQTGRAEVLYDSHVEFMTNLKKR GCRVEFVEIDNAPHDTFVAADLFGIREQEGAIDRAAKMVIEAGTPP ANIA_05035 MAQGLCRVVIGVQLVIVGFQLPAKYQLHRWKEMLLCLIPNMTLM WLCTSGCILLAIPNLNFLTALVIGSCVTCTDPILSQAIAKGPFADRYVARDLREIISS EAGANDGFGFPFLMLATYLLRYTQGNPDQDHTAHAHVARSEDVGHMHGGLAKAMEMWF VETWAYYIILGVVYGATLGYASMRLLRYTLRKKWIDGESYVLFPAALGLFLLGTCGCV GTNDLLACYFAGGALNWDGGYLAETEARHDEVNSCIDVLLNFGGFMYIGAVIPWDDFH QPDTTGITYPRLILLGFIVLLFRRIPSTLALYRFMPKVCKNWKEALFMGYFGPIGIGA VFYAEHTSHLFPPVGEGSAMEARLMGALKPTIYWLVLFSIFVHGLSIPLLSIAYKLLN VPPEVDPLGPAEVRPLSFNQTLPPNSLLHAKRRSILVYNRFSRSKFPSNIGWDLPQFR SNNSSRATGHVPLVEEFPLQSVHDRQARVN ANIA_05034 MALVIPVEICHMVVDQVATVERDYSLCDIFPYALKQSARSELKT LRLVSRNFCAAASSHLFKHIVAPADSSIRGRNSLQRLAEISRSKYSAHVRHLETGYCR WPSYHSTSFGQDIQDLAGLLSLCLAQLSNLRVLKFRASSESLTREQESTAIRAIVSTL RYVALPRLEGLELFFPVAHDFKCFFSSGSTSLHIPMENILRRLKYLGLHVTAYTQERG QRYWGTPVLPAHAALPNDLHAAHLLSTDVLPFGIIRFSPALRLTSLRLVRILIRFDHF RALIDQCKDHLKHIELCLVQLQSGTWHAVLTQLRQLPYLIDVCINSCGYPATGPNAHL AGILPEPDDPKPLETMNFADHEGLYELKEFVNANRVTLGLDPFESTDSRWY ANIA_05033 MALALAATAQAKVAAEWLQENNKAGDPPPTGKVDKYQTVVLSGA RDAYDRSQPSSCPTIMIGTAVPDGDKNDGWKELPKVTGFDVARLVVDEEANATLLPAT AGPDTWNKWNFGADMFAISQPYFIENTKDPSNIKRAVITIAGARRNGWQYCNGRDSVN ADMDRVIIAAPEWLNEDDHSAGAVQSNDVYFDNSSYQQGGPALGPGDVKLSSFEAYVE PAPTSSMGCGRSTWNLRLTYRRLDKLVSSFWNKSVYPELETVVIASHSLGAQMTQRYA MLRPAQPEDANITFGIMNPGSYVWPVSDRPEHEDDCEDTYNDWPYGIDDGQSYALPEY VRDEVVGNRSMVRERYFSRNIFYGFGLDDHGDGDGHCEAQWQGDSHLERGQNFDKMLQ DLSDGFPETQSVYYIPGVAHDNYKMFVSEPMQRKMFLFDTSNDTKDANQARDSDDSEP TSGAPYQLTMPRFLLGSALLSVVVALAE ANIA_05032 MSAPEKGKEDSVADDNVTSHHDEDAAVFDEKPVFRPEADYSGAQ EKTDPVEISLVRKLDMWIMPTLWLMFWLNYLDRNAIALARLNDLEEDLNLSSSEYQTC VSILFVGYILGQIPSNMILTRVRPSWYMAGFMALWAAVSALTALAKDFKGLLLTRFFL GALYMLSIFYNRKEIATRISILYTGNILATAFAGLIAAGVFHGMDNVRGVKGWQWLFI LQGTVTFVVAVLSVFTLPDDQRHTRWLSPDQRKLAYERIVADTVGARQQSSTMRGLKE AASDPRLWLFAFMQHMHLAANGFKNFFPTAVETLGFNTTVTLVLTCPPYLIAGAGSVF WSWNSGRMNERTWHITIAKSLAIIGFILGCATLNTAARYTAMIIFAIGTYAVNSIILG WVSSTCGQTNEKKASSLAIVNTIANISFIWTPPLKLVPMAILRWAPVYDCHVIERSV ANIA_05031 MVKIALLGAAGQIGTPLSLLCKASDLFAEISLYDIVHVPGIATD LMHIDTRARVTGHLPDDSGLKKALTGADIVVVTAGIARKPGMTRDAQTNASIIRDIFA EIAATCPNAVSCVVTNPVNSTLPVAAETLKKAGVFEPTRLFGITTLDVVRASTFAAHA LDSNSDPKAFKVPVIGGHSGATILPLYSQAEPPVNLDKETLAAVIHRVQFGGDEIVKS KQGAGSATTCMAYAGFRFVKAIVAAMNGESVTEEAYVYLPGIAGGQEIAQELGVDYFA LKVTLGRTGANQVLPIGEISENESTLLKVAINDLKANIVTGVSFMAA ANIA_05030 MQSTKPFVLFFNPVKFARPFYEQLQKVAHTEVVTSRNRTEFFQD LQDKYRNIFAIYRTSSSGAVAGKFDTEFINRLPPSCKYICHNGADACAKRGIVVTNAP DPVTDATADLAVFLLLGALRQLNPAMNSLRAGRFKTGVAVGNDPQGKVLGILGMGRIG RAIKKRCDPFGLKTVYHNRTVLAPEQAAGAEYVSFDKLLAESDIISVNVPLTGQTKQL IGAAELAKMKRGVIIVNTARGAILDEAALADALESGHVGAAGLDVYEREPEVNEKLLK QERALMVPHVGTHTAETLAKMETWAMENARRAITGEALLSPVPEHQGLALQARSRV ANIA_05029 MTFMGLVGSSLATARICMILIPAFLLFGYNQSNLGGVLDYPSFT RNFPSIDTSNTKGAVKDHNATVQALSTFSYLPTASSLGTIVAVYTLGCLVGSLGVTQL GNRIGRRKSLIVSAVVATVGLVIQASSYSLGQLVVGRLVSGAGNGGVNAIVPVWQSEC TQPKSRGKNVVIVGVFIASGIAAAGWVNVGLSYLEESEVAWRLPLAIPILFTLPLMTL TMTFPESPRWLISNGRREEAHAAIWALTGREESTAEMQELERVLQQTPTQERGFMDLL IPGPQRLFYRLCLAVGINFCAQMTGANVISYYGKTIFRDSLGLSGDKASLLNAGVLTW KIFAAISAYLSVDRFGRKPLFITACLGMGLSMAGLAGTVWAIENRPGSTLGASVAATF FLFLFMTFFPLGFLGANFLLGSEIAPQDLRVHLAAVGTAAHWLFNFVIAEITPVAFTT IKYRYYIVYAVIGVSASIMVYFFCPETKGRSLEEMDSLFSEPEAWWKVTACARINRHG DGNRNMNKELVLVGNEKHDVEQFETVAR ANIA_05028 MLRRFSTFRKSKGDKTEKADRDSKANGSNANSAAAASNSSKRQS KVPPPRRPSSDSGSSAESEDVPAVFEKYAQVLHASSRPIPHQGGEAAYLEKEHPSGLF NDLKSLGFKDFASLKDVIKTKINGELTDDKTMIMERIIQIVSSLPSNSKMRVDLTNMF LDELWGSLPHPPLSYMGNDYQYRSADGSNNNPTLPWLGAANTAYARSIEPLTVQPGGL PDAGLVFDTLFARQKFTPHPNKVSSLFFDWASLIIHDIFQTDYRDYNKNKTSAYLDLA ILYGDVQEEQDLVRTHKDGKLKPDSFSEPRLQAFPAACCVLLVMLNRFHNYVVEELAA INENGRFTKPSPDLPEEQAKKAWAKYDEDLFQTGRLITCGLFINITLYDYLRTIVNLN RVNSTWCLDPRAQMEGSATPAGLGNQCSVEFNLAYRWHSAISANDEKWTEKVYEELIG KPGSEISTQELLMGLGKYGASLPKDPSQRTFAGLKRQEDGTFKDEELVNILTSAIEDV AGSFGARNVPKVLKAVEVLGIEQGRKWNVGSLNEFRKFFGLKNYETFEEINSDPEVAE SLRALYGHPDYVELYPGIVSEEAKEPMIPGVGIAPTYTISRAVLSDAVALVRGDRHYT VDYNPRNLTNWGYNEVRYDLNINQGCVFYKLATRAFPNWFKPDSIYAHYPMTIPSENK VIMKNLGREADYSWDRPQYQAPRASLTSYSNVKLILDQQKDFRVVWGDCTPLHSGKGG EDFWSKTLSDPQFKKSIKEFYEKTTLELFADKSVNLAGRKQIDIVKDVGNIVPARFAS KLLSLPLRSKENSKGVFTDHEIFMALAVIYNAIFFDVDTTKSFPLRKAADAVSKELGK HVESHVKSVSSPGFLSRVIDNFRDDHNALKDLGDQLIKRLAEGGLSVSDITYGQILPT AVELVHGQAQMFTRVVEYYLNEGKQHLPELSLLAKQDSAETDAKLTRYALEAIRLNAG SGAYRKAETNFYFKEGDADINLKPGDEIFISSTQANRDPTAFPDPDEVRLDRPDESYL NYGIGSQIGLGKDATLTAVTAMVRAAFSLEGLRPAPGVQGVLKKVVRPEGYTLYMRED HGAFSPFPTTFRVHFDGEVVTPKKQIDSA ANIA_05027 MEAVPVGPARPVKQFVLCFDGTGNKFAGDESDSNVLKIFRMLDR SQPHQFHYYQPGIGTYVTTNTLSHTSRFQRIKSAYQKAKDSAIGSSFDEHVMGGYKFL MRFYNPGDEIYFIGFSRGAYIARFLAEMLDYIGLLEAGNEELTRFAWKTFAKWQQRGG DSEEDRAEKQKLFRYMKAFRETFSRPITRIKFMGLFDTVNSVPRFESAWMQRSKFPYT ARSSARVIRHAVGIDERRAKFRQDLISEVKPKKRTHHRHRHLREHLHRTFSRESKSDK QHDNVPEIVLNDDQDANGKVNGISDTGSVYRGAHASHESLHPENRYRALSPSPNRRKP SLAVPAANGSTDDLNSVRSGISCHSAISLQVPLEGRVAEDEDDQEQDIQEVWFPGGHA DIGGGWTLGKGESWALSHAPLVWMVQAARDAGLEFDPAKMKQFECLEEFEGEYSPIRQ DIKWQKSWCEDPAHDHDHKEGEGSTHTAANMDWTQPSSSSQRFRAALYASSTEGLLHD CLSFNSGLSPLSVLTWRLMEYLPFRRMDLQEDGSWEPIRWPLPCGEVRDIPNDAQIHV SAIRRMKKDPNYRPGNLILGGGGRGVRRAPEEYGIGEWVVKAHEGDPVREIYVRKRVA KMCKDDHN ANIA_05026 MEASRTRPGGLMLPSPVDSVESLASSSSNTSSRSSLSDSPGDVN NGEPEPERSGNVMITLSLTPDPPRSTPSRQPFPRSHIRSRSLADDPGSPAMIRAHSSP GLDSRGRYIFVNGRGSPANMAENTPKRYLPLQLSYADSFEPRMLHRKNISETISEHAE LDTSGSSSAANTDFYLSSPVLSHSTPRIGRRRPSSPLHVPQTPSIQVAGSPLSSASSS PVILSSRFNESFPSYSVSSASSMPSTPTSLRSRSPSISSLETIPDIPDAEAAAIEADR IAALKAAADRADDADANSVSRRRGISDMSGPSSFANTRSGRKRWSVCGAERRQDLDLE TIWED ANIA_05025 MSRRPNVAIIGAGFAGLRCADILIQNGAQVTIFEARDRVGGRVH QCKVGGHLVDMGPNWIHGAGANPVLDIARATRTTLHDFEGSQLVFGSNGKALDERVAM KISEILWTTIDEAFTYSNNHEADIPAEKSLLDFIRERLQETNLTEDEKRLCIDTARLW GCYIGDPIERQSLKFFSLEESIDGSNYFVASTYKDILAQVSSTALQHADIRLNQPIVN IHSKPIIQGTSTRREITITTQTGERHAFDEVVVTCPLGWLKRNKEAFTPELPPRLSSA IDAISYGRLEKVYITFPEAFWHTKSTGNTVTLPTVSASAANGTNTKLSFAQFLTPLYY TDHPEEVPWDQECFSLAALPKDTAHPTLLFYTYGPCATYIVNKLTSLSSTTTEVTNSH SHAPSSKQYTFLNTLFAPFYSLLPNYIPNTKACTPTSILATTWQADPNAGHGSYSNFQ VGLVDGNKDIETLRAGMGLDRGVWFAGEHTAPFVALGTTTGALWSGERAAGQICALYR LGRVGMGVERDDSLPSGNGNGSMGVFSEGANGKGGSGFRVGGGSATGVRNGGEGGERD ALFSQLDTRQYTWVAGQCKAVHERKNKQASGSNPVKQNMGNPDKQTKARSQPNPDRTQ PRSKQEGQTRNEADEDRSREALWGILIECNEDE ANIA_05024 METPASSISDTQSPSKKDSPMSRTESVDIEEVALKPGSAEHPSK SDETELSAAIEQAVNHRRLNPRQIQLTAMAGSIGAALFVGIESGVMSGPLCLFLASIF GPLLSSLSHSAVCGYASTLRLTICFMRLEISRLTITTFATSLPRNQRIPSRSFWRSRV FALGKILLAAGLIIYRIGVMLGVNPMNECVRSPVAEANPNTLCSRFGFRYWKEPGLWA GAKPSGMLMSFVDAANVAAFCMGGPDGCLCIGIALASVTGIEWKVLKHAVP ANIA_05023 MNSPPTPEKLVHQKSGYMLASTTALSLVPGAKSQPLHRFLKESD RHVPRTKSPIKPGYGRDPRKPPLDADRMPKFEECTYAEMEPGSALFTMGSTYHGAGEN KCEVTALWLSPLSLPSSDKAVGGVGYVEDHQIPHEFLHRDYFGAGKFGAASLAAKRIA ANIA_05022 MPPKAPKGEYIETETGNKVSRRSQIHGTHHISLGGKSIIMADAV VRGDLFRSSSSQSQSQSQSQSQSGSGAGNNNIAISIGRYTFISRSAILRPPSRLSRGV HTYTTLHIGSHVFVGERSIVEAAKVEDNVTIGKDCVIGSMAILKERCQVLDGCVVPGG MVVPSHCVVGGQPARIVGDTPIAYGVEGLEGGLSRERYRSIR ANIA_05021 MLPRLRDNLFDMLGGGSPSPRRASLQQKRRLSTEHSPNSWGAAT TGNLYGGLSTVFEKDKVIFAVAIRDATYLVDFAQEELPFSESDDLDLKIGDHMMRHLY KWCDTHLEKMIGLAIPKQLAHTCPTICSRLWLELDIIPLVLSDNSKLDFRGEDLQYGF QQSADWELRTLDEQAESMARKCVRLFGPEGTPLLQVGLSGLVQVDTAFHVQLTNKDNY KNSVTANTWKAIEHYADDLRNRKVKLAFFSATPQGGGVALMRHAMVRFAHSIGTDMKW YVPKPRPGVFRITKTNHNILQGVSAPEERLTDENWEQVTNWIQENADRYWLRPGGPLE HPSKGGADVIVIDDPQMPALIPLAKQKAPERPVVFRSHIQIRSDLVDQDGSPQAECWG TMWKDIQKADIFVSHPVKSFVPKIVPREKVGYMPASTDWLDGLNKNMRNEDIAYYGRI FNSWVRNSGMPVIDYPADEYIVQIARFDPSKGIPHVIESYEKFHQRMQDYCPDKPIPK LLICGHGSVDDPDGSIIYDLAVRYIEDSIPDLADQICVVRLGPSDQVLNALMSKAKVA LQLSTREGFEVKVSEAIHKGRPVIATRAGGIPLQVIDKGNGFLVDVGDTDAVAKHLFD LCTDDVLWKKMHEFALAHVCDEVSTVGNSLNWLYLASKLSKGGVIKPNERWLNDMARE DAGIPYQEGEDRLKRELEVQKMG ANIA_05020 MGGSVSKIMGKIFGTKEMRILMLGLDAAGKTTILYKLKLTNQDV TTIPTVGFNVESVTYKNVKFNVWDVGGQDKIRPLWRHYYSGTQGLIFVVDSSDTARMD EARSELHKIINDREMKDALLLVFANKQDVPGHLSPDEVISALKLHSLKDKTWYVAPSV ATEGTGIFEGLAWLSNNVKVQQPQK ANIA_05019 MARLILAPHSFSLVSFRNTLLSLLTSTFTWIIVSQNFTMSLHRC PPFRVEHLGSLLRTKELLDVKTAYENGKATKEQLEAVEKKDIKDVVELQKKLRYSALS DGEYCRHMFWGSFFPGLEGFDEVSDPSPEVFRPYAPDVAAFLEAGHKPGESVFCTGKI KHVGSTYVDQFKFLASLVAPEEVKNLKLTLAAPNWYHLRYREGYAYPKEVYANVDEYF ADIAKAYQDELKILYDAGCRNVQFDDPNLVYFCSEKMLQGWKEDPLNTLSADETFEKY IKLYNDCLATRPKDFHVGVHLCRGNFVGSRHFSEGGYDRIATKLFKELNVDTYYLEYD TPRAGGFEPLKEVPRHKSVIFGVVTSKFPQLEDKEEMKKRVYDAAKFIAEGNGITLEQ ALDQVGVSPQCGFASHREGNAIDREGMIKKLELVRAIADDIWPGQL ANIA_05018 MVETERIILSYFQPHPVPPFPANGSYTANLESSECAFAPSDCVN VSGYSSSLTIRLTLNNGSLLANNVSIFPPSLPTRFQVERHWAVDSKPGSGSEIVTVAY KTDVQSIPPSQRLNTLPERGRSTFYRLKLSLFDLQGRPATKRPVSVGLVRTQARPGNE SGIGVETGSESGTLQVVQIEETVHRVYHHHLHTSQNRNPDGTWSWWRMKSWKSYFISN NREASESSGQAETATSRLPHLDTTSGMTGKSKGPAHWIGNRHSWHLSKLVLVPGFLEL AIAVLCSVTGYLMGIAIVAVYEYFCESDTACSKGPDPERPPGDDVIFDSDTEKRRLSI ISSDSSESEAYI ANIA_05017 MLSRRFGVSLLQSSVPKLARSSCRAQYNRVGFIKPPTPVVWAAR TMAGPANLKEKLPEKDGNQRFREFMLEGKVFAVTGGARGLGLTMAEALVEAGGEVYCL DRLPEPDDEFYAAQKRANPDFGGALHYRRMDVTDDANTEAILDDIASKKDRLDGLIAA AGVNHVKDAFDLTPEMVDKLIHINYTGVFRSAVAAARAMTARKCPGSILLVASMSGLI ANKGMASAIYNSSKAAVVQLSRSLAMEWSESRKDGTGGIRVNALCPGHIETSMAQMVM EKDPETRVIWESENMMKRLARPEEFRGITLLLMSDASSFMTGSTVVVDGGHTAW ANIA_05016 MWTFIAGLLTLSLGISATSFPRDNAGAPTARVKNGTYEGVYSPE YDQDFFLGVPFAQPPVNDLRFRLPQSLNATWEGTREAKDYSLLCVGYGLDQTFYNQSE DCLYLNIVRPAGYDQEKLPVGFWIHGGGFSNGGGGDQRYNLSFIVKQSVKIGKPIIGV SINYRLNLWGFLHSNEVVGEGITNLGLRDQRLALYWVQENIATFGGDPGKVTIFGESA GAASVGFHVATTSSSAPQYYSLVIPYSTEPKMERSARKPPSRRSYPRLDAPRPGTGSN VSREVSFLTLNATYDSINGSFAPTIDGDFIRTYGSKQLLDGQFVRVPIITGTNSDEGA SMSPTGINTTEDFKATLSSFLPVSFQDAILKAYPDDLSVNVIASLGDQRPVAPYGAQF RRSASFWGDYYFIASRRQTAKTWAAHGIPAYAYRFNAIPAGVPPEVGVGHYKEIGFMF NNLEGVGYRPDIKPFEGKGQNYIDLADLMSSTWASFIHDLDLNNYTGRDTNIPDWPRY DVLEPRDFVFDANVTSYTEDDTYRAEGIALINDHAAGVLHR ANIA_05015 MADNQNPGNFANRPHEEVENIARKGGQSSHSGGFASMDSEKQRN IASQGGHASSGSFQPGDERAREAGRKGGKATGHHEPEE ANIA_05014 MAPAVARGRKAQKVTQKFIINASQPANDKIFDVSAFEKFLHDRI KVEGRVGNLGDKVVISQVGDGKVEVVAHIPFSGRYLKYLTKKYLKKQQLRDWLRVVST SKGVYELRFYNVASEEADEDEE ANIA_05013 MFAPLGLFRDIPCPQREECSLIACLFSHRDLNSAPAAQDQVLEQ KEPAKLPPKRLKLEPRPEAKETPKDDLRHVSDSGRSTPVKKTTAPATNAENISPVSRQ PNIPKNTATAPIKRELNANTGSSIPPRRAPKEALNPRMIQKSPATYNVRMAILKKLHG TLCSLNDQLAKDKALEDKCLILTPDELITMALDEEEKVAKESPTVYSNVVKLRIVKLS RMSKEDWAKELKDYLNKKYYKIKAEPVQKEPKPFKTDLSVEEEIAVASQLITPLQGLE DFGYVTRVPTAEEIEIAKRGVAEAKGWEKCERCNARFQVFPGRREDGTLTSGGTCTYH PGKSFYPPRKKTDHITGTMREGYFTCCNQKLGESSGCTTGATHVYKVSETKRLASILQ FEKTPENPDLHNPTPICFDCEMGYTTLGMELIRLTAVSWPEGKKVLDVLVRPLGEVLD LNSRFSGVFPEHYTNALPYSTAPTKSSAPSSSSPSQLQLVSSPAAARTLLFNLLTPST PLIGHAIDNDLNACRIIHPTVIDTAILYPHPGGGLPYRMSLRTLAKKHLDREIQTGGA SGKQGHDSVEDALATGDLVRVKAGLVWGRLKEKGWIVEEGRLVAPDSSSNTVSMQTKL GEGAGAKRAREGTS ANIA_05012 MVGGDHRSLIESIYNAPANLLSVVACSGSAVGQCLSPAKFDILV PTSSRIASTKLPTSANTSPTLISSNCYGKTAAKQKMAARPQTAKKSKRDRKKAWKKDP WKGKVADLSHPPYRKAKMEGKLPGPNPDEHVRPSVPTSNHVARAAQRMKYWPERQRLS PIQSPELDAPTLVNGKELGLPELNRDAKPALNPVDRIPPTRFPRVYVGDNAPTPRWLI RPVRPVTNHESAHNSSSESGSAASGFSSASGSSRLLPLGRLRWEFDPGPAAKHGQDEA RLHEYAYRYLQNSLRDPIVREEMRNMIWNSPYALVVANVEDPLPIPPPPPHFKDQRPS DYAALREAISASNPDDYHFKYPDYARPSLAARTYGHQDTYKSRKSSVDSQSSAVSHGS SSTGESSRTRASSSSSISIVHKELSPVYQHEFDDDTNHYLKRGQKVGFKAKIKTKEKN QTESFLSKPPRRGRGRPTKSDIDFLDVPTLEELSSAEPPRKKRAGVEKPARDMWRPLS ETFSEEEDIITPKAPKITSKNAAKAKMDGAILNKRTGGWLVSVYAEYESCLPCWQELI SFPTLEDTKSGQIPADYAKHDLGTYLVCAEVAKRQEQLALGWLSASVIHPHGFLPTRR PGYSEGVIPREYSALLLGSRGQTLRAVSDITKMRARTLLLRVSIFSAALALQPSAPGP APYTEPYRPQYHFTPAQNWINDPNGLLYHNGTYHMFYQYNPGGVAHEAMSWGHATSQD LTHWDERPVALTARGFPGKNISEQFFSGSAVYDVDNSSGYGNGMGTAAPMVAMYTSFY VYGQTLPSGKKVRASQQSQSLAYSLDSGETWTTDDAVNPILLQPPHPYESQYKEWRDP NVFWHAPTKKWILTTALSDLHKLLIYTSTDLKNWTLASEFGPYNAVGGVWECPSFFPL PVDNDESNVKWVAIIGLNPGGPPGTVGSGNQYILGQFNGTHFLPDAESLHEQGEANWL DYGPDFYAALVYNGLPEFQRTVIAWMSNWQYAEKVPTTVWRNAMTIPRRLGLKTINGK VLVVQEPEANWAAIMSKPQTTRILSLPANSTRNLGSLGKTLAANLTFSAGEQNGSSFG ISLLATQNFTTQTLIGYNFATKHIFVDRRASGNTSFDETFASVYRAPLEPADDGTVTL RIFADWSSVEVFGGQGETTLTAQVFPPEGATHARLFANGAGVEDVLLSVSKVGSVWN ANIA_05011 MAPKFKDGDAVATLNGKWVSWAHTAVAYTAFLSALFVGMSLHFN KIVQNEHYGYPDEWFPSVSATIGDRYPERSFFQVFIAITSGPRFALVFLWYLVTARPN STLPKFVAGVGIFRTFTCGGWTYVTSTDDHDWHDIFMISYLVATLPWTIGCLALSPNN RRAVKYRKILASLFFGTLVPLIYYFIQHKVHKVPGAYTRYAFFEWSLILFDVGFDAVT ALDFEAFEIVVKDVKGISRGQLKNTADSVLEKEKGKPVGNTFGQGFFWSEVFDAAADV YNGFVYWTNWTGLPVLVWYFPLWHMGISGYEVVILSYVAPVLLAIPALKSLAIKNPRI FHFLSLSGFLAYKVQNPANRLFVSTFAVACSSITFAATLYGDRAQHTKLESRVFAWGL GLILSSIAKFAGKTNNPFWPIMHAENGGWNKLGLLLAILAGIRSFRVPASSGGDYFPS TGKKGSSLLAGLGLGSMIFLMHYLLSDSSTMIAWVWEGYPVRGPIAVPHGALTIFAMG AGLAFGLFYPNVAGSWTAFGIGSVGAAFLTGTSHWSGFYGALTISFYLMAVSPVMISS AVRHSPAITFGLAFFVYVFLLLFHVWVVAYAFVPGGPLVREHTDWLMTVAMLFIGAGV FSAAVSRSSGPRTNAISPNGRRLRSYYVYVLVALQLLSVSIAYLRFPTNDYTPYHKEE KAVTAGIWTVHFGLDNDMWASERRMRDVIQELEIDVLGLLESDNQRIIMGNRDITQFI AEDLGMYADFGPGPNKHTWGSALLSKFPIVNSTHHLLPSPVGELAPAIHATLDMYGEL VDVVVFHSGQEEDPEDRRLQTEYLSKLMGSSPRPLILLSYLVTKPLEGNYNTYVSELS GMKDIDQTDWDRWCEYILYKNLKRTGYARVSRDSITDTEIQVGKFVIGEPEPENEMMI PEEMVPQGLRFPAMFRGQGVRGHRYHVFNEPRYWQ ANIA_05010 MDALRLHPTKADLWIYAAHYSVEDHADMSQARTYMQRGLRFCKT SRKLWIQYAKLELIYITKLVARQRILGLDEKPEGPKQQVSEDDLNADMIMMPQITEED INPKARDDTIDEAALKTLNSTPALTGAIPLAIFDSAIKTFDYNDCFAHEFFDMVFEFE DVPCLQKILSHILDVMQESKPNSPHTLICYIKYPTAGVRVTSAEFPRALGAALSRLQE HRQKPQVAKEVIDWLRPVEKTKDLDPSIQKVIAATIHKAELVLQEA ANIA_05009 MTPDSPDEALHFRGKTLTPESPRPLHVPEPTSIPVLQNQMDPVF NDTSTYGQAEDLPGNSYRTQSSEQYMRSSEAGWHTDSARRGQEHPPPQQQSHIQGSLQ SGPPLMNDGLADSDTTSPSTLATSAALPTSPNPVPSSEPSSVGKAMDSSLTAHAPSDP SSLEAYPVPDAHPYPSHAARNNSFANEVDHSIGTLQAQTASQGRLDAEGKLEGNSAGN GVDFQNLLDNLPSSSTSAPALTVSTTAMAADHASSQAAADEAHQSSLGLPPRPPPQEK PSIHPNYNPTVDIRSYHQLPAHNASTSSNPYAAQPSNYQSNVGVPSLAAGAPGTSSTA GTLPPPPVASFQQPSTSPAENQEPPAPATQKNGRVDRQPLRSDDDAPWGPEVQKKYDA FLHDERVYVTEGLWDRFPYGSRLFVGNLPTERVTKRDLFHIFHSYGKLAQISIKQAYG FIQFLEASSCKRALEGEQGAIVRGRKVHLEISKPQRNTRPAPAPSEPSRVTSARRSRS PDYGRGGPSSNRSSRVPGDRYDRPHEPARLPFSDFRDEPSHRRREDYRPPRSPSPRGY RREGYRSRDRTPERFDRRDRRRSRSPYGRDRRYRSPSPRGRATYDSDVDIPVPRRAPR DVPDVQILVLEELDRNFIYHVESSFRNRGLRVDVLALGARIPLDAAVKRQASEGVLAV IFDRSAGVDNVRSIEYPDLEPHMAAEIVVQAQTLQRTAPNVPLPSPAYGVPQLPSAPL HPAGPALPTQPNLANAISHLDGAGLQSLLAALQQRPAVPATQQPIPPVPNPVPTPDLA SLLNAATRQPAPLSAPQPSVPQQSLTQTLPQQPLASQPFPLHGHGASPISDPNLLSLL AKGLGGHHPQGQPPMAPHQVQNLMSQLGKWKQ ANIA_05008 MGWFPWSSSDSNKASDGGRIAPDRTSRQRCWIGRDQFFACLDDN DIVDSIKGDKEARKKCAKEIAEFEAACSSTWVKYFKEKRVMEYNRDKTIERIKKEDAA TVRDLKSQGWSPK ANIA_05007 MSTTTATLTNPSPAQVAVAPNPELSNENSTIKNIPPAATDKVFQ ATARGNRAGTLKPRGIPTFSDPYAERQWIKEHMAAAFRVFGKQGFNEGISGHISVRDP VLKDHFWINPFGKHFSAMKASDLVLVDAEGYVTEGGAQQAINEAGFMIHSEIHKARPD VMAAAHTHGIYGKTWSIFGKPIEMLTQDACNFYGKLAVYEDHGGVALAQDEGRAIAKA LGKENIACILQNHGLLTVGSTVDEAAWLFVSLDSACHAQLMAEAAAANGIPKRIIPDE VAQYTADAAQNAHYFYHEFQPEFDLVVEESNGRVLQ ANIA_10611 MSDGLLRVFWPYDLPRSSAPGVIVGWRNSELDLFVLAVLEDVEP RNVDNALRAGILFRNSPHPVPRIFSLCGRSSMHVLGSTNMRDPPTAFNASHLIVTTRP SCKVPKIYCPPETGLSIQVIMFHRPDPTRMEYMSLNPISLALEDKMLAADKSDGVLDT IETEEGAQKARAQRLVDKLRLHTVVKHLPSHKELALPFIINQINCAYEMGKLIEKNSS LIGIRVKRSMSVSERVVESATTVWDLFVLGVSYVFWQWIWPVITRIFVIGLVFHRVIA DFVLQVLEWRARPDAAALKDISATAQQVDIRLQQFCYWPTQYVKLRQRKDDWESVTTY HAHYIRFYNSLWLVANDVIIGIALGSYIIDNANWVAYQINYILSGWTVEGLQRTISWL MDWPAGLKLNNELAAFLGDLFLWVIENWAARIFNWQLTIIISLFHLFRGKKRNVLRNR IDSCDYDLDQLLLGTILFTVLFFLLPTVIVFYLAFTSARMLIISLKAALDTWLAFLNH FPLFALMLRVKDSRRLPGGIRFELREEHDTLPNSVDSTIHYIHLESIPLTLRAMFDQY FQLAHRLRKHYLSPQVIFCLFTGRFVPPIHRRSLYSMQYSMLPDVRPSLAQVWSELTQ PARKSSGGGSGGVSGQGGNSIGIGIMGMKMGGLAERRRGYR ANIA_10621 MIGQDYFDVDDEVGFIRFYRSLASDDSHNNETIRIFDRGDWYSA HGKEAEFIARTVYKTTSVLRNLGRSETGGLPSVTMSITVFRNFLREALFRLNKRIEIW GSAGTGKGHWKKVKQASPGNLQDVEEELGAMGMEGSNGAPIIMAVKLSAKAGEARNVG VCFADASVRELGVSEFLDNDVYSNFEALVIQLGVKECLVVQDVNRKDVEVAKIRAICD NCGIAISERPASDFGVKDIEQDLTRLLRDERSAGTLPETELKLAMGGAAALIRYLGVM SDATNFGQYQLYQHDLAQYMKLDAAALRALNLMPGPRDGSKSMSLFGLLNHCKTPVGS RLLAQWLKQPLMDLAEIEKRQRLVEAFVVSTELRQMMQEEHLRSIPDLYRLAKRFQRK QANLEDVVRVYQVAIRLPGFVNSLENVMDEEYQTPLETEYTAKLRNHSASLAKLEEMV ETTVDLDALENHEFIIKPEFDDSLRIIRKKLDQLRHDMYLEHKAVARDLDQEMDKKLF LENHRVYGWCFRLTRNEAGCIRNKKAYQECSTQKNGVYFTTSTMQSLRREHDQLSSNY NRTQTGLVSEVVNVAASYCPVLEQLAGVLAHLDVIVSFAHASVHAPTAYTKPKIHPRG TGNTVLKEARHPCMEMQDDISFITNDVSLIRDESSFLIITGPNMGGKSTYIRMIGVIA LMAQIGCFVPCTEAELTIFDCILARVGASDSQLKGVSTFMAEMLETSNILKSATSESL IIIDELGRGTSTYDGFGLAWAISEHIVTEIRCFGLFATHFHELTTLADRYPKSVKNLH VVAFIGDGTTANEEDEKEKRKTRQKVTLLYRVEPGICDQSFGIHVAELVRFPEKVVNM ARQKAEELEDFTSADSAGNAASATIDKYSQEEVEEGSALLKALLVKWKSAIEEPGREL TLEEKRQVMRDLVKGDEKLQANRVFQGIQAL ANIA_05005 MPRTSTLTRSRSARLPQAQTQPQLGIQSFARATKPGCSSVAEGK KDLEAKRSTLPVSPSKKRKLHELQNVDFVVREQGQGKEALQDTEENQENNNVDLLTLT PSKSLKFSSLSVSSPRSGHYVACSTSPSISPSRSTRSTRSTQDAVIREDDSVPSTPTK RVTAPAPVTQRAKTTAPSPRSCPSSRSATRPACVEEIISLHSAFLKALTIHAAHRGVA APADLREFLPSIQRIWKKRKVVVKDLQRLLWIWRQGPGCVFRIANHGLGRVCLERAAG RTEQIDDEAKMQGRFEEAVSLLYRQASEQAEGEGEVDFLATLGLASIEESLTPFTALR KGQQRLQDLKGGVIKVKMDVLLAEAAGDEASGITPARMRDATTSRRQGLFDRIKNKEL RQSKLPPPPSKEVLLRRAAAGRVEEVASVLALLRPAGYVGSGPTARTVAQRTPFCLET IVRNVQDSTRNPISEQEVEACLEILSREDVAGHWVSIVIVNQLKSVVLRSCGDVQLKD IGAKVAQLKPGWEETPKLII ANIA_05004 MAAAPKSRAGLYAGLGALGAGAYYFYRAGGDPKAAKDEMKHDIN KARAKAPGTETGERAGERAGLETNLNIDEAANNPTTKNTDLASQAQRKLDDLSQAGKD QAARAQRKLDDLSQAGKEEANKLSHDVEAKASEAKSTVSGWFGRK ANIA_05003 MSFLPVNNISSPPDRAMEDVSATSATPRPLSNSIPTTQDAGTSN NSTTETQMPDESRGSTSTHSISDETIQAESEGEGSDHGSERKEHAPPTKKKKGQRFYC TDFPPCNLSFTRSEHLARHIRKHTGERPFQCHCSRRFSRLDNLRQHAQTVHVNEEIPD SSLAATGTRFQRQVRTDRVRPQGRARAGTGGSQATHTRGHSRNLSTSSIASTTSTFSQ PPELRRRPPPLIMANDATTRSRLGLDPMIDAPTTPPAQVRGFHAPPSGSPYTPSQIYP SNGSPMPGAAQVTGFWDGKTAARRLSVPSGANPFAPVQYTHPYPAANYAAPGEVYASP VSGKYPVERDYQPTEAELRRRTWHYSTWPAPSRTDYSGIPSSQASESLPPAIGGNESA DRPPRLPGIESFDKFAAKRSLTPPVRRPSPMQVDSKPPPSYNFSGGFNYAPPPGRPAP PISGPGHRRGHVSWDMSLHTNLTGLHIRDKPTPQRDASNWGQQTISELRSIGSRPSSS YQQQVQEFRGQHTHGPPSFSTTASSSHAARTSPEDSSSSEGVHTPSTASLEYHPAIVQ NNGFVEPHHPPLSSDPSQTVRPPLYTEGQR ANIA_05002 MYLRLGALKDSQGLARQMAIASEQEVALDELIFTRYQPASNTRW QQQRLRSQENGTRDTATVGLQITTAQQLTIALPLPAQRWSRRRQREPPVRNTWSQLAV IGYKTLGTEVASFHRLVGGQ ANIA_05001 MDPSTGFAPFQERVQTSLMDVTRTVGALAVNDLNFHRTSSANVS EGLDEESGRLLSLTSSLLKAATAGTDISAPVLSDEDSIEDNWRGIVDVIDALLEKADA CLDEFTGVIKKLSPSQDKDAEARGAAASNMRRAQNFPTIYDYGPSKIPKPQLQFEHQV DPTDTSPFRPLLKTKPHAIEPLEQSLTPSIDAPHGSRNPYETEIRAAKYPSSVYTVSP PIDYLPFETTAATFVDTLDGVKEMLAELKSAREIAIDLEHHDVHSYHGLVSLMQISTR EKDWVVDTLKPWREELQMLNEVFADPNILKLFHGSSMDIIWLQRDLGLYVVGMFDTYH AACALNYPKKSLKYLLHKFVNFEADKQYQMADWRIRPLPSGMFNYARSDTHYLLYIYD NLRNELLTNSTPEDNLVDYVLEKSKTEALQRYERPVYDAATGQGAGGWYDLMTRSPAI LSSEQFAVLKAVHEWRDRVAREEDEGVQCVFPKHILFRVAQAMPQDLGTLFRTMSPMT PIAKDRAPDLLQVIKTAKAEGATGPEWRDVYVKPTRGLPQVEAPPSPPTAQDGSFPTA SRYETSQFWGAVLDTQTPPLSPEYSLAASAEALRLSLPIPPMPRTVAEVQVAQPPKPT SPTPAPKKEEEDKNKIFTVKELGGPRKRKAAPQPPAEVETSSQSEEPQAELDLDEIPI EIGSPPSKKQRRKEKREKKKAKQNQSQNAEDSTPFNYDTADSILNANPQPTSGLPSKR PFDPYKKALEAPRTGVKKEKKELPGRSFTFSSAGFAGYTKWPLKASISLIPFIWLSDT GPEPPIRVILTGLKADNRDSMASEPSYIDYEAFLDPAFSPAAFANSLVVATNNATDTP LDLSTPLSRVLFDLQEIDTHIHTLTTKSALPLLTHTGDQTAAAGKILKETDEQIVAVS QGYERLEREVLRRWEAAEEARVAAENSLATVRLARAVARCIALGRQLDSQMVEVTGRG GLSGPSSGIGSGPGGEIASSGTGPAPGRDDARALERAASTIVTLRRLLSDTGAGEEGY GLDRVKVIRTLKSDLLMPAENLVRARAQQAINRFSISSTSTSNGVSSQSGYKLARDAR ARLVSGVNTLYLLSPLPPKSSSPKDFTPDLLLSTLQGYIQTTMVASLNNLSRALTMLP TLDKTLSDISARCQDIHSLEAILSTIKPPSHPSLPQVSKSQDSTESVTASRNNLLIPL LNTLDTSSLPSYFWRSLASSLSPRVQEIASRGGVSARTLRSNRDRLKKEIRECVLRGS QLPASLGLEKHSQAHAQGNWEREAAVMVGSVVGVL ANIA_10622 MAASIAPECNDLKEKYDTCFLKWYSEKYLRGNTGSTECEELFAK YKSCLTKTLKERGIDTMLEEARKNTPETDAEYNRRS ANIA_05000 MASQSPTARIYLLLYNSINALLWLRILITVLRAYSQNLDVISLP TLYTVLEPQVRWTQTLAVAEILHAAIGLTRSPVFTTFTQIFARSVQVWAINYGFPAVT ASSRAYAAMLFAWAVADAVRYSYFVVLLAGLHVPSVLRWLRYSLFIVLYPIGISAEWW LMYRAAGVTSSSLVAGIFYFCLGLYAPVFYPADKSGSIMMYSYMLRQRRKTLAK ANIA_04999 MRQATNSRTERYYAISNTLLTIATDSRLYSEKAITAIEAIKLVP LSALTTATRTEVDALILTPLKRSQKDGSYPRFSGKSWSISLSIACCCRAAKFFAEDRG ATCTKYCVESVVSEFNTEMTSVVVESQYREPVRKNTA ANIA_04998 MSSHDTRSLRQSKRMSVTALYLSMSAKDRDLEISDDLARAQKFL RELKSKISSQSKKNFVLEKDVRYLDSRIALLIQNRMALEEQNEVANRLDDTVDPQEGF FPNDEKTQKYGNLLFLLQTEPRHIAHLCRLVSMSEIDSLLQTVMFTIYGNQYESREEH LLLTMFQSVLTYQFDNTPEYSSLLRQNTPVSRMMTTYTRRGPGQSYLKQVLAEQINAL IELRDVDLEINPLKVYETMVRDIEEETGSLPDHLPRGVTGEVAAENPQVQAIIAPRLK KLTEIANSFLTTIINSVNQAPYGIRWICKQIRSLSRRKYPDAHDQTICTLIGGFFFLR FINPAIVTPRSYMLIDATPTDKPRRTLTLIAKMLQNLANKPSYAKEPYMAKLQPFIQQ NKERVNKFMLDLCEVQDFYESLEMDNYVALSKRDLELQITLNEMYATHALLEKHNAAL AQDQHSHLQEILQELGPAPPQLPRKENRTITVPLFSRWETALDDLTSALDITQEEVFF MEAKSTFVQILRSLPPHSSVARRPLRLDRIAEAAATLKNDAVMVRKGIRTMELLSQLQ EMGVIDRSDEFSLLRDEVEQELVHLGSLKEKVMEETRQLESVYATIRDHNAYLVGQLE TYKSYLQNVRSQSEGKSRKTQKQQELGPYKFTHQQLEKEGVIHKSNVPENRRANIYFM FKSPLPGTFVISLHYKGRARGLLELDLKLDDLLEMQKDNLEDLDLEYVQFNVSKVLTL LNKRFSRKKGW ANIA_04997 MAAPAEKQSSKYDDYDFPTTAPEAQPGHPGHTTPEQDAKVEQLR SELEQEGYTERLDTLTLLRFLRARKFDVAAAKAMFIASEKWRKEFGTDDLARTFEYTE KPEVFKYYPQYYHKTDKDGRPVYIEKLGNINIAELQKITTDERMLKNLVTEYEKLADP RLPACSRKAGKLLETCCSIIDLKGVGITSAPSVYGYLKMTSAVSQNYYPERLGKLYLI NAPWGFSTVFSVVKSFLDPVTVNKIHVLGSGYQSELLKQVPKENLPQQYGGTCQCEGG CEYSDMGPWREPEWAREPKWANPKGNKGDVPAENPVAEKPTEAPEAPQPAA ANIA_04996 MDSSHYRKIELQSAADFTYLHSNTVALSRQKLDLHLPPSAAPNN EPDPMRERVRELVDDFITRTFTSASASVSINGLDSSSPEFPFPAAFTAPTELETVEYE PFDGKLAARVASLYAQLESLTTTVAQLRRDAPRRAAREYAKELGRIIEEEDKEFNNEE DDADVGMNDADADRNQDQLQTQPEEDVANINEGERRRRGKVSRKEWNLDIPLGTEQEA ERWRNGEMAEVYEDTLRTLLRLQGEPVPGNDDAMSRSDGVDGNALASTVGKAERAGRA VEFVEKI ANIA_04995 MASNIKLIDNTAPAERPAPDDASFSEITTTTSSVSRLWSRPSIR AERAKRRYAKWQPERLGVVASGSNDIAEPGSVQPSSSSDDGELLRARTDTNTLTNTSA IPENKSHVNDGNDESKNPQRIATEQIQQYDFGTGNEAESGAERSTTHQSKNHPKICGL KPGSELDILYENQRGWFFFGVPLYSSQSLLNTDPAPWVNATGKRSFVDITNAQVPDPS WEWAWKTWHVDMSGDVDEQGWQYAFSFLRSSSWHGTHPFWHSFVRRRRWVRLRIKKAS TERNRRSRTGLEMAHTLNEDYFTIHSALKQKRGTSSERASRGTSGNIGKLFTDTQEYV EEIRDIPTLMYAIRVAIVDREKLNAVRKFVDEGVEELYYLEGKIPEIMTRFVYRTSRW QFLTYLNETMQSLDQQQKELETTGQTQPDLESNIQNLRRKQEYLSRAISTAERHLIGP TVLRVQELEPTENQKHASTSAAELLDLTAGNRRNSLISRVSSRFTFKPMDNGGRIKGI PKEAEIGHDFHIY ANIA_04994 MSTTQTDAADIAKSSSEEEHRKVDGGTGSESDADSKLKFIRDFW EKYAERKLPAPSWIAARNGMHKSRALVRPLRRSVGPGRKIRRNARDPRRHGSSLYHKT VRLLESAEKRATDKSGFARPNFYSASLVDNPDVQSKEILIPDETVAFFAGVLEKFSQS ENIWFMRLHGGCRVHILPTTESSGQDRKVVISGSPRAVEVTIQRIMVVKKRQERGDPL VDIQKPPLPILRSHLALGENGRTVTSMNAVRGVWGYVSRPGADFDTLVASWPSLTTVR QFAEHVEQLTKSERSPGHHTPHRQRVAMALRDLFSHADKEHLISTLALNTAVTYLLKQ HYRDFVRGILNRAGHVATTETFNIILKSVARNQNIVSFRTVVVVMRRLRIAPDARTWL AFLDSLVSPLNKAHLVRVLERKGYLQDRSIVRTLLQVMVKDLFKAHLRDGRTVREFFY KTIMVAGSNWFPPSLIKQMFTVTVQLRNVHAMQELLKVCKENQLQLPSAVAYEVIHLF PQDTFSAVHYVLQCLDSPHAELDKETYEQLFVNAFQNKHFNICRVLWRYACMNESTTK PMRSTLNFLLTQNKASNRFSEWDQRWWTTAGKVIAGVCLHLPKYPLKDDLLRVIPPEF HDSPLSAFVNSGTVEGKERARQRTAAKAIVRHDYQIGAWYRPKYSVTHMLEAALALDK EWGSVPRPANWFLQNALDIPIELDLRKRKRHKR ANIA_04993 MASTTHRPFDDPSSIRYIRYNPAHEDAYVAAMRQLISKDLSEPY SIYVYRYFLYQWGDLCFLAMDTNSTTGEEQMIGVVVSKLEPHRHGPMRGYIAMLAVQE EYRGKGVATKLVRMAIDAMEKRGADEIALETEITNTAAMKLYERLGFLRSKRLHRYYL NGNSAYRLVLYLKEGVGLMRTSFDPYAPPPDYAHDHLPPPPALPAPLLSENGA ANIA_04992 MIRRRQAKDNSDDVQAPAPADSSPEKQLPPAVAKPKNERKQSFI TKPKSKRRNGLIFLLGGVFGIFCAVFFAQQQDVISLDSLMDLNIDSLMDVIPQSIMRD AREFSQHERDTVSYDAFSVGLHLRSQGVEAKHPIIMIPGVISTGLESWGTSPTSLMYF RRRLWGSWSMMRALVLDKTEWKNHIMLDKETGLDPPGIKLRAAQGFDATDFFITGYWI WNKILENLASIGYDPTNAYTAAYDWRLSYLNLEARDHYFSRLKSYIETAVQVRGEKVT LASHSMGSQVVLFFFKWVENPAHGKGGSDWVNRHIANWINISGCMLGAAKGLTAVLSG ETRDTALLNSFAVYGLEKFLSREERAEIFRAMPGISSMLPKGGEAVWGNSTWAPDDQP GQKITYGNILNFRETNSTFTQKNLTVPESLDYLLDQSEPWYRDQVLGSYSHGVAHTTA EVEANENDPRTWLNPLEARLPLAPDMKLYCFYGVGKPTERSYFYQEERDPLVNLNVSI DTTVTTADGTDHGVVLGEGDGTVNLLSTGYMCAKGWHIKRYNPSGIKIKVYEMPHEPD RFSPRGGPNTGDHVDILGRASLNDLILRVAGGRGDEIEDTFVSRIKEYAERVKIYEE ANIA_04991 MNQTLPTWKDRTQNQFGKLQIQVPWRSIQLLVPHRMRRKLRSKL RSRASPTSSIASLQTSLSPADTLRSLQSHRWTVYDFQYLLLLIVGIFSLTVIESPGPL GKTAIFSMLLFSLLIPMTRQFFLPFLPIAGWLLFFYACQFIPSDWRPAIWVRVLPALE NILYGANISNILSAHQNVVLDVLAWLPYGICHYGAPFVCSLIMFIFGPPGTVPLFART FGYISMTAVTIQLFFPCSPPWYENRYGLAPADYSIQGDPAGLARIDKLFGIDLYTSGF HQSPVVFGAFPSLHAADSTLAALFMSHVFPRMKPVFVTYTLWMWWATMYLSHHYAVDL VAGGLLAAIAFYFAKTRFLPRVQLDKTFRWDYDYVEFGESALEYGYGAAGYDGDFNLD SDEWTVGSSSSVSSGSLSPVDDHYSWETEALTSPHTDIESGRHTFSP ANIA_04990 MSLSSLSSLKGLLSPTTESEYDVEKDSHDLSRSEDVGSTNGEIK PREAKESKLIDGRVISDAIIGLSDGMTVPFALTAGLSALGDTKVVVFGGFAELIAGAI SMGLGGYLVRESYHATLKETTKQTLTSPATVSDTIHEIFTPYDLPDHLLAQLTTHLTS SPHLPSFLMTFHHTLPEPSGSRALTCALTIALSYFLGGFVPLLPYFFVGPDQAFLALK WSIATMAIALFVFGYGKTCFVSGWAGSKNIKKGAWGGLQMVIVGGVAAGCAMGLVRGF QALGESDPGQNT ANIA_04989 MANRLIPLVVLLIVVVVLAVIGFVAYSIIQEVSDKTRSKMEKRN VMFTKDGMKVGVREVGEEEYVDRSQSILVNIWNHTSFPAYKSRLWNMTGSTGSASGTG NGEFRAEHRKGRSN ANIA_04988 MGSYTFRWPYNANEVFVTGTFDDWGKTIRLERKGDIFEKEVHLP VTGDKVHFKFVVDGNWTTDNRLPQEDDGSSNINNILYPDQIQADSVAALQNGAQDMAT VSGVTPNATTAGLAGGVSKESNLAPGSTTAGLDKDVPLEQRANVPGEFPADTPLSEYS VNPIPASSGIGNPIHLKPGEKVPDPSTFNPNTVQSTVRTNDTNESRPQDSSGLKSSFS VPPASNTMIPESSLPMGSGTNPAPADPGVTVQSAAPTSTTAALAANVPFENKKQPDKT GPVEDVPAIVRESISKAHRDPEAAAQQEVVDEKKELEHELQQKVQVANSVGEPAPTTT AATTETAPRASVAEPSSAEMSPRTGTPSGAKASTTGAQQPGESTTGAPVTGASTTGGE TKKSTTPANDSKISEVPSSGSPGKEDKKKKRSSIFAKLKEKFK ANIA_04987 MSNYSHSSNNPFLKVSTKEDKPSSFHKIAEDEEYEVTSPTDATF RASKNADNSAGGNNPLESGRAGESGDGIRFGRDPFGNFQGGAEGQDESSIDPNGFRPT GGPDHGFPNNYALGRRTSVSAESLNPTSAGSDSWTPPSHPKSEEQLARLKTAVSNNFL FSHLDDEQSRTVLDALVEKPIPAKDIKVISQGDAGDYFYIVEEGHFDVYINPSGSVQP GPDGAGTKISTIGPGGSFGELALMYNAPRAATIVSTEPKSTLWALDRITFRRILMDSA FQRRRMYEAFLEEVPLLSSLKPYERAKIADALDTIKFPAGEYIIKEGDPGDAFYLLES GEAEAFMEGVEEPVKSYKRGDYFGELALLDDKPRAASVRAKTEVKVAKLGRDGFKRLL GPVENIMRRTEYSSRPSTAT ANIA_04986 MPKSKPSKKDHHPSQSLSHQQPPNWPPLRPLVPSSDLYLDPLLP DQIYLIRNFLPASLCKTYVSFLASLPLTTTPGKPKKGDAVRVNDRFQIQDATFAENLW RGTALKELVTNPVSVDDGVGEYSDGGRSMKEIWGGEPLGLNANIRVYRYSPGQFFAQH YDDSNTLSFLSPSMPPKPARTTWTLLIYLSTCTGGETVFYPQRTRSDPNPEPISVAPE MGMALLHRHGDQCLLHEGKEVTHGEKWVLRSDLVVAR ANIA_04985 MTAPAVGHHPSEAGPGVSSLYDYTRSQHSPENDHHLPSPQSTTR NDSAPDHSSSSNGLSTLSDMVAVKSKAEDQASHALSTGQEFQSDLRRQSAASALLAQL LGGQQAPNTDSDQAMEGLEVSDKQDTEQKQQFGDESNGNWETKQGVDVPPSGAEPKNG DQSQPAEPQHQTEDMAFQQSGLPFSTTEQNDNVLARTDPIDNLTDPLLFAKPNLDHSD LFPPIDFTAPGTPMEGLQQNDMVNSAYYSQNANLSALGYTEGGTHNGSGSVAGSEPRI QAFAKLEFDDGHFYCNTYSFILGRDVRAARAAHQREFQARQAMRYSRAKSSSGGNASR TPTRVKHEGSGIIGSVVSDRGGIMGFDPDVPSHMQSHVSRRSSNASDGDAGGLLHATP AQLQATTDYNALAMQSLQESNGNGDAKPVDALALLPSPDACPTIPIHPPATNDGSVAG HRGISRKHVKIAYNFDKNLFEMEVLGRNGAFIGADWLSPSQIRPLHSGDYIQIGGVRI RFLLPDVPIGETGADIEEEQLPEPQQKVETSVSVESQENAEKKDDSKATKLVLKTKVE EPARPVSSIEGDAQQPQRRRGPGRPPKDGIMSKRERAELAREQKLAARREANGGVTPP PQSKPKAGKTTATTGGLAPPVPAEGESPNSKPEKRKYTKRKKDGMMDIPIPSTEGGQF PMEHQPQEYVKPPPVKKRKPSRSPSPNYPPESAYTPEDLAKPPYNYAVLIFDALTEAS TPMTLKQIYRALKLKYPYFRFKCETEGWTSSVRHNLNGNGHLFMHAERDGKGWSWQLR PGASVEKEKKRRPSPPPPPAQPAQAPPSSVTPQYMPPPTNSYSNQPNGQSGMANPQFQ NFSSMPSNPFPTSAAPPAPTPPLQHSTPYPPPAPPTASSPFPIPSPIRNNLPPAFAQT TPTTYTSPYASDPPPQLLQYQQSQQAQAQTQHMQPPPPPQHSTPYPPQNTQPLPPNNN PPQSYPSNPGPPQHQPQHQHQQHNQQQQQPYNMGGQNPGPVPMDTSSDEASFNERANK AIDDFEAVLLEDYEDKDYIKGVLKSARARALGEATESSFPGGEPPDEAVLLDALKNMI KGLRGE ANIA_04984 MPSFYNTGLPAYPLTPPHITGAGRMENEPPFYVLGHSAAFPPRY TQSGCEFIEQYSQQSHCYAKPPMNAQQPMHSMRTGRDMTALSQSMFGPVPAANVLPPI RNNVQLPPMDHAVPPQYRRQDPIAQPEQALKEEKPTGGVAAYLDYEMDQMSDFVAEMA QGMYDLYITKINLSDIDFARSVYPGSSVPPQFRKYVFQILSSTRLPSSTILLGLYYLS CRMRMLSSAKIYNAGSGQVYRMLTVALLLGSKFLDDNTFQNKSWAEVSNISVSDLNSM ELEWLFAFEWKIHDRIYDQQDGFASWLSHWEKWRAKSSIRAHEPRRSLAPIDTNITRS NRVSKPLLSPEGPIPPQYQRNNQYENSWLNPAASEYSPPSAPHSGPTTPDYYSVGPWG YSSNPPPPYSSTWMPHHQYMPPPRSQPPSYHHTPSYGFPFPHGGWTTGHGASCGCSYC AKHMEHYMCANLGSMQPILAA ANIA_04983 MRSTFRDSRMIFFRCSRVAFSAALLYFEENQTAFSSSFGIPSLV ADERVLINGDKGCTEAEAATNAALGGANEAQDIRHYMIILIRHAQSEGNKNREIHQTI PDHRVKLTPEGHRQARDAGTRLRGLLRPDDTIHFFTSPYRRTRETTEGILESLTADTP SPSPFPRHTIKVYEEPRLREQDFGNFQPCSAEMERMWLERADYGHFFYRIPNGESAAD AYDRVSGFNESMWRLFGEKDFASVCVLVTHGLMTRVFLMKWYHSFVEYFEDLRNINHC EFVIMKLNEDSGKYVLQNQLRTWSELRMEKERERQQEQAAKGLPASSTPSETPVPVRR KWGGCPNGCNHGVSRMSYQRTSRTHDGDPRKEHDSHHKASQDATRNSQTSQSTNQFNN ANTANEGQTIEAPEPAIGDKAAELPLKTLPPKAQYSSTPEPTTPTQPHNTTHHHHYQA SPKHSRDLLDQDHSHPNYNLIHLVGRDGGGTLSGAGSVAASEDEHDTYRSHHHRHHNL HEPSRSGLKRHKPRPSQDLENDGDDEGSGRQAPKLRRTRSSHHRYHASPTRTDKTLTQ TRTQDQAPAAEKNAITRTIPNTGGETEKALPGGQPSENPDAAQGQKAPESMRETGRED EEENESENVKTLEQAQKEDQSLRGSVY ANIA_04982 MAASTLSAGGMIDPSKHAEYPIILGERLARRTDKTYSQLINIQY NYKPKSATPQQRSIITNSPHSRDHFNLTITDQAPNSDQNVLTYSYQGSVDPAQSASDS QEHELVLVFDAAKKAFVLEPVATQLNFNLRSAPAKTQKQLIQQYPQLRTLQEDHTSGD DRAPDQASGNDDGPADDENPYDYRHFLAKDKDNLDDDKSASGNATPEPYTTTSKAATP LITATKTAPSPQPRSKPQTNPLRQKKLATDAPRTSTAASAKPKLSSPAKVVERHTAND TVTKRENHDDVEKFVFDSSKSPSPSETGAGAVSSQKTVPSPGSNIIIDGDLIIDMGSP PPARPFKVNPAHFSSNNTPSNDEDEEDGDENEDIEDLRLPSPVPTTQRPEPGSGIQVE GDNGGTEEEEMEDEDDLLAAEMEAAFEEEARSQQSQAHIPANQYVLSEDESEVSEEE ANIA_04981 MASQPPVKRPPPAPSNPVLLAAQKQWLFTDEELTRSPSQLSGMT VENENLHRSKGVNFITQVGIMLKLPQPTLATAAVYLHRFFMRYAIADKPERPGIHPYP IAATSLFLATKVEENVRRMKELVIAVCRVAQKKPDLVVDEQSKEFWKWRDTILHHEDI LLEALCFDLQLEQPYRILYDFICFFRVNDNKHIRNSSWAFLNDSMYTVLCLQFPARVI AAAAFYAAASHCDIGFEDDEFGRSWWEQIDVDIAQVRRACTRMAELYESNSQHRHSQY YPPVPLAWKEGAEKTRIPRPGGSLDAPPDPSSRKRSREPEDSSKSSQQPSQDTASLKK EEASQNGARSPKRPRVGSDADQPRSAAGSLPQGGTHPHPPTTSPQSPQASSSFSHDRP PNGHHAHQSQRYQHPLPSAPRTTFPPRRNSETKNVDTIQQRIDQIVQQNSVPPKRATA DKYRERDRDDEDAYQKRRPSYSSSTRNEHPPPPPPEHRQQPPPPPPPEPEPDDEGGGS EEGEL ANIA_04980 MSPNTAQDDLSVGDVFSPQADMCHSKIEEECNTVSQQTGFRMSA RSPERRPGLFTKSDTNPLTAKGDRRKKKKKQAQIDAGPALSTVRGFTPRGSGDEDSDF STTSSRATSTQPRPLAGSNGASPMLQPVSGGGISALRLQLESMDLSGNSSRRKAADGL CSATPSNASVYSDSDETEILTSYEVPLDHDYVSADAVAEEKKQDEQSQNDMSTQDVRN QLCRKMTTDDFEPLLCLGKGSFGTVLLVRHALTGKLYAQKQFKKASITVHKKLVEQTK TERAILESVNRHPFVVKLFYAFQDHERLYLVLEYAQGGELFTHLAMERMFDEDAAAFY MAEMVLALEHLHQNVGVIYRDLKPENCLLDREGHLLLTDFGLSKIAVGDDDRCNSSLG TIEYMAPEVIQGKPYGKACDWWSLGALGYDLLTGSPPFKANNHAKLQEKILKQKLVLP YYLGPDAKDLLTRLLRKEPSKRLGYHMPKDLQTIKSHRFFRKIDWRALESRSLTPPIV PVVTDPALAENFSTDFTALPLSPVEGPSFFSEHYAAQHRGSGVRSDSHSGMSTGMGVP TSHDGAEHDSNPFGGFSFVAPSSLLDHAVGGSGASPMTAGY ANIA_04979 MAEQRVNVHIPSRPAVLDSVRLRNIQLPLPAAPDPWHRNGKPQP CTASLKLSYSSAVAAANADDVSLSLDYGKLYRRLEEDIRNMTAGSKPSGTTPGQHMIS VDGSRREEMMRAELGQDVRLTAGIVANCGLGLLDETAAGVRRMAHVHQSRRRSSASAS EARAANFNSSTSSSSTGGPIDDVYGQCEVWLHLPKALLRAENGLHYRSSTVWGYRQGS TAGSDVSSSERCPVVLEEGFRIEGIRCYCILGVNSHERVEKQAVIISLEFKGPGQLAW GSTVVDTYQAMTRAVAERVEETSFQTVEALATFVARIVTVDYANERVTVRVEKPSALA FVEASGVEVTRSQAFFERTA ANIA_04978 MTEVSSTRLYLGNLPRNVTKQDIEEHFSTHGTGKITEIKLMNGF GFIEYEDAMDARDIVPAFHGSDFKGERLTVQFARGPRRKENFPVPTDRPNLPRPRRTI FRMIISGLPETSWQDLKDFARQSGLDVVYSETGREPGRGIVEFETANDLKTAVEKLDG REFKGTRVTCVPDIQPYDDRPYRDPYRSRSPRRGYPPMDEYDRRYSVPRGYSPRSHYR ERSPIPMRRDYYGDGYGRRTPPRPRMEDYPPPRRPYEDPYGPPPPPRYDDPYAAPPRP YGRPRSPPRGDYAPYDRRYW ANIA_04977 MASRGVVLRLLRRETRPSPSRLSRPSSSLCISSRGSIATSGRRA SVRCSAHSRPIQVRAFSQSISRNLTDENGHFDPRQVERESDEVDVCIVGGGPAGLSAA IRLKQLANEAGNEEFRVIVLEKAGEIGAHVLSGNVLEPSALEELFPDWLSEDNPSRFE GATPAKEDKMRFLTKNSSIPIPAPPQMNNHGNYIISLNELTKWLGERAEELGVEVYAG FAASEIVYNSDGSVKGVATNDLGVARDGQAKDTFERGMEFHARVTLLGEGCHGSLTKQ VTKRYDLRRDSQPQTYGIGIKEVWEIQPEKFKSGEITHSMGYPLPKDTYGGAWMYHFG ENMVSIGLVVGLDYPNPWLSPYGEFQKLKHHPLYREVLEGGKCISYGARALNEGGYQS IPKCAFPGGALIGDSAGFLNVPKIKGTHTAMRSAILAAESTFAALRNDAGGTVFLFDY EDSLRKSSIWKELYEVRNMRPSFSTPLGIYGGILYSGLEAYIFRGHTPWTLKHHGTDA AATKLASECEKIEYPKPDGKISFDILTSVSRTGTNHEEDQPVHLQVEDWDKHTDIAWP KYKGVENRFCPAGVYEYVEDSSKPHGVRFQINAQNCIHCKTCDIKVPTQDINWQTPQG GEGPKYFKT ANIA_04976 MDSLTTHPATAQQARAFTSPSSLSFPGGTAFPGGADLTPPSDKD ANMATNGQSANGNVNGQQQGANAANGNGVMPATPAATPGASAPGSGIVPTLQNIVATV NLDCRLDLKTIALHARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVVTGAKSEDDS KLASRKYARIIQKLGFNAKFTDFKIQNIVGSCDIKFPIRLEGLASRHHNFSSYEPELF PGLIYRMMKPKIVLLIFVSGKIVLTGAKVREEIYQAFELIYPVLSGKLPTFDIRTPAN FGTDFRKV ANIA_04975 MSLPDNILIVGGGVFGLSTALSLSKRHPNSKVTLLEASPTIPNP HGSSVDSSRIIRADYSNPTYAKLASEAVDLWRTTEWGHEGRYTQNGLLLVYPDGNSTA KDYTTKSYHNVKQLGENAQFLPTKADVLKAAPAYGETLQVAGGYVNWSSGWADAEAGV RFAKQKLDKEGKVRFVHGEVSRLLFSPIDNRTVTGVVLKDFKNTTMTADLVILATGAW TAGLVDLRGRAVSTGQAIAYMRISDEEQRRLEHMPTILNFATGIFIIPPRNNILKIAR HAYGYHNPKAVPVPGATPTGETMHVSLPEKGVPIPLEGETAFRTALKQLLPSFADRPF LNTRICWYTDTPRGDFIITHHPSHPHLVLATGGSGHAYKFFPLIGEKVVDALEGKLAP ELRALWDWPEAVDEAHFDGTEDGSRSGDKRLLLLDELAKGQKAKRESAL ANIA_04974 MSASRIGRSAVKAAKGQAPGPLRAPKTTKTSPAPRQTSPRLLKR ANPHLQNLSSDSATALQARMSPRAMTVLGVSALVISTYCGYLYASYRREVNQAQSLTV PEDVSDRYNHTARTFDADVELSEKLMRLGAKRRDLVRQARGNVLEVSCGTGRNLEYYE LGEKRGIIDEKSQVGVRGCRTVTFVDLSPEMVAIARAKFEKLYPGFIGSEKVQFRAQD ARDVPPPSLSASGSVLPSESQGQGQGKGQGYYDTIVQTMGLCSMPDPAGALRHLGTIT EPQHGRILLLEHGRSHYDWLNRVLDNLAPAHADRHGCWWNRDIGQIVRDSGLEIVEEE RYHFGTTWRFVLRPKRT ANIA_04973 MPYNTRRKSLSLPLLGIHLPNTSRRSPSTSKPPHATDENTPPSK KVKRSHDSASTSPEPTDRGSNPSRPSATVRPSGRRATLEQTPPQSPTDGGVASKIDLD GINDDIVVGVIEQLEKTANRPHLVKELAAVLITSNDNVANSANPAALLSSRLSAYMKR PWTALAPCPLAKELIPIHPRKVYYYLTTQARQPIPESSDDVIIPGIDGKVLTPSASSV DDDEDVMARQRSPSPEVDLSSPDFEDGDIDLDGSSSAAHSSSFSDHRHARLFHSHRAT SPPLEGDEKEFTQTASAVRERASEQKASQLAQAKGGYSALTEALQGLDDMSMSISGTP VDDSPLSSFGDDQMAHTQTNYLSEEHQEQSRLQQHLQQQDLCENAAAAAALFGTSPSP SLTSVALSISSGTSAPSDDGSDIESGPGSLGTAPRIALPEDPSLARMSTLKRSIDMLD DELPDLDMRMSDLADADDKIAVNAMMPLNPPSEMALDSWRELQSPESVNVDELDEMFD EI ANIA_04972 MERPSGLPQPDAGRRSGQPPLQPQSNSHPNIIPVSKPPSLPGVS LYNPRGAPHSAVTTGKKHMSSKVAIPRQKSAAPRYSRRVPLACETCRVRKTKCSGDTP ICRQCLELRVECRYPVSWRERTKGQISKLSAKSDDYEKLLREISQIVDGRAAERIRYT LDKYSESGAEQHNDQPSANSDPASGIDEGIDPEVESLPSSIGSLDAIDRVDEDLNRSP NAQATGYMGKNSEVTWLQRLGREADNRARNLSGLAEPRPDRELSIHSVNYHLDDVDIT PPGPVHLYWMPPRNIADKLFEDYLDTVHPVFPIISRSLFSAQYRNFFDNSARPGDKWL AILNLIFAISSSHAHLMQASWRGVGRDHFVYLARARALSMNSDTLFSHPDLQQVQVEA LTAFYLLATNQINRAWRIASLALRSGISLGLNLRNTSEVTPDTSKEARYRVWWCLYTL EHMLGTMTGRVTGISDGVCTTPMPLPVDEDRFNDPAAAELLSSLELRQERVELALASC YVRQMPQNVRRNREPNDAGKSADVARLQSLPPSTALFFLYYVDLAVISQEIFNRIYSL DCILTPWGEIEDRMTELRSRIEYWLSNLPEAYDWTRGNQQNLELLRGSLCLAFLYYSV RITLGRPCLCRRDVRSSESPGESTAFSHAISVSVLESAQRLIALIPDMPDASRIYLLC PWWCILHYLMQATTVLLLEISFGSVHLPAEEKSILEAAKKGIRWLFAMSEFSLASRRA WELCDRNLRQLADAGGSTIDMSDMPTADYQFDTNNKQQNHSQHPHVNSRPPVTTAVTQ FPTLASTPSFHISPPNNNHKQGQSPSSQHQMTALALQQSHTAPLTQSSRNEGRLHFPY DATTSAGFSNDIYFPYDPITGEFIRSFFPNSNEEESWEH ANIA_04971 MTRCPSPVRLLCLHCRSSLRPPFAVVRSPWPATPRSGWKLQWSK QYSVGAKRSVFDSEFLKDLNRLNPPERRVPEWHRKRVKPWITFLEEFLRRNPKSAEAH SASNQSSGITRKSFAETIDLARHLNAARTELDRDLLAHTGYKLNNWSMVYAILNGLLD AAEAVAAASSSRRGVVEDRAISLGISLDKLTDVDLFSSPFPRTSDIVGLETLDSLTYR PFSRDYYMLLMAEVWKSLGIITIYAADTSPAKSKLAMSVVYRILARLHHSGLVSERVY KYTTPDTYQATFRPPGMYLLSTHIMDVLSDAAWTVHEAEVAAEAAAAGKDAPFLPAKI NIKELGHEIWLEFILWCCVEHGHINEGTWLLERLIRRKGWKFQSWTPLLHEEKALRNT IINREVSTWPSPESANVASEPPKRTDPPSLFHGLGKQTISVEIVTALLDNLPNLIHMG MGTGGVPAPEILRHIDNLKFAITSATTSESEFLSTTKTVNWFTTRVIESGGLIPEVDP QTFDNLLKLTPHVVPPWSNGMCPVEEDTLAALDQSQLYDDTCAFTGLIEYNLKYHSSH RFTGNALNLFARLQAVMDTCKMRRLDDFFSSRLKDDRANLPFTYDGLLSFESSIPQLS NVTLAHLFDLITVSRAFAFGEWLLLSDDIDGPTVPVNAYGDQALAPSLLRFAAATKND NIGESVVRSLSQPVSLNTLRAILNYRITMHQWDQVISTLKYIRDNRIKTWAHSNIAAI AAEIIRLDHALIMSSEKSNSPSTSNSDIEINLAEAKKVLYRILFGEFDENPWRKRRNP NFQAHTLISFTRLFRHLPSPSLHEIAGSVFESEYVPMYRLPYIPSPPFHTILAAIAET QGTAAAKKVYKRFCVSYNSPEFSRLVVGGITRFYEKAERDFRRGDPNFDAEYFHHLQK KLVLPNPNTVRILTQAAIREYQAATVEMEAEVRSWSESLIPSSEGTAAEQYYDRTLSP RSTDAGASLSSNGTPPPLSPRERKDEAEKTLIFCIKRFKVFHMSDAEIAREVGEEFYS NYQRANADRREWDRRTKSDLKRNLERREKLKERLEEAEETLEDIKKDARAKRKERRKL WQKTQKLKEKLKKSEKAQNDVKLNVLLNMTRRERDRACDGNQEQKRQPLFLPRARSEM RRMRRERGIMRRESWRGQTPR ANIA_04970 MKSLTILGAVSALFLGRATAQITVTIPPFPDLPTMSIPTLTLPT SISLPSLPSIAIPTLPTSLPESVCFAVPTIPTSISVPTLMAAAPTAGPDSNTTQVLND QFERMHPRQIAPLGA ANIA_04969 MSQDTGLFSIKRPRETLGSVQNFSSLPQPSSALKRTSSIGYQNP PFTSQHTRSMSLLNSVGRPQQPNFQRSSSGGFGADPGLSSVRRSVSSNVFHGTSAGRP SFAPGSMSSNPASQSLQRRSSVFSRPSTGGAMGHQSFFTQVPSAAGVPRDPRPLRDRS FQARIAQELLEYLTHNNFELEMKHSLGQNTLRSPTQKDFNYIFQWLYHRIDPGYRFQK AMDAEVPPILKQLRYPYEKGITKSQIAAVGGQNWPTFLGMLHWLMELAQMMDRFAMGE YDEACAEMGVDVSGDRIIFRFLTGAYHDWLQGGEEEDDDAAAQRLIPHIELMAQEFEK GNEKYVQEMQVLDAENRALRDQIEELEKNAPDMAKLDKQFRILEDDKRKFEDYIQNVQ GKIEKYESRIAFLEDEIRKTESELQAAEEERAGLQASVDQQGLTIQDIDRMNTERDRL QRSLDDAVSRLEETHARVMAKESEASAKLEDLEELVKTYNTLGYQNSLIPSTAVNANG QEYELGLNVNERSFSTSQIGGIPSRISPEADRLLAEPFTGYHPAHLLNLDLRGIVRSN LQALRKEINERRKRGIDADLERRNLLDNIKEAMDEKRSEVEALEHKRRTAEEEFERLK EVTTTQKLASDAQIEKMEKELAKMRATMSESVQLMEQREMNTNIEYEQLTLRANALRE ELHTNVESMLNDVIRFKVHIQKGLEDYENFVVDEVEQELGGDTQLDEDAPMSTEEL ANIA_10610 MVREELISSAVTFLQDPSVASAPIEKRISFLQSKNLTKEEIDVA LARAGEDPSPAAAASSAASGYQSAPQQVVYRPPPSSPQGYGYPPYGQWQPPPEPPKRD WRDWFIMATVMGGVSYGLYFVAKRYITPLIAPPTPPQLEQDKQHIDEQFNRAFALIEQ LSTDTAALKTAEEARTEKLDATLRDVETLVADLKTASRRRDDETRRINDEVNALKDTI PKALEGAREGNENRLRELGTELKSLKTLLGNRLGGGASPSPVTPRAPDTTAPNTESTP SSTPPATNGTTPTASQPETPSGSAQAPSANSANPTNPLSRFSKSPSIPAWQLAAANRS KPASSSTQASSENSGADQSSAPAS ANIA_10620 MPPTESRRRSTSPSRTRSRSPTRSSNGHSRHRHHEGKDQDRGHD RDRERRLRHRHSHSHSRSHSHRHDRDRRTEPRHRHETRVAISLPFQARELRKRDLETY EPIFAMYLDIQKGKILEDLSEEEVKGRWKSFLGKWNRGELAEGWYDPATLEKARSANA VVPGLGNSYKVVGEEIHQGIVPSPSGGTDELQRDDDDDEYGPMPQYGSTRERALGPSI PTMQDLELRKANRVLTPAETAMEEAIATRHDVRQQYRAEIRSHKSTLRHMEDEVAPRA EPGTHERRIEKRREAAASNRAFAESRRGGSPIDAAPDDELMGSGENDLEAYKAAKERE QRKKNEREIRREEILRARAAEREERVRQYREKEEATIGWLKTLAKQRFG ANIA_04967 MHILVVNDDGPPSNESSPYVHSFVHTLQSAGHTVSVVLPHQQRS WIGKAHLIGAAVKPTYFRPGTLHKDDGTTHEYPRGDNDPDGDEWILINSTPASCVQIG LYHYFQDRGPVDLVVSGPNYGRNTTALFAMSSGTIGAAMEGAACGKRSIALSYAFSSR NHDPVIIAEASRHSVRVIEYLAKNWDEGVDLYSVNVPLEPGVSESKVMYTEMLDNRWS SGSCFDAVDAEVPVENPEQREQTLRDQEEKLEEDPTANPNAKSGRKSRIAHKHFIWAP KFTDVYRSVEESAPGNDGWTVKEGMTSVTPLKANFMHTPGIKGEIKLSDNEEPAFYSI VDCDDPYVQELVEQALRFSMGSRCRSVSSISELPSRSTPVFQYREYERLDFEHAMTNP TTSLINAYIIRKALIRKHYLANTVSNWVTKHPESVLAKHVKVSVDFELDYAEFLDDAL LEAYELRECFEENESRPDSEKVWWILKPGMSDRGQGIRLFNSEDQLREIFEEWEPDSE DEEEDECGEDDGNDETDKAATSGVVTSQLRHFIAQPYIDPPLLLPSLNNRKFHIRTYV LATGSLKVYVFKEMLALFASKPYVSPTSQNNETEDSIADLTRHLTNTCFQDKSLPESE TVRRFWCLPSIPPPNTHLTATWKEDIYEQICAVTGELFTAAARGMMIHFQTMPNAFEV FGVDFLVDDTGNVWLLEVNAFPDFGQTGEELRDVVVGGLFKGVIGVAVKGFFGEEGKT EENGMRLVAELDLGRKN ANIA_04966 MDSDNSSDEGVQTGPSFAAKATDTLLEPLRVLVSRNALTAYLST FMFFATAICMIFVSALAYGVFYYNFIPRVGLERIVHLQFGDGHPWGIAALESGLISSQ AYDVHVELELPRTPSNLATGNFMLDLTLLSQSSTSARTVGEITAPPISHSRRPAILTY ASPLVDISSKVSFMPLYVLGWKREAERLAVPMMERVEFSRGTHNVPDTLRLEIQSEEK MQFYSAKVKFTASFTGLRWIMYHWRIPSFFVFSFMFWSVSMLSFSLCWILLACLSNKD VKTEEEEEEEEGGVKEEEDSGTEPTIKEEQSEKLGLLDIESTAETSDRESETDSDDGV NHLYDVGRKRRITTAESGPLEAAGSGTGTESAGPSGVQRRQSRLFKEEDS ANIA_04965 MTFPPPPPSSQSSIASGTDKSSSTRPTRSQQSPWGPPVSQSSVR RGLTPLATNLSNPSVSGRGQPQSNSPGPGVSTSSPLTSSFSAVLSSSRGHPLGRGVPS PASTPGPFASYQSGSQQPQSLPSQSLSSPKIRAHTPSSGSHLASAAGSVAGGGGSGGG GGGTGSSRGATFSPLLSGTTVNSPTGFPPDKPGSVAAHGSQSSLAKISIAQVFLLLDS ITEKEGREKWETKAAQIHKLVESNGMEVFSKYFRRLLTGNAPQIFPGLNKSVENAGNY PLLVQEMQKVSQDIEQAQKIAETVDASEGDIFRDFDLSTFLDHFKLDPIVKVSLALTF KTANKSDLRVKADAILANSITPFLQSLASPSDASKDFNNSFIGITIERFILYPPRNFT DDVKSKLLYAASLRYQALGVDMPFEVASALQMFNFVNPKYALVRQLHSRGPRATSSSD AVNDTVSAAGPEFWSEEHIASALLFLVLSQYWREYSLETFLAAVRFHYGGKQINWSLI FRHFDREGLRLDARQFAKLYAALSPIAAEDSTLDVQQLWGGEWEHRDTQMSFLTAFIN SRTDASQIPNLRATFPHDFFSDGPEPVRLQGERAAKSPLRSLDATRAIFDLALYSQAA WAAAESQMLIKAVVQYDLPVFLCSALALPQPWTSVQQSFLLRTLAVFIMKQEEGYQLA LHGAWRQDKQWVADQLFAMFTQDPTSTAAIYEHAIEYNWLEYLLGYTNGLAMDLACYA HRKGPFELEQWVRNAASKGLMDMGTLLSKYLRIKADDELRVQRREQLAPQMVSLSVKT VFTLLSVLEEYVGDPQNLTPVQRICIQTYPRLINYGEGFDDIIDANGESGNALPEPVD KKMQELFGKMYHEELSLREILELMRQYKSSRDPAEQDLFACMVHGLIDEYHCYHEYPL EALTKTAVMFGGIINFRLVDGITLKVGLGMILEAVREHDIHDPMYKFGVEAIEQLINR LPEWVGFCHLLLQIPSLQGSPIFQKAEEVLREQGSQVRDENGRQDNTTGGSITNGNVD ESAAPDGANRKFVSIHVDPPLRPEIYQDPDEEVQDKILFVLNNVSEQNIDEKLRDLTD VLKDPHHQWFASYLVEERAKLQPNFQQLYLDLLDRIDDKILWVEVLRETYASTSKLLN SEATLGSSTERGHLKNLGSWLGSLTIAKDKPIKHKHIWFKGLLLEAYDSQRLTIVIPF TCKVLVQATKSTVFKPPNPWLMDIIALLMELYHFAELKLNLKFEIEVLCKDLEIDHKA IEPSVIIRDRSTTIEESLSTANIPEGLEAFEDMALTSISQSIRNERLSPAAILSTLPS LDKILVLPPSASSMVDSNVLRQIVHSAVERAIAEIITPVVERSVTIASISTVQLVSKD FAMELDEEKFRHAAANMVRQLAGSLALVTCKEPLKVSMTNYIRMIQQEYSDQPMPEGL ILMCVNDNLDAACGIVEKAAEEKSLPEIEKVIESQLEARRRHRAARPSEPFIDPSMTR WGLFIPEPYRQTPGGLNKEQLAIYEEFARQARGPGTTHAQNVSTDSGRQLPDVLQEPY PPIPNLSTPAEQPAVPHRTPQPQQDTRLQQSALVGTSSQLNGFLETQNPRDRVESLVS DLQQAARNATEEHVKDLGRDSAVLQEYNQALRSIVASPNGEEVARLTALKACTSLYSQ TKSTLEIEVLVHLLAKLCDMSALVARYTWTVLAEVDDEHMFNVPVTVALIDAGLLDIR RVDMILSRLILQKNAAALDVLAELMDQILFNEEPSALRSDFSGSLEAMSKWLAEDSGL ARAKEIIKKLRDSGIPEVVNPLLSDKARSKRDQMEYIFTEWIGIYKAPGAVDRTYYAF LKDMHQRQVMANQEDSALFFRLSIDISVARFEHESQNPSGSLDEAFLYIDALAKLVVL LVKFQGEGTGPVKTSKSAYFNSILSVLLLVLNHHQVMRGEAFNQRVFFRLFSSILCEY SMSGLQHSDQHQEMMFTLANKLLSLQPKYYPSFVYGWFSLVSHRFFMSSMLNMPDRAG WGPYCEIMQVQLSYIGEQLKSTTMTLVTMDLYRGMLRILLILHHDFPEFVAENHFQFC NVIPAHCAQLRNLVLSAYPSSFQKLPDPFREGLKVERLEEMREAPRIAGDTAGPLLRA GIKNVIDNALQSNNVSDSVIQQIRDAVYDTESKESGLFHAPININLLLVNALALYVGQ GAVSVNESKGNTRAAFESSAHSALLEKLAKILRPEARYYLLSAMANQLRYPNSHTYFF SFAILRLFGTDYTEQDESDIRQQIIRVLLERLIVHRPHPWGLIITLQELLQNSSYAFF HLPFIQAAPEL ANIA_04964 MSASEAPASSLRYADVAVTFTADQFKGIYRGGKAYHEPDIAEVI QRAKEYGCEKIMLTTMSLPLAHENLALVRQFPETCTMTLGVHPYHAKEIYVSEASGAG GRTTADGARYLQELRNFARTILAEQGVAGESPLVAFGEIGLDYEYITRSDKATQQRAF RDQLAIAVELQLPLFLHVRESCADFISIIKPFLADLPRRGLVHSFAGTKEEMIQLTAL GFDISVNGICFRTEEQLEMVRSIPLDKLQLETDAPWCEIQEGDDRIKQYLEGARSLPG SRKHGKFRLGEMVKGRNESCTIERVAMVVAGLKGIEVAEVATAAWENSVRMFGLGVKS ANIA_04963 MPGTVSEGPTVALSFANNFWGKDDAGVAPMLERMQAAKLSCDEL KTWYNIRAAIEDEYARKLLTLCRKPLGAHETGSLRESFDTVRAETEAIAKAHAAIAGQ MKRELEEPLIAFAGGNKERRKIIQNGIERIHKTKMQQTHTVNKDCLRIKGYLAQGHMV MGQEERKNKAKLEKTQIQLASSSSEYDAAVKVLADTTERWNKEWKAACDKFQDLEEER IDFTKSSLWTYANIASTVCVSDDASCERIRLSLENCEVEKDIIHFIKERGTGQEIPAA PKFINFSRGDVNDASSDVSEEEGYSVAQFQRTINPAFRSSSPQPSTYESHHEAPPVDL AQNGPSTPSSREPTVPLQKPTQPPMQPPTQAPMQAPMQSPIQQQPPPLDFRRGGHLPP NYDPNQHGEISAVPHNAYPTDGMTMFCRTGPPSERSSGTTSGYRPSSQDSHSEVSNAT SISSQEPPSARQSPTKPINGVALPGIGSGEVQPAQKKKFFSNSPFRRKSRHDKDRNSG PSQLPSRRTWDSPSKQTTPIKAPTPQKPQQPQPPITKAADDIARSASPEPVDPRANFQ LNVGNNVFDVASPDKPKPTNGAEPANDGEDTDPIARALADLKVAGKQSATRVSADRYH GIATPVPSAPASNFTANSVATPPPAYNDASVKRLDAPQPAFTSKQMQKTTQQYTGKTH NMLRGKANPVSVSSRSPRQSQEATRAPSPAAPRRSVSPQPQPQQQPATRGESRMSQYS RAASPNTSQYQSSSVKSRHSQSPSVSTPPQRPSDSPYSPHDFAKRSSASAAPSPTTTT APRAPSPKEFAKRSSQSSASRAASPQPQFKQQASVPRAVSPQPQYRQQASAPRAVSPQ PQYRQQASAPRAVSPQPQYRQQASAPRAVSPQPQYRQQTSIPRAVSPNDFAKRPSQNS MPRAVSPQPQFRQQARPSSAGGMELQLSQPDMYGSSGDGYASPQRESRRPMSYYDGGS QRSRSRSRSMAVADPGRQFSRDGRPILHFARALYSYTAAIPEELGFQKGDVLSVIRLQ DDGWWEAEVTTTRLRTGLVPSNYLQII ANIA_04962 MNFAPYQDESPEVERAFSPSLENRSKSPVVRSPLHSPSIPGFTS AGGLPSPSQFASSGHIDTSGHGNTGYGRGDVESGRWNLGAFETSLPIRMDVEAMLAYL LLPPAGGVFLLLLEHKSDYVRFHAWQSSMLFTVMFTCPTPSKTDSVLSPKEQSHIYSA PSCLANTHPPWRESQTREAKMCQVQRVTNSCGHINDHVLMSCYLAKDVTPSPPPSHLS FTITNFQESYNSASATFAIYTSQRERQLQSEDKDQGKDESSSIWSGKSRTSGSLSQGP NSNKNKKDEEDMIQRFGFEARNQPYCKLTVPKVLNSPEGFKCMVYACGRAD ANIA_04961 MAPYSTAEEMDRLQLSDSDSDELWDSPSKRGKKKLNQAAVKQEN SPPPADTDRDEETLFDRQEAREAALRNELRIVRNVNQVIEGLLGSLDKAKGNMETVSR TVNSASTLLNTWTRILSQTEHNQRVILNPSWQGASQDMADLENEELLRQQAAERRERE LQQQREAAARKAEEDERKRAQSTSTRGTRIVSRGRVIRSGIGRSPSVSHSKTSTSGTR TTSKTSGTNPSTTTTRRPVSGIARGPGVTRGRGRQ ANIA_04960 MATTPPPASFLRIPPTPRHGNGYDQYEPYSTRQSARLATQKASA ESRTTPPPSFPISKGRGTSRSTKKQKTTETESLSPPGSPMSPRKTMLGRRVATQIDDE DPFNDAKLAHSHRSRLFRTTMTEGMLPTPAKTPRKKPVDNAGSTARVLFPQPGQKKKK KQTGFSLDSFSDDPSQGDSIQIYTDSRDRIPEVDESEENPFYQKPSTNTKPRPSRPMT RSRDKEVNEALKREDGMVYVFRGKKMFRKFSDDVFGSDDEDDDDDLGLLASRPDLLDS GILENARPLTRSSIKPRVLFPSAREHVAGENNEEEEAATDIEDQFLDHTEAADDLDLI ANVHPEQRLDTPPPKSDVSTPPSPGVSVRSLRSRSKRELGARQTPTASDPTKKPSPFD GWLRKKQTPPVIAAKTKKRDAESAGGPAPKKTRGNRAIISPS ANIA_04959 MRRNRTLLASPLKNSATTPNSRTKATQLLSDGLGEDEGDDTEED EETLQLKLAAIQARLKLKQLQKNRGKAGTPHAERQQGADALSRPASSVSFSSFTQNQA PKSKFLQETKNQDGSGDIQVPLSPTRRSRPQLEPTSPGRYRLGIDKGLKGSDVSLRRP PSSRGVETRPTSRLGSRDGPISHLRDTLAPQSHSFEDRRPKSFSERMAEGRAAEKARR ERDVKVEQIRAKRSSAFEYDKAEMEALKAAAAERRPNSPSKPTTRSGRTDSFSRDDIM RSINNIKPSLKRSQTTPSLRRTEETETKSFLQRRSQKPEAQSFPPQPGSSRTNSFTDE ASNEAERVLEKPPDASKYEPFSQLHLSNRILPHSFMDRTLKDKKVLRIPDLLRIIKGP PFELPEDIDTDYVVFGIVASKSDTKQVKEAKSVTAQAADPFDDGLNNRNQYMAITLTD LKWTIDLFLFDTAFPRYYRLSEGTLIAILNPTILPPPKGKLDTNRFSLSISSSDDTIL EVGSARDIGYCKAVRKDGKICQVWVDGRKTEFCDFHVDLQLRRAQADRMGVNNGTGLF GPGGRSGYRTGFYGGQKRSTQKGSGEGLLRADGAHYDHGTQSLYYVAPSLKPNNTNKS LFHHLHPGQSAASLIDADVDDPFLAAGMMGRGAENKGERFRRRLVEKQKEKEITQMIT STRAGGVAGEYLRAQNNENTRITDSTRSGAQHGRTDSRDLKPQLSSLAGDKPLGMNFR RAEAVRLSPKKRAHDGDRPYGSGVKKTRFITSKGIKEAGRDSLGGVSNATPRTDDYDD DDELEIV ANIA_04958 MRTRSAPPPKQSASEKQAQPEPSKATMKPSKAFILPTSASSDAR FVTLPNPRTGDLTRYFFCPKLGVYEFTVVASQSPRSILYTSKSVSGKPQGSISKTAEL LVATPIDILFFAIPLLCSVSSSNEGKRLFQPLDDIIDSHDDLPEHLRYILYNDTFRST LLRRVEAVCDSVEAGDERVFRVSETKLLQELLAKAERMAVQGLPKSLEERFVKQALAV PLVSVTRTDVATSSAPAKEDHNGIESQESNDTQSTSATTSNSSVSRTSTPAESAPTPA TEELPEQQACPDSIVRLQRLSVALAFLKTSYISAAVCAKIDELLSAPASPIDFKPLHD YLKHLADLRAEALASRSLGDFSRKRNVEDDDVVESRAEKKRRTEEEEKKKKASESRGV RELKKVNTSGMKKMSDFFGKAAPKKKS ANIA_04957 MATPQELVPRTESIAEVYATDNASATTASPEHVKRFNNLVSHFH KQYNHSPDFVARSPGRVNIIGEHIDYNLYDVLPTAVSVDVIIAVKVVPTESSESAVKI ANVLPDKFPTREFSVPKDSDVEIDPKKHEWVNYFKAGLVGALKVLRKGAADGSFAPAS MEVLVDGNVPPGGGISSSAAFVCSSALAVMKANNHDVSKQDLLDLAVVSERAVGVYSG GMDQAASIFSRRGYLLYTQFFPNFSVQHVAIPKAAEEITFLMAQSFVTSNKAETAPRH YNLRVAECTLASVVLAKANGLTLPKDNSSLGYSLRTFHNELMRKEGRLGDPLEYQIDS VIQATLDILTQEQGYTREEIAQLLSISVPELETTYLSSFPVQAERFLLRQRALHCFKE ARRVLDFKACLANASTLDDKRIHYLGQLLNESQDSCRDVYECSAPQVDEICNIARKAG TWGSRLTGAGWGGCTVHMLPQSKVEAVTKALTEEYYLKYFPDISEEKLKEAMVISKPS NGSFLITGAAISQVDV ANIA_04956 MMPLRPSKSALRTLHYQRYIASGRRAFASAVSTPHRFSTQKRDQ STATATASTSRPRPSPAFNQEPSRNEVSPLQNRQLPELDDSFVGLSGGEIFHEMMLRL GVKHVFGYPGGAILPVFDAIYNSKHFDFILPRHEQGAGHMAEGYARASGKPGVVLVTS GPGATNVITPMQDAFSDGTPMVVFCGQVVTTSIGTDSFQEADVVGISRACTKWNVMVK SVAELPRRIQEAFEIATSGRPGPVLVDLPKDITAGILRKPIPMQSTLPSRPSAATLAA KELSEKQLHSTINRVARLVNVAKKPVLYVGQGILENPDGPKLLKELADKACIPVTTTL QGLGGFDELDPKSLHMLGMHGSAYANLAMQEADLIIALGARFDDRVTGSIAKFAPQAK LAASENRGGIVHFEIMPKNINKVVQANEAVEGDCAENIRHLLPLVEPVSERPEWFAQI NDWKTRFPLSLYEKQTPDGPIKPQALIEKLSDLTAHMKDRTIITTGVGQHQMWAAQHF RWRRPRSMITSGGLGTMGYGLPAAIGAKVARPDCLVIDIDGDASFNMTLTELTTAAQF NIGIKVLLLNNEEQGMVTQWQNLFYEDRYSHTHQKNPDFVRLAEAMGVAAERCTKPSE VESKLKWLIESDGPALLEVFTDRKVPVLPMVPGGSGLHEFLVFDEAKDRERRALMKKR NPNGV ANIA_04955 MASLPSFSAFGRTRSSDTSPPAASSSQPDQHHNYQEPANELSKE DEAVLLNESISEEIERPDAKITTRPANTLSVPDEPRKCWICYTDETEDTPLNSEWRSP CPCALTAHEACLLDWLADLENPRARKRTGHSAKMRLAGRLVLPGMVFTLAGTVWAGCC AHGVYSMYFVFGTDEAKQILEEAAEGTWNSGLNMGLPLIPLVLIFSRTRYAEGLLPAI PVLFFATHSPGQEPDLDLWPPSAAVTFAALPYIKSFYGALYERLFGKWERKWIAEVQP RQADVNEFDDNAPEPEQGPVAADDGQILMEFDLEFQVGMGNNADQQEVLGLQGANQQQ QQQNNQNQGGAINGQNLVHETSSLADIVLGALAFPAISATMGGLLKYVLPKSWTTAST LERGRPGLLQTRWGRSVIGGCVFVLLKDALVLYCRWKLAQTHRRRKVLNYDRLKKRVT R ANIA_04954 MRPSVSPVLASLANVFRIPLSQSTLRPTIPFLNKQTSTQPSTLQ AQAKAPFSTTTPLLKRKTQYTDRRVTLIRYFLYHPLTPRPLRFSRTRFLRHWTIHRAW QLYQAQRRRNHQLELQRQWQAMNAACEELRTGAGDGGKLFRKSMIKTRIFQDMFPIEY GRLQTEGPGEGWNHAWKRPEGTTKR ANIA_04953 MAQQQDDNVMRRKLVIIGDGACGKTSLLSVFTLGYFPTHYVPTV FESYVTDCRVDGRSVQLALWDTAGQEDYERLRPLAYSKAHVILIGFAVDTPDSLENVK IKWIEEANERCPGVPIILVGLKKDLRENPGAIEEMRKKSLRFVSPKEGSETATQIKAR KYLECSSLTGEGVDDVFEAATRAALLTFDKRKSSCCIVL ANIA_04952 MSSHVPAVTAPTPSTVSENSASKDSHSSPMPESKPVSVNRKKQK RREKAARLAAQRQAANGHAPSDTLDVNGSSSTVLDNDVSHEQSGGGYDDKSEKVLNGH GHNTQELPHPSEHDPQGTTSRKSKKKRGKKGRNGSQASSTPMSTPSISLSNAPRPPLS SHFGSQSSSKLLKERSIWNTSTQEERENIKTFWLELGEEERRQLVKVEKDAVLKKMKE QQKHSCSCTVCGRKRTAIEEELEVLYDAYYDELEQYANNTQGSFERGPAILPPPGLYQ PPLRSPGQHTHTHGQFHPSRGRIHEPEDDEDLEEDYDEDEEDDEPYSDEEYEDDEGSA ARADFFAFGNSLTVKVADDLLKNDGKHFIDMMEQLAERRMQREEDTQYGIAAAHQSLH SSHNHGPLDDEDYDDEEDEDYDSQEEEEYEEDEMDAMTEEQRMEEGRRMFQIFAARMF EQRVLTAYREKVAEQRQQKLIEELLEEENLNEQRNAKKAREAQKRKDKKRLAKLAKDE EKARREAQKAAEEAAAKAEQERKLEEQRKKKEEQRKKREAERKAQEEERARKEAEKHK RLREERERQEEAERRQREQKEQEKKRREEAKRKEREERELREQKAKQERERKAQDEQS RRERERVAQEQAKRAPNLQPVSPVSPPPESATPVVSKAPTPAKGRRPSQQGSHSSSPR SQSASAEASQVSPRSAPLSQSSGSSIPKYGSGHPILHPHPGAPMSPLGRTHPPGLSPS NPPGLSGLVPRPPMGHELPTYPPHSSPYMNQLRGFPAPNGIPVLPGMNGTRPMPPGRG FPLDAPGIPFHAQPPFSGIFSPQPSGLPHGHSRQPSNSFERSPLDTSAQPFPISRPSP IKRPPSTQQEQRNSRRDVDDLSAQLGSSALLDDSDVPLTSSQLSQSLPGTTPAPVMPG PARASFAGSSLFPDPLSVAKHANFPVSPVIGGSTWGAQMPFGAPFPGGPTWGYTPANN NAFGAGIHHRAHTSRPVAIRLLVIQACKQLSTMSPSKDSGGYHDVNAVLRQVEQLRPS NEPSISLKEMLDICDTEGNAQNGGGTFSIKSGQTGELVKFEPDAVSTTTGHRGSIVPG EIGSPIPSSTMPALGGIGLGTPPSSALRQFSSPTTGF ANIA_04951 MATSVAPSEDQSRLLEEALGVVRQQSSMMRKCLETPGKLMDALK CGSTLVSELRTPSLGPKQYYELYMAVFDALRHLSVYLKENHPVNHLADLYELVQYAGN IVPRLYLMITVGTVYMSVEDAPVKEIMKDMMEMSRGVQHPIRGLFLRYYLSGQARDYL PTGTGNGPEGNIQDSINFVLTNFVEMNKLWVRLQHQGPSREREKRMQERRELELLVGS NVVRLSQLVDLDTYKSGILQALLEQVVQCRDVLAQEYLLEVITKVFPDEFHLHTLDLL LSAIARLNPHVDLKKIVIGLMDRLSSYAAREAETSMNAETRKQKEEEAVTKLLENLKV SEASQEKPKEDATPTQENGVEQTPTESEEQTKPADEVTANGRDEDQKPTSPQDIKLYD IFYEQVVSLIKSRGLPIQDTMALLVSLVNLALNTYPERLEYVDQILQFATKETAEYTD HADLHAAPTQQNLLHLLIAPLRSYVSVFTALALPHYLPLLSSQSYPTRRSVAGEIART LLKDRTLITTTENLDRVLQALRVLIKEGVQQGGYPGSQRRGESDETIEEQGWLARLVH LLQAPENDTQLKLLQATRKAYLDGNERIRYTFPAIVSSSIRLARKLKSREHYDDNWQS QSSALYRFMHQCVNNLYQRVNPGCADLALRLFVMCGEVADQTGFEEFSYEFFAQAFTI YEDSISDSRAQFQAVCIIAGALHGTRGFSKENYDTLITKAALHGSKLLKKPDQCRAVY LASHLWWVIENPHRGEEDPKNLYRDGKRVLECLQRALRVADACMDTAVSVELFVEILN RYVYYFDQQNETVTTKYLNGLIELIHSNLQTDQDEPNPALENPKRHFYRTLEYIRARE FEGVVTDPRS ANIA_04950 MHTSAVLSLALALTSNAFPLSHPTFNTTVQRRSADYDVVNVGGL PDTPQPAPSASTITQTVTAPGAPPQTVTVTISQTPTTPSPSSSAIWGAGTGVPYSSSA ILPAVTPVPGEEVQDEEETATGAIDRLARAFGRSMNSHLRARTSNETAAYPRFDARGL NETGSVHVSRGLLNSTELVTSSRKARSLNSTALRG ANIA_04949 MPSSRRSGRLSGVRKTYTVDPFAENDLDLTIFGEEDSKTPQNKG KGRVQGDDDSDEEFQAQEEEEEEDPDGDVSEAEEPSAENAGEGVDAATFKSRRLKGFQ KPVRLDQPRSTKKRRPDGAIVLTGDETHSRGAWNPLEHAGKSIHLQVTFGLDERDLLA VLYTRDRWSRGIDSTFPTRVSLDEAESLRDYKYGLTFGVEPDDYEKEKTSSWDWYYDD DGPGGRFRKRQRIEQINEQEACPTFLPTPKQGKHTVLMGPAGNQMEFNLNQYEVFNFG DAWGELKSRNQKETSNKKKRQGWVLNIGQRIQCLAWVPNQSGRDQFLSVVAPITNEQK QMYLDPLKDYAAAAFRSSAPYPCALQIWAFKASEDGGLTKTIDMNIEPSLRLILCTKW GDLRRMAWCPITRKPRDEDNKGGFRNLGLLAGVWGDGYVRVIDVKVNNDPTKTEFYRI DVPVFEARPPSTVCTCVAWMSSSDIVVGCANGFVGVWSIAPPFNEPQTDNLPYFYHPI HTTYVLNVSPGYPTHAHLVATTSMDGETRLTSIVDHEKDVVETTRMRVGSPYLTYSPW LHSFVSSDENDFVRLLTLRRFFTTLAVARMPSTVSALATCSPWHPSALIGCTGGTVIS TNPLRRLLHSKEKQWQQAWFSHTWVQGEDPSSPGVSRFFDGFRAESISLLRNMTGDRK MVNGTMVVTIYEEETHVTALSWNPNQSCAGWAAAGLGCGLLRIEDLAM ANIA_04948 MALPSYPGILHNYAPRLVAFEFRSSGTLKPHSLLFIGGLTDGLY TVPYVQGLANALEPTEWSLFHLHLSSSYGGWGIGSLDRDVEEIGQCIEYVRNLKQRTT SGAKVVIMGHSTGSQDVLHYLYSANPTPRNPDVDGVHSLTRPAVDGAIVQAPVSDREA LLQCAKESPEAREAYEKLVTFAREQPARAICPIELSGLVGLDPVTPVSARRFWSLASP ESPEKPSEDDLFSSDLTDERLGETFGRIAERGLLRTTLVALYSGSDEYCPNWVDKEAL LERWKRATNARGEKKWDDEISGIIPGASHNVRDEGQAWMIERILSYLNRV ANIA_04947 MAKDNKYSVILPTYNERRNLPIICWLLERTFRENKLDWEVIIVD DGSPDGTLDVAKQLQNVWGADHIVLKPRAGKLGLGTAYVHGLQFTTGNFVIIMDADFS HHPKFIPEMVRIQKETDADIVTGTRYASRDGIRGGVYGWDLFRKFTSRTANLIADVML MPGVSDLTGSFRLYRKSVLEKVISSTQSKGYSFQMEMMVRAKAMGYKVAECPITFVDR LYGESKLGGSEIVEYLKGVFNLWLKV ANIA_04946 MTSLLRYSRSIRAVNPRTSRFPVFLQQRFYGQSTYGDGETNPGE DRNAPTRDMEHPGAPPPNVSKENSTKSQPSYKQDESRSGVLEEDIPKKQSDKARPVIN DGRQTSNVKEDGNTKSDVPEDVKKHNEEIDQRHDKPYNRIDDGGKVGKGFWGKLDGAE GY ANIA_11453 MSEHRVVFMPKDIIHTGHIAIEMMCKRESRSNRGMAERHKDENK ANIA_04945 MSGRLSSDHSRSYSQSSRSRSGHHAPDSMHDQSTSGRSSNPNVF ADEYSLEPLESEQSTLTPRSPSISSIASSHTLRSSLPHHQKPYDTSATDNDNEQLENP FRDDARLSFEQDTVQRSSLPQKGFDLSYRNSVTSNSTVHSTAQRSQSTSSRFSLPPRA LSPYTGATGPSHPYAMYPQVGVSRSPSVATTSTIRPVDGPLIDSNGPQHPYAMYSQNV VVEEGLDDPIIPLGFPGHNHEAYQRPPNRAADDVGDLIGPDGYTEQLPPYSRYPEGAS PKLEPSVVALPDDPINSNQTSRNSDRGAATSDASSRTMVAGTVTAGTMAAGALAAGDQ PTERGGGALDGPPPTGVMAFEEKLKRRGQKKVCCGLPVWTLVLISVVMIVGGSIGGAI GGILGAKKAAEEANDSPETTILTMTVPPRTDATPLTATPYNMPPIPTGRFAIPAAPKN QSKFCILDQDQTPSWGCYSQNPIPIEVGGNKDKTIDFYSDPLPKTLTYGAQAPFFTQP SMPLDLVMDTSDLSLGPALNFFTFFDKLVVVPEDTFSSSDLSARTVSEDEVFAGIHHR KRSSDVGDRPWFCWWNSTAMEFFLYINETTKESRYSSSDLSTSSKSSDDEDSKVYTTD SLSRRGSSLSNYPRRIKMEEKRDNAEAHAPYCQQMQVLDDGAYTTVSPKTIGISVNEP TATTTTGQYGSTTQTAKAQYQSICYCVSLTD ANIA_04944 MAKQVLNAAKRASKKRSAPTENKDGSPQKKLKSSLQSSTDTPFT VESALALPVSTASEEELSDVVLITNRAPLVLAFAVCVLKYTMPEQPISSRLSLAQAVV SANSRSKAISLGLESDKPAEQEGWGDGLPTISVLGREIKVLKRWDYNPREGRPSQESQ GAEATSDDILGQCLTDDSEPRPSLWGIDVLALKRGESYPPETAANTSKALPIHNPDAA RSYLLKSFMKSPDKDQGESASSKRKSVTKIETEREACLSHLLRSIDMVCQSWASTLSA EDLDRRAWSCSTSAGNSFGVLAPACHYCNEFVLDGFRTILNKTLDIRCHIITDLRCQN WKRIYEHSSSKIFVKPSLVAAVY ANIA_04943 MADNSKHKAFPDVSSKLSAPAKKSLFERQKAEAEAKRARERAET AAVYEDFVKSFEDDSPAPERSTFEGKQNRFANRNTGFGGPAKRHFTSSGPRMSGPGTL GPPPTSLSRKRNHEGFQPLHRNWESAQGTLGFDNAAGAAKSAFRASDDEDEGSADAKE AERAAAKPTLYLASLPPGTSQSVVKALIPTVLTVDNVKFLRPSGQTATERKSVSAIVT LASESAASDIDSSVSALQNRYLGWGYYLSISRHLSSAAIGSTVAIGPSSTGSLPFGAK PVAPELGGRLNRAPPPGLHRGGFAPPSSYGPSYGGSSSTLQVEVKAPSDLKQLRLIHK TLENLLNYGPEFEALLMSRPEVQKEEKWAWLWDARSPGGVYYRWKLWDIITNSRSKDQ RGHSQNPFPIFEGGAMWIPQDKLTFEYTTRLDELVSDEDYDSSDEDISDGEDDRRQHG GPPPTDGIGTGNEGLGHMNPLKKAKLAHLLARLPTTHAKLRKGDVARVTAFAIKHAGA GADEVVEMIVSNIIEPFVYTGANPDRELEKGLARKEQNGDGANAAPTDKAGKEKLDTS SAKLVGLYLISDILSSSATSGVRHAWRYRQLFESALKTHKVFEHLGRLEKDLSWGRLR AEKWKRSIGSLLHLWEGWCVFPQSSQEHFFQVFEKPPLTEEELREEKEKAESERAAAA VSKNKSRWKAVDEDSSAAARFEPGGSSEGKPPSAEVHQEVAEDMDIDGAAMSDIDGEP MEDSDLEDIDEDENPREEIEEEDKPKEQPQTKSEKPPEIPAGPVRKPRPKAEDMFADS DSDSEGRIE ANIA_04942 MADFQSIAQQFVTFYYQTFDGNRAGLAPLYRDHSMLTFETSAIQ GVAGIIEKLTSLPFQKVQHQVSTLDAQPSGEHGGILVLVTGALLVDEEKNPMNYTQTF QLMPDGAGSYFVLNDVFRLIYNA ANIA_04941 MASSDTQCGHLVSPGLLNFVLSILIVLGILVSYLPQLVRIAALR SSFGISPYFVLLGTTSGTFALANMVSQRQTLQDVSCCKDVSGLSCFAGLLGILQVATQ LLSFFAILTLFVVFFPKNPLAPLSVPRKEGPTYRTALVVAFICIVHAVVMLIVTLAVG FEKPSALQSWSNFCGITAAILASIQYFPQIYTTLRLRCVGSLSIPMMCIQTPGALVWA GSLAARLGSKGWSTWGILIVTACLQGTLLVLCVFFEYLGPNKGHSHDYNKDTAPSQPG ENSDAQDEDGPYEETPLLRDSR ANIA_04940 MKLQLASWLVCALATAEAVGASNWFSKAVYNKWHETELERWLAD HDIPYPSPADRKELENAVKTNWDLKVQKPLGQLSDHSGDRWEHTKEWIFDTWTDSQLK AFLDRHGIPSPQPRRRDTLLKAARENYEAVAKKLGEAAHYPGNWLYDQWSDSDLKLWL DERGWPAPQPTTRDRLIAAVRRNTRLASLQAKNIAASVVQSANAAQETLSEALFSAWS DSDLKRFLDEHGIHVPQGSKRNELIALARKHRAALVDQASSASSSVSSTASEYIGAAT SRAGNEYARATDNASLKSQEAFDTAINSWSDSRLKAFLDARGVPVPQKNKRDELLAQV RLNKHKAATGWSAWTFDTWDTEHLKKYLSSVNSKVAHRAGVTRDELVKEAQDTYEKAT KAGGSQLASATSYLKQATASAKDATFDTWSDSDLKQYLDSYGVPVYQGTELNELRAAA RRNAHWFRYGTSTPQGALYAKFTGAAQWLLDQLKIGAASGRAQGREAAEKLQDKAEKK AEEVRSEL ANIA_04939 MSAEGTAPQAEVASIATPINLILFSAFAFLVYTQFRPKPPAVLP KAPAPVVFRTFTPKTLLPFNGENDKPVYLAVRGRVFDVTPGRNFYGPGGPYENFAGRD ASRGLAFQSFDKEMLTEDLSGPLDDLKDLNADQLENLQSWEERFLEKYLVVGKLVAEG DPEAPKS ANIA_04938 MWAKASLRTRKTSGAGDTPAPTDIRAQLSTVNHNRDISAKALPT LPLSPGPFSSQFTTYQPEPSQRVASSIYSRDTVVYDHHRQRSISDTYQSPPQLDDSYA GRRRSVDISPPDSPIGAPRSQDSARVSPIENGSIQATGVDGSAAKFSSHIPVFRKRAD SKLDQSPNPSRAKATQWDNFSGEPTSGLGRIGQVNPGIISFETHVSATRQRSNSSKLI DWGKEHFHSRKKVSESRNRFYANEATPFADREPWRGQSGRSPIVYPIQEKPRNRSSSR LHLSRSSDRLRENTPSPIVGSTGTFTTIITAGKQNHRQQPWTRPYGHDVPESRNASAV SVSSTTPPRVDLVSSPDLSASLTDLTLADNEHNEPTSRFSVTTCNTTEAESATPSPRN SISPSPPASVIDTASQLSTDTTRSIMSRSRPVPSAIAPGKRPARKPTPSEISNKALPP NPAEMTPQGRIDMLEAKRNELMRRKANINTMINELTQVIQPSSIAYDMAAREEVKKTV ASLNSELADIQKEEHEVGLKLLRAWKKRDDNDFYGPSSSLWVKRVTS ANIA_04937 MGGASREGGKVKPLKAAKKEKRELDDDDLAFKERQRAEAKAKKE LMEKAKGKGPLNTGNQGIKKSGKK ANIA_04936 MSSRRSSTASEGEIIESGSETKATTSQSLLNDNSVDRPTRVSSS ASVTRSPRRQRSRARTRSRSPSRSPYRDYRGYKRRRAGDYDHDDYWYGYDDDRHSGRT FPPPRRGCHRHDGYPQRQARSYYDYDREDNHLADLRYSDDYDRRREKRPRTRSRSPYR EVRKRKQYSGDEWESQQSRREGSVASQSKRRSSTEQSVSERGNPPAVARDSRQDAETQ KNQVQAASILPTSDGDSMNGQDVAERHEEPLDEAAQLEARRKRREAIKAKYRDQATPL RIQALHLGGDRGTTPTSEAATPNNETAESAATPDGGSFPDFSIGKDLDLVKDGAVDGA DKDEPSAADYDPTIDMKAERERHGGQSNDVSAASYDETKTTKQDVLLPDAPSQQEPEA KDSFDIFAEDDDDMFAEDTEETSKPAHASAIAVPQARELDVSMMDNWDDPEGYYNLRI GELIDGRYHVQQNLGKGMFSSVVRAKDSKTGNVVAIKIIRQNDTMKKAGLKEIGILEK LREADPEDKKHMIKFERSFEHKGHLCMVFENLSMNLREVLKKFGRDVGLNLRAIRAYA QQIFLGLSLMRKCNILHADLKPDNMLVNEQRNILKVCDLGSASLASDNEITPYLVSRF YRAPEIILGIPYDYAIDMWSIGCTLFELYTGKILFTGRNNNQMLKSIMECRGKYPPKL LRRGSLAHLHFDEMANFHSTEEDKITGRLTTRIMDFKKPTRDLKTRLMGKGTRGMTDS EAKELALFVDLLDRCLSLNPEKRCTPAEALKHPFILRPKA ANIA_04935 MLTQPVPFMYPHPHPLPHPHPHPHMLPTPPPSPPTGRFYAPEDR LGLLLANRLELTSILGVGAYGVVYTAVDIHTDVLYAVKALNKTGLDPRQLKFQQREIK LHHMASQHPNVVSLVRIMDSDDCTYVVIEFCPEGDLFSSITDKSHFVGNDPLVKRVFL QILDAVHYCHSLGIYHRDLKPENILVTDQGMTVKLADFGLATTDMFTSDFGCGSTFYM SPECQQTNPRPMSYYQSAPNDVWSLGVILVNLTCGRNPWKRASIEDSTFRAYLKDPFF LKSILPLSDEMVCILSRIFEPNPSKRITIPELRQIILECPRFTLNPMTPWGSTTGPLV NYMHPPQVTPIENLNTQPSACSSDSSQYSDAFSAVSDASSYTEGYSDMDSVSSVGQDD FKDEFPADTVCSDLQQPLSAPVTGSAAGPLVVCQQPFTYLIPVC ANIA_04934 MASRLSQLNAHLNYPRGLLADQVAIITGAGQGIGAEAARLFANE GAKVVIADIDGEKANAVANAINSASPNRAIAVVGDILNDKYITTLVEKAAEFGNGKIH IIVNNAGFTWDGVIHKITDKQWDTMIAVHNTAPFKLIRAAAKYFRVKDGEPRVIINIS STSGIHGNAGQANYALAKAGVVGLTRTIAKEWGPQFGVRSNTIAFGFVQTRLTAAKEK GAFITTPDGTKVALGIPGQQLGAKEGAKDGKPAYPDIPLGRPASPEEAARSVLAVASP LFSYVNGETIRVTGGRNM ANIA_04933 MLTESGTSSSSPGNGETPKLRTACENCRQSKVKCNLSGKDTCIR CLRHGLPCRYRVANRSGKPKGSKNRSTLRKLGQLQENKSAFTSSDRLPKRNIEAIPSP GFARSDTESLPTEQPLESPMGEMQDAALFLADCEYPSPYGESLADSSCAASMSPTFLQ KEFITKGLTSFPLAVHVPSALRPTCECAETLVFYHDSLRQMVVNPAQVRFDQMLQGVQ AALSVCRGFLQCPSGHKDNHSANDTSIILCMSTLEFTLQLLDYWTSYELLPQSREGPR EVGYGEYEMEADEARRVRRFLIRGRLLLCKETLGLLKGAAGFEDGPGGNWLQQIIGGS EAMTDTFLHAMSEAECLCNLPTY ANIA_04932 MPPRQRRPTEIHKKDPGGCQTASVATAVLEDPPRAIRSLVHWDE LPHWQRDNQHIHTGYRPASYSFRGSFQSLTYIHNETVNIYTHLLPSVLAIPAAIQLYR ALAPRYETATDGDIRAFGCFFVGAAFCLGMSAFYHTISNHSPTVARIGNTFDYIGIVG LIVGSFVPSVYYGFYCVPELQRLYWSMICTIGLGCIVVSIFPKFRTPRWRPFRAAMFV GMGLSAVFPVVHGLQLYGRDQMMRQIGLGWLLLQGFLYILGAGIYAARVPERLRPGGF DLWGSSHQIFHVLVVCAAVAHLTGLLRAFDYRHNGTAGSCQV ANIA_04931 MSGRAPSAKWPHSRLKPVADSLESVGFVSKGDCKLLDHKAQKNY YDKIMARYIEFCARHSKNLDEAWLSLPRSASNDATKNPPASVPQSTKSAVSPGPSPAT ELSTLLLSLRKLREAVLATASTTPISFSQRVHVFSIKVSIQARHPPSYFPSLRHLLDD LHTPSNPLPDSELKDHTSYLILDYACRQEDLVAAFELRARARRQYNFHSREVDQILQA IAHDNWIVFWRVRKEVDSAMRAIMNWAEDRVRRHALKAVGKAYLGVDIAWIVEGCTGD STWTWEKLAEREKLGWEKEGDRVIIRKPRSKPKPEGNLTPIQEKSTG ANIA_04930 MYNEQWLLTTYSPLNVESLSEISTPLHQNATDYGMYSGDSFSSL DPLAPELPSEMGKDLSFLLRYDNYHSLSQVDIPHALRSDFISPTSDETLASSLSTLER LLAEGHFLLAAYLAGTILTSSLISPTDIKVIFALFYTRLACLELSGNTNIAAQESKAL EDLSSTFYYVEPKLAATDARDHQLSYARHIAPWPLRVLAIRLQSIGFGDPRRGIGGLY ELGLEARREILRPDIDPAEREIWKQRLSDLGIRTVNALIEMGDLCAAKRSLESLRKTE SANEITRLRATLLLLAIGDVDAARQLFEGFGDTGDAIFKPLLSMSEGRYNDAVIEWRD ILGNNSREADEAIISQNLAVCLLYTGQLSEAREALETLVQAQHSFSSLIFNLATVYEL CSDKAMQLKHGLVEKVARQPVTGHTNLDRPNADFKL ANIA_11452 MASTFSEIPKSSGGAADITIAGEIGTAPYKPDLKQGSVRRRPYN QPETDGFKLAESQPPGSTLVMPEINEPTSIQKRLGTGSPDF ANIA_04929 MTTQTGPVIPPRPSRSPAEKTADMPKIPPRPTHRIDRTASPMGS NYAPSPLNEPPNGSTLSKTVSNDMPARPPSVTIPSLGEEGIEYQDLDALNASDSHNTT PAETRNVGSDLKLHAPRPSLPTSSAKAKVQAVTRTDSSQAVAAGFGAIGSPEQDEQQE RSSRSIYSVQGSRAASSTASFERPRSIHDEEHGIPEIGQRVPMLANAGDVQAPSPSPF LEQPGSRSGRGHHRTRSGREASLPPGSYGLHGHGVPTNDKFEKAWYEKHPDEYAKEEQ GHYGSGVGTPRPDWALSSDDLNKIVRGSAVTGAGLGTSPAITGTPEEELGYLASEEYT QRLTSPTPDSKRDSRPTVESPLKNSSAPAAEAGEKAKEQPSFIHIDEPYHHRDHPDGF ALTPDPQEIANKGKGDEEDEPILAADEVRPESAYQHAAVSPTFNRRESHDYEGRSRTP SVNGSRSNSRTAGRRGSTPVLARYNSHEDHAPLEDVEEYEPLFPEDESKENNPMSAAE RFKKRPDTLKHRFPSEDIWEDSPNSHQLHATVSTPDIPKESRFETPEQEEARKTREPS VDSHQVAQRILHSEEPASRPGLAKQRFPSRDIWEDAPESQTLVTTIEPPEEKQTSPDV PSKPAIPARPEKRQPPQVDVSTKPTSPVEKRQPPVIPDRPKPQIPARPANRVSRSNGD EAQDASVKSKPAVPARPIGGKIASIKAGFLSDLNSRLQLGPQAPPKPEPKQETPAERG PLSDARKGRARGPARRKPAVESPGARLPTIPEIKITETWNVWEVREDGQLIVGDGNKG NKASTASASSDPWDTPMAPPLARNMAGGAVDPPRQPTKEEVLEPTSTGTSSELEQEAP KVEPTEAKSMKEEDLSSSIPGGFDSSEKKVETPSAVSDDKVESVPESFEKQVDITSAV SGDNVEAVSEDLTSSADGKKLSDGDETVSASRD ANIA_04928 MATISATALQEGTTPWRKNLSAHVICPECKEMPPNLEFPGSHET VCGSCGLVLADREIDMHSEWRTFSNDDQNNDDPSRVGDATNPLLNGDQLETQIASGGS GRVRDLYRAQNKQSSDKANKSLLAAYKEIGALCDGFGIPKNVADTAKYLFKIVDDAKA FKGKSQDVIIAGCIFIACRKCKVPRTFTEIFAVTKVSRKEIGRIYKALEKFFTSQNLE RANAVVSNGGVPDPNDTYTATTSTKPSDLCSRFCNLLDLPFQVTSVSSALSDRVTTEG NLAGRSPLSIVAACIYMASYLMGHPKSAKEISQVAHVSDGTIRGAYKQLYTERESLVD KEWIKDGKGALKNLPPS ANIA_04927 MMSQSLRASSSLFARVARQQAPSVARRTFLTSAVRRADPVQELY LRELRAYKPTPVKPGDADAHVQKFSAPAAPKSPEEANLANELSSYESQEVEVEGQAAA GEAAPAEESWFEEDEDETPAAH ANIA_04926 MSPPALEIATTHQDDQNSQLSRAASYNNLPDLAASEPGSPGLRR TFSDLTFQKQAESPSKEDVAAGKDILRRTSLRRSKDKSTVAVSRFTVSTEDLADAPPS EAQEPPPKVPETRAPSPVARPSKARSMSGRLVSFARKPWMSSSPNRSTSPSSKTARLR SLQGEDSAPQTSSPRSSGSLDLAAGPDSAMPTRKRTILSKRPRRPMVAVVTQSRAESP STPSSPSPGSLLAKGSLERLTSSFNVSTPVLPPAAKTAAVSGDVPGNLDPPRKKDELW NAFRGLEADFQKFQSKSTALKANVIRSSLLPFLNRAHPPHYIKALRPEDLDRRVNILN KWWTGLLDMLNGKNNQAISGTDRPVYLEAVVGIMSRPEWKVPFPMSSPDAQLPKPLKP SGASISETSEASSGSDFLVESIHHNIRNIFTNNLLTQMAFVVERMSMRHAPASLVAFC GKACAYAFFFCPGVAEMLVRLWNIPANICRRVYAETQVDKSSGLRAMTQELALNFPPG LRSLSFHTHTALVRYLRQKPEVPLNITQIQWQSPWVTRWCGRDTDLFYVFVKYIHLLY ADAIPSYFEKSARILSPGLLPIHAQILIVLEDTLYKQSQNQAPENPHTTAAITFDDFI EGAEGSASALPLGTANSHRSMAENRLIILLRDYLSESSLEPNHARLFYAESFCMIMKI TAQRTSLFDHNACFLLCDFVEEAIPIIARYSRSIEMDLFDWKFWLEACRQMMQSHNSL TEVRVFSFLFCIWNTWIASEERKAALCLGFLLDETLFYHYFSHWSPMVRAYFHRLLCW RLGRFNGDPSPLDSIIYETLSNRLQRLWDFYLAVQTKAQSGLAAPLSSAPCTPAPGRR IIIIRCDNPMSPSNLFMSFDRVLPASAPNQATSSISMKADDVSEAQNPPKKRWNILKA VFGASRSNEELSPITSSDESDTTVSDSSATGEKGADVSWRGGNNPSESQRPKTPHQPY TFRFSLEWMDRPQWPSKNKRLYTPSLPVASQLHLQLRRSTLKSEDSDTTSDDTTDSEA EKDAEKDPLAPGVKQNVTDADAKIKKAPKNDLTAAADDKIASSKYAGRALAEWAQIVS ECDSFFSRRRDEGVPNDRMVETPTLGVEGFRK ANIA_04925 MTKDQKYEYDSLPIPSYEEAIADRPSSSRTHLAADVTDDPAAEG QALLHETRESAARDGPQSRPHGYHPPTVESARNSVDDLGSSPAASERGSLEELRGELN QMDVEDGSQQSSQRSRLRSRLSKPFSNLGRTLSSIHLPLRRFLPNFKFTINLNSARTS FNSNGCIILLRLFGLLLVIAVIYVFVVSDVFNFSSRFMMGQSYSAASVENFVQGHINE TNIAEYLRRVTEFPHMAGTEGSYVLAEWIQREFEEAGLETIEMEKYEVYLNYPKEDGR RIAIIDPPDLRWEAILEENDSETPVFHGHSKSGNVTGHLVYANYGSREDFQYLADKGI NLNGSIALVRYYGTESDRALKVKAAELAGAAGCIIYSDPSDDGFVRGAAYPKGRFMPA DGVQRGAVSQMSYVVGDVLSPGFASTPQMKTRLSLEQTTGMPGIPSLPLAWRDAQRLL QVLEGHGSKVPKKWVGGVPKVKQWWTGDEKSPTVNLMNLQDEVDRQPIYNVIGRIHGM EEPEKKIIVGNHRDSWCLGSADPGSGTAVFLELVRVFGELLTFGWRPLRTIEFASWDA EEYNLIGSTEHVEDSMDNLHGNAYAYINVDVGVSGTDFEASGCPLYERVVMQVLGRIS DPVTNETLKDIWEQKNKRFAPLGAGSDYVAFQDLAGISSIDFGFTGEPYPYHSCHENF DWMAKFGDPGFQYHKILGQFWGLLLLQFADNPILPYDVEVYAEHLAGYVIDLENYARS KNVPIDNNASGDSSVNLTPLYNATSKLRADAEQFQQWPKLWHDAVWGAGGFENNIIAL QRMSHNFRMAKLDVHLLDNRYGGGIPNRTQFKHVVFGPQLWSGYDAAIFPAIQDSLET RNWTLAQEWVDRIAGIIQNAGDKLLHDD ANIA_04924 METMSQVGAGFSSETANFGVYEIKVESDPQDKIDKVSALRLGDY WDQGDPTAKASRIEILHRQPAVSRRPGNGREQPMSQLILVRLPLPTSSSIRTQWAPSI PCLQSETTRSRTRYGSMGQ ANIA_04923 MSRPQNIGIKAIEVYFPSQCLDQTELEKHDGVSEGKYTIGLGQS KMSFCDDREDIYSIALTTLSSLMKKYNIDPNSVGRLEVGTETLLDKSKSVKSVLMQLF APHGNTNIEGVDTVNACYGGTNALFNSINWVESSAWDGRDAVVVCGDIALYAKGAARP TGGAGCVAMLIGPDAPIIFEPGLRASYLTHAYDFYKPDLTSEYPVVDGHFSLRCYTEA VDACYKAYGAREKTLKEKTQNGTNGVTHDESKTALDRFDYVLFHAPTCKLVQKSYARM LYNDYLANPTHPSFAEVAPELRDLDYETSLTDKNVEKTFMGLTKKRFAERVRPGLDVA TLCGNMYTATVYAGLCSLLSNVTFDPSQPKRLGFFSYGSGLASSLFSAKIVGDVSYIV EKLNLHKRLESRTVLSPTDYEAMCELREHAHLAKDFKPSGNPDTLFPGTYYLTEIDGM FRRKYETKA ANIA_04922 MSLGFPYYRPTTPPVQYQELAGQTHNHTASDSSIYSNDSSPWSA VTSTTSPTIDSPPRYQHGPALLPRIRSQDVVIEPPPTAGPQRHRRFLSNTRNPPGFIP YPTRRPSVQRNVVEVSDRLAAVSSAHTSPVYASYNESALSSPVSITASHRREDSGKHS RSSSASSMEEAKYAYLNYRQCPKYTAQPQASPTALITPATPSIMVYPPFSRDSPAEPA TKSQGTPAQLALPASPYHYAQAPSAQCSPVGVAHPVPTVCAQSTTLLSYLTSPTQAIN LVRNGLTKLLKTAIPTSLAPPAVVQSSRLSPDSEIALVNLVQDIYAPRVNAALAVSQG PDHLSLYPAPTPRIPANKNYGGPHFLANYASDTEQTTSGLPRGRLVGIAKSFDRWNTG MRNEAPHRRVEYLNGLAHLQRCMREHSCRYGFIITEIELVCVRAGCDPGDDVPYFGFL EVSAPIPTNVAARPANANDDAVEHNSTAYASPNSPTPSVDSSLASQSPPYNHNPHEGY SVEATEALNGPMTASLALYFLLMLSKSVPLPSQPSSHLNVGGPGALTRQRTLPEGKDK WIPEPQIGEKRDAKRVRGWIWPHDAWHRREGGGVPRSKAAAAAPAKAKKWHK ANIA_04921 MAQLHDVLIIGAGPCGLAVAARLREHTPSAIFTDAEHQRYHWIN KHKGRMNLVRRRPGKMGSLGVPKHQPAAQGKERASSVSSEDSTSTNSTTFTQGRDSAT SVSSHDWDSEPEEESKDSPSIKVLDSTSPNWLTKWHRAFNTLEIAQLRSPMFFHVDPS DRDGMLAYTTEQGREDDLWEIPGCVGKELSKHKKKKQQRQRLKQKKGNLGVLGDTEID ERDRKDYFSPGTGLFRDFCESVVSRYRLDRKGFIEEAEVSDITYGYVDDLDSVKKVFT VTTKDERVFYARTVVLAVGPGGKKIMPFELSEQEKEGACHSSDLRPGLFPCPHVKRMI QARKETNLVIVGGGLSSAQIVDMAIRKGVSKVWFLMRSDFKVKHFDITLTWMGKFKNY SKAVFWSADTDEERLEMIKTARGGGSITPRYQRILLKHAAAKRLSIHTHTVITEKAYN PATRTWRLATSPPIPNLPDQIDYVCFATGMHMDVNELPFMQKMHADYPIRSIGGLPCL TDDLMWQAEVPLYMTGRLAALRLGPGAPNLEGARLGAERIAWSLEEVLGKRTFGKDEK REALDVERSMECFCGLGNRFAELPEA ANIA_04920 MLMANPNYFGSYEGSSRLPTPQIEIHEDDQSSSLSPGQTGSRTL MPTADRLTVNHDPPRSSHSLDGDTLRARANSTVSSAETIVHAEAPSKLEPTKGALKTD FSHLDDVPLSEALNPDPQYVQDFEVQDNKFSFSPGQLNKMLNPKSLAAYQALGGLSGL AQALRTDLKSGLSTDETTLQGKVVYNLETTSFDYVEDAGSSEGADTQFSDRIRVFSQN RLPARKTTGFFMLLWMAYNDKIIILLTIAAVVSLSLGIYQTIDEGHGVDWIEGVAIVV AIAINDDREVKAVRSGKVVMISVFDITVGDVLHVEPGDSVPADGVLISGHGIKCDESS ATGESDQMKKTDGFEVSRQIADGTATKKLDPFMISGSNVLEGVGSYLVTSVGKYSSYG RILMSLQESNDPTPLQVKLGRLANWIGWLGSSAAIVLFFALLFRFLANLGSNPGSSAA KGQEFVDILIVAVTVIVVAIPEGLPLAVTLALAFATTRMVKENNLVRVLRACETMGNA TVICSDKTGTLTQNKMTVVAGTLGTKGFSQDESTSMSAAELFKICPREAQDLLVKSIA LNSTAFEEVKEGTKEFIGSKTEVALLQLARDYLGMDVATERASATIIQLIPFDSARKC MGVVYQVADGHYRLLIKGAAEMMVDKCSNRINYDSDKLCIEPAAAKDKQEILEIIESY AKKSLRTIGLVYKDFSAPTWPPPEAVRVQDDPDSAEFDTIFHDMTWLGVMGIQDPLRP EVPAAIERCHVAGVQVKMVTGDNINTATAIAESCGIKTEDGIAMEGPTFRRLSEEEMD KVIPRLQVLARSSPEDKRILVARLKKLGETVAVTGDGTNDGPALKTADVGFSMGIAGT EVAKEASSIILLDDNFKSIVTAIAWGRAVNDAVAKFLQFQITVNITAVVLTFVSSLYN SDNESVLSAVQLLWVNLIMDTFAALALATDAPTDKILNRKPVPKSASLFTVTMWKMIL GQAIYQLGITFMLYFAGDSILSDYLSSNPDIRHRQLDTIVFNTFVWMQIFNEFNNRRL DNKLNIFEGMHRNYWFIGINCIMVAGQVMIIYVGGEAFNVREITSVQWGVCIACAFGC IPWAVVLRCIPDKPVGIVLDTVTAGIGFVLKPLGRAFAAIGRPIKRIIRRRQNKNKSD DANALTYLKEQRVPDEEQRSVPQLTFTTLDDVSSR ANIA_04919 MSTLNYRTINIDALDPDSPQNFPLTTLLPSTLPPPQGSSDAANT ATQVRQLLRSGDPAAALIHVLDTAPLGGDEGAKQVHLATVIDVLQGIRQGEMGRVLQA VLDGPGGVERGDCLMKYLYKGMAAGGPSNGAQSPRKSVSPQSTGFSQIQARNLGEGGG GQQMSVLLNWHEKLVELTGTGSIVRVMTDRRTV ANIA_04918 MSSLRHLRPVFRASWTARRTYASQTPGNPVLEIFNRKVKHLQKD RAAQNVEESRKVDYLRDEVAMRLCERLLDIKRRFPNVLDLGANSCNIARALTTPIPPL DAAAAPDGDADAAAAGATTITTEGATISPGGEPVTLADRIDHLTCVETSSALLHRDAD LPFNKLLPITRKVIPDLESLPYEPNTFDAVLSSLSIHWINDLPSLLAQVNSILKPDCP FIAAMFGGDTLFELRTSLQLADLERRGGVSPHVSPLADVRDVGGLLTKAGFKMLTVDV EDIVVEFPDTFALMADLQAMGENNAILQREQGPISRDVLLANEAIYRQLHMEEGSRGI PATFRLIYMIGWKEGEGQSKPLPRGSGEVNLKDILGGGDFRP ANIA_04917 MACPFPYTFISCPCADASVPDPSRKRRSRESPHKASPVKPRPQP VISDDEDEDEQTFDPRAPRSNFSLYPPEQLLYCEDCHQIKCPRCITEEILCWYCPSCL FETPSSLVRYEGNRCGRNCFNCPSCTAPLAVTALQGDPPSGEQAGPWVLSCNYCMWTT LDIGIKFDKPTNIRAQLSKLTTEPSSTTASRSRGASRSFGDLKSPLSMYSSIDEQLPS AGTNQPGEEQPTNTHTPRTRDARFAALKAFYKDQMTATNTTGVDPGDFAGQFSSPNTL QRFMVIYTQSRLFTLYGTLTPSEGLFIPNRPESEVAIIRRLASDDCGWDGVASIEQRT AQPQSFSARFVEDLLPLPTLLRTKRSKRCKSCKHILVKPEFKPQSVRYRIKLIAISYI PLPTLRPLVLPPSASQPLPPPPPDLNSLQPQKPIQLLLTLKNHMFDPVRITLATPSVT PGRVASKVTILCPQFDIGANKDAWSEALEPVAPPYERVAEAGKVWEKGRNWTTVVLEV VPGSLPGLGARRSATGTGSNSDDDDDNADNDPDDSEDAAKGVSGQFDWSGQASDPSQQ IQPDEDVLEIPVFVRMEWDSDSPMESEEGGKDSLGAAAGLGVGGTKRELAYWMVLGVG RIVSLSAGSSSSS ANIA_04916 MAAINKIAHNSPSRQNPSELETAIAGALFDLESNTQDLKATLRP LQFVSAREVEVGHGKKAVIIFVPVPLLQAFHKIQQRLTRELEKKFSDRHVLFVAQRRI LPKPKRSVNSRTNQKQKRPRSRTLTAVHDAILDDLVYPVEIVGKRIRTKEDGSKTLKV ILDEKERGGVDHRLDAYGEVYRRLTGRAVVFEFPQGGASEF ANIA_04915 MSESTPANAPKPSSSVKLVLLGEAAVGKSSLVLRFVNNDFQENK EPTIGAAFLTQKCSLPSRTIKFEIWDTAGQERFASLAPMYYRNAQAALVVYDVTKPSS LTKAKHWVAELQRQASPGIVIALVGNKLDLTNDGGETPAETEREAGTEGDDADDNQEE QEDNTPGDARKVPTREASTYADEEGLLFFETSAKTGLNVTDVFTAIANAIPESSLKSG RGAGAGTGQTTLGGGGRSTDDSRVNLGDRGAATAKEGCAC ANIA_04914 MPRKSILSVNAGSSSVKITFYSYTKTPSVIATAQVSGITAPPAT FKYSVGSKQKKEELKEKISSGPDAFKLLLHRCFTDSDLKDVASADDLAYICHRVVHGG DFESPVVINEETYHQLEDLEDLAPLHNFAALEIVRLCKKELPNVQSITFFDSSFHKSL PPYVKTYPIDQETARRNKLRKYGFHGISYSFILRSVAEYLNKPVEKTSLIALHIGSGA SVCAIKDGKSIDTSMGLTPLAGLPGATRSGDIDPSLVFHYTNEAGKLSPASTKEMHIS TAEEILNKKSGWKVLTGTTDFSQIAVEDPPSEQHKLAFDILVDRIVGYIGNYYVKLDG QVEGIVFAGGIGEKSALLRKAVIEQTRCLGFAVDPEKNQHGPGDDETVVDITASGRSD VKRVFICQTDEQFEMAYNCTKTQGLDKQ ANIA_04913 MPGEVIERPNPAPKPSHVPDLVEKLIIPAQKTKLEKSDCDALHK YRRAAAYIAAGHWGTCPGLILVYSHLNYLIKKQNLDMLYVVGPGHGAPGLLASLWLEG SLGKFYPQYTKDKEGLHNLISTFSTSAGLPSHINAETPGAIHEGGELGYALSVSFGAV MDNPDLIVTCVVGDGEAETGPTATSWHAIKYIDPAESGAVLPILHVNGFKISERTIFG CMDNREIVCLFTGYGYQVRIVEDLEDIDNDLHSAMSWAVEEIRNIQKAARSGKPIMKP QWPMIVLRTPKGWSGPKELHGQFIEGSFHSHQVPLPNAKKDDEELQALQKWLSSYKPD ELFTESGDVIDEILSIIPSDDKKLGMRPEAYKTHLPPDLPDWRQFCVKKGDQFSAMKA IGSFIDQVFVKNPHTVRLFSPDELESNKLSAALSHTGRNFQWDEFSNAKGGRVIEVLS EHLCQGFMQGYTLTGRTGIFPSYESFLGIIHTMMVQYAKFAKMAKETAWHHDVSSINY IETSTWARQEHNGFSHQNPSFIGAVLKLKPYAARVYLPPDANTFLTTLHHCLKSKNYI NLMVGSKQPTPVYLSPEEAESHCRAGASIFKFCSTDGGLRPDVVLVGIGVEVMFEVIK AAAILRERCPELRVRVVNVTDLFILENEGAHPHALKHEAFDNLFTEDRSIHFNYHGYV NELQGLLFGRPRLDRATIKGYKEEGSTTTPFDMMLVNEVSRYHVAKAAVTGGARFNEK VKLRHQELCSEFDHNIAETRKYIMNNHQDPEDTYNMPSFN ANIA_04912 MSDSNLDISNYILPNGTVPYEVRRQLQTGCHAYIDGIGTPYGYV PSLAAGIVFLVLFGLTMVGQTVQFAWKRTWWCAVFAVGSLTEVIGWAGRTWSAECPYN TNAFLMQITTLIIAPTFYTAGVYVLLGRFIELLGRDSSILSPRVYLIIFVTCDIISLV VQAIGGGMASVAAAQENGNTTPGTNIMVAGIIFQMASITVFVLCAADFVRRTLVRRLL QNYTKTIVPLLAAMVFSVLCIYVRSIYRTIELLEGWSGYLITTERFFIALDGSMMVLA VAIFNLIHPGWFLPESGHGGKGCEDGSFTELRYLG ANIA_04911 MAPRKNETLVSSSPKKSYAEVAKAPPPPPKPMVERIPSLARFFL VVISSLALSSTLFTLTSPITLGELGSVSRHLEEWWEVGGLMSWKAVEVALAWALGFDG RDVLNFVFLIHLPTYALLSSFYGLRPTAVLASYAIVLISSAVPFALLRKPSSVHNLAR APSTAVSNRGILQDWGITVYTTILATAIYAVTLYFSYQTWLPAQLVVHFERLPSVTRV HAGPAGLPTLFLSLLPAGWAARDFLFVSSAGTPAVQDTEIEKGKSVLPQQGEYLASTV YRKTWGALSWRTRVLIKRTVTLATVLMANTIIQVAGTVSGASFQGASVWGFVWTTATL VVGLVYGWIEAVDGL ANIA_04910 MFSRSIQRYLVSSTRARPWLPPRRPGRVCAMFSGQNFTQSAIPG APDPQDQPNQDDGKGKDNDQEPNLKSTILKMLESAATTFASIAVLGIAGYSYHKYYKY LILQKMENAFEPGDPALEVAGVGAKQYNHEEHWLVRDEQHKIDQIISGGIPGHYFLLI GEKGTGKTSMLLEAMRKINGDGCAIFDAHGDQEIFRIRLGKALDFEFHEDYIGSLFSI KGPRDTTALLDIERAFNKLEKVAMKRRSNGKAPLVLIINSTHMVRDDPDGQDLLEMIQ QRAEQWAASNLVTTVFNSDDYWVYERLKRYATRMETIPVVDLPKEKAMAALRKYRKDY FNEHLSDEVLKAVYDKVGGRLSYLSRVAKAPDFMKLCNDICEAEKTWFLNKCWILGEE MDDDVMDQQKYASAAMVMAKALVDKEKAMEKTYDEEKGHILPEIPLHEARQIMTRADF IQSYDHENVFTINSRAMVRADSVPMQHAFREVCSQPGFEEHLEATLERISAIESLGRT RELTIKDLWDHGKYQIAMRDTKGREQGTLEFFTKPVEKDDD ANIA_04909 MPMTWNAETEARLLLGILAQVRGVLDYKALATHMGPECSVIALR QHICKLRRDAGIPASSPKKARVHHSEYTPKVSKQTTSVGTSISRVKRPYCFVDHDDEE KEDSEKDAKPIIKYEDEKKNKEEKDREPFFVDLIGDNMTVRSVWGTHVILWLNRPREL RQPSRHLVYKITFYSYQPASYGQKHKPHTQMPANRVPTGQY ANIA_04908 MAQTNGEMEHSKESPEQITNGNNQEVVQEDDGAGGLFQISVKLP HEPYKIQVMVSSQEQVQDVRQSIVELPGTFQYTCFHLEFNGKRINDFVELSEVPGLQA DSEIVLVEDPYTEKDARMHVVRLRELLGAAGDRVDTINGVNAGLSLHDSIAAEAGSEK SEKEHSLAKYDIPGSSSLKTILPRSEAPAPKTVKSISLSPWNPPPHHLRQKGHLLYLQ VTTNEGEQFQITSHVSGFFVNKCSNSRFDPFPKTIPKKGSAHSLLNLISQLSPSFDAA FKELQESNNQKDLLTTFPFQNSIPSSPWLVAPPASNVNAHQPDITRSQENYLISGVDN AETLRDWNEDIVPGIFKQREPGEHQIDYGGVEGKDVVATHPDFVPVFEKLSKALRIKK HPVWDKDNQRHDLEGSVETKGLLGTDGRKYVLDLYRVTPLDVAWNEEEDGDAYPHRMS VLRLELVESYWRAKMSQYVKAEVERRRAAKAEEAAKKEKSSEDTESKEEGSEEKSEEA LDQERVDISGFSLALNPDVFSGQIPQTDEEKEQWAQDEKEVRDACDFLRSKVMPELIQ DLHDGDVGFPMDGQSLSQLLHKRGINIRYLGKLATLAKEKGSRLEALSTLLVQEMVVR AFKHITNKYLRNVPAPFAASCVAHLLNCLLGADVNATPRAEIDSSLREIYPEGDFSFE KVTPEALRAEIEKQVTLRYRFNLESQWFNSLRHLQLLRDIAIKLGLQLAARDFVFTKA QAEGLKVLPVANGVNGTGQDEGSKKKKKNKNGDSGSPARSAAAEKPIVTFTPDDIVNI VPLVKDASPRSSLAEEALEAGRISLMQNQKQLGQELILESLSLHEQIYGILHPEVAKL YHQLSMLYYQTDEKDAAVELARKAVIVTERTLGVDSADTILSYLNLSLFEHASGNTKV ALAYIKHAMDLWKIIFGSNHPDSITTMNNAAVMLQHLKQYSDSRKWFEASLSVCESLF GKQSINTATILFQLAQALALDQDSKAAVGKMRDAYNIFLSQLGPEDRNTKEAETWLEQ LTQNAVSIAKHAKDIQARRLRRINMGTRTTLGTQIQPQVGQSTADVSAPSQASNSSID SRNIDELLKFIEGGDTSSSRTKQKKRAAASNPKLRGSKKSSA ANIA_04907 MEDVRPTAKWANRMLRPLASIYHRLEKHHEIVTSVLTSKTREKI GAAPAPDTEQRTRPPRRTKSTERGLNHSDDDDELNDPAWIPGKSMDKRRIRHNYSSRG RGAGLGPRRRSRLLIRSPEAPKTLPGAIEIATPLITGKLRGSPLTETSPSLKMQLFQN RLSESSGGGSTEHRRSGRTHKAVFRPYQGSWKEILDQSGDPRLVDIAHLLDRIFLKFL GNTRVAFDRGGARSLLSTAVRRLPDFIAEEQRIQDELEEGCDIDMCDAYFTELEAVYA PGGDGWQPLREAVRAQGIYLVSCMIQNKWITSLAACRLLEECLKHQELDAFESLETKL LANVDTYQHPSALDPPRPSGQRDPIQLLGSYYLRHPARHVYVFEELTKLLTRGAVPPE WMVTTLWKKCVDKAIRSVSTEDRGYAAARRLIEAVILSSAGIYSSASPKMPPLANHRG QALRPVRPRETRASTTTSNLSNDQSLCPIPVQDALSNLVSSLLAALCGICIARSQAVD AVARSAGLKAREMVGDLAFTVQRAVGNETIILELEQAAFRPLRCGYVLLADCTLQCGQ SGTPEVIYHCDSGSRRNIESFFKASASRQDVVRELARLVSQVFHHFGHTQDQGPVRTP YQIRARVSQLAQLTSTTGVALLLGKVAAETAMELAERTLDTDDHTWAVEVQEIVASSQ QGETSQRSPSNSHSITSLYRWEDTIGEWVARTPAARPRAVTTAVAGKAQLKKHRRPRM VACSTSSSSASSICSEESVSSVTSSAPSPEPQTPVTAYAEKPQARRRGRPRIVSRTAS TSRASSTCSEEGVSTEISSMSFEKPQVLNTGQRRRRGRPRLIASPANSLPAKSCLEDT SSVASSPASVSRKRPCVDRDSRSWPFKKTYHTRSSERVVSKGDNPTNDPDCSSYQPSS GATHVPAAAQTNTGLRDVLQKNPVGQGDATTRNTRMVPVPTLEVVIVNKSPSSNCAAA SKHPMQPVKRRTRHSSITPRLSPRLPRRRQSVPARVTRRSLETVIPGPEDDSDDELSF L ANIA_04906 MASTLLFMSLVGHSLAADLERRHGDENPFWAALNDDLARYSLLA LGCFAACMYMWKMWFRIAAHMRRLASFSNERQNYFVPAHPTLAKIKKHIVYAPLFRNR HNREFQLSRAVNMGTLPSRFHTFIIVGIIAMNITLCCVTVPYKSEPDSVAGVILSRTG VMATVNLIPLVLLAGRNNPLITLLQVPYDTYNLIHRWLARIVVCEALAHTFAWLIPKA QSMGWEAISQSFAHSTFIQSGLIGTCAFVALLVHSPSAVRHAFYETFLHLHIAFAVLA FAYLWIHLDGRNAQNFLLAAIILWALERFARLVIIVYRNVGGRATTAVVEALPGDAMR ITLKLSRPWAFEPGQHMYLYIPAIGWWTSHPFSIGWSDAEDVMGDEKSLPVSSRDVYG PQKTTISLLVRRRTGFTDKLFQRAVSAVDCKVSLTAFAEGPYGSIHSMDSYGSVILFA GGVGITHLVPFCRHLVKGYAEGTVAARRVTLVWIIQSPEHLEWIRPWMTSILAMNRRR EVLRIQLFITRPRNTKEIQSPSSTVQMFPGRPNIDTLIGMEVENQVGAMGVLVCGNGS LSDDVRRACRTRQDRTQIDFVEESFTW ANIA_04905 MSGVTPALRLLKGCFSQFSFNSNLRIPLKHSQLLNSASSRPYNR LFSTTSPVMSRPDITLYTAQTPNGIKISIALEELGIPYKVEKIDISKNVQKEPWFLEI NPNGRIPALTDTFTDGQKIRLFESGSILTYLAEQYDKDYKISYPRGTREYYETISWLY FQNAGVGPMQGQANHFSRYAPERIEYGVNRYVNETRRLYGVLDKHLANSKSGYLVGDH ITIADISHWGWVAAAGWAGVDIDEFPHLKAWEERLAAREGVEKGRHVPSPHTIKDLLK DKKKAEEIAAQGRAWVQEGMKNDAAK ANIA_10615 MSWRNQGITGSNNIPLGKRRFGGGEEEDSRTATPASAPGDQRGR SPVRADPPADGVKRRKKRNRWGDAQENKAAGLMGLPTMIMANFTTEQLEAYTLHLRIE EISQKLRINDVVPADGDRSPSPPPQYDNFGRRVNTREYRYRKRLEDERHKLVEKAIKT IPNYHPPSDYRRPTKTQEKVYVPVNDYPEINFIGLLIGPRGNTLKKMETESGAKIAIR GKGSVKEGKGRSDAAHASNQEEDLHCLIMADTEEKVNKAKKLVHNVIETAASIPEGQN ELKRNQLRELAALNGTLRDDENQACQNCGQIGHRKYDCPEQRNFTANIICRVCGNAGH MARDCPDRQRGSDWRNAGGFNPGRRAIGGGDAVDREMEQLMQELSGGAPGPDGQPVRR IEAGPDGYDDRDYKPWQRAPPPSDVAPWQQRGGRDNRRDDYGSRDNGGAAPWATQGRG GNDYGYGAGAYGAPPGAGTAAPWQQAAPPPPGQAAYGYGYPAYPPPAPGMGAPPAPPG LGAPPPPPGMSSMYYGASGSPPPPPPGEGPPPPPPSDQPPPPPPPA ANIA_04904 MEPPSRTSSSHGSLHFPGSNFHSSSSPPASPHSNSQQRSWRSPS KLFSQSRRPSGTAGTATGTGRARTEAESSAYVAGGQTPRAHEHESSNAEPKWWRIRLF RGMIDDVKRRAPYYWSDWADAWDYRVVPATVYMYFANILPALAFSLDMFEKTHQSYGV NEVLLASVLGSFVFSLFAAQPLVIVGVTGPITVFNYTVYDIIAPRGTPYMEFMCWIGI WSLIMHWILAIMNACNALTYVTRFSCDIFGFYVAFIYLQKGIQVLTRQWGFAGEASAY LSIMVALLVLMAAWICGELGNSSLFQRYVRKFLEDYGTPLTIVFFTGFVHIGHMRNVE VATLPTSKAFFPTADRGWLVHFWNLDVADIFLAIPFALLLTILFYFDHNVSSLIAQGT EFPLRKPAGFHWDLWLLGLTTFVAGILGIPFPNGLIPQAPFHTAALCVTRNVADEEDT NKGKTIRVTDHVVEQRVSNLAQGLLTLGTMTGPLLIVLHLIPQGVMAGLFFVMGVQAL QANGITQKLIFLAQDRDLTPRSDPLKGLERRRAIWAFVILELIGFGGTFAITQTIAAI GFPVIILVLIPVRSFLLPRWFTQEELSALDGPAASPFTMESVGGVYGHTPEEEMTQSS RGEGVLLPEAHGLTHTGAENDIEMGVYEHQARQRKNMGNQSQN ANIA_04903 MAPLSTPESISREISVSSDASADESTSLDQMRAPKRRRLSESST DSYTAAPAPLPSLSRIKKKSSSTNATSSRKDDENPVLIKDALEIGLNAEENSFKALNV APWLVGSLTTMAVRKPTAIQRACIPEILKGRDCIGGSRTGSGKTIAFSVPILQKWAED PFGIFAVILTPTRELALQIFEQIKAISAPQSMKPVLITGGTDMRSQAIELAGRPHVVV ATPGRLADHINTSGTDTVAGLKRVRMVVLDEADRLLSPGPGSMLPDVETCLSFLPGPS QRQTLLFTATLTPEVRALKSMPQTPGKPPIFVTEISTEAKDTVPPTLRTTYVQVPLTH REAFLHVLLSTESNITKSAIVFTNTTKSADLLERLLRSLGHRVTSLHSLLPQSERNSN LARFRASAARVLVATDVASRGLDIPSVSLVVNFEVPRNPDDYVHRVGRTARAGRTGEA VTLVGQRDVQLVLAIEERIGRKMVEYEEEGVNLEARVAKGSLLKEVGAAKREAMVEID EGRDVLGRKRNKLKKVR ANIA_04902 MYQSSLRQSPVKEGRRILSEKNANACLSPARSPAKQTLLNAPSP SPKKLLPSPLFAPSFVAQKRSTTEVDAEDAGSQLPESGNERRVDIGHESDAMSIDVSE QTRQQEGEQGDIATSRTVPSDPETRKQFIQEKATLLRSRLQNAMRRVRDPQFDRRLSE LEEHSRKCPRLSAPATKPPGGVGLQQHLEQKYRTEGTGTRVQAKEDKDENGDELMLST PRAQVQREKQEQREIIQIPNEDDEETTPTQNQIVQRTEAQMNGNENRAPSPTQMVLSS PTYKSTAQAGQFDDDRPLAREATAATSPSSSVRGQGRGDGDAVDGLLKLMGTPTTEHG QGHGQTAGASV ANIA_04901 MRLSLAVLTAALQATVGTASTLTPPVLPLIVRNPYLSTWLGNAR DVPWSKWPMFYTGEEIGLGLMAQVPSTGTVYPLLGKPHESLTESIPLEYPTYLGAKYD ASTTNLTYSIKYLADSTPLNITVSFLSPITPTSTLRQSIPASYITVYTESEVTVNVYL DINGRWVSNDANSKITWQFETERASLQTSPTLQRWTLQRENQLLLSELHDRAEWGAIH LTGPADVQYQSGSAPSVRRTFAARGSLTNVNDAGFRTIGDREPVFAFSKSFVPSRKSG LTTDSVTFTIALIQDPVVQYASARGLTMMRPLWKSWFNSVESLLSFHYFDFANAASLA FNYSLQLAEDAYQSGAQDYVDIVALSARQVMGATTFSGTPEDPILFLKEISSNGNFQT IDVIFPSFPFFLYTNPRWLAYLLEPLIEHMLSGQYPNKYAMHDLGTHFPNATGHPDGK DEYMPVEECGNILIMGLAVVNSMLYDEDSAASSIWSTQGEAAPVTEDNAGFFPLNEFQ TLSGIALQDGKWGGPASGRRQAEAWIQRSYSLWTQWTGYLIEYALEPERQLSTDDFAG WLALQTNLALKGIIGINAMSKLAEIGGYTEDVVHYKNISDTYITKWEELGMSRDGIHA KLSYNWYGSWTTIYNLYADAQLCFHLENTPLDRSPFSPMQDDNSQTPLNSKPQQPKQG FVPQHIYTKQSNWYHNVRQRYGLPLDSRHLYTKTDWEFFAVAVASQSVRTEILESVAK WVNETSTDLPFTDLHDTEGSGGFPGPNFYARPVVGGHFAFLALQRACGGKAVEGLRFL DDDTYSEKVDDAYEDFVREDL ANIA_10614 MADVRSKNLYELLGNDPELDPNREPEPPTRALDKPAPRHGKRDA PKEAPSRPEGQTSRRGPRATGNEAAFRDRNAGSRSNREKPVDEAGKESNVRYDRGGRP ARRDRQSRTGQADTRKQVNQGWGNQDGEKTWDDEKAADNIAKADENEPQTPAEESEEP ADKSKSFAEYLAEKAAREDLAAKPVRAPNEGTKTDKWANAKEFKRNEEEEAYIPGKEK ESKGVKQRKEKTYLDVDMRFVEPPRTGGGAPRGRGRGGRGAGRGDRGSGRGGRGNGPR SERPAPVTVDEKNFPSLGGK ANIA_04900 MVSMVEASILNHNDMAMDQVAPKSEPLNEGSISSAVSTPDPEGE VLTQDVAQTQKRKGGRKPIYATSEERKQRNRQAQAAFRERRTEYIRQLESTIKRNEES LQTLQQNHRTAADECLMLRYKNSLLERILLEKGIDVQAELRLKAGTPNGPGKPSPITT KAPSLQQAAISRSSAQRHPSGLAPKEPFSVPQSRDGGFGIPSPQFQATPPSHVSSPSH AKSPNYGFQGALSPAGVDPQAQRSQMLTHSRNISQTSPPMSVGQPEPTEPKSAVSASM GSRAPRLPSAYYPSPFQKHYDQLEQEYDAQADMIDDEHESSVGTSSFVPGYNPSSSVS NASHPMNPHGMNPYNHSSGEAVNGAYGNTSAMMGNYEPMLDADPFGLSASMHFQTPFS YEQNNARQ ANIA_04899 MASTASAAGDSQPPPEQTQQQTTTTVQSDANTQESTTTPASTEA PKPEPSQQQQKQSGDDDGDDDSDFDELDEVLDDFKPKPQTDTPAPQPPKPPVPAPEDF DELAFMNQLEKDMAKMMGQAAQQSGVSDPAGFEDTINQGADAFTKQLEESGIAPGDFI KQLLADVMAEEGEKGQSSASAPTGASVGAAPAPAGSEAGAGPESFNDAIQRTINRMKE SGDKATAAASEDNADDLIAQLLKAMEAGGDGSGGDEGDLTKIFMGMMEQLSNKDMLYE PMKELDTKFGPWLKENKGKGKVSDADMERYEKQAQLVGEIVRKFEEPSYTDEDPGCRE YVWEKMQAMQAAGNPPDELVANPWMDDLKNGGGGIPDCPQQ ANIA_04898 MAWMQEPPNKPDPHNFLSNIARQSSPSRYAILQLTCQPKPQDSR AMITQSALRTIAHLWIVSTFLALAFDPLGIKYEVTGTHLARRITETGDSERPYEVDGN TFIANTDSSASFSLEDCQNQQTDPPSVADGSSSMSVASVDSSDTSESEAETAASNTAS SNIDSESAESKTADNYAGELSTTESDADGSDNSEPTTVSVAASGAVESEATGSERASD TGDSDTGGSDTTGASDTTESNAAGSNPAEADSGESGADETEAGHTKTETMEASPVLVA QTTIPYDSEFDLRARGSAFPSAFLQSPFITRGHRCDPIYYPSLPTSYSSKRATVGSGT SSAAIYQELFVLLVIQAAFRSYNLRESQSPTRQPPFHHRYNLRRQRAKLLLSYQAIAM FAALAPMQPVSNNPSQYPWTPVHPSPLSPRRLSTTAAPFAAAAAASTPTPQPQFQPPV FTFTPSPSPNQRNLGVTSPSRNLKANADANANTNGTTSPTPSSTYANRYRNTISNPLF AHSTKRTYTSSASPRARSVRRNAFLNRVKQDRDNGRVDARAEQLAYMDDIAEQKEWAE SMKRRAEEIQAEYGLGIEEWEGEDEYECLDAGVADEAAIRALDEYIEQERAMEMALLE GVDGDTNMSAGHLPGGTGHKANDAASSFSDEEYDNIFMDLVDHNPPEDTEMSG ANIA_04897 MRVTALPFFLYSLSLVAVVAGQSTQVTDSISDVTDALPTPTQTS ATDTDSDTETTTSESSSTTTTEPTTTSSSTSSSDDETSSGTTTSSSSTSTSDPTTTTT SDTDTTTTSSSTDPDPTTTSTSSSDDDSSTTRTPTVTTITTTQTIDGTPVPTTYTSTN SEASESTESPGLSGSSGDSDSGLDSNQKKIIIGVVVGVGGAILIGAIGVVAWRIRARR RAAADDDATDLMSGTAVGSGVREKAPSPSGGTPFRSTLDQYHNPGPVNAASNF ANIA_04896 MAHPTIKIDTSVQANKRSHPDNEDGVGVVDGSDDDNPEMELGDN EHVPPFLRQSVLDIRHKFEELEYMQGTRILEGSRANDPSHRWALDADPEVRARNRYAN VQAWANSRIHLRVPEGECDFINASPIVLRDSVSQEERKYIATQGPRLDDLYHFWNMVF HETGEVAVVVMLTQTMEAGREKCSQYFPLEIENPMYLRQDNSNPHLESEQQELEGGDV LGHITLLESTWDLECRSEIRRLQLTLGSDSKTVWHFLFAGWADYSKPVGDDRDALLHL IKLSASKASSPQNPRIVHCSAGVGRTGTFIALDHLLQELESGQLLKVTDEDIDPVFET VNLMREQRMMMVYNEMQLIFIYEVLREQVDIILEKTYGISRQRSVARSAKIAKLSEED YLPAPKPELEAAGDLSDTRAPEHSDTD ANIA_04895 MRIRYPFAGAFIFLLILSAYIGLLPHSESSSIPANLQPNDKLLH VVIFFLISLIFYWIPDTSRRRTLHLTLVVCTLALGVGSEILQGILPNGRSFDPFDLLA NIVGSLGAVGLCGWYHRRMLERRRKSRFGSLGEGGEDDVELGMSPDHREREQLEPQES GVVNLEQEVDNWDENAVDTWDTEDGDDPSSGLTGKAAADKDQKGLAEGKRND ANIA_04894 MSLGHHNAWLPPGHLRPPDDMHDARTFNGYPKPFSGSRTPQMRG SIDADGPHTGNLISEADTVSEEDPRIALFRDLYTRTEAKINGLFSGEKLPEDTLDDAV AVLEGAEAEDKRAHEPAPPKKPARKLDDDDYDDYDDDDDDDDAKPASSPALKVSAPAQ QPTALPSPGRPFSASMPAAGESTKEAKKESLEDIRKKLEEDKKATEEAAKRSFHTLFY TLENDRDAMLDQQRLEESERQVEAEMSGQASAGNNAASGSSGHGSLSSANLGASSLTL KNLIARIDMKRNMVQASDAELRSLMSEVRKNRSKWASEDKIGQEELYEAAEKVLSELK AMTEHSSAFLTRVNKRDAPDYYNVIKHPMDLGTMTKKLKALQYKSKQEFVDDLNLIWS NCFKYNTNPEHFLRKHAMYMKKETEKLVPLIPDIVIRDRAEVEAEERRLQLADDGGEE SDDEPIMSSRGRKAPGKSSKKGAAPASKTPSGSEPPAGSGSQPSAPVRSDSDAAVEGV QNGFATPPPGTSTPSDPAGAGLATSGGQDDSMDLDGLVTPPTALSALATPGVELADPE YKVWKQVTKKDRALIAAERHRLFKGDKLNSDEPALLRTKAGMRRWLRNQHQISTDGDS SNDLGPKPNAASETLAEGIEVEEDRVIPDYYDVMSGIPDLPPHLLWREDSEGNLVDNS EDFLRVLPKGLFTQPDSKLSRKMDANMRQMQETRKICSKIGIVKQMQLQSQMYQNQFQ KYQPEPFVEQDVEAHVMNDNGPVIAPWVCKAALQRSVAKIFYHTGFEEYQPSAIDAAT DMASDFFVKIGQTLKSYMEAPKVPVADSVEATSSPQWKRAYTEPEMMLHTLSSVGIDI EGLESYIKDDVERLGTKLVTAHDRLRSLLSELLRPVLQDGGEDGSMAFADGSEQFVGG DFAEDIDEDFFGFKELGLDKEFGLATLSVPLHLLQNRMYNAAQAQNTNTSQSVTVFPP PPPYPRITTENSSWTNFESTEAATAAFSSWIQRYKPGSSEPNKKKVKKNSGVAMGVAD APGEDEAATGTNGAKAPNLKSEGSSNDLINGNAGAETLDAPGAEDSTNADQVKGNDNA VPITNGTAGDAA ANIA_04893 MAQSETRNAGDPSLDRLRLLTDMCRNIDLPYGTFSIDYYGTNDA SFEIYIHLPPGDHNSVDKNGNPLPGTLIERDVTSPHDWDFLLYSHIALQGNSRPVHYH VVLDEIKHRPQELQNMIYDHCYQYMRSTTSVSLFPAIYYAHLISNRARHHDDAPASSG PQSGPEVKLTNPKPKEKKADPRLLPIHGTSNRLPFGMWYI ANIA_04892 MAEDDAEKAFFEAQAMNAGSMGYNGAEDQNANASDSDEYDPSST LQDQYPAPSENLQLQETDTPTSVSQPQPSFREDADPAGNAHPSQPPSRPESQASTSVP ATGVSVQPKTRTIGGFVVEDEDEDDAGDADYEPPAVLGVEDMNIIPSQPIPGNANEAT STPDVSLDEAAQESASAKNVPNSSLSSVSLAFKNDGSMDLGQNLYNSRTSLQPEIARE SATATPAPDSPSTAKGRLPHDRVGILEDRIREDPRGDIPAWLELINEHRSRNRIDSAR DVYERFLKVFPLSAEMWVAYATMESELNELFRLEQIFNRTLLTIPAVQLWTVYLDYVR RRNPLSTDTTGQARKVISSAYELALQHIGMDKESGSIWADYIQFIRSGPGNVGGSGWQ DQQKMDLLRKAYQRAICVPMQAVNTLWKEYDQFEMGLNKLTGRKFLQEQSPSYMTARS SYTELQNFTRDLNRTTLPRLPPVPGSEGDFEYLQQIEIWKRWINWEKGDPLVLKEDDL TAYKGRVVYVYKQALMALRFLPEIWFEAADFCFLNDMETEGNEFLKNGIDANPESCLL AFKRADRLEITSESEQDPIKRGAKVREPYDRLLDALYDLIAKARTREAQDVARLEETF KLRPDTQPAANDDDDDDQSETKAKESVKNAQIEAVRHAHSIQIGILSKTVSFAWIALM RAMRRIQGKGKPGEVPGSRQVFADARKRGRITSDVYIASALIEYHCYKDPAATKIFER GAKLFPEDENFALEYLKHLIDINDIINARAVFEMTVRKLAANPENVHKTKPIFAFLHE YESRYGDLVQVINLETRMRELFPDDPTLEQFAHRFSAPNFDPTTFRPIISPSQTRPKT VYPGGQVPSRHGTPSSRFPEASVTNSPKRPLEDFDDDMSRPRKFVRGESPLRTTTQRR QLDQQKRTQTALQVPSSGSQYRSQGSPAPLPRDIVYLLSVIPPASTYTAGRFSAEKMI DLVRRLDLPASISQIPLPQSARGLGTGQTTIGGQQFSDDGGYYYKGGVVDMCALHFMD DGVFRLYVAGLAGNILARILFFGSGGLLAYLLRTVQIWDPEIPRR ANIA_04891 MSVVSLLGVKVLNNPAPFTSSYQFEITFECLEQLQKDLEWKLTY VGSATSSEYDQELDSLLVGPIPVGVNKFIFEADPPDLRRIPTSEILGVTVILLTCSYD GREFVRVGYYVNNEYDSEELTADPPAKPIIERIRRNILAEKPRVTRFAIKWDTEESAP AEYPPDQPEADGLDDDGAAYGQEEAELEAALLKELQEAEKNESTKGEDHDMEGADNGE EDISDAESEDIEDESDDDEDEVDEEEGNDADEDVEMGDDSEPKDDNANPAAHHSQQEV MVH ANIA_04890 MAHFLRGKQAGIQKDFSGSLAPELFDIDDLLRCGINSQTSALAY DPVQSLLAVGTSESQFGHGQVYVFGQGRVSVIFSLPRKASAKFLQFCGDKLISVDSKS EISVFSLETKKLLVSYAPPSIASALLSDPSLDYAFIGLQNGDVIAYDLDRETVTPYKI SNLWAQRNPRARICPVISLAFSPRDIGKILIGYPDGAVTFSFKQNVAQHYFEYEVPPG ALGGNGIAPYRDIRKPKLTKALWHPNGIFILTVHDDNSLVFWDTKDGRKLLARSITTP NIDQPGVGPRGPAAPESAISLKDPITDVAWCVKANGDDSGLLIAGGKPKDERCKNLTF IDLGPSPNYQTSSWAMITTYLENPKTIAEISIPPGAEVVRFCLIPRNSPYYGGAHDPI AILALLTSGELITLSFPSGHPIPPTNMLPPSLTLIHPFVNKITLTPVDRADWLGLKER RSQGPKFILGGAEERKQLKRLESRNIITAAHADGTVRIWDVGHDDEIENSELIQVDLA RALGRVGVVDVTEMSLGGSSGELSVGLRSGEVAIFRWGSNQNFGLEQPPGTNNGPGEL TDITHRTDPSLKHGLLPLTLLSMQQGPVTALKHSPIGFVAAGFEGGSIAIIDLRGPAI IHTANISELSKQNKRSSFLKTRSSSETIPEVPTAIEFGILTLEGEEFSSICCFVGTNR GNLATFKILPSSGGAFVASFAGAVALEDKIINIIPINADDGSLALATGKAFGGLRNGT RVHGAVVAVTVNSCRIFKPATSKGAHRSWDDYLCDSASVVNLPGRGCSLVGLFGDGNA RAFSIPGLKEIGCAKIGHMADMSRLSQSTVSPNGSVLFWTSPSEIGLINVWGAGIELR PSEDQLFNPQAVSPPRPTITNLQWISGTQYISTADMDILIGGPDRPPSKRMIEQMRLE EQERRQAAREGRSTPARQNTNDEGYFAYMQRQVQERTEKLSFAGDNMDRLEETSSGWA RDVNKYVQNQKKKAVLGVLGSKFGL ANIA_11253 MFPPLPAPSDPEKEVSDKLVSILRRHFLVPIHVDRIWPLAGHLH SFYLVQLSNETHLLLKCSPKPTTPLIRREHIMLDTEARALSLLSHMPCIPQLLHYDPL GDLLGPSFLLRHYIPGTSLDKIDSQLSIQERDSIERSLGLLAKRIAQHTSESFGSLGQ VASAGGTKSWREGFLILFEGLLRNAEDVFINLPYGEIRYQVHRASPALDEILTPRLVV IDFGQPSQVLVNESKRLCGISSFRTAVWGDVFMAKIFENPSPSVIDGFGSCYAKTQTG GTRQLLYSCYRAVNDVVLQYYRKSRDTAAEIKARRELMTALAKMAAV ANIA_04889 MSESPANHSHKKRKGGNSWQKHTPRSTIETGDWGVFVTCERGRE NKCAAEVIDLFTENVDLPGRGGDEAEYGSEEDDIEAQIRKEIEGLKPSTSKKSSLFEA VKFDLPCIIFVRFDKSINPEKLVHRICLDSHASPEQKRSRYIQRLTPARSIRKTLSVD LPEFAKEMLKAEFHSGGPPKKYAIRPSVRGNKKFDRDTIIKTVADIVGPEHPVDLKNY DLLILVDIVQNVMSMSVVGSDYDKLKRFNLAELYNPEPTPQEP ANIA_04888 MADIATRELRQPIDIAEYLFRRLHEVGIRSVHGVPGDYNLAALD YLPKCGLHWVGNCNELNAGYAADGYARVNGIAALVTTFGVGELSAINAIAGAYSEFVP IIHIVGQPHSRSQKDGLLLHHTLGNGDYNVFSSMNKGISVTTANLNDTYDAATLIDNA IRECWIHSRPVYLALPTDMITKKIEGERLKTPIDLSLPANDPEKEDYVVDVVLKYLHA AKNPVILVDACAIRHRVLEEVHDLIEVSGLPTFVAPMGKGAVNETHRCYGGVYAGTGS NPGVREQVESSDLILSIGAIKSDFNTAGFSYRIGQLNTIDFHSTYVRVRYSEYPDTNM KGVLRKVIQRLGFIKADPVPHISNALPEHEKNSSEQRITHAWMWPMVGQWLKENDIVI TETGTANFGIWDTRFPSGVTAISQVLWGSIGYSVGACQGAALAAKEQGNRRTVLWVGD GSLQLTLQEISTMIRNNLNPIIFVICNEGYTIERFIHGWDESYNDIQTWDIKGLPVAF GGKGRYKGYKVTTRDELTKLFASEEFSSAPCLQLVEVHMPREDAPASLKITAEAAASR NK ANIA_04887 MDGQRQQPYVPAPPPSASQPSQAHIMPLPPPPPRYPPAQPQNVM PPPPPGPPPGSAYGTSKLTNPQLQSQSTLGWSNWGGRGIPQYLPPPPPPPMTHANQSS FARPSAVQNNNATSATYVPQPGTFGPGVGIPPFDVPSHSYDAPNTMVASERPRPPPNA PYGHGTSNASAYQLDGTIPSTPLTHTAPFSHETSHDVPSSAVPTGSPFKSVPQSASQA NDLTKSSSHRHNNSNTTVGGMSASEAAVQWPLDRVLLWLAKNGFSHEWQETFKTLEIE GADFLELGHGQNGRPNLGKMHTVVYPQLAKECEANGTAFDLTRERDEGKRMRKLIRLI HDDAGPDNGGTFNKQHDFDDGHGSVPDEGPEFSPNINNVAQAPGSPSLNATNVSNSPN LKAPKYSHKPRSFTTPGSISHDSTTAELAMTEGSSTMLPRSDFSRNVLGTIGIDHQRK SPSMSSDNGVFAIPPHRPHEGSPKSGSPAAQHATLYAAPVSSATDLNAKYDARFGHNR VNSGERRYYETLKHDGSRPSPQTTQTAGDNASSYPKDHRMGFLNFFKKKSARNSDPNN PSPDDNTPDSPIYSKYHFNSSDVSVNERPLSASLSDYERMMMVRTKPAHRGKRFIFAT MDGWNYRLIDTTDIDSVEKLRASICQNLGIYDWPDAQIFLTEPGQLEHDEPLNDTFLT LCQRSKSDSIGSLKLFVKTPHNVAGLGVSMPDRSAVSPTSAIPRKPLDGEAASRLSPL KPSSPQQLKPPASRLPLRDIQNSAAGTSPVDGEPDGSLQDQERKPQKSYRPTPAPLPR PDAYSETGYRRKEVINFDKPRISPYEDKKSEVLVPFRKPPSAPQESNTLSKVNSLRKK DGDRPRPHRSNQPPGIKEMMADMGMMSSLIGKPSSPNSGNTTATEDAHSSIDSAVDKS SQSTPPLTNTSYSPTTTATTTTTTTTASSSLSRSASQKRKSVGPEFDFEETQVSFQRS PALEEDSDDDSDDGLFAIPLANTKNSVKPGSPDTQARPRKPSLTVDTEAKPRTGLSVS FKSPSATRETFASSGGDASNSTAPYFIASNSPEEEKTPIRRNSLRNSFATGDVWASRP PVEGVIDQLDDFFPDIDLDAPYLDEQGTSPPSSPASKVAIDNELNRKDKQENSHHGMQ PPPAPEDNTLGSSEPTMTSHGQGIVARRNVSRSGGGLTRMKSIREVAKGANQATRQRS VAASSGNKRSGDVHRRKSTKMFGAKIMQISPRRGSQLSQLDPIPQNSISSSSKPQRQP TFRIIRGQLIGKGTYGRVYLGMNADNGEVLAVKQVEINPRLAGQDTDKIKEMVAALDQ EIDTMQHLEHPNIVQYLGCERGELSISIYLEYISGGSIGSCLRKHGKFEESVVKSLTR QTLEGLSYLHNQGILHRDLKADNILLDLDGTCKISDFGISKKSNDIYGNDSSNSMQGS VFWMAPEVIQSQGQGYSAKVDIWSLGCVVLEMFAGRRPWSKEEAIGAIFKLGSLSQAP PIPDDVSMNVTPAALAFMWDCFTVDTSERPTAQTLLTHPFCEPDPKYNFLDTELYAKI RPVLEN ANIA_04886 MAPQIAGQRSRRQTAPSGMPLNLEAAQIEELETIPGKLDSIVDI HNELEFSQWYEDVEASLLESSYDEYQACLNELQTSKSHLDVLLSDTSSTLDILSRLSE DFRAVGLQTSNFRKQCEGLLSAQKRDTDLITKIDYNLQFYDFLDPASRRLNAPGAGNT VRGQDFSDMLRQLDECLDYMETHPEQKEAEVYRSRFRLLLTRALTLIRGHFVSAIRDL YSNVSKKVSDKQLNDAALSALLYAKFRVDAPELKQIGLEIQKRAVPPLDPDQGTEAEY QSLLNELHTNYAATREKLIVPLVRKRLNEIAQAPSSSQDLVAFARASISYVRGVCLDE FDLWGEWFHGQGGLYDFLETICEPLYDHLRPRIIHEDKILKLCQLCTLLQTRYLFDQE EETEYMDANQLDFSALIQPALEDVQTRLVFRAQAFLRDEIERFKPRPEDLDYPARNKQ LSISVSEKQISGRKVSHVDAYINPPKHTKSNEEGADVPEKDSRWDFESQNAPAAWYPT LRKAVWLLSRIYRLVNSTVFDDLAHQIVHQTTASLHLASASISSKSSTDGQLFLMSHL LILKQQIVAFDIEYVAPEVSFDFSGVTNTFWELRERGGLFNPRNLMRLVGHGLLPRVV ENMLDAKVELDGRLRTVINDFINDFASKMTASLPSKFVDGRNLQHGELIYPTCRNVEA EVSHLRKVLDDYLDDIRMKETLVGAVQDRVIQIYEEFFVKFTSSEKNKGIAVSKKGKG REDAVWDVDTFSDWCEGIFRVGVASQDDDYDQVTSRSVSRTGSLGSQFR ANIA_10609 MWAIRARQPPVNATVFARQLRATGIRPTAGIGRRHYASERETPE SHPPSSNWFKNSLGFAVTGTAVFLAYSYATRPDESEFLKKLPKQSKPIEDLSAEYVQK KASLKSPGLYIWGNNKYRVVDPESKESVIKTPRRLSYFDSNVLRDLKLGETSGAAIIE NGDLVQWGKGYSETEFKPTKTLTGKNLISLSMSHDRIVALSSDGKVYSLPVSKHDQTS GKKAEEKSWLPFSSGKSSMSYRLIQPILKLGEKIVAISGGLEHVLLLTSSGRVFSAVS STENFPSFGQLGVPGLTWATRPPGPVDAPHEVKTPNGVKVAQIATGDYHSLLLTKNGN VLAFGDNSFGQLGLGFDPNSPFLDTPTPILMESLYRDSSRSPRATGVAAGGANSFFTV DVHQDGQGQGSKSPSKVISDIWTCGRGIWGALGNGKWTHLQDHPTLLKALSGLVEYDE ATQRLLPIRLNDISVGTTHVAAVLDNQTRLNRSASSLEGADDAGLDVVWWGGNEHFQL GTGKRSNLSKPAHINVSPETVSLDDKELARLQIMPAYRGIVGDRSVDMRQRVECGRHV SAIYTACAK ANIA_10618 MPRENQKRGRRATEKAKKEASKRKRDEGIEEPTLKRLKPSADED NAVTAGADYVPLEGEEYDDQYATGAADEMPFYGLLDSEEQEYFSRANEMLELNQFGDA EERRLFVDSVFREARGKELKIACSQSCSRLMEKLISVSDIHQIWRLFNKFIGHFLTLV QHRFASHCCERLFISAAPGVTQKASKTKSKKDDDIEMDEDEEPEPELPLAEMFMKVVE ELQGNWGYLLTERFASHTIRVLLLVLAGEPVDVSSNDSVVASRKKERLGVVGGETQEN NPSGEKRSVPESFEATLKKVMQDMVSVLDDTYLRALATHPVGNPVLQVLVRLELSHFG KSSAKQPTSIIKRLIPDENFEDDSETTRFIRGLLYDPVGSRLLETMVRCMPGKMFKSL YRNYLRDQMSSLARNQTAGYVVLRVLERLGRDDLHAVMEQIVPKIPSLIERSRTIVPK VLIERCLARGVDTKPIAKALESSYDSDPARRLEQMLRLETAMADNKEKSDKNGPPGES NPGSAAAEKLHGSLLAQTIVTAPGQLSELVFSSLLALSPEVLLSICKDPTASRVIQQA LTSPASSPQFRRQFTTRFTSHMNELALDSSGSHVVDALWPATKDIYFIKERMAQELAQ NELALRDSFVGRAVWRNWAMDLYKRRRGEWAAKAKGRDITNDSAEKPKSRLDMARARY AAKQSEATGANAVAAKQ ANIA_10619 MNIVGVCSIDPKIQGSNCKCSGRNFFLVPPPHSNYILTALPRFS QASRALVRYYRASENRAVDLINEHYGSKPEELQDILQLDLPEQGTGQTGLVSVLRKVL RYSVNTWHQGFLDKLYASTNAPGVASELILAALNTNVHVYQVSPALSVIEKHTGKRLA ALFGLNGPRAGGISVQGGSASNTTSIVIARNNLYPNTKTDGNGDYKFVLFTSAHGHYS IEKAAQMLGLGSSAAWSVPIDKEGRMIPSELEKLVQKALSENRTPFYVNATAGTTVLG SFDPFDDIAAICKKYNLWLHIDGSWGGSFAFSRRQRHKLAGAEKANSIAINPHKMLGV PVTCSFLLASDLRQFHRANTLPAGYLFHNNDDEDAVPVENGIGGFSELNSDSPEIWDL ADLTLQCGRRADSLKLFLSWTYYGTAGYERQIDNACDTAAYLATIIQDHPDFILVSQN PTPCLQVCFYYGPNGKLLHPRGDSIVSDENQRAKANSKVTEQITHAIVGRGFMVDYAP PSGDEKAVGDGKFFRCVINVQTTRETVEGLVRAIEEVGPGVVKGLLSEGASAVKKRPG EQGHGPVVHRV ANIA_04884 MSRPPTLTGSNASDEAAKRFEASIKKLRRLELSQSYVALLKDAE ELSKEALRNIKSEARLAIASYTQLRNIVESLDKAQPAAEGASPHLVDYVGQMASRLRE QMKMEFTNRLRSTLEQMNWPSKELNFPENLRNQWADNVELLLDLQIPELETHDSASQK IVQPPVLFALEAMVHPLELRFKYHFSGDKPTNRLDKPEYFLAHTTDLINNFGAFFTSY LQPIFNQKSKTVGDQLAWHFLSASDAYITAILPMVRHKITTYLPQISAHPQLLSHFVH ELMDYDNGIRETWNYLPYPYSQEDWRGLTWEVLSKEGWFDRWLQVEKDFALARYEEIV DTPDSGHLDYDGVEPTATKPTRAAIRVNDLLETITERYQPLSSFSQKLRFLIDIQITL FDRFHERLHSALEAYLAMTSTIGRTVQGADGQASVEGIAGLERLCRVFGSAEYLEKKM DDWSNEVFFVELWSELQERIKQNKHSGKNVAGTMSVADVASRTSSAINNTSGEISQDE TPEGALFDETASAYRRLRQRSESIIISTLVSNVRSALKSYSRASNWSTISGHSVPATG HLPPSADLAQTMRALSTSITFLSRALGVAPLRRIVRQVLLSIQTYIWSNVLLRNTFSA SGAAQLASDMDHLYSVVDAALGHAVQAGGSKFILQKLNEGLLILNLEAQTPVAEGEDK APAESAAEKGLGLWEVEKRLFKDNESARETLAELGVETLTESEARSILEKRVEIGS ANIA_04883 MSSPAKKRKRDTQPTRSIASFFQGQTAKQTEKSEQLASLADTEQ HLSDEALARKLQAEWNNEQDFASPATVPHSEPTAASPSPEKDREQKKAKVGVLSLQSS TGTEDTISLSVPFDQSPLVFDSSRYAGELKAHWSAEGGNASYALLTRAFVLANSTTSR IKIVDTLVNFLRVLIEGDPSSVLPAVWLATNSISPPYHELELGLGGSSISKAFKKIYG LNSQGLKSLYDRLGDAGDVAFEAKKRQSFTLIKPKPLSIKGVYQSLIKIAMSKGSGSQ ELKQRIVEKLLQDTRGAEESRYIVRTLVQNLRIGAVKTTMLIALARAFLVSKPDNATF TVHSQHELARLKKEELAEIYSSAEELVKASYARHPNYNDLVPCLLEIGVTEELLLRCG LQLHIPLMPMLGSITRDLSEMLTKLQGRDFTCEFKYDGQRAQVHCDEAGKVSIFSRHL EEMTEKYPDLVSLVPQIRGEGVSSFILEGEVVAVDQNGELQPFQILTNRAKKNVDIGE IKINVCLFAFDLMYLNGAPLLERSLRERRELLRSLFMEIPNRFTWVKSLDATSADSEA VLDFFKSATENKCEGIMVKVLDNTLATNGSDSTNSSITATPKAEAKETKKGGRRKALL SSYEPDKRLESWLKVKKDYSASSETLDLIPVAGWHGNGRKAKWWSPILLAVRNPETGG LQAVTKCMSGFSDKFYQANKEKYEEGSPNVISRPSYVEYNGEPDVWFEPQEVWEMAFA DITLSPTYPAAIGLRFSDRSLQGISPMPVDDLQLCYRWQENVKGRILAERASAESIVA TQLKRPYVALMNIIDYQT ANIA_04882 MAIIRSVIAATALLGAAVNAQVVGTPFGFGAGTTGGGDATPAAP ADTAELTEWLADDEPRVILIDKEFNFLGDECTDCECCIPDSNTCGDAGQNAIEVGIGW CGDYPTTTCTYDNAGLDGLDVGPNKSIVGVGDAGVIRGKGLRIHGTENVIVQNIHITE LNPQYIWGGDAISLDGADKVWIDHVKISLVGRQMFVTGYESSGSVTFSNNELDGTTDW SASCDGHHYWTILALGENDKVTFANNYIHTTSGRAPKVGAPSFWHVYNNYWSDNTGHA FDVEESGTNVFIEGNVFEDINSAYNDDGTGAIFAVDSGSEATCSSVLGRTCVANSLTN SEYTAVADESVLSAFPADEEGDITILPVDQVAAYVLANAGVGKLSASGSTSGSSSSIA PSSSVPVIPTSTPLVYPTFTPPAVETNAIQKEHEVSTPAVPTPTPVPSSVGSHGSTAG SSHPPAFSRTSFES ANIA_04881 MVPSNPEKTIRKIDIAQVSLNLQDRLGLAKVKYQNGRLPSRQNG GLHISLGLGSSDKPSDSSSDISNSRCETPLTSPLRASSYSYSKELPRSSRNRHAVTFN SRVMQPMLSASRKRLRSDSAADIDRPAKASRASWKRSHQLPESSPGFRRHTSTRRGRN NLPLISETAPIPELSSPGPGYHAHSDDENDLDLPVHSFQVSSVVGSSPPRTPPPKHSS LSRNDRNLRHEDGADLLLYLANSPTPARVANKPQPQEFPPSTPPSQHAALPSLTPTPG GGLFPNFGTPNQQFNFADFVNVTPSPAQPAWGGRTPGGLARTPLASKDVRRRSNLDNL LPPGLDSPKIREKRPSAVLQLGGELRP ANIA_04880 MPLFKNLRSRSRSSFRSTSRSTEKSSGTSNGTQSNGDVTSGKSS STTDSASYSSVTPPSSIKPNMSTHNLPSLNGSNGSSNGTSSPLTVPQQRPPPSGSPSQ RNSYFGGSSLSVNGAARSSPGPSSPYGPRILSITDNAWVNQKILLIYGQIGDPRQHPL DGSIVVNHHQDSFPQVSWPVNSSHFKALVHLVPGPNRLRLDFVSTKSSSGNLHHATHS SWININYLPLTNSPPLHLVILLGKDSKGTFDAVPEKANREGNDIETAIKKYRMAAYLW QAFTGEQMFRNNLGRRCFRFEEEWQPGTVSNRDAASGQMRNEAKIHIVRTEKTVAELR DLQLAQQYGPATRKDELFRIAQEAVKKHFHLKPGQKQYVSVLLLDSHWDTESQTITGH AALGSSNGDLKMAMFGSHCLQSYPSCLEEVVDAFSDCTRTNTDYVANDCGQAGSSWES ANIGIGAHLHEVGHLFGCPHQESGVMLRDYVRLNRSFVTREPFSTRTKTQGLHPCLPQ DECTWHRLDTLRFRFHPCFRLPNDAPMSSDDSVQVWPVENGRILLTATTGIAFIELYG EGDQVCHHFLEYLNTESTSNGLPKQVTVTESELRQRVFGTDKEKKKQVKISIFSGTLG SFTVESISSLKSKNSQVKLPKGVGYRGCKLGISQLAESQPEQLLLDCAFQYKKLLTSI RVYHNGKLHGMEFLYEDATSQLFGNRDGMSDDFVLDTRRGEILLGFYVRAGHWIDGIE ILTSLGRKSGLFGNASGGSGYNLIPPLGYKIAGLTGSCGAWIDGLSLIIMH ANIA_04879 MTRSMLTGPVLRKVPSLLPVRLLLSPLRRPFVDLLDLPPLEALP LPRSLRQPLRLTLLAHRLVPQLCSLPPHPNLLEPMAPHLRSLLRLKLPLVPLKDRPLL RSRLARLPLALPLLPTALRLLVQLQSPQPRRMRQLPMPGLPKGE ANIA_04878 MFRNRRNSQKPNEELIQRFQRNFCDVVAPTTTIGAAAGVTQQLP LGHGLPKFSMDADMKLDSIPAPPTHFMAPMVDPNSVQFVNPLNHLHGYYTPNSGNLSA GYHSPAGDLHTPGMGLSMITPLSLSQQGPIPANHAGMHIDPFSQQFISPHFQNPQPFA PQVSFAPSEFVQGDLAFEAVDDSVDEGSLNDVDMQGAAQSQMASAVRISEQQELQIPG ENFRYNVTLRAPTAMINHQNEIPVTYLNKGQAYSLSVVDTAPPQTTSQPVKYRTFVRV SFQDDEQRSKPAACWQLWKEGRGTSEAHQRGGKLQAVEFVDPTQGNVEDQKNRQIQLE SSSFDGFCVTWTANPTTKASDCAISVRFNFLSTDFSHSKGVKGIPVRLCAKTEMVAGG STGESSNEAEVCFCKVKLFRDHGAERKLSNDVAHVKKTIEKLRQQIQQSEMGAGNFGK RKRSSAAVGFKSSEARPAKLFKHKRTLSMSSQDGAGKMSVADDLHEKLALLQDMFSST RPVSVFSLRGDEQDDPDLYPVQLPESRDFIKKEFRGARHISLDRAALQEVSPTSSHMS ISSPCNPMQASVFYDSEYSRQSSEVPDNSGFLKHPVKVQKIPSGNGGTPTGYIEAVDI DPTYRPPAERRPRPIACFYVRFPRNGQSQDDYYRAVYLTERTVRDLMEKISMKQRIDP QRIIRVLLVKENGLRIMVDDDVVRELPDGQDMVAEISETAAYDASDTPSPVEVKLRY ANIA_04877 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKPGNREWVTAIESISASGWALPPTLIFKGKQ YNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHRTRGRYQLLVLDGHGS HLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSYASLVDQKMRLGISHI DKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKLSIQARTPTPPGSRGS QASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALNQLIKGCQIAMQKGIL LEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEAHNASFQAIPGPCGPP AEGAQTPKARALPTCSTCHRIGHRRNALVQINNN ANIA_04876 MDAPGATEASLSRVEPGQGSPTRPSTHHRRPHRQLHTETGDDDE EEQEDPNREREESMTETMSYYGEEEEDDEEEEEPHLKCSHLTKQLGSAYRNGDATSTF LAAGDKMVLGTHNGNIHVFSVPLFRSLRVYHAHSATVTSISISPFPPPAPNLKHELAT RFAENQSASTKPFSSSGSIRGQSKPTSLPTTPSNSIYIASSSIDGNVCVASLLDTKDV LLRNFGRPVQAVALSPEYKSDRTFISGGRAGELILTTGGKVGVSTNSTTLGGAAATAS SWLGTFGLGGNTGKDTVLHSGEGAISTVKWSLSGKYVAWVNEEGIKIMRSNLHLDSVD SELAWKRVSHTDRPNRQGWEEMASVWKARAEWVDESLLESEHLTSNNTGGEASTPTQS TIIKERQEKLVVGWGGTVWVINVYPDRPNKSNRDLRIGSVEVATILRTDCIISGISLY SPSHLVVLAYIEAENEPADEQITRRRPRGQEPELRIIDIESKEEVSADTLAISRYENL TASDYHMSVLPPWKTNMPVSQRGALEALGTGLWDATMYPARLFSSAASIRSSTSSGDR SSNRAPSTFASRRSVPEEPLAIEVQEVAESSGPKIFVHSPYDCVAALKRDLADHLAWL IEHEKYAEAWKLLDEHPEAAGSSEGSDNVSITPGRSQTSLGDLFVDDRSSITATDRGN VPAAVQEKRRIGEMWIEQLIRDNRWQEAARVCVQALSATSRWEHWAWTFIKENKFDEI TSVIPVDLRPSLSSEIYGAILEHYLTRDRQKFSELFETWPFELFDIDSITTSIEEQLE SEKMTPDLEDGRILIRCLARLYLTGGHYTDALHCYIRIQDADAAMALVKDHHLLDSLS DDIPAFIMIRVSKEQLKSAPISELAEITAEPIKLLASEAHTGIVPPDTVVRQLQTANK PLFLFFYLRALWRGESLSLEAEKPRRGRHRQAATKLAADEGKNLVDQFADTAAELFAQ YERPLLMEFLQTSTSYSFDVAVTICEQYRFTPELIYLLSKMGQTKRALNLILSDLKDV SQAIAFAKSQDDPDLWEDLVDYSMDKPRFIHGLLVEAGTSIDPIKLVRRIPSGLEIEG LREGLTGLLREHDLQASISQGAAKVLQSEVAVGMNTLRDGQRRGIKFNIIQESSKSDQ VNDEAKAETDSEKTPTPSRGSFTQQAGRCAGCHRPFHANEKEILVAFACGHAFHLSHV HQSEPSSPAHTPGLESGVQTPRPYPPRTPNLEEPSTTSRTVGPKVTTARLLRDRIGDG CRICALAKELEAVGDSEA ANIA_04875 MFARPYVSSICNRPAAVRILRQPQSHYLHASPPLSLPRRKDFFS SNAFLAQKHGDTAEGSEESQKQRRRGTRSPAAPTSLRRVAVEAQRSRDSFKSKAQLRE AGLLQTKFNIRKAREILQEKGYEPDPLGTGLYPQVVHVQIPLDSIRRVSNPSAEGLSP EEIGDIFVFPSGTVVSWALPEGFTSFLATRTLLPAAEGAHVDSLETEDLEYVEDAQRD NSSIHGDTIVLGTKPSDAALAPQSSGHQSVDTVLTKVAFSSGLARSTKLAVLETLLSN YFESTRTIPTLMSQGSRLPYTRDFILRKTGQLLSVRAQLNLYSELTDSLPDIFWDSRH ELGLEGYYEQVGRALDVGIRIKLLNEKMDYAQEIASVLRERLSETHGLRLEWIIILLI AVEVGFEVLRLWKERAHEQASAAEQVQKA ANIA_04874 MSSISGFLGAIPGAQQILQTMAGSLGLAPLSHPEIASSGSTFQQ CGKLELSCQTSYHGQDTCCFNYPGGQMLQTQFWDADPAVGPENSWTIHGLWPDHCNGG FDQFCDSHRKYSNISLILIDAGRRDLLDEMSTYWKDYRGDDPNLWEHEWNKHGTCVST LETHCYSEYYPQQEVVDYFDKTVELFHDLPTYMTLANAGIVPSYTQTYTRHEVEDALS KAHGATVTVRCRSQRLQEVWYFFNVEGPLQTGKFVPSEPDGQTSNCPAKGIIYQPKTP NKDPGHGHEPTKTRHPHGPTGAPFIGKGNLVVSTMGQQRGCIIGRGTWYSSGTCADFR AKRASGDTFTLSSRKGPCAFKDDIFTCGSYISSPAEFSAEDGKLSYHGNTTFFADKAP KGKVQSDIFVSEADHPIELSIAWRG ANIA_04873 MLSNPQSTLHGRHRQHRRQISTPSALDAVKPPGLSPQALQRYHA HRRGQSLDQRAVQAQAQRQQLVQDASSTNQTAPQFAPNSTLVPLIPDSQIFGQDDMQA SSHANYQTPHSLPYLHTNFVKADDQARDARPVNHHLNLIQQQQQQLHNAKLNCHDTHD DQLLDNDAWDTYKPDIASSLQQTTTDMRRQSVHSNPSSSYHPHTPKKTNSPTTPFDKT DFAQYCAETQIVPAKDQNAADASSQSAYMQRAKSLQGVAGTSFSQQKIEMPSPPSTDS FAVDGFDTFDYQQCSSFDNLATTSHSQYSTSSNSPEVAAIPSSGDHTEKKSKLPICPA TPSRLSPRKQLATPSAASLVKAKLSPRVASIDNLNLDSRVHASIKETGVSIDEIASYI HGPDPEDGKWVCLHPGCERRFGRKENIKSHVQTHLGDRQYKCDHCDKCFVRGHDLKRH AKIHTGDKPYECLCGNVFARHDALTRHRQRGMCIGGYKGIVRKTTKRGRPKKHRPEMD ERRDKATKTRQRIAEKSLFNSSESDTSRRTPPSEVFENMSLHGSSSADEMVTFDSQNY LPPEVFTFTPPESPNYGTASKPASPRSLTPSSEDEMLPLSSSKRPLENILEHSGLPLL TDAGTCSFSSVSSSSSHALSSPHTAPTLSDPSQPSDLDIFINSEPSSAFGKQDFGLGD SDMAAFPDYVNGSAFDSSLDLLQGKNFSTGPSMGDDFFSFQFQVDEQASDVMSREFFL D ANIA_04872 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGGKKRKKKVYTTPKKIKHKRKKTKLAV LKYYKVDGDGKIERLRRECPSPECGAGIFMAAMQNRQYCGKCHLTYVFDESK ANIA_04871 MSGYKTVGYFVNWAIYGRNYNPQDLPAEKLTHILYAFANVRPET GEVYLSDTWSDIEKHYPTDSWNDTGNNVYGCVKQLGLLKRQHRQLKVLLSIGGWTYSP NFTNGAGTPENRARFAQTATKLITDLGFDGIDIDWEYPQNDQQAQNYVDLLRRCREAL NAAQGQRRFQLTVAVPAGPDNYNKLRLQEMTPYLDFYNLMAYDYAGSWDQTAGHQANL YPSTSNPTSTPFNTVQAVNHYIDAGGVPSNKIILGMPIYGRAFQNTDGPGRPYSGIGQ GTWEQGVYDYKALPRPGATEQLDTNIGASWSYDPSSREMVSYDTVAAADLKAAYIQSR RLGGAMWWETSADKGGKTANKADGSLIGTFVEDVGGVNNLDRTQNAISYPDSQYDNLK AGFPSS ANIA_04870 MADGLNQARALRVAELMNDYRTLLVHINQLNVPVPPADQSEEGY RVLRECVAAANALMASNYTPSPPPANTSADEAEKAQLQRVILDGSARRFQAHRIYLRT AAAKRWIIHRDGLLRGQRPGPQHAGPMKTISNTLREELAQISNQHVFADLRAADVRAG HWLAEDPTLDTILSWIRSHP ANIA_04869 MSGSAGYDRHITIFSDQGRLYQVEYAFKAITAANITSIGVRGKD CAVVLSQKKVADKLIDPSSVTHIFRLSPSVGCVMTGSIADARASVDRARGEAAEFRYK YGYEMPCDVLAKRLANINQVYTQRAYMRPLGVAITLCSVDSEKGPQLYKCDPAGYYAG YKATASGPKQQEALNHLEKKLKNKDYAEGSWEEVVELGITALSSVLSVDFKKHELEIG IAGGPRTDGKEGTDPHFRALTEEEIDERLQAIAEKD ANIA_04868 MAEEKSSSKRPRSKSSKFKRTKDAEPDADADVNMKTLETNQEDD SKENAVERGEKGAVADKRNHRDNKKRRLAEQDEQAHVPVSEEKKEDIGEPKTKKKRVS FSAEAKVKSPSPVEGDEEAEADDENGESSKKEKKEKKEKKEKKEKKEKKERKENKKEK GEEVKGDMDSTSTMQNSGESPVLAYLSQYYNDRSSWKFQKIREIQLFKHILSLEHVPA TYDAAILSYLKGLRGEAAKQRLRDLSQAAIKADVEELKEVYVDLSERSVDDQEPSPME NYKKAVYAFRTKLTAGESTDDLGEAFERLSEEMLQRFRKRRRAETVYFAVEGKVFTMS NLKAPPQKGKNDAQNQQVIKKKKNRTAVIEISSSSDSDSDDEKKSSKKPESVKKQPVK RKKKKTRTAVIEFSSSSESSSGDSDS ANIA_04867 MSSRHHVRPRRIDDALSQLVDSLAPPLPPSAVTDEYSDDAEEAL AAAEEQYHQRLLDHAWRTIDSHANLADNPAAPSGSLGIGRRGSLTGATGAESINNAAD MIKRKLLRENESPDKAVRFSNLYSRLLTQPVLSQKWGILYLLYRLSRTENAESFTYDD ERPRSPLMDQSKLQRMLVKEQRMGGRVAASSEDDGPAVSSSASQMAARVERKASLRRT EDKERERNRDAEHGSAPERLRMSRQNEPFDGHGEEKNEEQERMTQCGESGLLRDLPFN LQGLSSSDMQFMSTSTLKLPPTLPLPMVSLLNTLAEPCLLYRGLSAFVESSDGGLVSQ SLRAALSNELRSYLGLVATLEGEIRRALAAPEESPGSKSGVTLKRCVVWTRDATMALR LMSLIVEEAQKKLLTHVTKPFYGMLRLWIYDGELSDPYKEFFVVEPEVRPSTDPRRIA TSVWEDKYKLEDDMVPSIITKEFAKKVFLIGKSLNFIRYGCGDSGWVEAYSKEASKEL RYGDTASLETSIDEAYKTTMARLIHLMDEKFKLFDHLHALKKYLLLGQGDFIALLMES LASNLDRPANSQYRHTLTAQLEHAIRASNAQYDSQDVLRRLDARMLELSHGEIGWDCF TLEYKIDAPVDVVITPWGSTQYLKVFNFLWRVKRVEFSLGSTWRRCMTGARGVLGSVD DKVGADWKRARCVIAEMIHFVCQLQYYILFEVIESSWDQLQASICKPGCTLDDLIEAH TKYLNSITHKGLLGSSSTKTTGKQEEGFLAQLHHILKIMLAYKDAVDGLYSFSVAEFT RRQELSAKIETRTAQGQWGVSERDLLSSRHSQAQRLASASSSFSITPNVGSGADGVAT PSSLANHDLSADDHMLPSLRTRLRDLSVEFRSRLNVLLGDLAYQPDVDMRFLGVVMNF NEVYEPVRRRRATGTSSRDKERERERARRKAPPQSGGTETQSQAKKEKKDSTEQ ANIA_04866 MQPNHYDLLGRQVRGEPRTTTGRVTRDALQSRSGLPLRSEDSWI EVSSQPSSSSLSSAATTDDIITTGLRVQQTEPDTYHRRSRRRRLQRLAAVATAQVEYS SHEPSSSQDEYEESESESDRVLTSSNEDISPPLPHAGDLVPSSDEDESSTALGMRISS SPFVPQPNVFSHPPVSEGPSWTRSTERPYSDVSTSSRRTAIRRNSQADTRSSQRSSNQ QHGPYNVISPSYHADHDAALRASLSTLLSCAAAARGLSKCESQPAQSGAPRVQPASFR LVSESVAMGDEVNEDAPPNIEVRTSPRSQRLKEQQQPVPSYSPRESSSTREKRRTSAS RDRGSSHAATKRSRRSATTESSSAVMTWVISAGVVVLFSAISFSAGYVLGREVGKLET STGLGSVFGDAGVPGSRASAACGQEAVRGGLKRFRWSPGSSVSGVVA ANIA_04865 MSKTKSVVKKGAESAGKLADKALSKVKDAGVTKATQSPKAKSKQ IAREIASKEKKPKSKKKEPTPSSSSESESESESESDSSSSESESEDEKPVKKEVKKEA KKAESSSESESDSSDSDEEMKDASSSESDSESESEEEKKPAKSAKAEPKVVKKAESSE SESSDSSDSDSDDESSEEEEVPKAKAKAAESSDSEDSDSESEEEAPAKAKGDAKTSKE ESDSDSDSSESSSDSDEESGSSGSESEDSDKPADSKKRKAEEEPAAASKKSKTEEGAS ANLFVGNLSWNVTEEWLHQEFEQFGELSARIMTERDTGRSRGFGYVEFTNAADAAKAY EAMKEHEIDGRKINLDYATGRPANKDQGGFKERAQNRARSFGDQTSPESDTLFVGNLP FSANEDSVHEVFGPQGNVLGIRLPTDMESGRPKGFGYVQYSSVDEARKAYNELQGAEI DGRPIRLDFSTPRANNGGGGGGRGGFGGRGGRGGPRGGGRGGRGGFGGRGGGAGGAPN RARGGIPEFKGTKMTF ANIA_04864 MAPSVPSSYRPRKKRKSAALFAGSNNPLTIDAGEGKAAPAFPLV SFLWGARAGVSQWLVLPLILMTVGLFRWAVSLWGYSGFNTPPMYGDFEAQRHWMEITI HLPLSKWYTYDLQYWGLDYPPLTAYHSWLLGKIGSVFDPTLFALDDSRGIEGSLLKVF MRATVVVSEYLVYIPAIVTFLRRYTRMQAVPVWSSSIALSAILLQPATILIDHGHFQY NTVMLGLFVASLDAIMAGRMLWACIFFVGALGFKQMALYYAPVMFAFLLGICIFPRIR LVRLFCIALVTIASFTALLLPLLLGATSTEAGKQPVPEPPLLQAFPVNLDHGSSLYLI LFQLTQIVHRIFPFSRGLFEDKVANAWCAIHTFYKLHHFEPELLKRVSLGATLASILI PCAIVFRHPRASILLPAFATVGWGFFLFSFQVHEKSVLLPLLPMTLLIAGDGGLNKDT RSWVGWANILGSWTLYPLLKRDGLQVPYFVVTCLWAYLLGLPPTSWQIYRHQRPVGEV EADTEPHGLTRLIHILFYLAMVGWHVLEAFIPPPPGKPDLWVVLNVLIGAGGFGISYL WCLWKLISLSRRIDSKVEDARKKNQ ANIA_04863 MSWLFGSSSGSAEKTPIPVATEAPAEKPKPCCVCKTEKTARDDC MLFSKSDDPTQECKSMIEQYKACMAGYGFKV ANIA_04862 MAPPKIFSLEGKGLKLDSAADIEAHIQPLLESTDYTEVRLGGNT LGVPASERLAAVLSTQKSLEVAELADIFTSRLLSEIPDALTFLLNALLEIPTLHTINL SDNAFGANTQKPLVDFLSRHIPLRHLVLNNNGMGPEAGSNIAKALTELAQRKEEARSG GKEVPLLESIVCGRNRLENGSMAAWAHAYKAHAAGIRSVKMTQNGIRQEGISHLLKEG LSHASALEVLDLQDNTFTITGSTALAGVVSGWPSLRELGVGDCLLSARGGIKLAQALA KAKNQKVEILRLQYNDISAEGVKQFLHAAKTALPALRRIELNGNKFQEEDVNVTELSE LLESRKEAHGKDDDPEDMWGIDELDELESEDEEEEEEEEEEEEEEQKAEKVVKDAEIA ENEKVAQAQDNDVDKLAEAFGKTGI ANIA_04861 MALDAKEIELKAKALTKAATQNEPPANIVSLLKELQQGVKASED LLRSTRVGIIVNKFKQHKAPEVARLSSEIVSKWRNEVNKQKASGSASASQRSSASPRP PQNGTASPASATPSDKMSKLAVPPDKRTWKADGIDINQTGNRARDNCIGLMYDGLCLH SAEPPKAVLSKAAAVEAAAYDAYGPETKEPYRTKIRSLFQNLKNKSNPTLRVRVLSNE VTPERFVKMTHEELRSDEQREKDRKIQKENMDKAMVAQAERSISTSLQCGKCGQRKVT YTEAQTRSADEPMTLFCTCMHCGKSWRQ ANIA_04860 MPKLRSWASLLLNVLLASAAGIPSSRYRNCQRSRKACPEGTLVV SASDPKADFSTVQAAVESLPHDNSSQTILILAGTYTEQVNVTRPGPVTLLGQTDHVTD ASKNQVTINWAQANHDSTGQSVDNVFGSVLTVAPTLNASYTGSGPTGFPVPEDTPFGS VDFRAYNIDFTNTWADYSDGPAHALSFSRANGGFYYCGFYSYQDTVYVGKLGNAYFHR SIIAGQTDFIYGFGTAWIQSSDILLRNCGGGITAWKGTNTTFENKYGVYIVDSSVQAA NASIAPEIVGACPLGRPWNELHRSIFVRSYEDASIDPEGYIDWVVDGVSRLSNKTFMA EYRTFGPGFNVSSRASTNASIVLSAKEYAPYDSPAKVFLTPDGKANNIGWIDWQA ANIA_04859 MAERKISYAADVENGDHSRPTDVNDSAGLDEYGALNRYISTARD NRRGSTSSAGALSMKQKKKPWYKFWAKAGGENGEEGFVAPEDWLETDLNGLPSSQIEP RRKRGGWNELTTEKTNFFVQFIGYFRGPILYVMELAVLLAAGLRDWIDLGVIIGILML NAVVGWYQEKQAADVVASLKGDIAMKAVVKRDGQEQEILARELVTGDIVVIEEGTIVP ADVRLICDYDKPETYETYKEYLATANDDTLKENDDDDDDHGIEARLGVSLVAVDQSAI TGESLAVDKYMADTCYYTTGCKRGKAYAIVTATAKHSFVGKTAALVQGAQDQGHFKAV MDNIGTSLLVLVMFWILAAWIGGFYRHLKIATPEHSDNTLLHWTLILLIIGVPVGLPV VTTTTLAVGAAYLAEQKAIVQKLTAIESLAGVDILCSDKTGTLTANQLSIREPYVNEG VDVNWMMAVAAIASNHNVKNLDPIDKVTILTLRRYPKAREILARNWVTEKYTPFDPVS KRITTICTCDGVRYTCAKGAPKAILAMSECSPEEAQKFREKASEFARRGFRSLGVAVQ KEGEPWQLLGMYPMFDPPREDTAHTIAEAQHLGLSVKMLTGDALAIAKETCKMLALST KVYDSERLIHGGLAGSAQHDLVEKADGFAEVFPEHKYQVVEMLQQRGHLTAMTGDGVN DAPSLKKADCGIAVEGSTEAAQAAADIVFLAPGLSTIVDAIKLARQIFQRMKAYIQYR IALCIHLELYLVTSMIIINETIKADLIVFIALFADLATIAVAYDNAHFEARPVEWQLP KIWVISVVLGVLLAAGTWIMRASLFLENGGIIQNFGSPQPMLFLEVSLTENWLIFVTR GGKTWPSWQLVGAIFVVDVLATLFCVFGWLAGDYVETSPPSQATFSTNNDTDIVTVVV IWAYSIGVTIIIAVVYYLLTIIPALDNLGRKNRSVVDTKVENLLNHLSKLAIEHEVDA NGKSRYTLGARAEPEDDE ANIA_10617 MSQMDPKIYLLLFLSPVLAFSGLILIYILTRPIRLFLYDRRFYL KGGIHAPMIPRDIISVTRFFFAVVKAQNEHRLYEFFKKSLEHGDPASPNCVESNIFGS FRVIQTREPEHLKAVLTGKFADFGKGELFHKLWIPFLGDSIFTTDGKEWQGSRNLIRP MFIKDRISDLDIFERKTQTMLSLYSPCGEPTDVVDLFYRMTLDAITEFLLGKGINSLE NPQADFALAFADVQRIQTLLTMLGPAQYLYPRGRYNQGLKVINDFVWPFVHDTLGFQA DDLKSSDKSFTFLHALANYTRSPKTIRDQVVSILLAGRDTTAATLSWAFYELSHYPEA YSKLRAEILDKVGPTRAPTYDDLKNMPYLRHTINEVLRLYPAVPYNIRFALTDTTLPI GGGVNGDLPITILKGDAVAYSTYAMQRRADLYPPVSEKFADPAIFSPERWEVWSPKPW HYVPFNGGPRICIGQNFALAEMGYTIVRILQRYERIEYVGDWERQFHKSEIVGTPGMG VKLRLYEARKP ANIA_10608 MITEAKEAKGLSKDLIADLHPNRPDYRGFSIGENIISLQADPSF EELEAAGATVQKHDSAHTVLDDFFLISGEIPRRTPYEAGLKHGMRFDKEDNEWTSDEA IADERFIMCNVKADKGIVMLTGCSHAGVVNCTQHALELAGGSIPLHAVIGGFHLATSD ANQIERSIKDLLKFDPAVLLPGHCTGWRAKFAIEKRQPGLLVPCSVGYNITF ANIA_04857 MASATLCRALRPGPSPPPSLTSITFTQRHLRSLPPRPCPAQPLC HQRHVHSGGFQPFVPISPSSLGKPVPARTYRRTRKWLRRFFYLSLATGIVYVFDNQFY ASSITRTARTFSLGVLVALDYKINFRPNPPLAGSIADVHARNAERLSDLLRHNGGLYL KIGQAIAMQSAILPPEFQKMFARMFDDAPQNSWKDVEKVIREDFGKSAEEVFGVSFSG DPTKGVMERVARASASVAQVHWARLADGREVAIKIQKREIAQQIQWDLWAFKVVTWIY SRIFDLPFYSLVPYVSERLFLETDFENEADNSEMMAKLVAGESRLRDRVYVPKVWREL SSKRVMTAEWIEGVRLWDKDAITRTWRGGWREGSPGCGGTPLDSPRANRSAPARSSFK IKPERNDWRGRNGRGGLGLSLKEVMTTMVDLFSAQMFLWGLVHCDPHPGNIFIRRKPN GHSELVLIDHGLYIHMDPEFRHQYARFWKALLTFDNATINEIVKGWGVNNPDIFASAT LMKPYRGGNLSTQQGIERLSKAERAQRHYDMQQASRKAVREILGDETKWPQQLIFIGR NLRIVQGNNQFLGSPVNRIKITGLWASRALVESPDLRLTEKIRNYGRHLLFRVVLFSS DLFFWFTKVRQFLHLGGGMEDDLEAQMQSVAKDMGVELNHSIFEG ANIA_10616 MGESQSDFETEQPRTRHRKSAPPEPIIESSSESGTRHYLAPVTS RPDSRIAGSSISSVDYHERPLSLPDGRNTIHSPRAPLEGYFAHESPETFSVASLHQPL RGTASSDHIRLSDPTPTDPGRLSPRFPPYPPPVSPHRQPHVSSPRYSPSLPSPTPRTP PSRGRSEERTYAQELHLRSRSPKAFAPRPEERHLPKHDPTDPAFNLGAFRSSNPRTSR IGDQELPWKITIPGDDDDEAATSTSVSWSHETEGVLNGTGTGTDTSTRLPTYQEDEEE HGPSKFQPQDEKQGQPIPHPSSASEPTPPQAQPNTTTPDHPHRSGARTINALQGPVEL PARTDDNSSEEIMMSSTAYPGQEWRPLGFSEWEDQ ANIA_10607 MPTESPAASSSPTAPIVRPADIYKRMREEQQDRRPSPAAPSTDE RASGGVTVLESSENTVPPAAQAPEPIYEAEQASVKKASTPPAASNDPPALSLPEVKRL SAFGSGFFSEPERDPQNNQEPGDQPHQLHHNPSLGFRSAVNQAFDVPETPSTVADSIA RSNSDSTAGISPIIPRRGNTDDKTPTIHEEPNENTETPTEGNMVFKPGHRRDLSIPSP GNSPSRRPVVAGPDATASSELAQISSGSPVESPLATQPQQHVFNPDIPQPSREATRGD LPAPLNVQTNIVSNPAVSTDIPVIIPAMSTENSPEDTENDRLRKEIIRSLSRENSPSD NQENGTPPHTSGENNLLPREYEAHRAAPQTATSPGSEPSGPTFDTILATSQALSTGNL TPPPSHVSQPKLKKRFSWEESSEDEDPVPVTQAQPARPPPMPGQFPFSQDSVLPESVV APTKQQSEIADASEERVGPPTPEKPKLTLVPPVAMDAPSIPLSDHGHEPALSQTTETL ARAEEGDSSSHMPPTLERPTHTPPVSDETGLLGFKDILNMQSPYERIQSFDRTRDQFA TINTGLGNWLQVTFHAHPEHHDVISRNSKPLSEEFKNSVPRTKFPKLASLGNLASSLQ DGSHHSTSGHIRRPSAPLGSMRQQSGKDFLHTAGVLGGQAGKAAKGLFSKGRSKLKGA GGSEKVEP ANIA_09526 MTGPTIQDRTSEFQAILGQAQKRAASSKVGSQRQALLTDSQRQQ ANGSPNGTAAGGKRRSEFARRAAEIGRGITATTAKLRRLAELAKRKTLFDDRPVEISE LTYVIKQDLASLNQQIASLQALTLSQHPKSNRSKTDQEGEHNDNVVVMLQGKLADVGA NFKDVLEVRTKNIQASRSRTENFVSSVSSKSQAALDPQRSDSPLYPSGRRTPQPGGSS DLLTLEPSNPSPLGRPSMQSDQQLLMMEEAESSNSYIQSRGEAIDAIERTINELGGIF GQLAQMVSEQSEMIQRIDANTEDVVDNVQGAQRELMKYWTRVSGNRWLIAKMFGVLMI FFLLWVLISG ANIA_04855 MQHPPRVLKEIETAPLGSTPTAPNTSNNRLTYWDTATGPQGQQD DSRYPGGLWSVGGSTTVSLAGNTVTLSDEEPVSWQSSFSRHMKCNVNTQHTDIFLVMC GFVSGLVDGLSFNAWGSFSSMQTGNTVFIALGVSGQPAYPAYLWAKSLIALTVFLIGN VIFIRVGRVLGACRRSSLVLSFGVQTICILVAALLVQLGVVDPKPEDPRAPIQWMQVL PISLLAFQAAGQITASRILKFDELPTVVLTTLLCDLLVDPELSAPLRANGKRNRRIGG FVALFLGAMTAGGLSKVTEMAASLWFAMCIKLIITASWFVWKGERSKKMEGDGSV ANIA_04854 MAPYYALLTDRGIAAESHSHLYKRDLTVNHTQAVTLGVMAAYVV VIAILWNVPYLRYSLWPFKMLVIAFHEFGHAITACCTGGRVKSISLDPHEGGVTHMQG GMAAITLPAGYLGSSIIGALLIFAGFNIIASKVASIVLGVCFLLTLWWARRDLLTIVT VLLAVGLLVACWFIAHGEALKWVVLFIGVMSALYSVWDICDDLILRKVNSSDASVFAQ RYGGSSRCWGLLWSLISLIFIAIAIVAAIAAFPQSFSQQEDDSKSFLPTKF ANIA_04853 MLLKPATPLTILLLIAFVLLLLSVISTPIVKSIPLATFDNVEYG VFGYCKAGTCTAIHIGYTTGLLRLPLRSEVANLSAEEIENTGSTDSDFNLPSDARRSL SSILIVHPIAAFLTLICLCLAAAAHLHAPSHSPRYLLALLILLLPTLLVSLLAFLVDI LLFVPHLSWGGWIVLAATIILVTCGVVTCAMRRTLVSRKARKRRIAENAEMSGQNYYN RQNAAAAALNESKPIAPEAKETFVAATQSSESGPTFATFRTNTRSSDDDRTPLNNHSD PSAQDAGYQSRIPGDPVPYNAPRDDFGNPLPPGAYNSAPRMRTPGPPGPPPPDSRVRD QYSDPRRGPPTGFAPRGRGGYPPRGGYGRGGPYGGPLRAQFSCSSHRAGWLYGPNARR TCRTDGTWRIPAATGSGRIW ANIA_04852 MHAFWRAPWMKSKVGVSDDQRDHLLPENRDDDHRLGKGTPSRVA NTQERYVGFGIGGAGNIRRFESFSCFQHGVGVFSREVQEIFVSVMLLSVYTDIDGVCC SAASMALHTAFLWRLFSLFCLCAWATAQKARINFVPGLDSNLTPEYSPPRPSGIPFTI QNYAAQPWHDLINVTHGANKPAASCAVSSGSSKFWYEEIEHNGQSSFLVPGYRDNYKV FRNVVTDYGADNTGQSDASSAIQKAIRDGPSGGPARDEKAMGSTGQPAIIYLPGGTYL LGAALQLYIGTVLIGDPTNPPILKATPGFSGDHIIYAKDPNFGGTINFYIGIKNIVLD STGLDSTLSMNLLDWTVSQATQLANVGFNMPPASQHVGLTTQYDYNSNIILQNDLWFN GGSIGMKLSGQQWVIKNTVFAGTTTGIVAGGTDIILLGCQFSNGKTGIDATGTSGSLT VIDSSGSGLESFLISSTPNGAGNAIILENIQNTGTTVNLGGQAVVSGSVPNTWVRGDM YAAGSPNMQRVNGQTVTTQRSSALLNGTKYFTKSPPTFQEFSKDQVLNIKSVPGRQVY GDGATDDTQNINEILAENADCGVIYFPAGTYMVTDTIFVPTGRRIVGDPYASVISGVG AKFQDPMSVRPMVRFGYPGDVGVAQVSDMLFTVGDILPGCKIVSPPDSIGSIANHTQV EVNIAGSKPGDVGFWNSHIRIGGAVGSKVESQCAGSPDKCKAAWAALHLTSTSSAYIE NMWGWTADHDLDGSNGQTISTGRGALVEATAATWLVGTGFEHHTLYQYNFEYARNVFS TMQQSESAYWQGPGNMLAPAPWQDNMIPSDPNFAQCAADDALCRMGLFERIHASSSLF LYGGCNWVFFNNNSDCNAPSGKCQKNAIQITDSSAVYLYGTNTKSTINMVLSGNQAIA TEDENAGGWGGVIAAYLYSV ANIA_10603 MLAPAAGNVSLRTVSSSRPQLIRTESQQSAAASDDYYSFSSRTP TSRSASGGSRTTVVRYATPNSHPVSRTSSPAVSRTLLAPPAALSRAEHSPIRQAEQRE TFVTQIDSTTQSSEGRNAHLGLSMDGASSEPVRDSYAGREPTPGMDDSPYIRFAINQL TREGEDDDPRRSEESGYESTPPDRLVWDGSLGHFVRAVTPAPQQQSPQRDPQTSVDPE AFVAIEPPEGNLIYPALDFVPVVLRPWALSIAIFCVLLMIAGIAFSNVWSEDHDGVWG YNGRSGARYFVVQFLPQILGIIISISTFVIQAAVYRAMPFVIMASERPYKSVLQGLPI VSKSFLLPDFSHFMHGEALVGFSLLTIWISNFIAVPLLSCFFQVKWFVIDGEGSWRWA AVRPVGWTLIAVYGLLAIGLLTLLLRFLRTWSGLMWDPVCLADLITIIQRSNVLPDFE YTETATNVGELLKSRPLRLGYWQLSQGRHPEIFYGMGEAGGTVGNPSLHTPPRNSREH LSKVSFDAERQSMTGKDGYEELYSSAVRYRWAPWFLRKPAVIVWTVIICALFVAFVVV SFVNGGVEEGFPPHLSTRPSSTAFSSSNFLYSFIPALIGNLLFLAWQHIDVYFRALQP YVMLSSPEGATAEQSLLLAYSSLPPLHVTITAIINKHYKVAWISLLSVLSGAIPILAG GVFIALTYPENTIKITPLMSAFYAIVAFCAIYTVSFLAVWPGRRRYLPHSISTLADQI SFLYQSPLLSDKLLREPRSKTDLVTRLVIAPPGNKDYPTYGFGIYVGRDGREHLGIDR YSRPGRADMLITTNSMK ANIA_10606 MVYPGRPSSGCQTCRTRRIKCDETRPHCNACVRSGRECPGYPHP LDIVLRPQKAFNRKNPAMVAQRSTTDNDIDTKADKSRSCGLRPLPCLPVTSGSTTFPQ VPGGLYLPMEDTVTAFFFNSYIYTPRDPLIRPGSMEYLPQLYAAAPFDSHLRLSALAV AFFCVAAWTRQENLVWRAQQCFGMALARTRSALQGDIERDYDNILMTLMLLYLYEEFI SIKENKPSPKHHLRGAVALINCCSPERRKLPLSDTLTNAIQGEIVYSAVDERCPLLQT PKSWPLSEGIPELASSRLMMIATPFVKLRERWMKFFARADTANIEETEAILSEARTFD DRFLGWTYSLPKHWYPMPAAFFPQSVRDAGAYEGRCDCYTDVWIAETWNNYRIFRLSV QNLIYRCLSLLPNRTDEIESTLRTTRALATDICASVPFYLGSQTGSMRITDSRVEYPA AEPKHSRQQAPLIGGWFIRPALDTLCSMENLPEDLVEWARGQSIASPTLILANSQRQP NSASTSADRRLGLTNKMVFSWFRSNQTPTTTTSPSSSVEPESPLQHLTPTSVEPTLTE APLVKPTAGTDDLPKLWTPSTNKKLFFGGAVFFALSLLTTRRALVRRFKATIPPYYTS SVYHKPEVNGGMEAFEALHLATINVLSFSMMASGGVLWAMGVNSVEDIRAYVKKRMAA GDRELSQTDKEMEKEVEEWVMKYLGKRIENGKLKDLSEPKGST ANIA_04850 MSHLQPEDTRYLPGPPPRPFPRRDDRDGRMYDDNSPSRSRSPGG PSHTPPRGPAADRRRDYSRGHSGSRSRYRSRDRDEFRRRSSRSPPRRPRHGYRDRDRE GYRSRSRSRSYSRSRSRSPRRGRSYYGQESREVMMDGLPVDMVEEDVGQLLPSLSAQT QYPKVALQAFISRELKDFYHIDGLEEVRVIRDRQTRISRQLGFLRFRSLNHSRAFMEQ NFPTIYLCGPSAQDDRGTKVRIAYSREKEDRAKARAEGDWTCKMCSIVNYSTRHKCFR CQAPRPDAGPTGPPGIAPPKVKNHGDNDAAPENQPSQFLLFRGLETSVTEELLAKGVA KLYRPNSDGNSANQKKGAKVASTTGDSNLGAREGSIRRVLLVRDRRTNESWRYGFAEF ATIQDAQAAISRLHSFEKFTISSRPVMVSYIHAGVFVPVMNPSESTEKFTFSPLNNPA MKLMYWDEDAYVMELTVSTEPAPQGSDKPAAQQEGKAKEASDKAKKRKADAASSASGT KKMAMPSHLQFWSNRHAELHGIKKDPEGKGSADQNSSDEAAAPPRQSYADPNRNCCYL CMRQFKTMAEVNRHERLSQLHRGNLQKDEVVAKATNKLIKHGIIPQPPEYRDRARERR QAFGRSKNATAKPAPPPEREPSPPVQTTSKGASLLSKMGWSAGTGLGAQGTGMTAPIT TEVYAQGVGLGAQGGKLGEASEEAARNTRNRYDEFLEKTRQTARERYEQLGK ANIA_04849 MKFQMLLFFVSLVLAQVTSVALSIDGSDAVESTGFLESNTGTGY LVKRKNVLNFCSRASDCAENEACQLFVCVESNHSQAQPDTEDEGNCKTDEDCGEGAEC MGGFCIDRDVTVEASLTGDAMCQKDKDCGSVGRCLNGLCLAPSKLRFARRSPQLVCHT DRDCASPSGPGHCTHGGICIAPPINKVEARSPQIQCRTDQDCASPHGPGQCIAGGICI APTRQLETRSPQVQCHTDQDCASPDGPGYCIGGGICVADPPSQFLVESAAADV ANIA_11449 MSRFDQLELYFADIRLAHDHRTWDRDKYDYG ANIA_04848 MAEPISLASGLLALATFAFQSSVSLYDTVKSFHSHPTRVRQLIE ELEALSGVLGPLVDRVQATNDTDLSALNLPLLSCGKACKLFQKEIMKCAGRSSATRTS FRDWAKLKYMGDDVDGFRRVLAAYKSTITIALTDANLQKSTVTAETLKNYESLIKTAK EDLEDHLAAIDEKLEFMLRKAVTGSDEDSLELQRIKEERLSAEKCLQICDQLSDHISQ IQISPASNDSTSPYDPGAFPERLTSAALQECKSSLEHTSAKLHKHIHSLIDRLIVKSA SGTTSQEDLTDLARLRDEWEMTRQCIDICSKADNHFKETITTVDNYATGDAVQFMVST DGQVIHGRNHGLGWRSRQVGGHLNDTSLQQLSRDFTTINIHHTTNTDSSSPKNTPATA DDTASSESASEFDRRYGRGSQLTPKSAPNITTSWKSSMESGLGRASKS ANIA_10602 MRGTYKNGQETPPTATTISVVNPATEEALATINATPSEAVDEII TASWKTFHSGVWSRKDPSDRFAVLSRASTLLRGRINDFVALETVQTGRPIREMRTQLA RVPEWLDYFASLARVHEGRVTPFKGAVTNTLTRIPLGVVVLITPYNHPLLIAMKKIAA ALAAGNVVIVKPSELAPLSVLKLGALFKEAGLPDGVLQIVSGYGRETGKYLCEHPKIS KIDLTGGIATYRAIAPVAAMNMIPITAELGGKAPVCIFPSTDVETAVKAALFAGFIAS GQTCVTGSRILVHKDIYDSFRSLLEKRVRALRVGDPTDEKTQIGSVISAAAIERCEAF VSRATAEGGTILCGGTRLTPTPEKKGYFFAPTVIETASTSDLANNEVFGPVLALIKCS DEDEIVRIANGTSYALGASVWSNDFTQAHSVADKIEAGIVWINGHHLNDPSSPWGGFK ESGVGKENGVEAYESYTKVKSTVMNYGVKPVWFDDEVADARYG ANIA_10605 MYFLLSVIFHFPVFCAGFPPAVSREISTTLLTKLTLMSQYSAAS GCSENNNSSVGSSVYCGAEMCPLIDSANTELLYAFSEIYPGDTAGYIAADHTNALLII SFRNSVTPTNFITDWAFLQVSAPTACSGCRAHKGFWSAAVAADKALDGSIREAKARYP EYELTLTGHSLGGALATLHAIFLRNRGVAVDSYTFGAPSVGDYAMADYITNGPGSDNG RNYRVTHLNDVFPKMLYRASRMPVADRLVQEYSQSGPEYWITSGFGEPVTTADVHILE GVDNEQGNLGREPGSLRDHMWYLGATDACPLG ANIA_04846 MFFSRFILHSTLLSVTIAAKCYYTDGTFALDEQQPCFPEKEHSA CCGIAKTNGDENDYCLTNGLCLGQVKGYTGFVLLNSCTDSSWESDDCPNFCPKSMQAS YGIHILPCLENSNNQWCCSTDGSDCCDNAFELDMGKLMYPGPGGNWTNPTSVINPPTS TYASTTSSAGDNASQIATTTVTVTSDPDSGSNSSSSETCDNGTGTCQDSKTTIVGVGV GLGVALVVCLASSAAALCFQRRQFNRRLEETKASYLASGYLPAPRAQAELYASNARPP IAELPANKRTSIFEM ANIA_04845 MTSLRGRWPLLFLFCISLFTLCDASSPKRRSLFQPIQSKPRQKT SELRGNSSIADIAARDIFDPFSTSLEKRQYTCPSGYSLCTTSRRCCPSPADACCSDGT CVIRGTEECCLTGGACPEGQSCCRDGCIPADGKCCSVGDGYCEADEKCCSNGVTCAPL DGECCNVRGYCPRGQRCVVLNGERGCCPLSGCYSTDYDSDDDDDDDDEETSITTSSSS SSVTTYTQIIYWYYWVYWYIEVWWYIEIDIQTSTLSLTSSSTRTSSTATVSASNSAAA SSIFEGISESVSASATTTPDLDDVPTRTTTTTTAGPTSTDDADSDSGPVVTGGSGPTG DSSGGVNETSVPNVDKAASLSSEGMWATGVLIPKHRLAPKYNALRPLYSVHTQITAHP VNSFRPRYTANRELC ANIA_10604 MIYPQDPDCRDGEANLRLTEKDPDPSTLEKVNGDLKKLEVTNEK PASHSRSARFLIWTILNVTSTVGIVFTNKSVMSNPSFSNRQVSLACYHFFITGATLWA ASHRFFGAFVPKPIGLKQMTPIAAAMAIQVVLQNLSLAHSSVMFHQLARLLLTPAVAL LNYVLFRIKTPRAALMPLALLCSGVGVVTYYDSLPSADSGSSTSARGVIFALTAVCAS SIYTVWIGYYHKRYELSSMQLLLNQAPISACLLLCAIPWAETTPAVSSVPTYMWSMVL LSGLLACMVNLSQFYIVDAAGAVSGAVIGQLKTCIIVGLGWAWRNHAVPRQSMMGIIM ALIGMSMYMNIVLKNR ANIA_10601 MILTRGNSRLIKTTAAVFCACVLLAFLFNHQDGYQSTRKLIHAG RSRLENAMFERIKNETLGFQHIYAIGLKERTDKHDSLTLAASATGMNVEWLEGVRPGD VSEKALPQGMDNPEIPYAVVLCWRAHMNALRRVVENKYTTALIMEDDADWDVTIRPQL REIARGVRELSSNQKAPRKEPYGTNWDLLWIGGCATLQDKNETDLYMIPDDPTTPSLG HRGPWQGPIGPHEDWRNQHPEVSMDSTRFVYRAGHGCCLYGYAVTYQGARKILAALSL ERSAEVDNSLGDLCGGKNGRQQIRCFGVYPNVIGTFKPAGLSSRHSDIQNYSTTDWVN AESWNMVYSTRMNLQRLLAGEETVYSQWNTSFVPWSKGEVKPREVQYPRGYVVRG ANIA_04843 MSYAHVKPPSEIHRAWWKESSVYQIWPASFKDSNDDGIGDIPGI ISKLDYIRDLGVDIVWLCPSYKSPQVDMGYDIADYYSIADEYGTVADVEKLIKGCHDR GMKLLMDLVVNHTSDKHEWFKKSRSSKDNEFRDWYVWKPPRYDENGNRQPPNNWVSHF QGSAWEFDEATGEYYLHLYAVEQPDLNWENPAVRKAVHDIVRFWLDKGADGFRMDVIN FISKDQAFPDAPEQDKDAVWQWGDKYYANGPRLHEYLQGIGKILKEYDTFSVGEMPFV KDTNEVLKAVRYDRNEINMIFNFEHVDIDHGPWGNKFKPGGWKLTDLKAFFERWQVFM YDNGGWNALYWENHDQPRSIDRYVNPPQALRPAAGKMLATILALHAGSPFIYQGQEIG MGNVPIEWGMEEYKDIDCLNHWKGLLETRPTDTEVQKIARQEYRKKSRDNARTPVQWS SEPNAGFTGPNVKPWMSVNPEYTRVNAADQVKDPNSLYHYWASVLHLRKTYLDIFVYG NYELVDRDSQEVYAYTRACHTSKALVLANWTDRGLTWNAAAHKADQFEQVLLDTYESA NAAQGRFSGGQWTLRPYEAVVILLK ANIA_04842 MSVPLRSLMRTLARTTRPPLLSAQCRAQVSQNTPRCTTPSRSQW QPFSTTPARPKAKTMGQLRARNATGPFSWKAALLFVLTGAGMIIYFRVEKERLERKRI AEMSKGVGRPKVGGPFVLKDLNGDVFTEENLKGKYSFVYFGFTHCPDICPDELDKMAE IIDKVKEANKGENIFVPVFITCDPARDTPEVLRNYLQEFHKDIIGLTGTYEQVKQVCK AYRVYFSTPRDVKPGEDYLVDHSIYFYLMDPEGDFVECIGRQDTPETATKTIMEHIND WKREGKPLKRD ANIA_04841 MSARPEPQPGSERNATEPLPSHLDPTTYPRTLTTTHGPTSIPLH LELTYHTLSPTTALQHVSSPSSGANILFLGTTRDTFDDRPVARLSYTSYPALALKSLH KISSEAVEKFGLNGVYIAHRLGEVPVGEASIVVAVGAGHRGEAWRGAEWVLEVVKERV EVWKREEFVDGGMEWRENRERDGFGKLKTKKEDSR ANIA_04840 MRLRSTAGDPEAHLRSTLFHPTGCLDCQLIRPNLLLHHRLILRL FRAFGDSLGEAAGHSEGHILTLSSHLPPELASAVHRQPHRTLDETYDVHDSSTFTTQR QQRQQQAAPNPASNPTNYPPHRPSSALDNHPRALRRTSRYSSRRPGSVDASGRPFQIE QTIPVIVRPASERSREAAAPRLPPSDIRTEGDDSDSTLDDNLQPGNSEYWPRRSTRVS PHTASAVLWTLEEAIRKPFPFTLDWDEVNASMSDLAGGPGMSGLGSNGRSQNGASRAA QGPIPVNPHPASGVRTPTDIMRQRRDREARKKAEQEAKDREQEEAERRRLQQEQAKAQ AAQPYPAGVAGERPTQRRAAPRVPAGNAPGTTQAPATAAQSRQPAPTFASQQAPQSGP QQTSQAKLASQQQVPTATSQQPQQPRRAGFPHAFERWETLSSHWEGLTSYWIRKLEQN NEALERDPLSQQMARQVTDLSAAGANLFHAVVELQRLRASSERKFQRWFFDTRAEQER SKELQAELERQIQSERQARTEAMAAAQKAESDKSRIEELLKEMRRELQISKEEARRAW EELGRREQEERDRTNSLRNGEPTLVGGVQVVPMIAGLPRRDPARPPTRDGPYSGAERK PREEMYGDIPISPVGTDPFIEGQSGVQEATPVTGSQSQGHYASYYEPESTYPPRTTAG HEASMGSSEPGDDYGYQQTSQSRQMAYPRSEESDEYEQASLEDSEGGYATSTMPPTSG PMYAGYDQSVDYSGASWGVGTGWESVTPRHRHPTRLSDVLEEEEPRTTPSRASRASQA SRSVQ ANIA_04839 MANGYARLPGELNALPKSRNRSQTTVGLPPTPSPQKKRASSFYP PRGSDFLDETNPDPFYLEHSLANASSWGSDKQSLRNARSGENIQKYFMLSAVNQSCKD VTVPAAAPRTIKSQANIDRSTLSVVELEHQLSLQQLGTQQWGDSQTESTPDLTPSSSF SSNHSSPIYPDPVVKATEQLYRLSKQAQDPIVNRAYPYSTALNQSEATIPTEPSSPIR DTKPERVPTASSETVTMLPRSYEASSLRGKPLPSLPAVPRPSTSHPSKKQPPSRLVIE PSMISPPSLINPVTLEPHRSHFDQALFIPANECPSPMPSPGPPSPSVDRHPQVPSMRE RPSTSASEMRPEQSVWESDSETEDSDPRSLSRKPMDTLKKVRSRVQLRVAKSTPKLQN NTAQNNAALEKFPTMPDPPSQERCSSPLKTALQTRPGKDILRPTAHQTLRLVAPSTTS LVPPRSRCNSAKSQTYGIDRSTAAAAIQAKSRRRQRSISPETSLSAEREKVCTFCREE RSDRTFHHGLTLSRPPLYKRVWESLRVLACHGEITTTRPRKGI ANIA_04838 MASDSLDDIFGSSPPRAEQPLPQAHKNATVEPSELPSLRRQHVT AGYRDGISASKAEHVQNGFDAGFPVGAQLGMRAGTILGILEGILKGYESSPATVKKPG QKPPTPSTTATKEADEAKKAKREEILRLYQQSVKELNVQAVFAGVDGGALQAQGSEDK PETQLLHKGDRVVSAWESKVPVAAWEEHVMDVLEAKSDDGNGKADETSPGDLWPTIFG AHTPQTTAPIDDVSSALDTGPRIQPFGPSVKNFTCACAMRIPPAKHLPRPAGRLGEPS EAVPRHIKSPALRKFGCKAAR ANIA_04837 MDQARPHVRAIISCTFCRQKKLRCDRLRPCGSCVKRGLNCVFLN PSPPPRTAPARSTRQLNQRVRELEKLVNALARTKNSREPDHKSDQTVDSTDDAVTSSL GRIQVGETGTSYVSGAHWAALQDSIAEIKECLDSDQSLTQNSSEGPALLLGLCPPGSK EDFLAAVPPKPVADRLISRFFNSMEPGASQYNCFWIQPQEVSLTWLSMLFGMMCLAIR LHQRAEGEPVDAIGNPEEACNIYRLYAAYCLIRDRYTTPSKYTIEALLTYAQCEYFRS ADAQHENWVLFGIIMRLALHMGLHRDGSRYPEISCFEAEMRRRIWTFMIQGDALSSFQ SGLPRMMYKGVADTKLPRNLLDEDFDETTTSLPPSRPDTDATPLSYVIAKSTLSEVFA SITDCVASTEPTTYQSIIQLDNQLNEAYAAIPAHLKFRSVAQSVIDLPHIIMRRYSLE ILYQKSRCILHRQHLCEGRSDPRYAKSRQICIDAAMSLLQHQATLDAQAQPGGVLCHS RWFLSSLASHDFILAAMILCLELHHQSRNSEQPNGDEPHRIDEFLLALQTSCQIWSRY KESSAEAMQAWRSISIMLSKLNATSLNPRTPITNGSDISSINDSLLLSTSDTTDQPTW FEPPSFSNMNFESTAAPALGVPGEVMIDKNFWDFSNGIDWAEFDATVQNLDMAGNRLG IY ANIA_04836 MKRKNNTVSKRRSKPNLSVATGQNGNAHGKMNSNIEMRKRDTST GSSSSARADRFMSRSSFTLDQDPPTPQTPGMTTTSFSDLPSSDKRNFMLLCLLYFLQG VPMGLATGSVPFLLKPYLSYGQIGVFSLASYPYSLKLLWSPIVDAVWSRRFGRRKSWI TPVQVIAGLAMIYLGGHIEDMMKQAGANGGAGVWKFTYWWFLLVFFCATQDIAVDGWA ITLMSPPNISYASTAQTVGLTAGHFLSYTVFLAFNSKDFANRWFRTTPAEGGLLSLGT YLTIWGWAYLVVTTCLALMKKEDHVSERDSISAVYRSMWSVLKLKNVQTIIIVHLIAK IGFQANDGVTSLKLLDKGFGQDNMALVVLIDFPFEIGLGYYAGKWSTEYTPMRLWCWA FVGRLAAAILAQFTVMIYPSAPEVPTWYMLTVIFEHVASTFMNTVMFVAVSAFHARVS DPAIGGTYMTMLATVSNLGGTFPRYFILKLVDMFTEATCVPPTTNPSPGSLKGDLVTS PFSCAVEPDRTRCIDGGGTCDITRDGYYMTNILCVLVGTVTFIMFIKPAVLKLQSLPL RAWRLAPGRE ANIA_04835 MDLNDPTTQLEEELDSEISSIRREIRKLQRRRRFLASSVLSSEA LQKRLKPQQASAPILSTLNEDISPLVRAAGSHAQSNHHRIAFSTTTFPFKDPSPVVDG ESAKDAKNLLGVRIDICVRNGRFTKPYYILLRKVRVPAEDAEKDPADIRLKVHRHTIP AFIPVERLERILLSGHPRGPGDGDENGDDSIEQLKKKPTSPVRKQNLQLFVREVRRQL VAWHMRLDAIYFIRGQIGIIQRGVDSYPDDEDGPWDRDVLIDVGFDSGVGETRLKKNE FGIASFSPTALEAAYVRVEWEDGRVGRFKISDSGKVERAVVIGDKGRDKVLEAALTGG DRNVLTVLDRLRDAAHERRDDAMSNR ANIA_04834 MASTNSVNFDEDQYRREVLSLSSEEEEIAQQQRLAEEAKELGLK VPEVEIVASLAASIASGLVDFSSPILSSSSSTGRNSMYEPAHDSPALEQLATSLSEYT ISSNPPARGGSTRSTASLSTRPTSYSSSEGRLAQGTDGTAMRSSGNNGSLLSVISGSD KKKERRRSGIKSAIDKIPFRKRRRTPSTVLLPPAAHVTFTKSEGGVEKLYVESKPDEA RLSISPENKEEPLKLEVPVFDNEALLRSLANSELKQLRESQTSERNLHVSFQTNLING LRRSQQPKVEEKLAQNRQLENEKREKNVADAARMEERQLVVEMEQVREFERAKANSRT RIKYMEGYLSSSSPPDSRSPSLSGSDLTTPSRSFTQQHKAQLAQEYHDYESMSQLHAA KIKVLRDRQEIRLQEAIARMDRELDCMTKKHAAELSELQKEHQQEEATILQALNAKKT KLRQKWVLEEAILRKKLEIQDGKPYGPLPPLSFSDSQSETRDSAICVSDPDGETETDA SSQRKKEP ANIA_04833 MSFLAYLYRKVVVLLLRAIVGRRSPIPKPDDIYQIQSRDSQRNI KAHVYNPGAASKPCSVLINFHGSGFVFPFHGQDEEFCRLMSQRTGYTVLDVQYRLAPE NPFPAALNDVEDVVNWVLRQPERFDRARIALSGFSAGGNLALAASSTLFPRETFHAVL TFYPPVDLYTEPGSKTAPDPTGKPLPAPLARFFDQCYIPSSHDPKDPRISPYYAQPDC FPDRVLIITAAGDSLAPEAEQLAVKIREEAGREVVSARMDGCNHGWNVSPKNAVERDA RDKAYDMVAAMLNGR ANIA_04832 MTSPTQSGNTKFTVLIRLPFPRGGFVDPPPVDWNAAKDQALWDI LSRPSKGNDIDWKALAESFDVTLEFLLQQAAWLYDRQLSQVRAQMRKVPTAHSNAASP AQGSIYASTALGQPAKTGQSNSSRAPSRLASQPKEAPPVRAPIPRRNSSGNTVQQIKA QTSHPGTPTLGTKEPRRESHGRQPSTNRREQAPVSLADQRSPTLEEEDLTSSLSESNS DDESDTIAGRKGLGFKRFGRFSTHRPDLRDDDDDDDESPAFLPLSRGHETTSHGTSGH DLSTTLRMEQEGVSAVQRRVTGPPPSLRKSVTTESSESSVSSGVPVGRPLNQRGNQIN HPSPRRTADVNHMSPRRSTTSGRDISDGTPSMGSSFSDLDGDTSVTQSMLEEALLSNM QHGGMASRMSTISQALRSRYLP ANIA_04831 MSFWTFAPKPKTPLGYHRVLSPTAGVKVSPLCLGGMNFGEGWEH FMGKCSKDDAFALMDAFYNMGGNFIDTANNYQEGDSERWIGEWMESRGNRDQIVLATK YTTGFRDQNIDTERIQSNFVGNSVKSLQTSVKHSLRNLRTDYIDLLYVHWWDFTSGVE EVMHGLNALVTAGKVLYLGVSDTPAWVVVKANEYARANGLRPFSVYQGLWNPLRRDME SEIIPMCRDQGMGIAPWGPLAQGKLKTAKARGVKGGGRSDGDMTEDEIRVSDALDEVA KSRNTTLAAVALAYLLHKTPYVFPIVGQRKIEHLKANVQALEIELTKEDMDKIDAAVP FDPGFPMSFIFPGKYDLTLTAADVPLTRKAGHIDAPPQQGIVPPRKMSQI ANIA_04830 MSPADSESAYFNNYPPPKALSKHESLARSFIEYHVESSRRVVLV TSGGTTVPLENQTVRFIDNFSAGTRGATSAEYFLEQGHSTNCFLDFMDEAFPSDVSRS DHGPIVVRKEYQDEMRDVLRKYRYAKQNNLLLLLPFTTVSEYLFELRMLAKCMNPLGP NALFYLAAAVSDFFIPRDRMAEHKIQSSEIPKEFQGNDEAVGADDLYTGGFEQKQESS KKLVINLDPVPKFLHQLVDGWSPEGSMIVSFKLETDPNLLVYKAQTALQRYAHHLVIG NLLSTRKWEVVFVTPNPPYERWIRVPKSRRSKSISGVEDQVGKAEAAKRSSGDQTLAA PVGEEPSKEEKDGEGTSREGTEIESLIIPELVKLHSEMIEKFKR ANIA_04829 MALKRTFKLNTGYEIPAVGLGTWQSKPSEVEEAVTAALNIGYRH IDAAAVYGNERDVGNGIKASGVPRGEIFLTSKLWNTHHDPENVEAAVDRSLSDLQTDY LDLYLIHWPVAFRYSTTTIQPVDEKTGLIDVIDVPIKDTWAAMEALVAKGKVRSIGVS NFNRRRIEELLKTAKIPPAVNQIEAHPYLQQRELLEWSKEKGILITGYSPLGNNIYNI PRTVDDPLVIEVAKFLNRTPAQVLISWAVQRGTAVLPKSVTPERIKSNFRDFILPEDA FQAIQSLERHQRMNFPARLGVDIFDEVGEESARRSALEWAEGQRLSKSQA ANIA_10599 MAPIAQIEYFRIPPRWLFVKITDANGNYGWGEASLEGHTEAVEG CLDAFAQRFAGMDADDIEHIWQHGYRMGFYRGGPVLMSALSGVDIALWDLKARRLGLP IYQLLGGKVRDKLKVYAWIGGDRPGDVEAQARARISQGFKAVKMNATEDLSWLDSPHA LDTSVERLKTVKSLGIDAGVDFHGRVHKAMAQQLAYKLAPHEPLFIEEPLLSEHPESV AALSKLVPIPIALGERLHSRWDIKPFLESASVSILQPDICHVGGISEIRRIATMAEAY DVALAPHCPLGPIALAASLQVDAVSANFAIQEMSLGIHYNAGSADIDTYIKNPEVWKV QDGLIDLLSGPGLGIEIDEEKIRAAAVDAVAWRSPHFVGPGGELREW ANIA_10600 MLPTRGHPNKRRSVKERVRVTRACDTCKKKKLRCSGTLPCFLCQ RSQLRCEYTAGYTRGKVPPVPTISGADSMNNTIQNHHEKTTNSSVESRSPPKPQDTAQ NVLLAREKQVNLPSSGNSPEPHQTDMEGHYVGPASGVSFLIRVQKRLHEHISFPRTTP IFSFGDAPLPKYDPSFLVIPPKDEAKALLDRYFDFVFPTHRFLHQPQAESWLEDFYRD PGVAQSPKPGAMAIRALLLMIFAHGKQCLPKSDSSLGSCVNSAVYFAASEHHLAAETG PVRLASVQARLAQCFYLLGQSRINHCWSLFGTTARLAIAIGLHRGRRRDVKDNFVEHE CSKRVFWCMYSLDNYLSAALGRPRIFHDDEIDQELPAIANDSQITPSGVVPASSNTQS IMLAPVYHAKLSKIISGILRDLYSIRRMTLQSQSAAAAKHGAELAQWRQEISAFVDLA NVDMLVLTYQRQYTVLNLAFFHSQILLYRPFILRDFKNLALPASPESNDLAESVSENA RHCLEAAMKITSILRDLCENGKMYHSFWFTHYYAFSAIVVLYVHFIQSCTHTSAESYL AYFQAGERAQYDLAASGSQSSFAQRYAMVLEELRKEAQKCIQQKQQLATGYHHSDQVT DSASADMSAQEPNVSRQSFSYEPAVFPQSTFAHTEQAITHSPPLELSNMHPEQQTWIE NLIQDSSPRTYIPSFTGWGEFDSLALTGLGELGHIFSSNDLPDFRG ANIA_04827 MLAQIGSDISIGSKDASMKSTKLPPTPPDEAEVPLYTIDDILLQ RISYPPDAPLVGYPQSQYGISDYAFYTAKELDRFANGAAQALQFSGLPKFSDPSENRV VAILGPSNLDYIVSLFALSRLGYAVLLLSTRLSSEAYTNLLAQTNCCHILYSPTTEKA VEEIRGVSPQINIFSIPEHIIYSKCTESSNLRLSRQPELAQKWAFIIHSSGSTGLPKP IFQTHAACIANYTTSNSYRALLTLPLYHNHGLCTFFRSMFKAKPIAIYNANLPLTGKN VLEVMETFKPESFHGVPYVLKLLSEVPGGVEALARCQQVLFGGSSCPDELGDYLVDNG VRLISHYGATELGQLMTSDRPVGDKLWNYVRPLKVSQPYLRMEELEDGSYECVVLDGL PAKVSSNCNDPPNSFRTRDTFLKHPSVPNAWKYLGRIDDRVTLINGEKVLPVPIEHRI RQNKYVKDNLVFGVGKPLPGLIIVPSAECQEMTKSEILDKVWPDIEAANKNAEAFSYI SRDMVIVLDVGCSYPATDKGTMIRNRSYNEFRNVIEASYQRLEEGLSSDRHKLALGTE GLEQYLLKLFNTELGYHHMSSDSDFFGAGVDSLQAIKARGIIKRDIDIGPAELGHNVI FDSANIKNLAAHLYALRTGNLQDEEDELSVMSQLIDKYSSFQLRPESEEVILLTGATG SLGIYILSRLMQKENVRKVYCLVRASSPNNALDRVLSNLASRSLPMVNVWKIVALPSQ LGSEDLGLSPSFLSDIRTSMTKVIHSAWAVNFTLGVCSFEAQHIRGVHNLINLCLASQ RPSPAEFYFCSSVSAAAGTPLPATIAESPVPELAHAQSMGYARSKLVAERIVQAAAEK TGMVAKVLRVGQIVGDTVNGRWNTTEAIPLMLQTALTLKALPELDETPSWLPVDIVAD AVLDLSGLNKTSASVSAVLRQFSYDPNTIYHVQNPRTFSWTKELLPALKEAGLDFEVL PKREWVQRLREGEQDPKRNPAVKLLDFFTEKYDNDNPGRSELVFETEKSEAASPSLKG GVELIESGLIRKFVNTWRSEW ANIA_04826 MTDLSHKGVTEKKAHYAQHIEDVEKASQVPQYDRFGATAKVDPK EIALVRKIDCYMMLTVNLADALGDVFQNFLDRNALVNGKLNSPVEDVNLKGTEYNTCV SILFVGYLVCQVPSNMLLNRVKPAWYMAGFMMAWAIVSTLACVVKDYHGMLACRLVLG IIEAPFYPGALFMISLFYNRKEATTRMAVLYTGNMLASSFSGLISAAVFATLDKKHGL AGWQWLFLIQGVVTVVVAVAFFLLPNSPKQTLWLTLEERQLAHDRIARDTTQKAEGTS VWTGLREACLDYRTWIFALMCNLHFSANGFKNFMPTVVETLGFNSTVTLILTCPPYIV ATFTSIAVSWSSGRFNERTWHVTVSKLLAIVGFAVACGTLSTGARYFAMILFVGATYG VNNINIAWVAATVGQTDEKKAVAIAVTNTLGNLASVYTPYCGQTAMRQDSRLPCTPAS GSPLGW ANIA_04825 MVRCRLVVLLGACILLQAIASPVLDADGLIAPRAAESPPEIAQN DVDNARHPLLVDATEASIDEARVVVKDAIARMTKLNKARLDNPILGSYKSGPGTNITR SGSENSPLLEITKEIAHAAALVAEADMAANLANGTSTLAQQAAGTFWMQDIRRQGTVP WGNDPAYKVFRNVVTDYHADPTGASDSTAAIQAAINDGNRCGARCNGSTRKNAIVYFP PGTYLVSSTIEANNWPTIKAASSFVGLGVLSTNQYVGGTGPDGGDGQYYVNTARFYSQ IRNLRIDITATARDAYVCAIHYQIAQATSLQDVELIATTGTTQQGIFSENGSGGIMSD VTFRGGNFGFYGGNQQFSAHRMTFIGCATAVQIIWDWTWVWKSLDIQGAEVGLRLVSN DGSGNVGSVALIDSKLTSVNTAIIIAPASSTPGTGTTGLVLDNTRIDGPIVDTAGKVY LGAGYYDNWVLGPTYRGTTRTWSSAPFSSYPREQSLLGNRVDGLNNAPYFERKKNQYA DRPVGDFVQLKSLGARGDGVTDDTAAVQRAFNEHGSGNKIIFVDSGTYILTDTVVVPK DAKIHGEAWSQFAASGSAFSDANNPRVMLQIGNRGDVGTVELQDLILTTKGGTAGAVL MQWNVKAASPGAAALWDVHARIGGAIGTSLTQTECPPLTTGTNPASCQAASLMLHLTP EASGYFENMWLWVADHLIDDLDLNDAYNDMPQLSVYVARGMLIESTSATWLYGTSSEH CVFYQYNFHHAQNIFTTVIQTEPPYYQPNPRPPAPFNNQVGKYSSDPAYNCNDANFNG CDEAWAVIITESQNIHIGSAGTYSWFSAYTQDCIDRHSCQQALWRLRNNHDNVRLQNV IGIGAEYVLVSDGAGVRSVDNLGVTAHPAWAQISIFDAPSHGRI ANIA_04824 MSSVKLGRFTYRLVPQQFRCRMGSHRGIRRRVAVVATLLTLFLI YHFTIGSVPYQPSSSQYTNSARTSSDKKQSQCLPLPGIEDVLVVMKTGVTEALDKVPV HFKTTLRCIPNYVIYSDFEEKIDGVQIHDAFRNIDPAVKQNVDDFQIYNRLSKRGREG LQTQDFADEANSAIGKPNNPGWKLDKWKFLPMVKEAHQYKPDAKWFVFMEADTYYSWP TLLAWLSHFDPSEPHYIGTETQIADVIFAHGGSGFVLSNPAMKLAADEYTERADELHE YTDAHWAGDCVLGKVLSNVGVNLSFSWPILQNSNIGELDEFTTTFYRRPWCFPAVALH HLSPDDIRFLHDFEHRRLAKSSRLPLLHSDIFKELIFPELSNVRNGWDNLSDKEQPTL STFQECQSYCKETANCVQFVMRDGTCYTGETPRLGSHNSNAQSGWIINKIENMVDQAP QCVRPDFGL ANIA_04823 MRPMVSLSQAFLALSPFLFTSAVQLLPPPPIPINSTSAFIRTPA SSALHSKNRPPVLVIDSFTHPERNELGFWHGPSTNLASEPGNGYVRLFPSDPDQNYHT ELGPATCFDMRPYQNMYLHIVFSGSTKFSISLNQHNEKCDSRLSPFLETWDSVETERY ARGNDVYVPLNHFDIDQSRTVSVSFHGFFSPETVTLYRVEIVPDLPWGFYVPPKLETG KLFLRCTRPNSFAFGIDDGLPHLVQDVMNILEEEKILVTFFVVGAGLRDKEANFSQVY EEMLRRGHQVALHSDTHQQIEALDTIQAIDEEIVHNIETFQRLLGIHSRYFRPPYGTV GARTRQRLATYVQDPNIINWSVDVEDWLWAESKTPERQRDAFFRDVGRGGNLVVMHYL SPTTVKYFREFIRFVKSINLNIMRVDQCLEDPDSPPIDALRLSQQGRARYRVQSNRKS DNNPGKTG ANIA_09445 MDDTSNFVVSTVRDALADVTNVQNTKNIEVSALAREKGRVEPKD YDYEKYVTVIPSEKPAEKGENYQDEQSFPEWAANAVKYEWNDEYGDVGPENPHLEEQL FRAEFINRTGLKIENLQNIDVVAESHERPSPIRTGNILNKFDDAGLHPIMRQNICLCG YEFPTPIQAYAIPAVLTSHDLIAIAQTGSGKTAAFLIPVLSQLMGKAKKLAAPRPNL ANIA_09446 MSATDPTPARTPRLEQAITNPGTVKINVKGAFIVDDDPRSKSPV REEGVHYEGQDIRLPHHTGVVSHVAVDIGGSLAKLVYFTRELDSPDNGGRLNFINFET DRINLCLEFIKRLKEEHRDSNGGTKEELCVVATGGGAYKYYDKLKETLNVDIMREDEM ECLITGLDFFITEIPNEIFTYSETEPMQFAEARPDVYPYLLVNIGSGVSMIKVSGPKQ FQRVGGTHLGGGTFWGIMSLLTGARTFDDMLAMADRGDNSGVDMLVGDIYGMDYGKIG LKSTAIASTFGKVFRLQNRERVASDGEAPQDGSRQKADEPIFKHEDMSRSLLYAISNN IGQIAYLQSEKHQVKHIYFGGSFIRGHRQTMNTLSYAIRFWSKGEKQAYFLRHEGYIG AVGAFLRRKPVNWGRRNSIDEHVPAQGL ANIA_11232 MPSLPDSHPPPVPPPVPVETHPNRTGGLKPPAAEQRALPDPTRL APEDAYYAPSLLRNRPGSSNYEDPIRSLSGTAAAATAASVAALRPPPAVPGAVTRKEN RKPRTGARKKRKGAWKKLLWVKQSYPDNYTDTETFLDHLQRNPRVRPYDFWPLVADST VIVQHVCSVAIFVCCFVGIVQGRVSPVSVVCWGSVGTAVGWILWDWWAFTEHAENGRA AEHAMEGDDGSSSSSAASFVHSTNQRANGQKENQVHGLGLSMAQNEPGPLRRQSTGLS VDSLTAQDPGSPSTGCTGGAAAQPQSWQPQSFLSRNRQRLSTVKSAFLIYFSLLGLSP ILKSLTKSTASDSIWALSCWLLIMNIFSFDYGSGEGAGATKFPASLSTNAAVMASTVL ASRLPSTTHVFSLMLFSIEVFGLFPIFRRQLRHISWTGHIFLTLTLVMLAGGAVGITL RGGWMGAIIGSILGSILTALAMGGCSWWLISLQKYKNVVSGPWDPARPIIRRHWD ANIA_11233 MILKHAALALAALQCVAGLRFAMYIDEWHVNGLPGSDQTQGITH AIMGFAKSTDFTGDAPAAFQPFEPVSTFRNRFSPDTKVMIAIGGWGDSAGFSAGAKDE ASRERYAKNVAAMLESTGFDGVDIDWEYPGGNGEDYKKVPNDQKVDEIETFPLLLQAL RTAVGDKKIISIATPGKREDMIAYTTEQGPKIWPSVDMINIMSYDLMNRRNNETKHHT GIADSHDTIKAYLEIGAPPEKINLGFAYYAKWFTTQSDVDCGTYPIGCPTVAMEAADG SDNGKSGAMTFEPQNMAAQPSDLKVSTDMTCGLAKGTRCPAGTCCSIYGNCGTGDDFC LAACDSNFGECKGVPIQDSWRRARAEGQTDEEGGGQYYMDTQNHLFWTWDTPTLMTRK FTEIVDVEKLGGVMAWSLGEDTYNFEHLKAMQEGVAQRAGGTATPARTRCSGGSQSAC SKRHGAVGVSLSRQQRPAKPHAGNSRSFLIVYKSTSVQFSAAVGFNSMKCFIVLTFVP LVLALTIPNTNKNHDASSVEFIQQHQQRLFGTKTTVAEYKRPSLKINHGTIIPANKER TQATATTSNPSYIHALRIEQAPYHLIARYSNALFALGLLLLVPLTLGIIELAGRLFRC VSVDECPERGREKQGTESHQEIEEWAFRQRERDNKKRPATVAVEMIDTMKIGS ANIA_04822 MAKTYRIATIPADGIGPEVIDAGVIVLKALADKLQSFSLDFTHL DWSSETFKATGKYIPDGGLEVLKKNDAILFGAVGAPDVPDHISLWGLRLAICQPFQQY ANVRPTRVLRGTQSPLRKCNTGDLDWVIVRENSEGEYAGQGGRSHRGHPWEVATEVAI FSRQGVERIMRFAFETAAKRPRKLLTVVTKSNAQRNGMVLWDEVANIVAKDFPEVTMD KMLVDAMTTRMVLKPESLDTIVASNLHADILSDLAAALAGSIGIAPTSNLDPTRQNPS MFEPIHGSAFDITGKGIANPVATFWTAAEMLEWLGEKDAADKLMQCVESVCESGILTA DLGGTATTKEVTSAVVEEINRLN ANIA_04821 MDSGTSRHGSPSKRQKLTPRAHELGVMRKFTDNGSESASFLGSS SGIHFIRIVYNAFARRSAHLKKPQQTSKDAQVPGEDDQLHHQYPDLWYPHELDLQANA SLPTFEALVQWTRPYFENWHAIFPFLSGPTFLVILEHLGRDGFRALSVADGILVRSIV SISLMDRRQTKLRGAQIPIPAALVFRSVHQAMESLYTLLCAPPSIRILQAAFGVQLFL TSLLRLNAASRIGGTIIRTAFHLGLHRCPVRFSFSGPEIATRRRLFWSIYCLERYLSQ ALGIPLGIKDDDIDVCYPNAEIHSSVDEGAQLLTIAFLDHRLRLLGYLAKFASLRGRI IEVRNKSIIHREDSMDATQALHGELTHWWNEVYDDVYPLGVDDADTIVSSPIAPFHRT LLIALRHEAIISLNRPLLAAEAASPEYRTALQICIESSRSLITTLRQFLAESSGSSTP LIWPSFTWAVWMSCLILIYAAWEGEFPASSASRSGLAILKHLSQRGNTWAQTCIEAIR DLDSALTTPEQQTPANPRTTETSQDDRGKNPNASADTVVSGIHLDHHLQQAPSSTPGE GHLSTPTPHAAASHQWNDPSMIFGNQAINNLAAASGLVLGTGLPDLSNGGEGGEEGFG IGDLWSLADGPWLIHESHDLAENVQNNADFTL ANIA_10597 MTDKQNPIACEPCRQKKCKCDRILPACSQCSDPAKCVYPESGKR GLPQGYITHLESRLAATERALYSSYSYLRTSSPRSFSIPNSSQPGPSRTAAVNEWSRL PLRNADDLELWWAEKTPLYGTAARDAFSEWGLPTRDKGIPAPTSPVNLNSRHVDAGPS TGHPREGRAELLAESEPSVYF ANIA_04820 MAQQYKLPFELDNPDLLHFDSYVGNAWVTAKSGARFEVVDPGTD LPWASCPTNSAEDVDSAVQIAHDAFEKFKKVNPRQRAQWLLKWDSLIREARSDLAKIL THETGKPIAESYGEIDYATGFTWWFAGEAERIQGSIAVPAAPNRRVFTVKQPIGVAAA LVPWNFPIAMVLRKAGAALAAGCTMIVKPSPETPLTALVLAHLAEKAGFPAGVFNVLT TDLENTPPLSEALCKHPLVKKVTFTGSTRVGKLIASHCAHGLKKVTLELGGNCPFLVF DDADLDQALDQLMALKWRHAGQACITANRIYVQAGIYDKFAQLLKERTAKLVIGHGAK EGTTLGPLTTPRSIDKAISQVEDARRLGADVILGGSRVQGTQGYFFEPTILKNMTKDM LVSREESFAPIAALYRFETEEEAVKLANDTSMGLASYAFSKNIDRMWRLLENLEAGMI GMNTGNSSAAESPFGGIKESGYGKESGKEVAVNEYLITKTGTLTIDGQY ANIA_04819 MATLSSLRHLIQTHPLIDNHAHNLLSQSAACKYAKYPFEQIISE AQGVALANAPSTLSFHRAASQLATLYQSSSSDWDSVRAARDQSVQRDYEGLIRKCLEG TQVLLLDDLLTENDVELFDWHDRFTASATKRIVRIEALAASVLSQIVHGGPVPQDSSD LSAFQTLWESFSRNFSALVSDAIADPAVVGFKSVICYRTGLDVQPTDDRDTERLIRSF ARTISQAAVSTPRVEDKPLNDWLVRQTLNLLKAAKVTQPNKPLQLHTGLGDNDINLLK SNPAHLQSLIAQYPEVDFVLLHSSYPYTREAGYLACVYPNVYLDLGEVFPMVSRDAQE SILRESLEIVPSTRLLWSTDGHFFPETFWLANRQFRDALEKVFVDYVQNGDYTIEQAM QAAADILFHNSNRLYELNEQPPSAALSSGHQTVSRISSTDLLEKFIRSNPGVKYVWTQ FIDYTATVRVRMFPVMEFAKIVRKQRRLGISMATFWMLQDDEVVGGSTTGQFYLIPDL STLSPNVGIDSKSATVMTWWKSEQGESLEECPRTNLLNINNKLKDEFGIQATCGFEIE VVFLKPTTDPSTGEEDWAPSVTNHSWSQMTRETRRMLPLLEEIAETLASIGIHLQQFH AESAPGQFEFILPPDNPVAAVDTLIKSRQVIANIVEKHGLRATLYPRPYPSAAGTASH AHVSISPSTKEESFLAGVLQHYPAVLAFTLSGDASYDRVKSGIWAGSEWVTWGTQNRE APIRKISPGHWEIKSLDGLANMYLAMAAFLAAGYTGVKENLPLTIKDCPYDAASLPES ERAALGITTKLPNTLAKSLAALESDEILRSLLGENLVEDYIIVKRAESKKLSAMDEKA RRKWLVERY ANIA_04818 MASSRSREQDHIDGRRARELYRYFQPQRSLSADRLLTHSTSDSE SAQLGDAPAGESIFATTPPSPALSGQVASTTTGLLPEALILGEYNATLNSFAQLAALR LDVERVVISVSDRSSMFIIAQSSRSHRNGKKPSEKDDSGTWDGCYPLSSATWAMCKKT VDLPPSNRERGEYHFLEINDMSRDERYKNISFVKGDQRFRFYAGMPLTTESHKINIGC FFLLDTKPHNGLTVEEKEIMGSISVLIMNFLKVSRQASEGRRAARLSRGLSCFVEGSS SFVDTADPSYTGSVSTLPGSPPFSAPRGNHLSVRSSNSVEGLLKRSHSSDARSFSSIS DYKIDPGRSSNPPTPLPEWWSGTQRRELEGLDQSHGNSWAFKRAANLLRESLELGGDG GVVFLETGTTPALDIDSGSDYTAENVTPASVLAMSTNEEPFAPSPGSTVASPAANLDT GFLQQLIRRYSKGKLWSFHRDGSVSSSDDENAKPSRNSARTARAQELTKSAPKRWRSV ENTLLNTYFPNATQVLFVPLWNAASSQWFAGCFCWNTVESRVFSSSVELSSVLGFGSS IMVEHSRLESLISDRQKGDFIGSISPLHGILAAAEFLSGTNLDEFQGQLLDTINACSL TLLDTMNQVLDFSKIVSLERKARQMRQNKKQQFAGFSGKASVGLDNSVRTDIALLAEE VVEGVCLGHAYSLKSSSNPMSPAADLPKGKRVSHPRAEMGVEVVVDIAQNNWTYNAQP GALRRIIMNVFGNAMKYTEAGHVCVRLEAAESNDDRHSQPAEDLITLTVSDTGKGISE EFLRGRLYTPFAQEDTLAVGTGLGLSIVRSLVKSLGGSIDIHSRAGEGTTVRVTVPLT RPGDDVEVISPTTTEPDIVRSASEKDPPNHCDLLRHNYTNKRAAIIGKGPTDARAHLL WSTISDYLTRWYEFELVSWPSEQPVDIVLADEHMLADLPRTFSTLTSLPPLIILCSRS IDYEVARMQWSPLADVVSIITRPCGPYKLARTIQKCFDSAKGTVSEQAQAERTLPFRP KTTLLTAANAIPEEEQKSFGILNITPDSTDGASSNTPNSKGSDRSTPSQESSEMSDPM STLASSCQLRPQPVAESTAKLERTPKILVVDDNFINLNLMLTFLRKRRLQYLDSAENG QLAVDAVERTPGGYDLIFMDISMPVMNGFEATRAIRAIERERGTELPTPATIIALTGL SSVRDESEALSSGFDLFLTKPVSFKEVSKLLDEWSAKAI ANIA_04817 MAAAAGQPHEDMGSQQAEKGWWKRVFRSASSGNSRSSQGPEDID YRPRATLGILSDRETDEVPGTVLLLSSNRNEPLGLRHQPQRTSASSLPVSHPPSRSSS RASAPPTKKTADGKIVLNPQPDDSRNDPLNWPVWRRDAALISLGFYCLMGGGMTPILA AGFNQVAEAYDVDTQKVAYTTGLYMLGLGIGSVVMSPTAILWGKRPVYLLGATLFIIS AVWCAVSPNYPSLVVARIFQGIAVSPVECLPSATIAEIYFLHERAYRVGIYTLLLLGG KNLIPLVSAAIIGSLGWRWVFWIVAIIVGACLVLIFFFLPETFWDRTPRPRRHRKRPD FYRSVSDMVSHGLHRGRTTNAHPRDISEDAPDAVSQKRSKKGHVGFIENEPEDEELEQ EKQELPYGSDPVSLVQSQSQVADPSVEPSDPESTEKPSLPPLTNGGATRSSDLEAGRF ASPSPARSESADPAAGLSLPVQYTNRLRDKTKIPYTYYLRPWNGRISQDRWLRVAIRP FILFAYPAVLWSTAVYALSVGWLIVLSEVVSHIYQDRETYNFTALQTGLVYISPFVGG LLGTAVAGKISDIIVRYMTRRNGGVYEPEFRLIMAIPIALSTTIGLMGFGWSAQEKDA WIVPTIFFGLVSFGCCLGSTTSITFCVDSYRQYAGEALVTLNWSKNVFHGLVFSLFVV DWMEDDGARTVFIALGVIQFGLLLFSIPMYIFGKRARMWTVRKKLMERF ANIA_04816 MPVRQGPWDDHREEAMSGQLSECQAEHYISDGGVNWRLFTPAER RNIAIYILGIMMYKFGLEAFNGSIVTLATNRYDYDAYLTNTTPKTFQRVGLMVGLNQA CQCVGSILIAPLIRRFPSRLVLAGAVLVFGLLSALLLIIDASTGGRFLPSAFRDHHPE HDFHYYGSYDTDGIIPVYCVAGIAYGMVELIRRVIPRDLVGGNVQKLRQVDALVHIFY EVSGTAGAFCTALALIPYLGNNYSFLITPICFFLSAAGWFFIGDHGFQSQRTQVLEDQ PPYIQAVVVGFWLFLESIWTGGRILFSSRKFIWLLPGYSVALYAHRYLENSVAPAISR RYLGNAAWSQIIVGGSNLGELLGALFVILFTNTVATPIPWLRLDALLLLVTWYLPYWR PEMHQVSMAWIAAATFLPISFGWAAGDVSLAAYIQAALARVESKTRNVSSLGAVMAFL YSTYIVLYAITSPILGSYIDHIYEKTGGPDGNGNIYEAIRNVGSVQFSVVAILVLVAT FVPRGSLSLNPKMLHDEDLEHELPGLAQLSSKEDFN ANIA_04815 MSYNNPSSTLASRFSNSNTATSSTVKATYTVRVGPKEDPHGYVP RSLNASVGDLIVFEFYPRNHSVVQADWKAPCMPADGNYFFSGIKNDFNEVNGQVVGRL PTWNWTVDREEPTFFYCTGADSCIRNGMVGVINPNITQTWESQRKAALEAPYMLLPGQ SMPAEGSNPNTETTATHLSPSPSAGTSSTSSPNALSGGAIAGIVVGSVAFLAILCSLL FLLGWNRVYKQWLSQGQEGSGDCASSSKNTRTVQWVDSSTPAAIGVGVDSERSEGDRG STGFPGSLRAHGSSLLHEPAADGTMVGLEFGPGSRPGTAPGTGTGTGFVSVDHCLPLA PAQTGTSSIFDGGYGISSPLGAQVPFPQQQQQQPHWNWDHSIHPFHLSGCRGEPSELE ADNHK ANIA_11447 MLTSSLGFHYMIQCLTGKNVSRDPKSLDIPFVMSLVCFQIIWNS VLILVNPVAHRILKVSCSLYQPKRCDQS ANIA_04814 MKFHTTTRVLLLLPAITTVLSDKVSEYNNGNEISVAERDTSTVN AVVPGLDELAPKPSPRGTQDAPVDGKDGRPHAGPWVETNAERDRKSSGTLNEQAQQIA ESAEQLDSNGRPIPYSNDGVMDDRNRAAPKEGTRGTEGGVSGKLKDSTYSGDKSPGSP KEAPPLPHSEEQKLPSTGDNSGKDTKGYSSDSTLGVLERPADMPGKPHDIPLPKSPTT VKDGLVGLNKEGSSTAGNKVPPEEERDAFHSLLFSFTMIVVSEIGDKTFLVAALMAMR HPRLLVFSAAFSALIGMTVLSAVLGHAVPSLIPKTFTKFLAAVLFFVFGAKMLKEGRE MSPDEGVGEEMREVEQELEEKEHEQLRMSRRRSSVTPHALEAGRLGRKPRSSANRLPS PPESLSSSSSRGSSPQPGQRWNDLLVGLNNLFSLLLSPAWVQTFVMTFLGEWGDRSQI ATIAMAAGQDYWFVTIGAITGHGLCTAAAVIGGSAIAGKVSMRVVTLGGAAAFLVFGC IYLLEALF ANIA_04813 MAARPQSRSQSRQRQDLSKFQGSPSSRRMTRSQSREIEGLQVNS NRLIGNERRSKRVQSKALDPVVEESPLKQPHQTGDRHAKAATPDPDVADITGTTFVQE PETDFEAGILLDALRDIEQNGNDVLELLIPSKGRLVDAVKKANQLSDPRNTQSKRLLR LLKILDEDIKIFGSHTYIDVDGVVRKVSSALADRREDLEDWSPAPILQMANCARFACE ILLAGTNPNSQRQAIRNIQKLFPRPFMTGLAGAGEEKEAGESVLEKETLNLALEIRTQ SLISQLEDNQDSPGSDSKNFVRLCFFTDSSRKSPLRGFNLPNLSNADGTLPAQYTDDV QNRYEEILLGEMDGVFDVNELRSSYNWQRFVLHAAHWVRKRTDELHVEVKKRISTQAV RYTLSNAKASSFGSTLGASEAEPSGELQEAGGDTTRQDAVAEGFPAGLEPQPQPQPQQ PESRDVHRDTERRRSSRPSFLNSASIQRITQRQERLRSGTETSERRQQPDTVQPGTPT GNELPAVDRQIPSNRSSQHTLFHERVPSLDDGPTLVTEEPELNFGEDSEFANVDESTH IERSRSPSVAPRRTAPWRPEPTSSAQTTDSLMPTQSQMVWEAVKDGLSRQPVSSPRRS VTSRFIDRQPDAARVSPIRDDDSQQSAVRRVESRVSRKRARASTVTDSDVEESIFDHD DRSPDIESQRAKKPQPERKKRPRLEEAESRRLDTSTDTHQETVVEEPSRPARQRTAQP ASISSQRSVGQYTAKPRNSWTEAEDNRLIRLMKDHGHKWSLIERQNIAQPAEEGEVRI EGRGQVAFKDRARNMKISYYREGLRIPDYLEHVTMKEKDVEKLLERGITNILPHDMEA YNRARAR ANIA_04812 MHLSKTLIPLALLAPLAAAIPDDANPLLDILTADDSTPESAYSV NPAVAETAVGAPVGVSGPVATSSPSADDSDSETETEISNASTGSGSVSTSSAEPETTA DAPSTTTTSIPTNILTAIESDPTGSAAGSMTTVVATTTTHQSGSGAAETSSTATATPS DGSEGSAASPTPTDDGAAAVLGSPASMLGAVLLSFAVRFF ANIA_04811 MPFKTWLTETLGIKVPIVQGGMMWVGRAEMTSAVANAGGLGFLF GVNITMLPAINAPDYLAYAKAAVQEGIKIIETAGNPEPILNLNSSLPTGVFGTATNIR GLLQRWSRRRMCAQELKIPFIASGGFCDGKGLATALVLGAQGINMGTRWMCTVEAPIH QNVKEAIVKMDENGTILVLRKFRNTTRLARNEVTLEVHKIENSKLDPQFQEVAHLMSG ARGRGVYETGDINAGVWSVGLAAGLIKSIPTCEELARTIEREAVDALSKASSLYSNRA SL ANIA_04810 MRSPFTPLAIGFGFLSNAGALTIPSFPQQLLGASAAEEICPLPA KVTFDDSNLFPSVQYFQNETILQRQVDRLSRAVQIPTQITDYMTDPNDDAFAPFVDFH KLLAGLFPLVYSKAKIEHVNRFNLIITLEPPSETVEKRKPLLFTAHQDVVPINDASDW THPPFSGYFDGEFLWGRGSSDCKNGLIGLLSVAEDLLSQNWTPSRPIVLAFGFDEEAQ GYIGAARIAPVLEERYGKDGVEFILDEGGGGITTLRSSLSSAGEEFEDESVIYALPDV GEKGAVTIVLTLAVPGGHSSVPPRHTGVGIMSEIIYKLENTELDIFTPILGSNHPSRR VFECQVRHSPEYVEDWLASALDSDDQAATAEAIARSRGESVRFTLQSSQAADIFNGGI KSNALPEKITAVVNYRIALHQTPKMLQDRAEKIIEPIVEKFNLTWSRFLDTNKDEISS EVASSGHLTLSTLNSPLEPAPVSPTDIDTSPVWARFAGVARSVFESVPSLKGKTVVVG GDITTGNTDTRLYWNLSPNIYRWSPAREGRALNIHTVDERVGIDAHLEAMMLYYDLIR AFDAWDAAEQQTSDL ANIA_04809 MRTFLLGILCAPLAILTGAASTFSPARPPALPLAVKSPYLSTWL PAGKDGGNGGYLAGEWPAFWEGQITGWAGLIRVDGQVYTWMGLPGSATVNQTAYEYTS TKSIFTMHIGDMVEMKITFLSPITPNDLRRQSLVFSYLDVSVTSLDGQSHSVQVYADI SAEFASGDRSAIAQWNYGVTSDGVAYHKIYRQTPLLFSEHRDQAEWGDWYWATDNVAG LTYQAGPDVDVREAFARNGKLTNNNDVNYRAISNNWPVFGFAHDLGSISSSTKVLFSI GLTQREAIQYSGNSSTLSPLPALWTSYFSTALDALDFFHHDYQKSNSLSSDLDRRIAQ DSVAAAGHDYLTITSLSIRQAFAATQLCGPANDPYLFMKEISSNGNMNTVDVIFPAHP VFLYTNPALLKYLLRPHLEIQESGNYPNSYAMHDIGAHYPNATGHPDGNDEPMPLEEC GNMVIMALAYAQKAGDTAYLESHYTILRRWTDYLIEDSLYPANQISTDDFAGPLANQT NLALKGIIGIEAMSVIASLTGDSDDKMNLTNYAHDYIEKWLILGIARNTTYPHTTLSY GSNESHGLLYNLYADRELGLNLVPQSVYDMQSNFYPTIKGQYGVPLDTRHQYTKGDWE LFTAAVASVSTRDMFIKLLAQWINETPTNRPLTDLYDTVTGDYPPVVFIARPVMGAAF TLLLLD ANIA_10598 MSLQVNDPRSRGRSRSPSGRTRDRSTSRDPRLPSPGPGPDPARK SGYLLAETVDEKARTRSRSRGASPLRGYRKTSRYDSDSEHEREREREREARDSYTRLR NDRDYYYHSDSGESRGATKRSSQRYSQPPQRSSAQLDAYSDEDIYSDSDDDLAYGDIP GSLERGYYGYKGNSAATRPPSEKPLMTGALNAGTSPRHSAEAVSGYSRYAPGHPARTG PPTSETQSAWAPVPDCEKPGFVPPTSAGDSMPGAFPTTTSGLPTTQYVSSDPVQNPYV QWNTQPPTSGAPYAAPVSAASHQRNPSGDPNLYANPPAFKYAQIDPNVRYSAKPATAT TYAPPSKASGQTSDGQYAGVRYTTAPQYSTTATSGSQYVEIAPGSRHTRPASLSVSTN NLSVSGPDPNNPPASPLLEAYKGTYQSISPMPSPILIAPRDDDVSDLEPLDHSTDSER RRRRKSKKSKDEEGGLKEPKSDRSKRGSSRIRHGRHESRDSRGGGPDSVALVSPSTDR RKEVSFYDATDDALALRDALSHSRNIDTKTLIQVLPHLTNHEMLDLRKEYKKHVKIHG KGVNLAKHIRVKLGNSAFGKVCYATALGRWESEAFWANCYYQSGSSRRELLIESLFGR SNGEMREIKESFKDSRYLDSLEKCMKAELKADKFRTAVLLALEEGRQSERDPIDAELV HRDVQALHAALVSRNGGETAMIYIIVRRSDSHLREVLRAYDKIYQRNFARDMIQKSQN LVGETLAHILNGAINRPMRDALLLHQALRESRSGRERSELLISRLVRLHWEPRHLENV KVEFRRRYGERLEEAIAEEILPSSGGSEWGEFCIQLARSSKTHAAKR ANIA_10595 MAATATRPRTPGRGQAPIDPPPVPSENGHSQTKDSSRRTSSSLG FLRRSKSTEPIGSKPRGKKMSKAQMEEELRRQREARPKQPPRLPDFSPPPVIETFGGD ETRNGVADVTSPLSPSQSRPSRSAMSTPVPPDYSDPYARTESMTHRGRYSYASSYVST VNNPRRLRRRKDPTPYNILVIGARNSGKTSFLNFLRKSLALPPHKHPSRAPDEVEYDS HNPASEGYTSHYLETEIDGERVGLTLWDSQGLEKNVVDIQLRGVTGFLESKFEETLNE EMKVVRSPGARDTHIHCTFLILDPSRLDENIAAAERAAQGTPRASDSKVLGVLDENFD LQVLRTVIGKTTVVPVISKADTITTAHMAYLRKAVWDSLKKANIDPLEILTLEDQEEY TSSEGEDEEDGETSEAEDAAGETEGGHTKEETEPKAPESPTQRSEGSQQDVGSQAVPL LPFSILSPDKYSLQGDGPIGRKFPWGFADPYNPEHCDFLKLKDSVFSEWRSELREASR VIWYERWRTSRLNRHDAIASPKPRSFGGRTGPDFARRPSGLKHAQNGCHCHIPVSLAR PVSFGVPRCQSNWKLSELTVG ANIA_04807 MSMYLPRSVEAALAATAIPDYVLDYGKLLPTLGSSATEQLTRLA PLVWLHSEDRYRPSGIAEHLDHALPKVNYESIEGVSLPLTLDNLDQLNALGNESVYLT SREGIDANPQPEWFYGADFNENGQASDVSSIIVVNDRGDGEVDAFYFYFYSYNLGNTV LGMEFGNHVGDWEHNMIRFQDGAPQAIWYSQHSGGQAFTYDATEKQGKRPVAYSGNGT HAVYSTPGGHDHTIPGLNLPAGFLVDQTNQGVLWDPALGAYAYAYVPATQTFEPYDSS FPVNWLDFNGRWGDDALQGGPELFGQAKYVGGPNGPKFKNIQREKLFERQKLLRLESE SGVANTIELNKRQNHESGQQFYLVSGAKGTRPRPQFNRTDAAWVVGASQSNTTFRVSL DGVDS ANIA_04806 MTDQFAYTYPSPLVGYENLEPLPEERNDDGKSLKNPQHGILSKA YEEFPDPLSKGRRGGFDIHIYHFQNNPDQVAYARALWERIRREFPELRIYTFWDKPVG PHPVAMFEVNLFTPAQFGAFIPWLVIYRGPLSVLVHPNTEAHEDERNHTQRAMWLGDR IPLDLRVFKIMKEKERVEREKNENKEKL ANIA_04805 MPLKLGKAIGDPDDDDYVAQVLAKEARDSSMKYSTLGMEAYMPA RPTSSARKPNTRFLRHIIKETDNHNAALKRKEEREARERMRRLRDQSSSSAQASSGDH RHGRSHRSDDRDSRRNRTDREERHRSHRSSRKSRSDSLDRDRTRTSRHERDRNDDRHR RSRRSYRHHSYSRSRSRSPRGKNSDSHDRRLRRRTRSSSRSRSPEAKKNLKDINSSRD QRSAAGIQDRRSDDESDPLEELVGPLPKEEAPVRSRGRGAYKPNNSNIDAHFAPDYDP SLDIQPEEDEVQTSRSSRRPVAGLMTGDDDWELALEALRDRARWKQKGEDRLREAGFN SDIVERWKSGTPVATRSDEGLVENVKWSTKSEGREWDRGKFVDDDGQINVKASW ANIA_04804 MAEPNAAQIRQQIKQLEQEHGELKTQLAIVRISEPIFSPDTDRS PSKRNSDVSTVDSPSPASLEADLSHYKELFSKLRFSYVEQVTKEKFLRAIVGDPPLVV GHNENVELEAQLAEVKAELKARKEEVRLMVEEMEKMARDLATRYNNVQLQMTQLATLP ESIENLESTIAALRAKQIANSETSSSQNLPLPATLSLLAKREAELAALNRQIAAAQNT LPRKTREAETMERELGILERRKSEAIMQAREAQRKKQEGESDGLEEAGRWYRSAEEAL KKLLGVQG ANIA_04803 MAPVPRVYSKTYKVPRRPFESARLDSELKIVGEYGLRNKREVWR VQFTLSKIRRAARELLTLDEKDPKRLFEGNALIRRLVRIGVLDESRMKLDYVLALRVE DFLERRLQTCVYKLGLAKSIHHARVLIKQRHIRVGKQIVNVPSYMVRLDSQKHIDFAL TSPFGGGRPGRVQRKKAAAAAGGGDDEGEEDEE ANIA_04802 MGHSHGLRSGTRYAFSRNFREKGQIRLSTYLKTYRVGDIVDIKV NGAVQKGMPYKVYNGKTGVVYNVTKSSVGVLLYKVVGNRYLEKRINVRIEHVKHSRSR EDFIKRVKENAEKKKQAKEQGIHLHLKRQPAQPREAHTVEGSTPETITPLPYDTHI ANIA_04801 MDQEIPQTNENFDFNVSVFGYDGAVHGDLEAFIPRIIGPGTDFT SSVGLANGYYEPPSIFYRPNEPVQTYLGPSSGQFWPSGVHAPADNVSIAHSPELRGVQ DRLQEALSLVNELISPHQGREQNANDEDKRFRCILCPQPLFCKNKGTFTRHITTTHYP SKRYFCHICNQDSNPTSFLRKDKHLLHMRQHGQPRMSREAMEEVTEHLPPPENCAITE CEQPIRTWEDFINCLCRHCAIPDDWDHDNGSDGDDGDDDDNNGDGGNGGGNRYFPQSG NGNYGNTSNGGPYGHYNYDQFGDLSGRSGINPHQYRGVANGGSSKQGGSQVLDLKDVP TGPGRAGVAQSKSTFTDLKDSQPHTKNPSALLFGNDSLCPETFRVEVSLRLGDSTRKT CSDLGMKEPQEKSSFGKQVVSISVNNEVSRELQRLRYFETCILENQKQGSLLTYVHET FVVSQHGYFEHVIESSMQTTRRASPKKRAHLRVRVKAIAGVLALRAAVTKAPLATDNA EVEDGWELGIPYPAQDDVFKVLAWLVQVLVFFLRMPPNPRIYVMLACGTLEAPRPH ANIA_04800 MSSPPIAGGDRRDGSPSVGPGGTWHPARAWLEEDETGDDEDDMD YEPDSDNHDDRADEEEYPDEDPNVDQSDSELHLGNIHIEFTMDEHDQTGEVSPARLLN ILASGGLQQIFRYHGFATGNAGFDGDDDGEEDEYGILGLGRRVPRRRGPPQYPKIPSD EGIKLMRSGKFGTEPSYVDERMKRKKSLAEKVMWRELGVDGQGLQRTRVSSIAQGLIP GTTADKIIHYDAKCYSGQFSDDGNFFFSCAQDFKVRMYDTSNPYEWKYYKTVDYPLAS WTITDATLSPDNRFLAYSSLRQLVCLAPTDPADSSDPIILDLASSAQRRVARDIFGRD GFAVWSVRFSGDGREIVAGTGDHSVIVYDLETRQSVLRIRNHEDDVNAVCFGDKSSPH ILYSGSDDSTVRVWDRRSMADGREAGVFVGHTEGLTYVDSKGDGRYVLSNSKDQTMKL WDLRKMMSTAKFDTLEPTSYGTGFDYRFDPFPSDFYYPHPHDCSVVTFRGHSVLRTLI RCHFSPPGSSDSRYVYSGSEDGKVYVWNLDATLAGTVDVQKATHFSRPRSRRFQGHMY MSRADGNQWKTCVRDASWHPNAPVLAATSWNGWGSSTGTCTIHSWNNGADQDECGPKF SYGDSYDAQLNATNLSEKALDMRAWEYEHALNEASL ANIA_04799 MATEDDNFDIDIYGDGSGYNANDQGDFKQDHDMKQEDTDLILDA SDNAQNSGNSTDSGTIQQQPNVTTNGAPVTQSDTNNQQMQKDTTPQQGVKRKELDERP VDPDATPALLISELHWWTTDDDIRGWTREAGCEDELKDVTFSEHKVNGKSKGQAFLEF TSLPAATATKHHIDSLSTTGQSGRKFLVNYTSPIPNPFRTLPKDNPMRKDNARTGGFN SPNQNNANFGMGNMAGGFRGGRGGFNNRGGMSNMPGYVNRNFNNPMGGFNNPMVGAGF QGNQMAMGNYGFGGRGGMMGGNMRGGPGGMRGRGGGMAGGPNMMGMPNMGPMGGMGMN PMAGGMNPMMGGIGGNMGMQGGFQGPNQGFNTGFFPQNQGVGDGSWNPHGTKRSRQE ANIA_10594 MQAVPESRQQTFEEIYGPPENFLEIEVRNPQTHGTSRNMYTSYE IVCRTNIPAFKLKHSVVRRRYSDFEYFRDILERESTRVTIPPLPGKVFTNRFSDDVIE HRREGLQRFLQIVAGHPLLQTGSKVLASFIQDPNWDRNAW ANIA_10596 MIIDRCWSCFSVTRIRPAVRCTDSLTVSGTGFLTQRGKSIPLKL EFESCEVRFEGWFTQVDQAKAATHAVHAKSRFGFLLMSGGMTDWQEQCDEARPICKRC IKGNRVCGGYRNLNDRKKTSKRSGQIQETSRDFSMTKLLADSLAPPARISGHTLIQER DKLCALIEQVARLPQGQGLHGGLLTAIPPILASLGQPIIASSPLPSALSALFLTLVSD KHNEKDRGAITEAMASYGRALQLTRRLMEHLNEERRRELIMTIFVLGMYEDMNSEDHI RLTSNSHLEGAMAFVRSQKCRSFGEDTTRKIYSALLTRALFACFDDIKSDAPFIFTFN DLQLLHAGLLAEKKTDFLNLYNSTLLIIHLQLRHLERDARLTFPANCAVAIAAATKAQ DLLEAIISLDYQMAEWPSTLLSESRYITVQLSPDDSIDLWSRTAYIYPTLSAGDDWSQ YRMLRILAHSLRLRAYGLLANPLYAHGLGLEDTQLTKIARGVATARSGIRALANDICA SLPYHLGYKTGPGSGRRYPNDSFPDEKYARRLSALQISWALYVAGIGEGVDAAQRLWI SRQLDIINREMEIGKAAVLAELVRKVAVLEAQNTNPVYLTSSY ANIA_04797 MDPNGIFARNYDESLIRELPHLRIAPLREPVSSRNLRIPLTLEP SASGSRDSSTISKAGGSAAGILSPRNNEPNGIAIEASIANTARLLAKNELSTSELLVE PQPPPRPILPAFVNLRALERFPYSSFDDDNHQTRKRRRLGAGTEIDVQPDSFGELLQL PMPQAQKEQRPPPFGPFAILNGLNEPPPNAALLPPIEAGSITQLLTKPSRDHIDVEPE PDQVVTAASLTPDVQNGERIEGRIADILDSPIAEKPDLRDAVVNNDEVDKTQPEKEPS HAKESQPTQETDLPPSPKTRGRSRKNLRKWTDEETVALLRGVMKCGIGNWKEVLAQDE SSFNRRTASNLKDRFRVCCPSAYRASDPNEAIQHLREALAKTLARIEGDASATPSLTH SGSSSSFSSLDTSQNTPEHHSQSDSMPETNQTLPNKFEPATGSLSVISEPYTPAKARR RSRRPFTAAEDEALLKGYAVHGFQWTLIQQDKKLNLGHRRATDLRDRFRTKFPHAYRE GGAIRDSTLQAQMAKDTIVKDGTIPAVRNRQGPQDSKPASTSDRSGKPISLNGVGTVD PVLPSLALPPVTREISTGVPLSGLSFLLEEGSTNEDSVDLPPLIWDDLP ANIA_04796 MPATILPTWPHIVFAIFEPITLIGGWLAPIFDLQGFIVGQIPLS PAPEELEVQATSFALAYQLANVYGLMALLGAGVLYATSEPKVLRNYLVALAIGDVGHI YVTYLAMGPALFFDVGGWNALTWGNVGVTAFLFVNRLLYCLGVFGYAEGGSVKEPKKR V ANIA_04795 MTQYLAYQFAGDSPSAASYMFGQLSYRSVFRPGEERPQFNIAYR WWEDEATTILWTFDVEVIKRVIRFKLFSDEQFPRMALHRRPTSTVDDLLKGLFDSQER VFYANLPHAQKVDAILQRCKPTAPPMISWGWLPARMEIGRTGDNLESLAVAKAIDAES HLHFTRITFEELVRYSLGYPSGQVEWFLRQHTCFYAHLLDHLHAFPEQVERYAEVEKH LQTRSPFAHRAVISALQDAGYALELPCMTPGFGFFAGAIQRLFNELLNLKLILKVLNV LGVRFARWYLHAQEMDWSRPFSIVFSFLEDMDSSDSPVSFARNLTRSVERDFALLIEG GTLDKSVANRLSERWQLLSVEVWECCKALPETIRFIQECLEPLLTLRNYHSLTAILSG LHKYRVSESSLVRLENGTTALNLNQLLPSEMLYLLNPSQNYALYRQQYQQAPGIPFLI PHLYEYHQLGEPILQNLYEQMSAVIPQL ANIA_04794 MPRGPKKHQKRLSAPSHWLLDKMSGTYAPKASPGPHKLRDCLPL IVFIRNRLKYALNGRETKAIMMQRLIQVDGKVRTDPTYPAGFMDVITIEKTGENFRLI YDTKGRFTVHRIQAEEAEYKLCKVKKVQLGKGGIPFLVTHDARTIRYPDPAIKVNDTV KVDIATGKITDFCRFDTGVVCMVTGGRNMGRVGVVTHRERHDGGFNIVHVKDAIDNTF ATRESNVFVIGQDKPWISLPKGKGVKLSIAEERDRRRAYALAQ ANIA_04793 MASFPKKKCGVLGATGSVGQRFILLLAEHPFLELHAIGASERSA GKKYKDAVRWKQSTPMSEKLSDLVLRNCKAENFTDCDLVFSGLNSDVAGDLEMEFIKA DIPVFSNAKNYRKHPVVPLVVPTVNPNHLDLIPHQRKHFGLKKGFLVCNSNCAVIGIV IPFAALQAKFGPVEEVEVFTEQAVSGAGYPGVPSMDIMDNVIPFISGEEDKLENEAQK ILGSLTADATAFDEQQGLRIGATCTRVGVTDGHMAFVSLRFKNRPAPSAEQVVQAMRE YQSEAQKLGCPSAPAEAIKVFDEPDRPQPRLDRDINRGYTVSVGRVREGNQGGYFDIR FAALSHNTVIGAAGSSILNAEVAVIKGYI ANIA_04792 MRPSSLISRGFPANPRMLCRAGSRPIPFASAVRLTSSTSRRGEN DQKSSHNSSSSSSAGNSANSWSLGRMAVVASLAAGIGYGIGNSDQLLEPKDAGTPRYG TAKDFEKAIAELQVKLGEDAISTDEDDLQRHGFSEWSSVNAQRLPVAIAYPSSTEDVS EIAKICNKYKMPMVPYSGGSSLEANFSAAYGGLTIDFAYMNKILDLHEADMDVVVQPS IQWMDLNEKIKDTGLFFPVDPGPSAMIGGSEGTLGIVTEATLKLAPIPEETRVGVVSF PTIRDAASTAMQLVRKGVPVQCMEILDDVQMDVINKAGGTGRTWKTLPTLFFKFSGTK AGVADSINLTRNLAKANKAEAFEFAKNDAEAHDLWSARKQSLWSMMALRPEGSEVWST DVAVPISRLPDIIELEISKKELDDLGIFASILGHIGDGNFHSSIMYDPKNADERQRVE KVVHDMVDRALEMEGSCTVTICVFPTLGHERLTPLQGEHGVGLGKKSSLKKELGPNTI GAMRNIKKAFDPHWLLNPGKIFDPQG ANIA_04791 MPDTLFLLGREVERLVNAPYAPSLQDLYSLVQQAPLAVVTWAAQ KPCQVAALVDVLVDGLSRSRSALPLITSFARAQEFRDNLLQRYPYLLDQFLHQTIEGS EPGYLPLCIRLLSSPLPCDIIPPANLAVFVMQLIERMRANPCMDTVRPLFSISSCLQA TGILSELPLEVMSCFQAELTNTLRNLEDHMGNLLCLATFARLVSSQNSSVDAEDGTNM PTWLQTIRHFFGPKRGLKTLDLVVLRVILACSSSYGNLTVEESAESVRLAISICDSVD GEQRTRWIEGNSIKLAKLLEKITRDGIDQGVQMLVLPTQIIPRLVKAHAACSGQAAID NALEYVITSLSTSTTDKASFAKLQISRLVLQGLRFPNLQPAGIVSEQHCNAINNLTKS FPRQQPFASKCDGAVICYSSALRLENDLLCDLLAFWAEATLPRNTKEPSFSSGTAMLI DFLSRSKLLLPDSKCATSQIKPLETRSANPTLKIRETVDIPRNNWRNDMRDVMMANSK MLNDSIMQKVEDICYDLEQRCGNIEAPLKVAEEERTKYYLETEQLKEQNRGLETQLQQ ATSTNAELREEMSRLAGHAGSATDRVNELSTSLAQARHELEELQRTSQDALSIQRESF KTRELDLVASLTEREERLDELQEEIKCQTVANGELRVNLADTSKVRESLIGEIAAFKA EVSSLQTKLEENKASLTQKDESIKQLVVEKGRADELAKDLQHKLHEETSKAEILGAAL QETTERFKSELEELQRQSEAQSLRIAEEAAERKSEVMSLQRIIHETKSDAAKELQTKE KRVQHLERKVQLLREERAAKAREFSEAQQHISRLMGVMGFKPLGPLDNRVSSRQRSRP SSEQPSPNTMQTQTDSGAVTFENRQDDPLGTSIEYATPRPNSCSPKRSRNHAFPSVLS SPSQSQESSEKQRKSLSQSTRKRLQERKPLGEVDHNSQHQHSTEITTCSRRESFNDSQ FSCPPDQNHLGDIDLDLDMEFSRDFVFTSTSTSELDGFART ANIA_04790 MSPAPRNRSKPNLPMMPPSKHRSRPVPQPADQQLILAPWRAWES IAVHLSNVTAGVRTLDLWRAFKDEGNVRSIDIFENFQGNRTTRARIRLIPNPEQTSPG TDFWKSAFRRITLHNGKSIYISMSLGKKPPNWEVSAQSKGGSVSRQKLRLRFRLRDTT KEWPTPICKWIDLNPKASKTSSTAVQDPFDTSHIHLPFAVRYLLEARKLFEHVTLQKK TYYNPDGSFRHKSCQEGKSRKNTVLLLPDISNCVIRRYANLADNFLRVRFTDAKHIGR IHATVDNTMDEVLTRIKRALANGITIGSTRYEFLAFGNSSSCFSTTRAISGCTAHVKK IDDIEGNGYIFSDGVGRISKFLAQIVKSELKIKTPTKEPPSAYQFRLVGCKGMLIVFP QARPQEVHIRKSQFKFAALSQGLEIIRWSQFTVASLNRQLILVLSTLGITDKVFHLKL KTMLRNLDEAMESDPKAAHLLRKYVDPNQVTLTIYLPKNGEKYEIIEGICILARNPSL HPGGIRVVRAVNAPGLHHLKDIVVLPRTGDRDIASMCSGSDLDGDDFLVIWDQDLLPD DWFRSFQLRQLPTRISHGVITLMMVSTKQNAYGSLSYTQKPSTTTRQLYDAVGRIDFG PNIEMDFDKRILNCNVEVHDAIYGFARRLKDEYDIAIRRITAQHEIKTEFEVWLTYYK FHEDIGAMSLALRENFKKRAFEKVGGRTFDALAPLAVAMYRVTYQEMATALAKHPTTL STDLQCNRPAQHQIGEAELGTCLKKCDTTKQDHQALGPPKYHPDDDPFGLGLRDDADA ATIASNNLPSSDCNVDRWKISFGFGPLNVLSAQSKLLYMPRLRLKKGLCWILTVTLPR AGGKLTLRRPRRI ANIA_04789 MEPFQVRLNCVDHYQANPSEFDPPLPYRDGNNEKGYMPKVPVIR IFGTTETGQKICVHVHGAFPYLYVQYDGDLSPDSVRSAARSLHLSIDHALAVSYRRNA HDKKTVFVAHITLVKGVPFYGYHVGYRFFFKIYLLNPIYITRLADLLLQGAVMKRPIQ PYESHLQYVPQWMCDYNLFGCAFMKCGKAKFRSPIPEYLDLPDLSHRWHDRSIPPGWI LDESVLPKQSHCPLEADVCVQDILNRLEISERSIHHDFREFLNPLTSNEKLVPSMAGL WEDEKRRRKKKLGLDDPDSSPFSTEDLVSFSSDPRKASQGDWIHKDELQLLVRQITAE ERERDNNRDMTLDAHLQENPYAKDVKTALQSIEDFFTDADGLTNLQLPYNSGIDYSEE QAVDYVDEDAVRATESNSGLYSSDEDGMIDIFSDDDYDNSENEMAQVDLENDLQQDER SNAISKTHGPRVSAPSVDGLAGNSSGLEGPRSDALEARNAISFKAPTQQNVQSGRSSV TRSKYEENLDKSSQSQESSSLVQFWTANADSSNKKIRMPSRATEPSSSDGQSISSQKT IRLKALSQYGTLNFPVVKDPNDPMTILRFSQEASGSKELMDVVAKEASSSGKSSSTLQ PRSSDEKELSSSSDNIATDFAGLISDAFNIPPSTRLCCFRRACPSPKEVSSTINAYGL SDIIYQNAHYSIDADVPDRPRDYAGKEFQLGGIGIRYLPDFDPSGRLPAMLAEENIGQ KEKRDWQELDHQLRVGSTARFWEFTPVPPSRSEVITWYNGEQQKSLAATTKTEEQYEE KEYRGKVLSQIEGPTQKNEHGFKYSQHKKSTSVEHQTQYMSTMSLEVHVNTRGVLLPN PEEDEIAAFFWCVNSEDEPQEEDDSLSVVNMGVVFQGEEEYPESKISKALRFECEHES TELDLINRLVDIVRLHDPDIITGYEVHNSSWGFIIERARKKYDFDLCDELSRVKSHAH GRFGKEADKWGFDHTSSIRITGRHIINIWRAMRSEMNLLQYTMENVVFHLLHRRIPHY SFRDLTAWYQSDKPRDFMKVINYYTSRVQMNIEILNANELIPRTSEQARLLGIDFYSV FSRGSQFKVESLMFRIAKPENFILVSPSRKQVGQQNALECLPLVMEPQSDFYTSPLIV LDFQSLYPSIMIAYNYCYSTFLGRVQSWRGRNKMGFLDYNRPPRLLELLKDHINIAPN GMIYARSEVRKSLLAKMLTEILETRVMVKTGMKVDKDDRALQRLLNNRQLALKLIANV TYGYTSASFSGRMPCSEIADSIVQSGRETLEKAIAFIHSVERWGAEVVYGDTDSLFVY LKGRTRDQAFDIGEEIAQAVTNMNPHPVKLKFEKVYHPCVLLAKKRYVGFKYEHRNQK EPEFDAKGIETVRRDGTPAEQKIEEKALKLLFRTADLSQVKSYFQSQCTKIMQGRVSV QDFCFARAVKLGTYSENGTLPAGALISTKKMLEDPRAEPQYGERVPYVVVTGAPGSRL IDRCVPPETLLQDAQLDLDAEYYITKNIIPPLERIFNLVGANVRQWYDEMPKVHRIRR VEGTSSLAGGKSSIRTLESYMKASSCVVCKAKLYDAEIPVCSDCVRQPHVSLLELVSR QRHAEQRVATLERICRSCMDVPFGDEVKCDSLDCPVFYARTRGLAHWRHTNSVLGPVI KLLQDNCSDSLEW ANIA_04788 MSRKPADVASKERNEYIPAFISKKPFYIDDDDTANDYLEHQRLH KQTTDQSKWYERGKRAGPAATKYRKGACENCGAMTHKAKECLSRPRKHGAKWTGKDIQ ADEVIQNVDLGWDAKRDRWNGYDAAEYRQVVEEYEELERLKRQAKLTKGETQTTNDGL DDEAPEQEARYAEESDMGRQQSTATRNLRIREDTAKYLLNLDLDSAKYDPKTRRMVDM GAAEDQAAALVAEENFVRSSGDAAEFERAQRYAWEAQERGTQKIHLQANPTSGEITRK KELAESEAKRDAHRKALLEKYGGEQHLKHTPLLETMVVENERFVEYDETGAIKGAPKK ATKSKYPEDILTNNHKSVWGSWWHNFQWGYACCFSTVKNSYCTGEEGKRAFEEARNML LLPGDETEQPSLAVESASRQEEPSAESHNQQRDSKKRTLMEVQSGITEEELESYKRSR LAADDPMAAFIEKDDS ANIA_04787 MSCDHCHSRLTRACALSWHTRLARHPDPAQLSIRLRRVPPASES ATTKLTLCAGVVAAGPTTSRSRPAQTAVTPLLRLASTTGARRPSDARPPVPAVPLTCG TSTAASRTASRLALPRAPVVLRTTKSIPMLRFRSPGLERAGMAKEPRI ANIA_04786 MPSQPPLPHLLTPYVSSPPRSSLTTVSSVLGATGNWLILRFLGA ALADAGSGFRGLEEDRKRKVVLVSFLRGWEFWRSEAKRLGVDLARLTEKRQFAFVDGL SELFSAPTASASSAQSQPFGAGATPRTTLPVRPHPGQASLRQPPPQAVGFNGPPQIAK ETGPVKRLHFSGNGIAALDALEKDVTTVIDQLRAPRPGEDGDESEVLLVVDQPDLLLA ATGPNKGIGATEMAEWIMGLQQVATATIVTISVDSPLIHNASAFAHQAATPLETEHAA FAVGLAHRSEMVMQLRNLETGAARDVSGVLRVSKGGAWGQRENAGEESWEEREVLYFV QRDGGVSVFGRGE ANIA_04785 MMSKNKITMGSTRDSHSYACDECRLRKSRCSKEKPTCAQCKQLD KECKYSPKITRSPLTRQHLTYVEDRLQAFESALGRLFPGGDLDATVRSLLQDQDPLSK ERSSSKSSSRHSTPAKTEADRHESAPEALPQQADGFDWAENRITLGDLTDGMAALSIK PEGAGYFGASSSVVPLRALLKHGFDLNIPSGSSKRVDNSDRVPLKSQLLNIAPSGVIE QAFMDAFFNNYHMSYPFVHEATFRAQFHEQLPRPHGPAWQILLNTILALGAWCIGDDN SDLDITFYQEARSRLQQMSVFEAGNLTLVQALLFLSNYAQKRNKPNTGWNFLGLAVRM SMSLGLHKEFHGWKISLLQREVRRRLWWGVYIFDSGAAKTFGRPILLPEDSVMDVKHV LNIHDEALTSTTTVVPPEVNEPTLYTGMLAQAKFHILTNSVYQRLISGPNPTPEETLS LQKPMEEWYNSLPDYIKNPAPGSMSDNFALVRSRLLWRDWNLRILIYRPILLRWASKR WTPNTPTEPEDPYEAECRMLCFRNAKLTISSITDFVNNYPCTRVGAWYMLYFLFQAGL IPIILLMTDPTSAEAPSWIQEIEATKALLMYPSLSNNNLAGRCLDVIYRLCAPVYPSN ATSSASAPSQQPQPIYMPFADQLYNDPTFGSLFPDVNQDLNVSAGMDFSEWVNFAPTP HNDFT ANIA_04784 MSLSDLPRSHFDPLNHYPLREEQYPGYFPPSDAPAAFLTHEITQ LSNALYGHRPAFFNMNPLSPEEMERFQELSNKYEPELPVSNPSTNAIAMDYANADPTF ATKTSALAVTHPQSRIMKGDGNCGWRAVAFGYFENLFSLRDPVQLQRERARFKSLNTL LDQVGLDEITYGMFADATDEVFDSILNAIERGERDDSFLVALFNDEYNSNTILCHFRF LTSAWIKLNAHRYSAFLPMDMPIDRYCSTQIDPVKTEIDGIGLQALVDGVIEGSGLVV EILYLDRSEGDAVTPHVLTQTRPGVGAIRLLYRPGHYDLLYRAEPIVNMQPVVNLQYA ISSNYGPWDTSDLSFDVNSSLMAIPNLMMDTSFPLAPSMSQPPADPFRVSPPQPPPDH YRVPPPQPQPPSDSYRVSPPQEVYHAPVHTPPPPPPIASPQPQIQLSGPPPPMMSSLP RRTDDGPQIRLSSLAMRSNLNHSLPLPVTAPFKNSPFNHAHYQNPDFEPMHWAPNESR K ANIA_04783 MSDDDDFMHDSADEEYDFEYEDADDDETGDIGIENKYYNAKQIK VDNPEEAIDEFLGVPALEQDKGDWGFKGLKQAIKLEFKLGRYSDAVEHYRELLTYVKS AVTRNYSEKSINNMLDYIEKGSDDEKAYQCMEEFYSLTLNSFQNTNNERLWLKTNIKL ARLWLERREYGQLSKKVRELHRACQREDGSDDPSKGTYLLELYALEIQMYAETKNNKR LKALYQRALRVRSAVPHPKIMGIIRECGGKMHMSEENWEEAQSDFFESFRNYDEAGSM QRIQVLKYLVLTTMLMKSDINPFHSQETKPYKTDPRISAMTDLVDAFQRDDIHAYEEV LSKNPDVLADPFIAENIDEVSRNMRTKAILKLIAPYTRFTLSFISKHIKISVTEAQDI LSFLILDKKLNAKIDQESGTVVVESASDVERLRSVEEWNESLRTLWQVTLKDGDGFKN DDVSQPTGIGMRGPLLYQSGLDDDTAGLLRSSGHRFRRGGKGSKAGGGLGMKTGLF ANIA_04782 MRHSEPTVFENYVHDIFVDNVHMELSLWDTAGQEEFDRLRALSY EDTHVIMLCFSVDSPDSFENVASKWIEEISENVPGVKLVLTALKCDLRKDEYMNDNPN VITYEQGLAKAKEIGAVKYLECSAVQNRGIREAFYEAAKVALEVKAQNTGSSQSRCVI Q ANIA_04781 MRLAHLHFPDITSFTRVAALQQTLTTRLLAHKKLVADAATTTPP PPDPTIITFTPNPVYTTGRRDLPPSNTSPSSSKTLSLPPALEPIRSLLTPPESGSKLH NKNGPIAEYHPTLRGGQTTYHGPGQMVAYTILDLRRMGLSPRCHIRLLENSVVDVLRS YGLDGLITEDPGVWVPRPSSTGSNGDELPRKITAVGVHLRRNISSYGIGFNVTEEPMW FFRQIVACGLEGREATSLEGQGIKGVSTDEVAGRFVDAFVRRVNNDYARGQIALGEKI EEVYKVSEQDIIC ANIA_04780 MPFVHLPPSRNNARPETMSDSLNPPLSYEASATTTHRHVATTLP PEVSSCLKNSRFLHLATCDGLTPHISLMSYTYLPSTPFDPYPTIIMTTNSSSRKTTHL QTNPRVSLLVHDWVSHRPPTRASNPGNTRDGSPPPAATRSSLASLLLNLNTSALSSIS TTITGEARFLQPNSEEETWCKERHLENNTFEEEMSTFGQAQQPGQRRPSLSIDDDVRV ITIRVREGRLADWKGGVRDWLVVQEGEEGPDETTPNGVVL ANIA_04779 MLAPRAFRPASLLARPFHTSAPVFRAPSIRDITPDSAEEFNARQ KEFRENLEVARKKREQQESQSVGASASTSASAPAPVTRDRLREYTDAPAASSKSNASD EKSPIFDAADVLDNQALGSLSTHRSLGDEHLLEVNRSPKRGPLSSLIYGTKEGQQLDR DIERSFSQVLARGKYVHSIVFHDVKPDRVDEYVDLVGEWYPRMAAAEENRVNLVGSWR TQVGDNDTFVHIWEYQRYEGYHASLHNISRHPGFPAFDKKLKSLIKSKKTSLMQEFSF WPTTPPRRLGGLFELRSYTLHPGNLLEWETHWRRGLKARREVMEGVGAWFVQIGDLNT VHHLWQFANLEERKIRREQSWGIEGWAETVHKTVPLIQTMQSRILIPMPWSPVG ANIA_04778 MVYIRQHELSNLKNYRYAGVDHSLISRYVLKPFYNNFVIKFFPM SMAPNAITLTGLFFVLINLFTVLYYNPSLDQDCPPWVYASCAIGLFLYQTFDAVDGIQ ARRTKQSGPLGELFDHSVDACNTALGVLIFAGVMNLGQTWATVLTLFGSTMTFYVQTW DMYYTQVLTLGIVSGPVEGVLTLCVVFGFTAYMGGGSFWHQPMFETIGVPKLEFIPKQ LYDLPFTQWYLIYGAVMLFFATGSSIAHVIQVRKERGKDSIGPLFGILPLALTWVVVP AYLYLNPTILENYLVPFALYVGLVNAYAVGRIICAHLVHQDFPYFNILLGPLALAVVD SAGALFGVWSSTLIGTIGQPAFVFLCLGLGLGVYGSFVHDIITTICDYIDIWCLTIKH PYVPEESVNGNVVRAAKKNL ANIA_04777 MVLAVDLLNPTPQAEARKHKLKQLVPGPRSFFMDVKCPGCFNIT TVFSHAQTVVVCSGCSTVLCQPTGGKARLTEGCSFRRK ANIA_04776 MAGRPPLKLLMLHGYTQSGPLFHAKSRALIKHITKAFPLHEISA IYPTGPLRLNPADIPGYTPAHDSNGNGDDKDTEIEAYGWYRRSNTAEPPLYVGLEDGL NAIAKVLGEEGPFDGVIGFSQGAAMAAMVASLLEGEKRREAFARFASSSCSSSETTAE GIPYPDSFAELDHPAMKFVLCYRLFMRLRRFRRLSCMCWALWMRLLMRGGVGRLWKLA LGIRRARARLFGILGVTFCRASGLI ANIA_04775 MSGVLKPEKDFSKDADKLIPEAEQLAKTDVQGAIDKLLLLEKQA RQSSDLPTTSRLLVTIVTISKNTGDWNLLNDQVLLLSKKHGQLKQAISRMVQTVMSFL DETPNMETKLSVIQTLRTVTEGKIFVEVERARVTRILSQIKKSQGDLNAAADILCELQ VETFGSMTRREKTEFILEQVALCIERGDWTQATVLSRKINKRYFARKPKKSAEEIEKL KKEAEEREKTRAPDEAPMEVDDDVTDLKLRYYEQQIILANHDYKYLDVCKHYREVLDT DSVQENPEQLRAVLARIVYYIVLSPYDNEQSDLLHRIQQDTRLSAVPVESRLVKLFTV PELMRWPIVAEQFGPHLCNTDVFSPKPSQSAEDRPYRRWQDLRKRVIEHNVRVVAKYY TRIEMGRLTQLLDLTEEETEKYISELVTSKTIYAKIDRPARLVNFAKPRDADDVLNEW SSDMRSLLGLLERIDHLITKEEMMARILPTREKAKAR ANIA_04774 MKDASESAAQIPLLASINAESQVHLIVGANPLAAARCTKSVQAG AKPVVISPDPGSLQYTLAAHFENGNAKWVQREFEDADLTTLGREEVDHVVDMVFVTLG GNHPLSSHISKLCRRLRIPVNVSDAPDLCTFSLLSTYSDGPLHVGITTSGRGCKLAAR LKREIAATLPPNLGNAIDRLGTIRRRLWEEDFAAGLCDAVFDIDDDDATGQKHTFNNL VTESDVNAAKTRRMRWLSQICEYWPLRKLAAIDDSDIDKILQEYSAGTVKSTDTNGTF IEKKGKIVLAGSGPGHPDLLTRATYNAIQCADIILADKLVPAPVLELIPRRTEVHIAR KFPGNADQAQEEFLRMGLDALKKGRKVLRLKQGDPYLYGRGGEELEFFRAEGFTPVVL PGITSALSAPLFAEIPATHRGVADQVLVCTGTGRKGAAPEPPTYVPTQTVVFLMALHR LSALINSLTMPPAEDFDRPPQPRTLWPKETPCAIIERASCSDQRVIRSTLEHVCQAFE VEGSRPPGLLVVGASCHVLHKPEDQKWIVEEGFRGLDELQSTVEVPMMNETA ANIA_04773 MSSTLTRINPRLQRLADILAQAQALPCEALEQCPASPESYPTAR SSPVPKDAATLQRRSQEDVLILKNGVPRFISGKHWAWMAEEIRDIQSLLAEPQKSSPN EPQGNHLIWESDSSPSNLQDSNNIAFDPIQSDAFEPLTMSIFHAAINSMKETDVSTVF NTDKSCLLRRYRAGIEIYLKQHEFMSSRIFEVLQAFVIFLTAQYREDDIGKVWPLTGL AIRMATIQHLHRGPLALPLGTIDIVQVELRRRLWAQICHLDFRAAEGHGFAPSIHDLS MLRAHYPDHTCVAKENPCLFPGASGEARVLSELQTLLKTAEAMAAELEKALDDLVQYC DKSIQIPRQDREKVISPTTRRSIFMDAAITVENWCTIASSKDCEPSQWHISSHAGIHP ILYVLSEGSAGSNRDLRNPRPAYVRGMASHYLLLDRVRFQNLCPADGTQTAGLSPGPQ DNPMVTRSGPDNMASGELELDTGDFLGFMNLGDFGFPDLADVDPMLFSNPSQWS ANIA_04772 MAIVAGGGLVVPLSSGVLFRRQCWTISDMFEAHEGGKAMGAFML GPKLGPMFAPITGAALVTRWSWRSTQWFMVIYGWTVFLIMVFFMPETATNLEENQQNE RAKSTSPAMKVVNFLLKPTQTLPCCNTFALPPYSWSSIIVGVPYSIIVGVPYIPGGLG LLFGAIVGGRWQDYIMKRTARKEGRFDYDGNLILHSIDRLRENCLLAVILFPRALLWW GWTADKHVFWLVPLIGNFFYGFAGMILTNVTMTMLTEFTPKRSTMGVAVNNLLRNSCP ITTMSIPKTNQEWEALISSISASLPESLNQEQAPTPAKVNRTIDHTQLALTATPDQIT ELCTQASKYQFATVCVRLAHVEQAVAELRSAPEVGVACVVGFHEGMYETSEKEDEARK AISLGATELDMVLKYPLLKTKQYVEVYEDILGVRNAAPAPVGLKVILETSQLTRDEII AGSVISCLAGADFIKTSTGFNGAGATVENVALMYEVAKAVGKGTKVKASGGVRSAADC IKMLRAGAERIGASSGVKIVQELEGEQVQDEGSSGY ANIA_04771 MLSECFVASTAASAKTPASASLRDVGICLHELQPSPTLRSTFKK SSTSPNCLAVSASHVFAAQAEKATVHVYSREKGNQEATVPFPERIRSIAVAGSKNGDI VVLGTEGGRLILWEVCTGRQVATTASHLRPVTSVVVDPSSNFILSGSSDASVHVWSLV DLLSFTKPPSGRNQQPPNSPIRTFSNHRAAVSAIVVGHSTGRYNIAISAAQDNTAIVW DYRTGHVLRNFLLPASAISLALDPVDRAFYAGYEDGSVQSLDFYKEQSIQHPLHNPSL QATPAQASSEDRWLPPSADSGAAHALTLSYDGMTLLSGHENGKVYSWNVGRRKYASTV ADFTHPVTNIIMLPLEGLYQQATNLKRVAHTIIKPKYDHTLLENTQAAGTVPADYEFN THLLRSSSPSEAPAESDWFMDAFTHSSFPASLIEQGLSELTAMSLPGSDTVSAPSMNV AMDVDTPGKDSQIASLENEIATLKQKVSVSDAARQSSTDEITKLRSNLANLHDHINEL KAKQEQSQRDRIRRQARREERATRRREAWFAAEKKGKNGDAVLRRMKAEDESETSGSD DQSSDEQ ANIA_09485 MSSMDYEIANPHTRPYYGHQRHHTVNASPVPAYVNRSVPTYSLM NQEQMPQPNSLASPRHSPNIQPWKRQQPQSSLSFIPSTSPRFEQSEYTMSQSRRPLDR GTACISPFRSVRKMKEPFQLRLPASPSVDNSSLAPGESRVTSRPTETRTLRPWRSEQN LVASGLDTFGLLPSPPLSDSQPSQASPASTYFSSKSASETEADRETDCSCLPQAVSCN HCTPQTPATEVSVQSRKFEATNVHMAHSTFVRQYSLGKRNFSGSSAATQRSRSGTVSS EGSWVPSSLSYCETWLQGAPMDSAEDEAGKSTISNRRKFQIVQKSPLVPDWKRAHNDA VLAVKSKMKPKLVDISRQSSPAMSYSLPTPTHPIPATPDHSLPEVSAFSPDTPLELSD SGYATQNPVFLPKNRKGGGESLNTDQCSVASGTMGKTIIGDPGAGNGDESETEPKPPP HKVAPPSEQQASPRAASTKSDKEELEKLWDHEWTIDQLEHSVNDFPQNMLRLTSPVVV FLRHNQERALMRPFRQIFPNAPTSILDSLCAVLIAKNYLLSLPSLSRKTTNMQLSAQI SRLDTVPEKANSILGMKFAQPQPSRIRDQVLGSRSSKLLEDLDSILDNLLFTLRGPHD EALKSAVLVLMQVLETKA ANIA_09486 MAAATNRFRALYSSSRVATPQAGSASYLSYRGYATTDPSSATGG ASTAGKRRTTFTDKLNAGPSFGDFVSGGRDNAPLDPSEAYALKTALVGPAGRKKEMTR LPSWLKTPIPDSKNYQRLKKDLRGLNLHTVCEEARCPNISDCWGGGDKAAATATIMLM GDTCTRGCRFCSVKTSRAPPPLDPHEPENTAEAISRWGLGYVVLTSVDRDDLADGGAR HFAETVIKIKQKAPNILVECLTGDYAGDLEMVGVVARSGLDVYAHNVETVEALTPHVR DRRATFQQSLRVLEAAKKAKPSLITKTSLMLGLGETEEQLWDALRQLRAVNVDVVTFG QYMRPTKRHMAVHEYVTPDRFELWRQRALDMGFLYCASGPLVRSSYKAGEAFIENVLK KRRSGAPEVSHNTVPVDEATR ANIA_10593 MSSTNPAVARPARPVMQQRFSSSSFIQDHQQYKAPASITPTIGN VLENATESSPSPIPRVANPISPDPKKVTDSGIVHSIFQHRDAVLPQGTPKLVATIFYK SSDPVHPHLHPDSSPHARLGDKLPHPMVPVGSAPTIDIEKLPREPPAPEPEPLDHLYG PYVSQLCLTNFLQIIESLPIPHQRMNTSHRCLDTQEQPRVVEVTFAPPPNPDYLSFED LRKHESIWRFEREWNVEVVLQRESAFRRHKRLVVFDMDSTLIQNEVIDEIAKFIGVEK EVSVSISQCAAC ANIA_04770 MPAKMHSNYPSDSETAELRDSTESGYVSGGSSEEYLPEIVFTKP HLQFLNRQLQFLEPQGQLFHIFSSRQRRLTPLADVLRWCVTSLPHLYQTTAFGLTGLV IMDMLSKLSIPRPQMVNLIFLDTLHHFPETLKLVDNVRKRYPLQHIHVYKPQGVETEE EFAKKHGERLWEKDDQLYDWIAKVEPAQRAYRELNVHAVLTGRRRSQGGKRGDLDIIE VDEAGLIKINPLANWTFDQVKQYVKENDIPYNELLDKGYKSVGDYHSTSPVKENEDER SGRWKGQAKTECGIHNPRSKYAQYLMDMERKRQEEALSQALQNKLTTA ANIA_04769 MANTPHGGVLKDLIARDAPRHDQLEAEAATLPSIVLTERQLCDL ELIMNGGFSPLEGFMNQKDYDGVVAESRLADGNLFSMPITLDASKAVIEQAGLKPGSR VTLRDFRDDRNLAILTIDDIYRPDKEKEAKLVFGGDPEHPAIKYLNTKVEEYYIGGKL EAVNKLNHYDYVGLRYTPAELRIHFDKLGWTRVVAFQTRNPMHRAHRELTVRAARARQ ANVLIHPVVGLTKPGDIDHFTRVRAYQALLPRYPNGMAALALLPLAMRMGGPREAVWH AIIRKNHGATHFIVGRDHAGPGKNSKGQEFYGPYDAQHAVEKYRAELGIEVVEFQQVT YLPDTDEYMPKDEVPAGTKTLDISGTELRNRLRTGAHIPEWFSYPEVVKILRESSPPR ALQGFTIFLTGYMNSGKDAIARALQVTLNQQGGRSVTLLLGDTVRHELSSELGFSRED RHTNVQRIAFVAGELTRAGAAVIAAPIAPYEHSRKAAREAVQSTGGSFFLVHVNTPLE YCEATDKRGIYAKARRGEIKGFTGVDDPYEAPTNANLVVDVSKQSVRSIVHEIILMLE SEGYFERL ANIA_04768 MLRQRTRVARISGAAGPSLRPQLPSLGACQPCRRPFTQKPPLLI LSPQRSRPQLTFLSPSPISRALPPLSVHLQQHFARLVSTESRGHFKKRASRVLRLSLS LGAILVLYEIIKIGVYQEELEHKWPTPPEWTWKSRWCLRSALAWQHPEEIGKLMSDWP MVAGYCKELISRLEDLNGEGKGLVELDEGGIFIDGVGKAGFDITAKSEPWRRGYFQAL LGAAKAAENLEGWLTDRKQRVSASAEYFVGPSNPRPKPVPLGQTPPREEDSEPASPSP EVFYMKILTTRGFNTGQKIDAALAYADWLDYKGLQSTAADMYKWAIDIAASGASMDAT KVVDLKTGVINSDSQTLPSENILRVSTALGVHHARHGNLPMALSIFTSVLKARRAISP PPPGTIVPISSSIPKPTNDIFVSFFNTLKTVFIPVQYPPPPPTGNDTPFRTPSSPCDE AGLMTYIGEILYATHSKETGLAWTRDAVDLAESTLPETDPSSRNNRCIDCLRVSLENW KTMVSQFLENAQKDEEETITKAKNGSSWFGPSKRQIEAKTLVRKRWEAEQFILQARIK KLLPVIEDDSAIQGVVPGVGLF ANIA_04767 MDSTSKHGWSEPRPAERKGSVRRAREMLEVGKRPRIRAPQENAA LPPGPRMSQQTAWPLLGDDGPGVNVVDSRDRGPNLGDSPGRLMAPRAPPPPRPPRQDP PSPSVYSERSVPDAVPSPLHLNNRNRAPSFSQPFVNQQHIHPALREPVPSTKEDPFRK SAASSLGSIPSIPDFPPPLPSQNNAASLAPTAPMRSGMNRRSSVSPIPEELPDSPTIL NKPYTRLTASSWNSEQQESEVLGAYMDNNSEGALESPEHAEVSLVRQASVGTRGKPSL RTISKPSADGMVGGAGRTETPIGQTNDASLKEVAAGLSTRNSFSSGSFKESDIDPEKP PIVLENDTNAQHSYDNDMRSVEKETAALPQRTPTMSEMRPGARRPPRLNINAVREAEA RGSLTSLSDLIKRATKLATNLEHGRTASRNDLLNVGGSRHPFGDPNRRSGSIKDILAS FPNPAATPEGRSSWPVFWRRSTLQQLNSQEQGQDLSQEKGSTRTQRRRCCGMPLWAFI TACVVLLLIIAAAVLIPIFLVVVPRENKGNDSSNTMCEKTNPCSNGGVSVSSGNVCSC VCVNGYTGSRCTTSGDASCVTTEITQNSTSRNATVGDDLPRLFRDSQSNFSIPLDAVT IMALFSQNNVSCTTENALVSFKGLSSNDNKRRALNADLLVADPEKLEPSSSTDEPTAT IPVRREVATKNGILFEDSGTNESPAAAETSSASTSEATPAPTSAVTAEALDFSRISVL YILEKTGTLDAAMFSADVIKKHLEHSYSNSSDESFVINLVPSGIKGNITLDYGGFTIT DFDGNTVGGS ANIA_04766 MTEISSDCPAALHISAFVLQLTTSPSTSLPEELLTIDACIACVH ILRPMKQLPTPPASRQSSEVPDTDLKQLHIDDPTPDLLESLDALLEKYLHLLDKHQRL QHVLASKLSSGFLSLAHANYTCPPGRRYGADYYDERMKASRRVILRPPSSADREDSTA ELESPPPNGASSKGIFSIEFTPSNDEEEQPDTDEPSGTGEKAPEKALEQEPLKKAGDN NAADTTASPQDSSEASETKTEADADADADARSGLVRRRPRTLDPIKWFGILVPPSLRS AQKSFTEAVEGSLPELAGIVVEMQAAEKEITELRRRMGRE ANIA_10584 MMSVSTLARDTAFQARSLFRSLLRQSSQFSNYNFREYALRRTKD AFREHQHESEERKIQELIQEGLQSLRMMKRQTVISQFYQLDKLVVEGQKTGKETGQEG GIVRQKDTGWD ANIA_10591 MPKDSHLLSPMSRALLRAARAGCIYIRQVSKDIDDEEKETTDPE EQQTQQNMERNFSLRKWTTVPKHLEAPEVEFLAKRRPGLPSLYGAAAGTVDGAGSVPM RKTRFKKVDPDTGNILIYAAWVPEGHKIEGEITGDSQVTAESNQVTVTPQAPAPGTVI EGVGVVDAQGVVVAAADSPAVITPQKRRPPPPKRKGKGLKGRRKKVMFAPGEGADAAL VHGAGAGDSAANYGKETDSSRMSVDQTTQDEEDEEGDEGEESDDGEGDESGFDAKTPE TPGPQPSTEPELTPISASAKTGTTADSHAPQVSQASEPDTEPSQASAQQAASLQPSGH VPVAPISMTDSSRLDQDTQVTSTERLSEDVHMADATTAETTLRASPKPSLETQLQPAP GVAQPLSPEKAPSAQQQTSQAPVLEASGIGVENAQPPATEQTDVTMEEARESVPEPSE AMPENTNLQTVAPESSLPGQASANESTPPEADQQPSGSEFNLLDNLEASLNNPRQETL SDVQKGAISEQKTGVLPSQETITAPGEAASGPTETSTEAETTRSAETKELSREDPVAP PVEQLTPPGADQITPLETSEQKPQQAPPTIEQYQPEKLAGTPTHPEPGPATPAFNVAP EGQAERPPVLVPVPAEATTSAEAIAKPQSPTVEGHSPQHSTEPAVEKKQEQQTSTTPS PTEPATTSAAEQPQPQATAQHTPKELSRPQTETSPASNVEPGEGSQGEATQAQMNGEH GTSGDGPSPNGERQTE ANIA_04764 MDGPPGLSGASPATPATISDLVKHSHEPPDHVMAFDYTEQLLTP ENSRSETSSNNDNASNEEKPTVRRQSTRVTRASLRGAADLDFGAEIEHNGHKHSAETT EHVVLDKPPDNGAAPRGKNPRASHLRHSIAIMESALQAETSLTQDAMDVDNHPLAPNT PISESSHGSHTDDVPAALPPRTLRKRVEQALARDKGYGSEKDSKPASADNETPVRRSS RLSLLGKVSDLAGRATGVLGKRSTSMRENAKEPDRRSSLRPRRSTTAREEPPAEPPTK KQRVSVSAPVDKAKSESDASQEEPTPPEVITRFKEKRWLTHGLYSGQEYTNLRPSQKR TEKRRKSHNTSQPNHQRKYLPLPMFAGERLLQTGRDFQLPFDIYSPLPPGQPKPNEWR KTNKNVFVGEAGSIWRANKEEELSKCTCMPETGCDQFCQNRYMFYECDDRICGVGPEC GNRNFEELKQRAKAGGKYNVGVEVIKTPDRGYGVRSNRTFEPNQIIVEYTGEIITQAE CEKRMRTIYKKNENMIIDATRGSIARFVNHGCEPNCRMEKWTVAGKPRMALFAGDRGI MTGEELTYDYNFDPYSQKNVQQCRCGSSKCRGILGPRKREKEQRAELRAAKLKEIADA KKAKAAKRRKENALKRSRPRNNRKGRALAPSSIKSGVKKAASKARGAVSRKMPAAATS SKKSASKKSTNASKQTSTKSKRNIRLPTIKAPKVKAKITSRVRAPAQTTRTKVKKAST SPPKSRSRVSATKTTKAATAAESSPARKRPLKAKKDILNGVRETINKGTTKHSQRAKS SSSRTTGRSPRARK ANIA_04763 MAFQFSNNPESNETTNNPAPHVLGLPRPPSVGGISSRVTDMSED GDQSQTNTMSSHVPHRHSVSRRGPPPARSSIASTSQITNRPGSSASRLSRTHIPSLAA SGFFRPMSSQRLQAHRGRPATNHTVSTEDWGDQMNQNRRSLISNSTFPNSLSAADQEV PPSRGTEFTDPIIPDRIHSNASPTANTTTVRSESANLIRDRERPPHLNLKVDYKGTNE NETPERSPLSFLSLQNRNAPADNRDSRAHARLSSADSSPQSIEKKPELAKSRNKGRNY EYFPSRGPWLWHNISPAIPVLFAYVFYLCFSSFIHASVVDPGVIPRNLHQMPPVDPSQ DPLAIGPPTNDWVMVKLATSDVAAMDVPVKYCKTCSIWRPPRCYHCRVCDNCIETLDH HCVWLNNCVGRRNYRYFFAFVSTSTLLALFLLGASLAHILVYRSREGISFSDAIDKWR VPFAMVIYGALAAPYPASLWAYHLFLVGRGETTREYLNSHKFAKADRHRPFTQGNVIR NWIAVFGRPRPPTYMQFKEYYQEGDQRLSTVKRRFLPRNTEPQNDIEMQHVPPPNSA ANIA_04762 MSSIAAMASRRAFARQALFRAPVRRAYSSKMEESTLDKAPKRDP ELYVLLGVMSGAFLIAGWYFGKKPTSVTSESNVRIPDSSMPWERDDDGKIYKYQYHPG GDKSQPLRNAPSALNTVIVPNVTLPVDLHERFNKYGKEEYDF ANIA_04761 MAKDTLDVRPPRTSSRSPSRSPRPKNRKKATAVASSYQSDGVTD NNIFDLPMSDYKVMVLVTIVAAVVRLFRIYQPTSVVFDEVHFGGFATKYIKGRFFMDV HPPLAKLLITLAGWLAGFKGDFDFKEIGKDYLEPGVPYVAMRMLPAILGVLTVPIMFL TLKATGCRTNTAVLGAGAILFENGLVTQSRFILLDSPLVFFTALTALSFTCFTNQQEL GPSHAFRGPWWFWLVATGFSLGATLSVKWVGLFTVAWVGSLTILQLWVVLGDTNNVTP RLWFKHFFARVFCLIVIPLAFYCSMFAIHFRCLVNPGEGDGFMSSEFQATLNSKGMAA VPADVVFGSRLSIRHHNTQGGYLHSHSHMYPTGSKQQQITLYPHKDDNNLFIAENQTQ PLDANGAEIPGPFAWDNLTTNYIEDGAVIRLHHLMTHRRVHSHNERPPVTDVDWQFEV SAYGYEGFPGDANDYWRVEIVKSLSDGEEAKKRLRTIQSKFRLVHVMTGCVLFSHKVK LPDWGFDQQEVTCAKGASLPNSIWYIESNKHPMLPPDAEKVTYRNPGFFGKFFELQKV MWTTNAGLTDSHAWDSRPPSWPTLLRGINFWGRDHRQVYLFGNPFVWYSSTLAVLVYV IFKGISLLRWQRNCGDYRNPAFKRFDYEIGTSVLGWGFHYFPFYLMARQLFLHHYFPA LYFAILALAQEFDFITNRIRSLGLTIFAFTVYSPLIYGNPWTRDACKQVKLLKSWDFD CNTFYTDLNQYVTHFSSVNSAVPTTAASIPQSPAPVKAEPKQEQKEQKEAKVESQEPS ITSSSIPKIRGTQARVEYRDQQGNILDEAVVDSLRKEGKISIETRHETRTRLEHGHLV DVVDGKVAPPHPDVEGQNPETQDKPEEIVDDSPASAADAGSSVGEPNSPEPKPASEGN EATR ANIA_04760 MASMSNGNTPTVLDDATKPPAGVVLPPKDIRAGYVARNGAVFED RVREKERSNPKFSFLNSNDPYAPFYQWRLNEIKQGRGTDVSAGRPGEPTPTPAPEAPK GPAPPPDFHFSARMPIINAQDLEVVRLTALFVAKRGKSFMTALSQREARNFQFDFLRP QHSLYQFFTRLVDQYTILLRPEGIDEATSQKKRIAELEQNVKNRFHVLERAKARAEWV KYQEQQKQKKEEEEEKERIEYAQIDWHDFVVVETVLFTEADDQVDLPPPTSLNDLQSA SLEQKAMMSLNPLRIEEAMPTDMETPTYYNAYPAQPEPQPFAQPVGPVYSPQPPPQGI PTPPVAATAAAQEEEQRIRERMEAREQAAAVKAAPSQQPMRIRSDYVPRAQARRQNAA GAMALCPNCKQQIPEAELQQHMRIEMLDPRWREQQAKAQARTATTNLSTADVVNNLKR LASQRSDVFDSTAVQSAPNPEEEARKKRMAFEGPPGAGPMQQQPGPVGPMGGPPNPQN MNIEEQIRNLHERYKQ ANIA_04759 MADLIAFHSYYSHQGFLSPSNMLPRPSSHKRSLSSGNRSASPDR HVTKAKSTNDLLRVAADPPATVRSSSEGGDGFSTLRDPRLLPSSESTESTLSSSHHPD LNDEVATLSLKLVQAINNQTKLDDTLAVTRQELEQVQEKARALESENEQYWRDIEDGA LVKKAEVDQLKASLAEEKAQRLLAEKEKKGIEQELETLTAALFEEANKMVAAAKLERE AVEKKNEQLRSQIKDTESLLASHQEQLAELKSVLQVMNISKDDLDGRTAISTAPPSPA GPSQPPAFTRKSMEATDIPGDVVCAEIAPGPATSFPDLIKTVCRTDILAYEDFRELFT ISKSSKPPSRATSGSYSGLNVMSLAGFGGAGFGSGSPSPSKSQPHSPSGSVSSPQPPN SSVPLKDARFYKRALVEDIEPTLRLDAAPGISWLTRRNVLSSICEGSLVVEPVPPNVR KYEFPCSLCGERRSGTDNERTHRFRTSDSETAQRYPLCVLCLEKVRSCCEFAGYLRLI LDGHIHAEEPDELQEAWEETVRLRERMFWSRIGGGIVPTFAQTSAPEERSEGHQASID SLIEKPVVQIQPSDDIQDTAIGQEKLTATPRPLAADAMT ANIA_04758 MADAELEEIRRARLAQLQQSGGPPRAPSEGNQDEQRKQVEAPTH TTPTAERRATILNQILEPEAADRLGRIRLVKESRATDIENRLIMLAQTGQLRQKVTEE QLKQLLNAVAENQRKDEEEQQKIVFSRRKGGWDDDDDLLDL ANIA_04757 MTTCAPLFLRITPPPNAVNIEQCLFRRLNCNMSHLRKLRAAAPS VREVALWSRQSPYSRSFSSHNRPSPKYPHHVPLGFFEKGFLAVGSAVGALLNPRRADL IATLGEVTATPYFIYRLRDAMLQDPTGRQILRDRPRITSQTLPLPYLRSLPENSVGRT YATWLDREGVSPDTRDNVQYIDDPECAYVMQRYRECHDFYHAVTGLPTFVEGELALKA FEFLNTLIPMTGLSMAASVRLKPAERERLFSLYLPWAVRSGLNSKELINVYWEKILEK DVDELRAELGIEKPPDMRDIRRMIRLQQKREREQQQGKSS ANIA_10583 MMAMRSIQENEVIVRVPRHLMLTVDTIPSSFVTKFEEGVPVHAI LAAFLCHGEPEDLEPYELWTKTWPTRKDFEHCMPILWPDFLRASLPPSVSGSWKSVRK AKLEFEYESSHQNILAQQEQRLRNAWESVVAVFPETDWETLSYYWLIVNTRSFFYLMP GQEPPEDRNDAMALLPFADYFNHSDVACNVKFDGQEYVFRASKTFILRELSSSQQEEL YLQQYLGNYQVMDTGVCYRTEVAACIMYMRPEDWQNYVLGYSTRGVDAKKSEDVIKGW IRAYMEEADLTIRELENIRSSMERRHQGKAQMLLKRWRQIKELCSIALKTVLC ANIA_10586 MFGARPLLRAAASELCGFRGPVSTQVLIKRPASTWTQDVDEIAR ESGQSRLSGRIHILGVGNIGTFVAHSLASRPSPPPITLLMHNPEVYQTFQKRKQTLAI NSLGLDDNKTGFDVNVFSDGTWRSVPYVNTKEEQIECLVVSVKAPATVSALESVRHRL TPKSTVLFIQNGMGIIDAVNERVFPDPGQRPHYMLGIISHGLAQRSGRFHVTHTGVGT TILGPVPSQNSGRSSSLDKASDWAPSTKYLLRTLTLTPPLVAVAEPPSSIMLYQLEKL AMNSVINPLTALMDCRNGELLYNYSFTRVMRLLLLEISNVICSLPELQGIPGVESRFS PERLRWMVTQLASKTAKNHSSMLQDIRANRTTEIEFLNGYIVRKGEELGIKCVVNYTM KHLVLAKQRESRRQESSMIPIDIPDEPRDSKL ANIA_10590 MESADLIPLLERLDDDVDDLEEAIAPILQSTVVETSKKLPVLDK AKFHVMTAYALESLVFSYLRLHGVKATEHPVYRELKRVKQYFDKIKALETEPEQRTMV LDKQAAGRFIKHGLAGNEKLDLQREEQLAKEKARAQLKASLLAKKAGDTTEEPPKDVS SESEIDTDPTSDIASDAKGADLLQEVQSSEAPGASSKKTKKNKAKSSAKDERRKKRRG KEEFKDHNKQRRKKKDDTRKARKAT ANIA_04755 MPRQRRGAAPTPARSAPTRPTAAPAQPAHSPYGQQHQPHSTAAH PPTATQAPAAPAPVQQSSGPGLFGQMASTAAGVAVGSSIGHAIGGLFSGGGSSAPAEA QQAPPAQAQPMDNGLWQGSATNSSWENPACATDVQNFRKCMDENQGNMSICGWYLDQL KACQAAAKPY ANIA_04754 MFFTGTLQEGITLAVQESKAVVCFVPDNGETSSTWQEEYFQGDE EFTRLLGSQSVLLRIAKDSQEAGFLASVCPISKYPTVVIIRNGMLREYIVPDISKEGF RNRVMAAIADSKPQSQTISSSVPQQSAQQAQELSSPAARAPQTVTTAPTPAVDTTRAS ITQGQSSQNVSTRSSGGRANDITYSSGSRMYSATVPRKQEREYDTPQSSNKAKETTEQ KNDDMKGKAPIRTNKDEKSKNQKSAAASPAPHSTSVPVPPSQYRLQVRLFDGSSVRST FSPLHTIRSDVRPWLDNQLEEKRPYNLKLIMTPLPNKTLTIAEEDQTLSELISGSTAT FVMVPIKAYTEAYSDSGSLPVRAVSSAYGLVTSVVGGAVGYIGSFIGYSPNRASSSES APPQSREFQASADATRRPRQWGANIRTLGDQRDGQNSQFYNGNQLNFEPRQGDDR ANIA_04753 MRPIFSVAERAVPRVWQHPISSTRIPRTLFKFDSICARCRRQQF QVRFFNGQMGDDPRWLSVVDHPAQIVRTGRKHGPGLIILALIPIISFALGTWQVQRLD WKTKLIAKVEDQLVKDPLPLPPRIDPSVVSEFDYRRVYATGRLRHDQEMLIGPRMREG QDGFIVVTPLERGKGESTVLINRGWISKKMMDQKDRDREALPTGEVTIEGMIREPWKK NMFTPENQPEKGKFYFPDVEQMAGLTGSQPVWIEQTMVQDLLESMNREAKGIPIGRAA EVNLRNNHSQYIFTWYGLSLATSIMLWMIVRKRPTSEAHRRVRQNINW ANIA_04752 MPDSSNTRGAYEAAPPAAGDAAFTRISRIPVPARYPSTPGRKKV GPTTPRSASQWGTPGNRGAPSTPYGVRAMQRRAANTPGRERRKSGRVQRETVFDVLRN LSRALAPESQPIRSSPQPPPEPEPEPEIDEIDALDKEPDPERPRLSLPLEDVEEEDDD GSPEIRPPRMSLALGDDDMLHDDITHDDMTHTSIEYPRRATLDRDRNRLSMVSAGGPR LSENFGDATGLESDSDVGGNTSVMPDGEQDETIISHGAFDRGGETEDLGRFNFDLNFP SPAAQPDDLDADEPINDDEGFELPAIDLESEHGPDADPGSDDDEVGGTTGGFGLELYS PERVSMSESPGLVGGGLREEDTVVHGSKQKKLSRHGIPVPTLPAGVVKKLATRFARAR AGPKAKISKSTLAAIEQASSWYLEQASEDLEAYSKHAGRKTIDEADVTALMRR ANIA_04751 MSGEANASTGLAAKIDKKRKREAEESSSSKQSKPNAQNQATGDG SSEGPKNKKRKSGKGRKDKKDKNDKNDKPKAVRGEPLEKERKGISVNEAIGKMDGRLL ADHFVQKAKRHNKELTAVELSDLSVPESAFLDTSSFTSSRNLEKLPDFLKAFSPKGVN LSDSSEQKGTPHTIVVSPAGLRAADVVRALRMFQTKESPIGKLFAKHIKLEEAKQFLE RARMGIGAGTPARISDLINSGSLKLDELKRIVIDGSYVDQKQRGIFDMKETHLPLLQL LTRSELRERYGAKEKKIEILVF ANIA_04750 MATRTSNSDARPRSQSGQGPSSLVRGVIDSEAQKMRCSRSQQRK QKSNPRRSEVLQNTSSHEVEHPNRRLKGANDPKLSRRQRNMKNLMMLNRLETNIGRLE TRLLELGLDLDREGSVQSPRQLAVSDKPRSPSSLSIPGSENIVEEGLWRCGPCPEATL EDSGVPRTFPSMDREDDVPQNPEVNKSPFLDDFNGLLIPRCIMGGPADRKIPVLSREG LQWMNQKAGMTPRISSGIHSNATSFGILGDDFPKAFCPLPSKEEAESLLYQYLQNFNC LCPLFEQAKLTSLFNEDNLNTALRVPSCWASVNVVFALGIAFRVKDTRSVVYPGAPRD DRSWEVERGHYTILRRYATSEKNILDCVLAR ANIA_04749 MSFLGSISPNLPRSQSVVSHNLPQAHGLDENDDIYHNHSTTAQP GASEKDKSEDGETLHENEPDGEARVTLLARQLTRHSTRLTAKSTLENPFFLDDSDSTL NPNSPNFRVRDWMKMLLEIRSRDPERYPDRTAGIAFKNLSVHGFGSPTDYQKDVMNVL LEIGTIARRLAGMKMPKIQILRDFEGLVRSGEMLVVLGRPGSGCSTFLKTIAGEMNGI QVSDDAVLNYQGISSKNMQNSFRGEAIYTAENDIHFPQLSVGDTLMFSALARAPRNRL EGVSRKQYAEHMRDVVMAMLGLSHTINTRVGNDFIRGVSGGERKRVSIAEATLSQAPL QCWDNSTRGLDSANALEFCKNLALMSKYTGSTACVAIYQASQSAYDVFDKVTVLYEGR QIYFGNTKDAKKFFVDMGFECPERQTTADFLTSLTSPAERLVRPGYEGRVPCTPDEFA AAWKRSEERAKLMAEIEEYERQYPIGGPSYDAFVDARKAMQSKRQRVNSPYTISIWQQ VSLCVVRGFQRLRGDFSLTTTSLIGNFMMALIIGSVFFDLPDDTSSFYYRGALLFFAV LLNAFSSALEILTLYSQRPIVEKQSRYAFYHPFAEAVASMICDTPYKLINSFTFNVPL YLMTNLRRDAAAFFTFWIFSVVTTFTMSMIFRTIASTSRSLSQALVPAAMLILGMVIY TGFTIPTQNMLGWSRWMNYINPIAYSFESFMVNEFDGRQFECVSIIPSGDEYNNVSMQ YRICSTVGAESGSRIVDGGQYLELSYQYTKSHLWRNMGILIGFMVFFAFTYLLGTEYI SEQKAKGEVLLFRRGRQPDLSTTEADPENPIQPSNAPKTEESAPQNTARIQRQTAIFH WEDVCYDIKIKGQPRRILDNVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTMGVVS GSMLVDGRLRDQSFQRKTGYVQQQDLHLATSTVREALRFSAILRQPAHLSRQEKLDYV EEVIKLLGMEAYADAIVGVPGQGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSGLDSQ TSWSILDLIDTLTQHGQAILCTIHQPSAMLFQRFDRLLLLASGGKTIYFGEIGPNSST LSNYFVRNGSHGLPPGDNPAEWMLEVIGAAPGSNTEIDWVKTWRESPEYAKVREHLAE LRATLSAKPADSSDPSALSEFAAPFSVQLWECLVRVFAQYYRTPTYIWSKAALCALTA LYIGFSFFNAPTSIQGLQNQMFSVFMLMTIFGNLVQQIMPNFVTQRSLYEARERPSKA YSWKAFMAANIIVELPWNSLMAALIFFCWYYPIGLYNNAKPTDAVTERGGLMFLLIWT FLLFTSTFAHMIIAGIELAETGGNVAQLLFSLCLIFCGVLSTPDAMPGFWIFMYRVSP FTYLVSAMLSTGLSGTDVECEPVEYLTFAPPNNQTCGDYMRAYIDEVQSGYLLDPSAT DQCSFCSMDKTDTFLLSVGSTFDEAWRNFGLMWAYILFNIVGAVFIYWLARVPKGKKM AGTA ANIA_04748 MAEKKRKLENPPEDVAATEPEGKKAKRESKKEGKKDKKDKKDKK DKKRKVQDVDVVSIEDKETELKEKKDKKEKRDKKDKGDKKEKKEKQEPKEKKSKDGLA DDEEQPTAKANGAEPEPEISKDAPDAMDIDGEEEVKETSGKEKKKKKGKKAKKAKSQE EQEQTGEQGNPQSEEKEKAEQGSKQTVAPKQKAARFIVFVGNLPYSANTESLSAHFEK IQPISVRVATQPDKPTKCRGFGFVEFDNYDRMKTCLKLYHHSSFDDGKYPPRRINVEL TAGGGGKSEHRKAKIEYKNQKLAEERKRQAKETKTEKVNKAKAEEKPNDDYANIHPSR RPRMA ANIA_04747 MSTAPAAWWGLRCAFTFLAELLRIRPGMGHEGWAAAIVGSVSQN WDVERRFRVTEVALAIMWCCASAYLSYFFADCMMSRWLLNYTPPAVVIRLLTTNGLIA YMTSWVLYLSGASSDPRLLLPAWISITTTLTFLYHATQNHATIKRETAASLLVVSVAS FVSMSSLLLQLHLTRENEPEVPLFVLTRKIWDFAVAVVQRMRVANDHVGEL ANIA_04746 MLIVDYPAAIKTAEKNRHKNSEFDGVNADRQRLRKACEIKRESN LADNIRSLTLDSHVSLLSLRQKIAATFHKFEHPVVSEPSLAMASSISNAPLLSAARTR SAILSSYPSVASSQCRQFSLSSQRNREMRGMPQTLSVKQPAQPSMRVRGQGMSRADLP QDIGLLPGTFIKPLWRDMPSIFQQPKERLHYEWLWLKSWFQNFLGKTDGRGLPLRLKE RRQVAREMHQRMYSAFAKGDSATLRNICCTGLANNLIGRIEARRKGEKVTWSLDKYIR SPSTWFTGMRVVSDRATQIPELPDSGVRQVVLRITSRQSTGKVKPQIPGVAVSAENAV KQQDCTEYIVLQKLRWMGEEESWRIWGHATPTSVDDLSSPFFATGMSFADRYEAMKDA AMGLRK ANIA_04745 MADPTLHDGPAPNTPSSGDQGVPPLSAGSGAPSASGLEARAGAP VVSEELKARLDKVIYSDIGVATLLTRLKQSIASARDFASFLKKRSILEEEHAQGLRKV SRALYDAAHKSENRQGTYSYGCVEINGFHDRMADHGLQFAASLQQMADNLNELAGNIE RGRKQWKHEGLSAEKRVLEAEALAEKAKSKYDTLAEQYDRVKTGEKAGGKFGFKGTKS VAQQEEDLLRKVQSADSDYKAKVQAAQTARQELISTHRPQAVHNIQQLISECDSGLAL QMQKFGTFNEKLLLGQGLSISPLKEPGEGAAAPKSLREVIQQIDNQKDYHDYILSHEF NPGAVTSEQIQYHRHPTLGGPTAPPVSSPQSTQTKRQSVVVPQPVYQPPTSTQAPQIP IQIPSSGPLESPQSAPYPQNPDVFAPPPFQPPYPTASGPPPEPQPLNQPQPPAPKEPY PAPGSGYLPNLNLPPLKPVFGVSLNELYARDGTAVPMIVYQCFQAVELFGLDVEGIYR LSGSATHISQMKALFDNDSSQVDFTNPESFNHDVNSVAGLLKQFFRDLPDPLFTSQAY SSFIDAARIDDDIQRRDSLHAIINGLPDAHYATLRALILHLNKVQEHYTQNRMNAGNI AICFGPTLMGASSGGNIADAGWQVRVIETILENTFQIFDDD ANIA_04744 MDLEYRGILAQNGYPPHASLTAPPGSPSDLRNNPRLPRPLTGFD FSVRSSEPGDMEKTPPVTGKRRGGCRKACNECKQQKLRCDIVQTPADACSRCRRLNIE CKVEPSFKRISKRKRNAEMEREIAALRQRLSSEGSHAHVPENRASTKVSQSPEGICYG PDTANTLATPLTMHSEGSMLSQDDGVWRLEDVSLSRQRVARLFDHVPQDYRVVKALCL LCTWPLPTTSQRTDATFMLCGLMMQISMQLGLHRPVQAEEFTTFRMEGHGEAVKDRLQ TWVICNIVAQNVATGYGQPPGTIYDWALEPASLRDADYHPSEDLRTRLLIEKFCDRVT KSLYSSRPDPAEFISSEKLLIAQLLENELREMELGFGRDISCSNARSDDLTKLFIATT SFLGRVLDLETSPGELIGHATNYILQMIVSAAFALMKLLKSDFRRHIDFEHGKLLFNG AISALRRISVMDHDRPVRLADILAQMWNAGTPEDAGEDTLLLKVRCRMSMSHVYDTVW RWRHRFRPLKSTEDTQAAASNPNVSTATIPRPSDGSLEDPDLMYSPNFDQDGAFFNEA GFSEVFDSLNWVFDGFPDTFPAPPAI ANIA_04743 MATGPATQSLKCVVTGDGAVGKTCLLISYTTNAFPGEYIPTVFD NYTASVMVDGRPISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSIVSPPSFDNVKSK WFPEIEHHAPNVPIILVGTKLDLRDDPAQLESLRMRKQEPVTYEQALAVAKEIRAHKY LECSALTQRNLKSVFDEAIRAVLNPRPATKQRNKKCTIL ANIA_04742 MSIENLKTFDPFAEADEDTGETKQSQNYIHIRIQQRNGRKTLTT VQGLPKKFDQKKILKVIKKKFACNGTIVNDTEMGEVIQLQGDQRKDVQEFLTDKKEGL ELDAKTIKVHGF ANIA_04741 MIPNSSAGGQSWGHPLRNVDNDTARGDTSQAFNRPDIRSEGQQY TPALPRHPGQPAVIDLTSSANDAQEGQPPAKRLKLDITAESSANPASPTPATTGDSRV TPGIANSKPSALSWRGRPVWSFQAMISEVMSGAEATEEDAILAPQGKRPASPPPFPQP SWKGAPPEQFGSNATKASESDSSKKVQTTPFRVEVPSIAPALKGDKVADFAPWIGNHP EDILSEQTVKQGHYDRTQVSQNESNTARPSLYAQLKHRSGLQMLSSVFMAALEKRQNH NTINVPSNFKPPPRVTLTDNKREAWLRDLANPSVPLRRLSRTIPHGIRGKNLLDQCLS KWIPVNRAVWLVKCVGANEIRACKRKGTGGTLVVGSEVKWVRDWTSGVQQFLEGVIGA CGSADWKSKMTYATSLTARLFFERLLDHDQYFAWFLTSLEGASLNMVPVWLLMLGIYW DNIASTSVACLNALEDRQTLVIKLLEWSATSFRSGLHPQLDMDNVYHIISELVRSQTF SVSRYLQWLMAKGVARKSSGTSGEVLAADARLLTQLPMSRSPEHVRNLRLTLLARAGV SVDEESSTIKCLKSSISQRLPNIFEVEASNSKHINFSKHDLTWAVKSEVSMWIRQGVV KHLKDTTRISALTPGEFYCVREILERFGDCSILADVLKQAIECDDNIILASVSDTVNY HFDALSMIGATSDLFRGLVGSYARLKRSGNLSLDFVFSLIELGLRLPDESGTVYLLRQ DLARIESKSALAAPSPLSDHIPTTFNEVDASFQERLEQLLSCGNGLDESTMGAIISSL TKILTDGGGAAKVSAKDACRYLAYLRPFNPKYLDGMLVRYVYGLLKSSSRPTMSQVLS PLIGVGCVTIHSFVLLVNKLSASAQTTGAIANPDSLRLDILELLLPQEESSADMVTYR FRLAQQEFLVKYPEETLNIISDAIPLFDADFHDANLGSRRPDLPACTVVLLRTLLAQN SSLVLKYCMQKLDGHSSFTTVLGKAVDILLGLDPEDEMEPSSQAERVILMNNDFSLPY CQLKLKLLFNAKAGNEVKNHIVDVMFKAAVTDSRSKRSHWVGLVSLMDQEAARQIRER AEGCFFSVAMFDESMDDTSLPSGASSLSSIESAKLYLNIIEKLAYSIPQAGVQSIPPL LVERLDLLLQKLIIMQINSNSVAASSSGSTIVSKINFERALAFWFSALLRLIVLHRAA FNVPPASGSKVDSLREQTRLLVSILCISLARLPENILRLFPAADYFPHTIQSHNFRPC PGILLQTHALDVAASLIDSFPDEARHQCVRFLRERCPPFLKFQNDRRFLYLLGPMTDT TIPSSQLSASISSPAAGGSTPTPIPSGTLSGGHSSQATQQMAALTGPFSGLSENTKLV ADRLRIQNGGRINGPYPVRPWELLEDAAPILGVNDTAVSLKLFDARRVRA ANIA_04740 MFPTASRLAVKPSGFFKRSAEELSRLSRIAWNTEALSTPTKPYT LLDFEDEASVASCKTMADRAVGGFSTASLDYIPADSSTNTPAHARFHGTISTKLPNNW RVERTGYAAFRNQDRGFWLFGRLYWDLDPYTYLALRVKSDGRRYTHRLYTRHHHVRNS ESSSYDPLSPYASPEAAESPELAEAKYPTGIPPALSDVPPPSTIMSSMSATTSGSTGW ETILLPFNSFVRTNHGLVVEPQTSIIRQRVKSVGIGLTDRVEGPYDLRIHRIWATNGM SEAEIEEERRICGTAALPVDEGVRTGLALKPFLHQPVSQELLIEALLSTADLVRIFWP EPRRVWREHLVRQNDLVRLLVNAKLELRICDDHAPSKRRIPHLYPDGYSHHAPPAPPL Y ANIA_04739 METTLTTTDLQGSLPLIARGKVRDLYQVDDKTLLFVATDRISAY DVIMENGIPQKGVLLTLCTRKWFEILTAALPSLRTHFITLDLPPQIPESLHPVLQNRA MQVRKLRILPIEAIVRGYITGSAWNEYKKTGTVHGIPIKEGLRESEAFPDGPIYTPST KAEQGEHDENIHPDKAVEIVGEPYASKIAELAVTLYKTAHAYALTRGVIIADTKFEFG VDEETNEVVLADEVLTPDSSRFWPKDSYEVGRGQQSFDKQFLRDWLVKEGLKGKSGVR MTDEIAQKTSEKYREAWERITGGN ANIA_04738 MRVLDACESLINGLSFLAVSAFRHTSRNHKLLRRRLLQLLLLTF VLWSSADIFLVHRNFHKEQIHLDYRPPERQRIFIASALWDNERTISSQWNDAVIELAN VFGADNIFVSVYASGSNDGTGYALRKLDKALDEVGVQRTITIAEPSPDNEALKNPPAD RRHIATRARLRNLSLKPLYDLRDAGTFFDRILFLSDVDFTKEDVLSLLNTNYGTYTAA CSFDILNLPTGPDALALRDADGHETVMQKWPVFRSARSREAIKFMLPVPVRSCWGDMV FMGTEEIYSTRVYQFRGVPDGLADKHVVASESCLIHADSYISKRRGVYLNPFVRVGLN TPAYTAIHQESHWLSTWEIFESLWENRLRRWFSSPFLKGWSLRRKFAKWKAENENNKE RGDFCLTHEVQATET ANIA_10582 MSDSRSPDTQPSASNCEVIEGLNLLNRGSINRMDWTKVQKISNI SHPRYIYFLRPMTSNPDVLIIGAGPSGLVTALWLATHGISIRIIDKAKADVSTSRALA IQARTLELYRQLDIAEEVVANGHKVRATNIWSEGTYRGHIPIGDIGTGLTPYPFIHIF SQDQHERLLENRLASFGVHVERGLEFIGFTENDSSITARLKSTDHENDIETCEAAFIV GCDGPHSTVRHAAGIDYDGATYSHTFFVADIEGAGPTFNGEAHVSFNESEFMLLFPYD DDHRARISGAIDDETAERKGTDISFEDIAPQIKRSFKMQIDKMNWFSTYRSHHRVAAS FAKGRVFLVGDAAHIHSPVGGQGMNTGIGDAINLAWKLSAVVKKQAGLSLLQSYETER RAFALQLVSTTDKGFNAAISQSFLARTVRTHVVPYIAPLLAKIEYVRHYVFRRVSQIM LGYPHSSLSTGSAGYVHGGSRMPWAVAGDIDNFETLKSITWQVHVYGIAKSELTEWCQ SRKIPLHVFPWHGQYEAVGLMADAAYLIRPDTYVAVAEPSGDSQIFDQYCEDIGLRWV ANIA_10585 MIAQRSVLRLAQRSTPVRSAIQRRFNSTDKLPSWAVDNEFNRER AAVKHHAASTSSLWLKLSIFAVIPCLIGGGYNAYVQWNEHWEHWEHMPPLEERTEYPY QNIRTKNFPWGDGDKVTGTPMSTTTTRTRPPK ANIA_04736 MGFRITTWNGLNPFSYEPWRSTRTFESMFDILEADIVVVQETKI QRKDLRDDMVLVPGWDCYFSLPKVKKGYSGVAIYTRNATCAPIRAEEGLTGTLCPPNS LVSFRDLPEDQQIGGYPTIEQLSKLKLDAETLDSEGRCVILEFPAFVLIGLYCPANRD ESRDAFRQNFLDLMDARVRNLVALGKRVFVTGDINISRGEIDAAHAAENIKKGVTTED DFVSAPARRLFNQLLIDGKVVGDRDEGREQPVLFDICRSFHPKRKGMYTCWEQRINAR PGNYGSRIDYVLCSLDMKDWFFDSNIQEGLMGSDHCPVYAVFKDLIPLNDGQSHILDI MNPPGVFKNGERQQNYTAKFLLPLSGRLIPEFDRRRSIKDMFMRKPSQPSPKTSSPQN LTACLSNEESSMTARTATNTPKPSDADAPASVSNDTLQKGTVRKRPVGTEVPPVKRSK SASTQTGAPTIGQSTLKGFFKPKCTLEPKDNMLSKDEGQGPAEFPFISSQQDVTEQTS KAALEPEKAPTPMTPVLSKEDAGFIDPVASKQDWSKLFTKKPPPKCGEHGEECISLKT KKPGPNFGRTFWICPRPLGPSGNKEKGTEWRCSTFIWANDWDSSTM ANIA_04735 MSRYLTPSKVALLSLISIYTEGVVPNSSAIHVLSFVVSYLSPLG AGDAPSSSDGWPAQYSVSIEDLEKALKVHPSSIPGRSIWDLFLRKIWSIDSCDALELF FTEISTTLAKTREERIRERDAGLAPESGCMRLARCSPLGAFVRRAQLEFTRLQFHDSV KLWKGFVKYRLPTYRAWARRNPSDEQVPVDINLLELGLDTSSHLAQVAYGNIEDNEED DCYVSTKEVERLLEFQIGELQRFGGRVPEELKAQLKRIIASGVTLPDLIHYLSRDKGT YQYALLNLAILQADFGCYEEAVSAMQEAVSIARESHDMNCLNFCMSWLYHFGKAFPEL ITEVENTGMLGNEKEGLSFLKAKAKETEMWGLLSTTLLSEAKLELQNVLQPSTGYMFR ANLAFTSGITHLAWLSSETFRHCYRSSAPFEDHLKSTFRSCQLAAHIIKLAQQGRYNE ASAQMADMSLERFQSLKTVELPILSDDRESASQLLSQLRAIDLPDSDLSFLLSFITVE HLIRQADYAQALELIEKTAQSIHQDNFDIQPQVKLLCLKARIFEKMGQPQRGFSLAMR AASIAYRSRLLPGLWEAIVALGGVLLSLKEFEAVAKMVESIMPQVLETSDCDLAASAY SLLVDANMGIAGRLWSEGKDNGPAKKEYMNRALGYLDSAYEQYEEIEDLRGQCEMMAK KATVMHLTGDSVLANDYAAKYLDLRKQKTSSWI ANIA_04734 MAAVSIAMKSPTQSPDSITELLWKDALRHLGSTNDEVLLPTNVV DIIGQDNVEKIKSRLSALLGAPVVSFVDESINALRVLRTPTFSGSSISVASPSRALDS WPSEPPNKPRPASMKPAKIPRPPNAFILYRQHHYPKVKEARPDLSNNEISVIIGKKWR AEPEEGKLHFKNLAEEFKKKHAEEYPDYQYTPRKPSEKKRRAASRISPKNSKRTVALE NPGSMTAPSSNVFTPQMYPGIQNGQLAGAGYIGYLDGLNSMVNTGGLTDEPTNFGTNA FNSLFQQPQSDYGRTALFPQLEFAGPSLGDSLEFPEFAADYF ANIA_04733 MKFAKELEHELVPEWRAKYLNYKLGKKKVKAIARAIQKANRTPT HASLRRPTVGAEFSDTPAGSNRSASFWRAEKRAEGGEAQNSIASPSPASRSTPGQRHE RQPLRVPGSRFSAVHGSYGSIIASPPQHPGVSDAASLELPGPALDVDEDSRYSDRYMD RAVSPTNSFAVMPRHGMNRTVSRDSTHLSPSAAKQPAPVNVEPEKNVTSGSSIRRNSR LLSRVLSATEATENPVEDHRSEVEKKQDEFFAFLDGELAKIESFYHMREREATERLKV LREQLHTMRDQRIQEVFHVKRHRTEGFEQQQSEALSGLNGRRIKAAITGRRIGKNSKA LAALATPGGEQPQDSDVITRRRDFTRHPVEDQQLPKSEVPYRSAKRKLKYALQEFYRG VELLKSYAYLNRTAFRKINKKYDKVVGTRPSMRYMAEKVNKAWFVQSEVTESLLATAE DLYARYFEGGKRKIAASKLRHTVRKAGDYSPNTFRCGLLGMAGILFAIQSLIYASHHL DDDELSRQTSLLLQIYGGYFLIVFHFLLFCVDCMIWNRTKINYVFVFEYDTRSALDWR QLAEVCLLNDFLFPDINWGQLPCFFLFILGLFMWLNFLTVNAMYIYWPVVLVGVTVAV LFLPLRVLYHRSRKWWAYSNWRLLLAGLYPVEFRDFFLGDMYCSQTYAMGHWGASSTQ CTSSHSRLLGFFTTLPSIWRAFQCIRRYVDTKNVFPHLLNFGKYMCGVLYYTTLSMYR INRTTRFQAPFITFALLNAIYVSVWDLAMDWSLGNPYAKHPLLRETLAFRRAWVYYVA IVIDVVIRFNWIFYAIFAHDIQHSAVLSFVISFTEIFRRGIWTVFRVENEHCTNVLLF RASRDVPLPYAVPCADGQIEQQQQQQQQQQQQPPEPEIHEAQAFSTALPTHDLEEAAP SPGTASARPRTLTRVGTIMATAHAQDFQRKKRPDEMGGATVAHGAPESPDDSSDEDDS GETQPYKDTDDAIEEEAPSDDTQ ANIA_04732 MASNDRTQLDGPDHAINTGDSISAAPDSSQPVSSSTIDVSESAN KTSLPAEAPKDNMAADLSNTGTADNGNAALAPSDQTNSEAIVPTSASPETEEQKVATP APELSSDASVKEPEQSGPSLTIVLLLITGTRYPFKIDANYLRKRDISVDNYDPFSMSV YTLKELIWRAWQDDWEPRPSSPSSIRLISFGKLLDDKSPLSDSKFSRDAPNVVHMTVK PQEIVDEEDAKGGKAQYSRDREASERSPRCRCVIQ ANIA_04731 MSLRIAPPSSHPTQTSNTSTRHLPNTHTSRGAPSAPGVPDTLRS NITLQAPRGAPSTQPATPTSTHPLEARLLAWRQTQDALKMEGLRRTYGIAEPVRRGME LKIVRDGTFRPAVLGGNKLGNVHEDILVLGGRDTEIGWEDVFHGDDFREPPSFHDEME KRLRMD ANIA_04730 MKGVCMKTGITKPKKPNSGERKTARVRLSSGKVVTAYIPGEGHN VQQHSVVLVRGGRAPDCPGVKYHLVRGAMDLGGVASRVTSRSKYGTKKPKKD ANIA_04729 MSVPKLITRSTARAASTSTSAQLTKAAGDISSVFPSLRPDYKPE PLPPRFKDLKERIFRRNGDALKKSWERLLPSLEEEVDKIKAKKSGIIPIVDYSDVVSG DVSPALLAEIRHRGSVVIRNVVPRKEARAWKERIEDYVAANQDKVKAFPPDSPAVFEL YWTPSQAEARAHSNVLDTQRFLQRLWHSTDPNTRISTRYPLSYADRLRIRQPGDSKFT LGPHIDGGSLERWEDPEYSRVYAKILEGKWEEYDPWDAKHRIAANMDLYNGAGACSML RFFQGWLSMSKTAPGEGSLHVCPMLVHSTAYTMLRPFFDSQTLQPAMDATFPGSVPGA CQEYNPITHPHLELETTMVSVPEVEPGDYVAWHCDSLHSVDKEHRGKGDSSVLYIPAT PMCDMNVDYLLKQRKAALAYSPPWDFPGAGGPGELGFKGALDWNSISAEGQRAMGLGQ QRWDITDDMTEGERQAVERANKACFA ANIA_04728 MRLQATLFLVASCVPSAFAIYADEVGHIDYHHALLGVPSSQSTF FHRPSSSSNAALLYTLSENSLLGAVNPKDGSLLWRQNLSRPAVTPDRDAQGLLRASGG KNAVVSALGDYVSAWSALDGKLIWKSSSPGMPVVDLELLELEDASSAPLVTDAIALSG GHSGSVRRLDGSTGEVKWEYHDESGDVPFQVSASSTAVFYVSLQPALLKGYKIRVTSL DPLTGRQASQQILNSDSDVSGPDSVLFVGANTAFPVIAWADKSHRTLKVNVIGTKQVT QVNIENTSGEDIRSIYIHAPKALNALPHFLVQYETASGSWAEVYHVDLASSTVSKAYS LPFLQGWSVFSTGTKDANVYFIRVTDSETTVVSSVSHGIIGRWTQHPSLDGAVHAVSE VAMKGDSVAVRSAIVLESGDWQLIQNGAVGWTRPEALSGALAASWADVDSQQDLAHQL EVEGHESLLKAYTHRVKRHLKDLENLPNWLKDLPKRVITSILADEVSNLDSFGVSKPV IVATKNGWVYALDSGNHGKVAWSVKAAEADTWNVKSIQTQPGLATVQAADGSSVTLNV TTGHITHTSAATDKPSSEANTSPDVYTVTQEDGKILGWSSKDSRIPVWTFQPAPGEKI IRATARPPHDPVASIGKVLGNRSVLYNTHKGVDTAQPIASAMSENWFAYSFYAEGTDP SEPKGYQLVISEMYESPIPNDRGPLGSASNYSSIADLPQPHVISQSFIIAEPISYMAV TQTRQGITTRQLLATLPASNAIIGIPRPVLDPRRPVGRDPTPTEAEEGLMKYAPFLDF DGRWYLSHARQVAGINTVLSAPTLLESTSLIFGFGNDIFATRATPSQAFDILGKGFSK LQLLLTIVALAIGVSMLAPMARKKKNDTLWKAR ANIA_04727 MPSGSVLVTGGTGYIGSFTTLALLEAGYKVVVADNLYNSSAEAL NRIELISGKKAEFAQLDVTDEAAFDKVFEAHPDIDSVIHFAALKAVGESGEKPLDYYH VNVYGTICLLRSMVRHNVTNIVFSSSATVYGDATRFPDMIPIPEHCPLGPTNPYGNTK FAIELAITDVINAQRNNAKKAGNETEAAKWNGALLRYFNPAGAHPSGIMGEDPQGVPY NLLPLLAQVATGKREKLLVFGDDYASHDGTAIRDYIHILDLADGHLKALNYLRANNPG VRAWNLGTGRGSTVYEMIRAFSKAVGRDLPYEVAPRRAGDVLNLTSNPTRANTELGWK AQRTLEQACEDLWLWTKNNPQGYRQQPPAELLEQLKK ANIA_04726 MPIPIITTGIAEGLSAIPYSYTVLKVTPWILLIAALKYYFGGAR NGSERLMHSKVVMVTGGTSGIGATVVYELASRGAQVILLTQHAQSDIFLIDYIEDLRK ATGNQLIYAEQVDLSSLHSIRTFATKWIDNVPPRRLDMLILCANTANPTEKITVDGID EEWQVNYLANFHLLSILSPALRVQPPHRDVRVIMTTCSSYIGAPKLDFSQLDVNTIPI HKKTAGTKPLRTQASRKAEPKQKRQSQIQRKHIYGLSKLSLMIFATSFQKHLNAFKRP DGQPPSTRVILVDPGLTRTPGTRRWLTGGSLWGLLLYLITWPIWWLVLKSPQQGAQSI LYAAMEARFGRGTGGWMLKECREVDFARKEVTDEAVGKQLWEASERQIEEREREGAVL RALEKKENEQKENVKVRSQGSVRVKKSASSASSASSVSASSGAKEQKPGSRRSRKGNG NSK ANIA_04725 MPYEDEDYFLPLEDQRVFGAGITRKRVPFVRSSELSTLNSAPAT PAATGASIANTYLSIVMPKQAATSSSTSTTTTAPTSDSLSTATQPAADTADGRSHSAP PPNPSQTCEVCHLPLSSSIAADKPHESSLAHQVCLTHSHPPSHLDRTRRGLRYLESYG WDPDSRLGLGATGEGIREPLKGKLKADTVGLGAVLPSSVSGQRKSKDKVQKLNAKQVR KEHLDVKKKGERLRELFYQDDDVLRYLGTG ANIA_04724 MSSLLNIQREIILNTIRSAGGDNWKVLVLDEGSRSLIDNVVKED DILNLNVTHIEQIEHRRNTNQSMDALYILSALPHIVDCVLADLERKRYRKSYLVWTSF LNPGLRARFDRSQMVQEQVAAFQTISIDYYPRESRLVTFRDPWSFPVLFHPGCNHLIR EHLTTLAHKAVSLCATLGEYPVVRYYRPRTPTHEASVLCSHLARFIQEELDQFAQFNR DFPPPSPRPRGVLLVVDRSMDLFAPLIHEFTYQSMVHDLLPIKEGDKITYKMVVNKGT TNEEVKEMELNDHDRIWVEYRHMHMKDVLGKLGEDFARFRAANPQFAEDNQKADVGII KDMLAGLREFQEGRDAYTLHLNMAEECMKFFQDHKLIEVSSVEQCLATGLDENYKKAK GLASQLVQLLDDDTIIHTDRLRLLLFYIIYRNGLLPGDIRKLMAHAQLPPQDGNVISN LGLLGARVDKPLKDDKPPEQPLFNRKPPVVADSDEGILSRYELNVKMMLEDVIRGTLD PSIFPHTRPQTDADAMATQQDTLSQASLRSAKPTWARTRTTGEQPRQRIIVFMAGGAT YGESRACYEISETFKKDVFLATSHMLTPGLFLRQVSDLSADKRRLDIPAERPKPTAPA HLFERDPPPPQPAPQKKPAPAPAPAPPTAAMGAMSLGPNPAKQSSMGKPVKEQKEKKK HRFFR ANIA_04723 MHCLGPFELCALCGAPSPQLLRLREPTYTRNKRRSLPNKQKKQE YECMIISIRPYADAAHVHHRLVNNFPQLVTSILREMKESDLLNSETSDELWKRFERYV LNACQVSEKRENTKHGSLLNAKRAMTKAYLKDGLGGLRRELENLLYAHDLDARYSEPY LEQQQKIADLRYPAEWYPQARAIQRTIHLHVGPTNSGKTYHALKRLESSKSGFYAGPL RLLAQEVYHRFKSSGIPVSLVTGDEVRLSEGEKAVVVSNTVEMVNLGQTYEVGVIDEI QMIADPRRGWAWTRALLGAKATELHLCGETRVVPLIRQLAALAGDKLVIHRYERLNPL KAMNKSLKGDLTSLQKGDCIVSFSRVGIHALKADIERKTGRRAAIIYGGLPAEIRTQQ ASLFNDPNNDYDFLVASDAIGMGLNLSCRRIIFETVVKTLPSGLKRLTVPEIKQIGGR AGRYRSAAQHGKDHQQDNDNDNVGYVTSLEEVDLPYIQEALNTEPPPISAAGINPPDS VYEKFAAYFPSNASLAYMVKRLTEIARINNLFFMCDPSPNLENAEIIDAVPGLHFVDQ LTFMAAPMNPREELGRRVAMAFERCVLEHTNGRLLDIEEVNLEILEEPVSGNKEYMHK LEGLHRSVILYTWLSYRFGGIFTDRTLAVHVKELVEERMVRALTEFSANKKLRKNASL HRQIAMQKQLRLQQEFLKANGDADLDLSESEEQYSPDTMNASDNEDAAETDITTDEND FEAESTLNGGTRESKDAAETDNPANNDYQDQQQETSDTTLNSEGSAEHDPPARDALEE EPTSGDATPERKESAEYDPTVRNEDEPARKAANN ANIA_04722 MTGTGATVEVVTVVTAVTAVMAVMTMMTMMITTGSLPQPLPVRQ RRKLLRQRPLHARRKLKTPPPETTPCETETETPSTESPPPETTPCETETPPPSTETPP PETTPCETETPPPSTETPPPETTPCETETETPPSTETPPPETTPCETETPPPSTETPP PETTPCETETPPPSTETPPPETTPCETETETPPPETETPPPETTPCETETPPPSTETP PPETTPCETETETPPPSTETPPPETTPCETETETPPPETETPPEETPAPAPPSTSSWT TSTSVTIPPDETTTSIPTGTSPEQPTSTGTTPAAPVFTGAASVDRFGSPLAGVMAIAA IVLAF ANIA_04721 MDDLQSLEHLSLISRITNELQNHLGVSDKVLAEYIIEQHLKCSS FAEFKSALEAMGGDLFPMSLMESVDRLVLTMHPRYKNKNKKDRGDEHVENGASDDMDA LNALEKKARVFKGLAVPDQEPGWAEEEYMEVGNKNGLGVDEHDAKDSAMDDTFAMLEG LAGKARAKTTTITESRPVSKRKRSRSRSPEYDDYSRRRRRDRYRSRSRSRSRSPAYNK RDEDPVDEFGRSTGGRSSNDDEYRDGRSERRRRRRADEDYFRKPPPVELDDSPVLYKI YDGRVTGVKDFGAFVNLVGVRGKVDGLVHVSAMQEGTRVNHPSDLVSRGQPVKVKVIS IQGSRIGLSMKEVDQETGQDLVPQKRLASGANMERLDGMPSDDRYGNLSADVPVIEES DGRSMKNRKRLTSPERWEIKQLIASGAVSAADYPDIDEEYHATLTGEGTFEEEEDIDI EVRDEEPAFLAGQTKMSLELSPIRVVKAPDGSMNRSAMAGTNLAKERRELRQQEAQDK AAEQAAGVDLNAQWQDPMASERKFAADLRSTQQTGTDEAVPEWKRVTMGKTPSFGKRT NMSIKQQRESLPVYKFRQQLLEAVAENQLLIVVGDTGSGKTTQVTQYLAEAGWANKGI IGCTQPRRVAAMSVAKRVAEEVGCKLGAEVGYTIRFEDCTSPETKIKYMTDGMLQREV LLDPDLKKYSVIMLDEAHERTIATDVLFGLLKTTLKRRPDLRLIVTSATLDADKFSEY FYGCPIFSIPGRTFPVEIMYSKEPESDYLDAALITVMQIHLTEPPGDMLLFLTGQEEI DTACEILYERMKALGPSVPELVILPVYSALPSEMQSRIFDPAPPGGRKVVIATNIAET SITIDNIYYVIDPGFVKQKAYDPKLGMDSLVVTPISQAQAKQRAGRAGRTGPGKCFRL YTEAAYQSEMLPTTIPDIQRQNLSHTILMLKAMGINDLLHFDFMDPPPTNTMLTALEE LYALSALDDEGLLTRLGRKMADFPMEPALAKVLIASVDMGCSEEMLSIVAMLSIQSVF YRPKEKQQQADQKKAKFHDPHGDHLTLLNVYNAWKRSGFSNAWCYENFIQARQIRRAQ DVRQQLLGIMQRYHHKIVSCGRNTTKVRQALCTGFFRNSARKDPQEGYKTLVEGTPVY MHPSSAMFGKPAEHVIYHTLVLTTKEYMHCTTGIEPKWLVEAAPTFFKVAPTDRLSKR KKAERIQPLHNRFAGEDDWRLSAQRRQGRGGGGGTWG ANIA_04720 MSLMLSQSPVTHASPAQHSRRLSVGAAAKTRTCVHCGRSFRRTE HLERHVRTHTKEKPYTCLCGAAFSRRDLLKRHMGITGHEDVNPPNKSTSPKSQHRTDH DTKQRIRRASTATVRSRRESVPNAASQDPSSMPPSPVDAEPVHWTMHQNTSYMKDENG YPDPASDGTHDPEILEAAQLLLPGGATHGIPNYTPTTTSSYLPEEPHHYEDFTNFLDS LNLPLEWGPGHHEAHSNQNNSSLTTEVAEPGLHPLFRERERDRSDRTRADSPFGRSWL NSDHYQSSYAGMISEYGNYYHHYHPPEDVARVVVPNF ANIA_04719 MADIGGQQGRNYRPHGHPSSVQRDAAFSEIFGGARQPGRSQTMT SQTPQFSQERAHTMSSQVPRPQMQRGPLPPQRPMQNGYPPSQSNGYYQAYPGSATMTS QSPQGPPRPYPGRYAYPQPQRLDSRPVPGPPYQDAKGYNRAIPHPALNSDPYRSRSMA RLGGPPIQPPQPNSFNHTSAIASRNQPYNPATPMTPQGRVVPERHGNERAMSLTSYSM DREPMHTTRTGSGRVIPNRRQPSGPVQPPIAQHDDYSDYMNGRVRPPSDGPMPNPRTF SMASTVAPDRTMSMQSNLTHRPSAQTTTTLVSNNSRRSKLLAVNPALLSRVADAFREQ IPLGEKQKNGLSYQNAFSGADAVDVICSIIKTTDRNLALLLGRALDAQKLFHDVTYDH RLRDAPGELYQFKETLGEEAPSSEVNGVFTLLTECYSPTCNRDSLCYSIACPRRLEQQ ARLNMNPLPVLRTSASKSSLHGDDDGGDNQKLWINMVPKEVSDSVDDKEKKRQEIIFE IMYTERDFVKDLEYLRDFWMRPLRSASNSPIPEHRREKFIRTVFGNCLDVLKVNGALS EALNARQKESHIVKTVGDIFLQHVPRFDPFIKYGANQLYGRYEFEKEKSSNPAFAKFV EETERLKESRKLELNGYLTKPTTRLARYPLLLEQVGKNTAEGSADKEDIPHAIRIIKD FLSRVNAESGRAENHFNLVSLNSSLKFGPGDYVDLKLTEENRQMLTKMAFKKTPTDNS EVTVYLFDHAVLLVRIKVVNKREEYRVYRKPIPLELLVIPQMDEVIPKPGIAKRPSSG LLANKTAANPPAAKDTLPITFRHLGKGGYEQTLYASSSQQRKKFLELVDEQQTKLRER NSNFYNKNIICEKFFNSVNRVNCLVPIDGGRKLVYGTDSGIYVSDRNPRDQSAKPRKV LDVSQVTQIDTLEEYQLLLVLANKTLYSYPMDALEVTEGQNPAAKRPKKIQGHANFFK SGIGLGRHLVCSVKTSALSTTIKVYEPMDNLAKGKKKSTVSKMFQSGQDTLKPFKEFY IPAESSSIHYLRSTLCVGCARGFEVVSLETTETQSLLDQADTSLDFVARKENVKPIHI ERLNGEFLLNYSDFSFFVNRNGWRARADWKISWEGNPNSFALSLPYILAFEPNFIEFR HIDTSELIHIMTGKNIRMLHSSTREILYAYEDDSGEDVVASLDFWKHKPLAQH ANIA_04718 MDWPVERSSLDNEHQTKTSSKGNEVAVEGITGSRGWECLSLIET RAILQLVSSISNLPSLIKESITMTESELTPKFAPFLSFAGIAAALAAESPRLTDTAAG AAYGTAKAGIGIAGVGTFRPDLIMKSLIPVVMSGIIAVYGLVIAVLIQGDVTAPTGSE PSLSLYAGCMHLASGLSVGLAGIAAGYTIGIVGDAGVRAYMQQSRVYVGMILILIFGE VLGLYGLIVGLILNSKSSN ANIA_04717 MASGTVGPSPPSGVQGSSASDNSGNPTPGEIHDREKRTVASVRP QTQRHPNPFVITERPEEKQLGISTRQLSVRDFVLLKTLGTGTFARVWLARLRDDKTRP EKVYALKILRKADVIKLKQVEHVRNERKTLADVSGHPFITTLIASFSDSQSLYMLLDY CPGGEIFSYLRRARRFNENTSKFYAAEITMTIEFLHDAEGVVYRDLKPENILLDADGH IKLVDFGFAKQIGDRETYTLCGTPEYLAPEVIHNSGHGLAVDWWALGILIYEFLVGQP PFWDQNPMRIYEQIVEGHIRYPSNMSPAAQNIISLLCKTNPAERLGHISGGSARVRTH PFFENINWDDLFYRRIKGPIIPRVDHPADTGNFEEYPDPDPKSQTIYTEDMRSKYETL FSDF ANIA_04716 MLTFRKALIVAAIFITLILFLRSSHSGPESAAAASPEDIAYEIS QETKGKTSSESSDSTQQQPLKQPPPPATAPLRERLRYHFPYNLEAKFPAYIWQTWKYT PASVWFSQDLRRPEASWTEMNPSFVHQVIPDDTLHHLVKYLYGSIPEVLEAFNSMPIP VMKADFFRYLILLARGGVYSDIDTTALKPVVDWLPDSLDLSTIGFVVGIEADPDRPDW HDWYSRRIQFCQWTIQSKPGHPILLDIVTYITQEALRMKKAGILKKGKMDKTIVEFTG PAAWTDAVFRYFNDPEYFYVEPGTTRNITYEDFTNQVEYQKVGDVVVLPITSFSPGVQ QMGAQGIDDPMAFVKHNFGGTWKTDPSL ANIA_04715 MKKEAYHHTALFIKPRGARKMWKLLPFRSSTTCNDIVGHVGLGM IFMPRRGSSIPSLSTCRQLLMTPCFGQNRQIDIILRALFAAEWKMKFAQAWVIEFEQR SKGSATGSVGLDRIAAAIRVVYCPYP ANIA_04714 MASWIQRQNNSHQVQLAATAVLSGAAVAGAILGFQKYRRREAVK RLKASIPTIDEKHRAESLNEFGAAVPGPYWSKEDERGAALARRAQEGDYDEELILEQL ARNRVFLKDEGLAKLRDAFIIVVGCGGVGSHAVASLARSGVSKIRLIDFDQVTLSSLN RHALATLADVGTPKVHCIRRRLQQIVPWVKFDCRNELFGASAADDLLAPWTLDDADKG QKPVYVLDCIDNIQSKVELLHYCHSHSIPVISSMGAGCKSDPTRVMITDMSVSSDDRL SRSTRRRLKLLGVTTGIPVVFSTEKPGPGKATLLPLAEEEFAKGEVGELSVLPDFRSR ILPVLGTMPAVFGYTLANHVICEISEYPTDYSMGGKGKDKLYDTVHAQLLVTLERLAR AESESGTQPIGLRLPMSRDDVIYLVDEIWRGKSVVTGLPSRLALTLWNRPSNGFKPDP QWEKEGQILIPFKPEDLVLMTKEEATRHEKEVLMGGKKVEDLYSEEIIQKVNQRQKEM AYYEQFR ANIA_04713 MADRDRSRDREALDISDDISEDGLYPPHPSSSSPPTRLSRFARP LIDYVRNEWQSNSGAKYSHLGSASSNSVSDRTDAPRWVQIVLSIVAAPRFRRYVLVYL ALLGACILGWQFFLFPRLKENSAILTALDPKEKSKVGGWFGANAVPQLEDMIQLKTLD PALLPAREAKEDDSKHSSRRLVIVGDVHGCKEELEKLLDKVSFQEERDHLIFTGDLIE KGPDSLGVVDLARHYNASCVRGNHDRPPPCPPSRHARVQYH ANIA_10589 MPSRSSSSSFERLSQHPDEYSLKSQDDSGSSQAVARNGRDSFDP APAIREQSTSEPLLPTSNPHSVQQQKRFSCSVGGIWEWMRGPSPPHKYRINPWLGRWQ TAPGRLIERYFHSTKTRFCLLSACLLLWGAAFISILHVSVAGQEIPGLGSPVKLSCSS RLWSNATNCGLDGDYCRPFDGETFAFRCPAGCSGFMVLEPYFVGPKEINYQHLVIGGA AAESQPDSVYRGDSSICQAAMHAGLLEDSKGGCGILRRTGEQKNFPAVDRNEISSVDF PSHFPLSFTFDTGASSEHSGLDCQDIRWPLFTFSVVVSTILSLVVASPAAFYASIFFI VYFQVALSSDPPYSPDYYEIVSTALGRFLPCAFVGFAIYHFCIRHTLSDLTAHWDKTI LWLGPCWVGALNTDTFDKIPISRLTPHDIQQQPGAIPALIIIIVILVAIVITQALAFR NEGRMPKMLALYATLIAGIVILLLVPSMNLRIHHYILALLFLPGTTLQTRPSLLYQGL LVGLFINGIARWGFDSILQTSASLLDGAQLGSMLPYIPPDIITIPSGNPDIISFAFSS LRSLPSDIDGLSVIVNDVERYHAFRSDGAVDVRLPDLNWTRVVPGEPEYFRFGFIVEN ALGGFWYEDFTRPIVWDSDGRWNVSVSSSEGGRNSM ANIA_10581 MPVFSRVLSVILRIAEIGFGAVVAGIIGWFLHRFGDLDIWPEAR WIYTEVVAGISILFGIIWLIPFSSGFFTWPLDLLLSMAWFAAFGVQVDANDRLNCGSI WRWGSITDDTYCGRWKAAQAFSFLSAIVWIVSALVGMWFTFRVRRHATDRYGHRSRV ANIA_04711 MAPQLFPSNPDEVMVIRNVTSDVITMSLPFARFGRFKFGGRGTL VRMTSGSIAVFSPVNLTPAVRETISGLGGRVKYIAALDLEHHIHLTAWKKAFPDADII APEGLWEKRQSVPEHKDTPIKYILRQSNSNKEHTWPISDEFNKEFDIEYVYGHGSKEI VFLHKPSCTLIEADLLFNLPAREQYSKTGESATSGLWTKIVRPLLSTAQPATWQKRFA WYVLSKGDRNAFSESMKRIDQWEFNRLIPCHGDVIESGAKGVFRTVFEWFL ANIA_04710 MARTPRVRPTSPSPPPGSPSDKENLENPSSKKRGIQGQNMSSSR KSTKRQRLGDTASNIETGTQISQAQRKASTKYYDPDQDAAERRRVRKGLRDLTRDLND SRNEYLQPGNTGIKDTFLKANEIFRDVKQTSDATIDSRLLVNAADLSYKKAATLALGE SGVGIDVDEFVSKCISFMRAGPEDPNVSITSTQRRRAPRRTQADMDSDDEDGDAMNWD WLGRAACFRHSSRPAVSGFLLGPLSVQKRTRQIAQRRARERIDPSQAVRPQELREEDL DRRETTNLTAMCTSINKLLGKTRDQAERLANEALEKIGDPTEDEVQSIMAKYNIADDA GVPLANFCINPKSFGQSVENLFYLSFLVRDGTVGISTDSRGLPTLHPTKPLAPSEAQR RGVQKHQSVFSLDFDTWKDMIDAYNIKECIIPHREEEENDTAQGWYS ANIA_04709 MEAFTFAVSAQVDFPIYVKIGSLEGKQKQIPLSVLLKQPELRHI GSVQNPLSDLFVTAQLWSESKPLGVPLQTSYKAFKTVRAWNEWLQLPISIKDAPLRCQ LAITIWDLSPFGGEGANGHYIPFGGTTIRLFDDDGKLKTGKQKCKVYRHKAADGFSAT TTPSTPSKRRRGNKPDPLGPSPEELELERVEVLIKKHEMEEIPQIDWMDQLVFRQLER LKLSADKAARKRALLMEANRNRRLESENEEDDEKLEDENFTLYVEFPRFDHPIVWSDH EYPPPPISSYPQNAPGNATGTLKSLPEVRFGPGIEGADGEEVIRIYDPEVGQTGNPCE DKHRRLIRSHRTGIMDRDLKPNPKIRDDLNIIMSYEPTQDLTAEEKDLVWRFRYYLTR EKKALTKFVKSVNWRDVGEAHQAVEILPKWTEIDVDDALELLGPTFDNAAVRSYAVER LRKADDDELLLYLLQLVQALKYEDKAHEDADIAAHDSSLANFLITRAANNFKLGSYLH WYLMVECDDAGPGTLSSHRRLFARVEYYFMAELERMHPEHRKTLLRQGELVAVLSKIS KDIRFARENRPIKIEKLKKYLKDPKNDLLQFDPPLPLPLDPDVMVTGCFPEESNVFKS SLSPLLITFKTTEGRKYPILFKVGDDLRQDQLVIQIIILMDRLLQKENLDLKLTPYRI LATNATAGAMQFIPSTSLSAVSAKYKTVLAYLKANNPDENEPLGVRKETMDTYIKSCA GYCVITYLLGVGDRHLENLLLAPDGHFFHADFGFILGRDPKPFAPMMKLCKEMVEGMG GTSSPLYLQFKQYCFTAYTTLRKSANLILNLFSLMVDANIPDIRVEPDKAVLKVKERF HLEMTEEEAIRHFEQLIGDSANAIFGVVIDRLHDFVQGWRA ANIA_04708 MAASFVPRQAFPNYASIPRSYFLGHHRAGLNKMRNMLSSIDYVI ECRDTRVPFTSVNPMFEEALGKTRRLIVYTKRDLGSEAGSREQKSLEKQIRSFNRGTP VFFVSPHHLDPRSKSRTNNRPDVNTILTHLREDAQGPDRLVGCRVMVVGMPNVGKSTL INNLRNRGVGKAKAVHTGDQPGITRKIGTPVKIIERDNGAHVYVLDTPGVFMPYVPDA ENMLKLALCGCVKDSVISPVTLADYLLYHINLHDPTVYQRWSLPTNEVHPLLDSFARS TGLLAKGGIPNMDLAALHFIQKWRGGGLGRFILDDLQEERRRRESSDEDKASISITQA LKAEKSARRQQATDPSVRQK ANIA_04707 MARNSEKAQSMLFRFRAQQAADLGIIDIGRTRRPKAITSVDSIP ACEKWRGQVLKEISRKVSRIQEPSLSDHQIRDLNDEINKLMREKWAWEMQIRNMGGPN YMRGSGRVYDDEGREIPGGGKGYRYFGRARELPGVKEMLEAAARRGRGPAEEEEDDGK TVGRGGDIATKKVDANYFGYGLDEEDGTLLAYEMQREKEAVEKLRKEGEDEEDVEDGW EPLPGDAGDGIEWRLPTLEEVQEELVDRRRRRLLEKIS ANIA_04706 MLPSQINGSPKRANPFSRASPSPSPTPSPQTKARPKSAVLASAG KFEEPKSHIRNSSSISHTSLAPFGRNANRQRSNSLRNDVTSGTFAPEFIKSEDLRHGA DQIRGQEGDNDFSGNKYVWLRDPEKAFVKGLVLEEQDGARLLVQTDDGQQREVDVDQV DRVNPAKFDKADDMAELTHLNEASVVHNLHTRYLADLIYTYSGLFLVTVNPYCPLPIY SNEYINMYKGQSREETRPHIFAMADEAFRNLVEEGENQSILVTGESGAGKTENTKKVI QYLAAVATSDNMYSRSGSKQMNTLSQQILRANPILEAFGNSQTVRNNNSSRFGKFIRI EFSRSGQISGASIDWYLLEKSRVVKPNLQERNYHIFYQLLRGAEPKLKQKLLLSNLQI EDFAYTREGNDTIAGVSDEKEWDSLLEAFHIMNFSEEDQMCILRTVAAVLHLGNITIV KESLRADQAALSRDALDSVHKACQLLGIETEPFVKGLLHPKVKAGREWVEKVQTPEQV RLALDALAKGIYERGFGDLVNRINSRLERNTVTGEDSYFIGVLDIAGFEIFQNNSFEQ LCINYTNEKLQQFFNHHMFVLEQEEYAREQIEWQFIDFGKDLQPTIDLIEVTNPIGIF SCLDEDCVMPKATDKSFTEKLHSLWDTKSTKYRASRLRQGFILTHYAAEVEYSTDGWL EKNKDPLNDNITRLLASSQDNHIAALFSDCGNADEVDHPRSRVKKGLFRTVAQRHKEQ LSSLMNQLHSTHPHFVRCIIPNHKKRPKMLNAPLVLDQLRCNGVLEGIRIARTGFPNR LSFNEFRQRYEVLCRDMPKSYMDGQSAARIMLQKLALDKAWFRVGRTKVFFRAGVLAE LEEKRDELIRTIMTRFQSVARGFVQRRISNKRLYRAEATHIIQHNFRAYLEMKANPWW RLFSRMKPLLGETRTAQEVKRRDEKIKQLETKMKQDQSERQKVEEERRRAEIEIQRIQ QTLESERALALDKEEIFKRLQDREVELSEKLAGAIADQENLEDQLDELILAKKKTDEE LDLRKTQLEQAGEIIQRLEAERKEMQQKLEDLEQKLLEAQSSASETENHMRELGQEVK MLQSHLSLKERKLQDLEAKLLKTDQDLDVKLAKTSKELDRSKKEVKDLLDENRTIRQQ ISDLSKTSTSYEEMLRRKESELTVLRNDAKKHAEEKKQLDVEKSNLSTRHDNMQNKLR ELQAQVDAMVSEKIQLEREAADVQKLLQAKISEDAEAGESRKLLEQQIQDLKNQLFQA QADLSRERQSRDDVQMLAEHKLAELKDKFESLNEAKITIEKEMYIQQDSLRRATEARV AAEQSRKELQQELIKLRDRFTAAEDARLRAEAEMEQALVKQTTQRLESIRKELDNTQH RLEEVEAERSRLAAREQQLMNAIAESESFRIRHDQHKERLERELVTLKGRLTASENDN RALLTKIQQKNLDIARSNSKASESQRLRVATLQKEKAKLEEENKALSRQLGDQQLSIT SLEKQKEKLALSVEDLNHEVNREHKASRNAERAASTASIQLAEANRNLETERQLRTQA QANTRKLQSALDSSNKEIDDLHRQLMLFHKIVEPEADPSADSWDKIQPDLSRKVDLAQ LVETLQSQLQVSEEKYNRAEAQLAEMRRRHGEEMKELDARYSSSKRALLEEIDQNEVA HNRTPNHLRKNSETAIAKKFGAPTTPNRRLNINDNSNDSARSDRTVDTVGYQKRMDLA AEIEELQNKLQMSEMQNKHLQDQLARSVPPKDIWQDDSPSIRRMQLLERENGRLHEQL DDSSKKVSALERSIHSGELSLRDVQAKSHEELYDLINSQEQSRRSLLRVHNETVAEIT DAKAQFEKLKRAKAALEVELRDACSEAQELQAAREQDALSRNQLLQEFSDLQIRLDAE TSKSADLAASLSLYKSRADEYFSKLEQAEITLLKASRAEQFAKAQAKETEDNCAQIMA ERKEMEAIIDDLQRQTQSLEARMEDQAAELQGALQAKQRLQNELEDYRNQRAIDIEDK ETSMEQTRQKYQREITTLNNELEMEREKVLNGRTEASRLREELEDLRSKWDNEVLNSS TWAKEKSRMEVMLQDVTTSRDEAVNAHNDAQARVVSLLSQVRSLRTSIDDVTAERDML HKEKKMLEARLTEAGERLEDLAKGESPSMRNAASMDRELLELKAKLAQQEDLSLAAVG KMRRAEALATEMQKEVTAEREATAQLFKDKAALEKQLKEAQLRCVDLETKSYSSGSQD IRFLHKRIKELETHLEEQEAKNNSEQRSLRNVDRTVKDLQSQIERREKMNAQLEEEVN RGREKVERLLKNIDELQQSESDSQLQARRAERELREEREKSLRLERELNGWKALRVER GGSAIARSHVAFSDAGSRKGSGAYTTGDLHRMPSNTKGFL ANIA_04705 MSGQPMPPAPPYWGANYTQQWATQPAPSSGSIPHDIQFNPDPSF DPQQYRNIHNFYANANLSGLGGASATGTFPPPPFAFPSTFPPAPSAPPFANMPNVGYP LMPLSSVHSQMRPNQASTNNMDNSARKTPGQHPTPTTKSNQDLDREEGELTDIEGAAS AEQQPHSQKKARRLRGDISGSKPTRRAGSEGDNRLPIGQSQSTRLNGLHAQDERPAII STGCELLSDLEEGEASPEPRASSRASGSPYNPPMPINAISPPMSKSSPDLLETGTREV GAVEQRSVSASSSSKSSPESAVSVAQLRVQAQGALLSLAPHSIRYTELVGEGINPTIL KQLYEEVGIKVPITPPADARTQSSSKETADARTDQSAANGQAKQPAKQNEPQLAAAPT ATPREPSSFPPQSAPASTTKPMERKEVIARMLAAKAAKSSPASAPPTDAAQTAASVQS TPATVEKPTLSTSSQDQSANEKETRLREKNKAQTELARQRIEQLKKQGLIRNLQKSQI NVDKEQKDVQESPQPQPSTIKHPLPERPPLPESASFDHIPGLFMMGQAPPTNGALTTV QDPVSDSAAQPRSSQRKRPRASDFDDDPIPVPKKAFTNGTNHQTPPERLIIDISDDEF YDDDEGSMDVEASTGLSKGTGPPVAEGLPRAYPLPAGNLPHRPATSQSYSSSVISTPG NHKSNEQDDLKKKDLEIQAMHRRIAELEQRKKAKLASRTQSPRASDLSSPDIVGTPAA PAPSSFPTPPSATEKKAEDLLAAMNADGLRQLRSKILRMQEIEAGVPSLDAVIRKTEM KLANARREVEELSSELAKGEEGRQQLIDELNALKLEVSGLSLDHVDSALSTIEAKKDL PDEAVQAPTSENEVADNHAMAVAEVPEADGSTNEDTAPPSHTDPTAKNPPPAKDRPIA PNTVEDATNLNATAEELTDTSMSDSSSSMDESSADSSSESGSLNEEMPDAPEPNTNPI APVDDLNTISPGPSIEPARLQAKEEPLPEDQSFNLQPSTNKDLGADSESLASRESPVS EAYEPPEPEESANASDSNYSPAPSPDFHSPAPNMEVSGPSEDQSKVAATKPTFSDWPS GCAINLSSYQDLWTLTCTQNSRRPEDSHRKLTPYSSPLKLFRAYRYHPNYNDEVSGGY RSLTYSHNIDPLKHLCPFETSGGVCNDRSCEFQHFRNMALSDDKILIQMGSLREGKTA EESDKYVTGLKEAINDMRRDKVKDFNTVAAEIVAYRRRFLQDPTRVLAL ANIA_04704 MQFRIPETTAELQQSCKTKKVYLIARLHLDIIIFSEIIEGRCIM VDFVCRVARITNVLFPKMKIANVVQFKMERNGVYDFVRANARRVSVLLCPVRVCPGGT GTFIYAPKERVRHL ANIA_04703 MTLLTVIDSDDLPPAILTPWTLLPTPTKLPLGWYEEGLQRIQEL FSGDIPSWWFAGQVETSHFADWNSLPWWNCTFDQEHPILRLLSDEFLLGDTCDTVLPM PISRSTRSTHGIPRVNANINLCHNNASNKYRKLPHNPYDTAGPTQKGFLAQCKYVFSS PSFNHDKQRLQGSRCAGIYCADMATNYGVALETMYELNPVSNGDCSGFWPEYTYCIEA S ANIA_04702 MLMSTSPSPWLAAAMLCIGLANAHTVIVYPGYRGNNLHTTGTVE GADGLGIAWSSDNETLIYPYGMQWSYPCGGMPTSENRTKWPVQGGAVSFQPGWFQGHS RAQIYINIGLGTVPPNMSHPMITPFEIVGPDNDPYPGTICLPQVRLPAGIEVQVGDNA TIQVIELAQHGAALYNCADITFAEPEDVAEVNSTNCFNSSHISFETIFTTSSLENAGL EAVTVPSFLTAVVPTFLGIAYGLLMA ANIA_04701 MPHTRRKKPSPSQNKRLQVTDDSGWTHVTTNKHARRIRQPSVNP NSGSSSTGNRNGLEKDAAKEEIAEELVPAEAPQKTTLSDLQRQLGLYKQRWEESVTWD CVVEGLRRGVPSLLAERGSSSNSDGDGEKEVKDKDENQSKNGISIICIGLGSPSGFLR GGWVDRRAVSMYQLAALASILEWIGESTSMQNPNPGMRAYAQDPVFNTHDETLLNELK ITVLTHPGAFQKVTPKTLLFCPGAERRHIELLLAHDPAIVVGGPLEDIESDVVRRFVE RRESVRLKEFAELETAFWGMRIYFPRSGAEKQDKVSSRIQEGGAEG ANIA_04700 MGDRSEQYGDIPPISSQHRMHGYGNNGEPAAMPGDGQQNWGSGP GIAHTHSMRTASTATPGMDNLGPSAVGGGISGIALGVANTHDRQSGIDAFRDADATLG YIPAERGYHTTGADNPYVPSPPSVGPGPDESSEGLRSHETFGSSAALSAAGAPAGNWT PPSGSRHSFLDGSYQGVASGPYQRHSAYSSQDYPADINPDDILDDGDDGFAAAPSNKP NAAGGAATGGAAGGLLGEFFGAKKAADASYDPVPGAGLPSVEKYAKPRPSGASRKRGW IIGGILAFIVIGAIVGGAVGGTLGNRRSETASESSEVSADDDTETNGDLDKNSDEIKS LMAMEGLHKVFPGMDYTPWGVQHPECDKWPPSQNNVTRDMAVLSRLTNTVRLYGTDCN QTEMVLHAIDRLELTDMKLWLGVWIDTNTTTNERQLSQLYDILDKRSDHSVFKGAIIG NEALYRAGSTKEEARKNIIDYMRQVRKHFNDHNIDIKVATSDLGDNWDETLADATDVV MSNVHPFFGGVEVSKAAGWTWSFWNSHNAPLTQGTNKGNIIAEVGWPSGGGNDCGDGA NCKDDTSGAVAGVKQMNQFMADWVCPALENGTDYFWFEAFDEPWKVKFNKGDEQWEDK WGLMDPGRNLKPGIEIPDCGGKTAA ANIA_04699 MATTQMHRPYPPIYHTPQSSSPASVASQPHEHNRSLYTQSPQMT STIYGYQQALSALPVQPSPYGAHPSPQQHPLTTQSLMMSHQTSTSQLQHQSSQAPTAA LTSPTTATVQQATPSQRALLNPPHPATSAPLQPSNSNHQSSNIGTSSSAAPGPIPATT PLVVRQDSNGVQWIAFEYSRDRVKMEYTIRCDVESVNVDNLSQEFKAENCVYPRACCS KDQYRGNRLVYETECNAVGWALAELNATLRGKRGLIQRAVDSWRNSNQDPRLRSRRVR RMAKINRRQGALPAPPPQHMAPTTGVPPGVSSSSIAPVGPRPSLGPLSAMGPPQLHHH HAQPDGPIHEEASGSADYASGTHRPLPPAPSSSGHSPTDIRNAHVFHGYPTFPLSANS SSGHRGPSIPPLLRDNGLGSFGRNPAVATSGRVEEIEDDEHDPNKDDLFGTLPEGKRR KFILVDDTQRPGCRVRVKVMLDQVDMDEIPDSYRMANSVYPRTYFPVQMKTPRGQGLP GDRYIKDDTQINDVDEDEATVGRTMVPAPQVDDESEIAVPRISRRRHRKEVLLNDLGY RMSWSQSRVFSGRMLFLQRSLDAYRNKMRSTMLAAGQNENSIPPHFETRAGKRRFLER RKRAAMSRDTEHTVSASQHDAEEIEP ANIA_04698 MSSAARYRPISPGSANMIDPLRASTGTVQLASSYDPYDPAGRPI YTGYRSDVPYSPTYDSRYPVAPRLEAHPVSQQTYHDPGQSTRLRTEYAIRPRQRSSTT SAADSHGRLGVPPISSVSRTSAVVLSDYGRSPSPLTDQDGYLVPASSRHHRHHRHSSY DYASDTGGQPTSRRHYGAYPTYVSRRRYPQNGGLRKGEDIDKYDAYSYTNPREQFEKE AVAKLQYHRNARKERPLSLTGIEDPRLLGRRDPRAHGPPPSHRGYDKVHRDDLRRSTY GFGNSELDLASARQRPPRSVTLHQDHDEGYSSYRDEFDDTRPAHREHKRPDGDARKRD TIDSHGARKPFNKQSPPSVSGSGLGTAVLASGYSEGQDYDLSSHADRYRHRGRVPRDR SRSRRRSRRRGGSESDECSSDDDLKKYRREPSVRPRINGSDSSKENEPHRHRSRSRHH ERPSDKKSDQKKTDSKEPDAPPKGILKPPRDKFPEEPNPVREGVAPLKDAHKKGIPPG ARWTKIDRRLVNPAALEAGQERFEERPDHVIVLRVLTKEEIQAYAVKTQEIRDSRYRE YIRERRRQREEDRRRGRPVDDFSSDDEDESDNFPLAIEGPGEPRSSQKS ANIA_10592 MPSYLAPSQTPETYRSLSGLSGSFEMETSPALHYTRSHRGHGIS QFQRPKYFSTSSLYICCQCNDGPKVYSHNVQCVLCHHIACDECTHVK ANIA_04697 MAGQPDRRINLAVARVIPVVLFGIIIYSCYVITKPLCIDYLIDP LPKYNRPSRVGAGAAILVVFYILLLFVIITYVRLLYTVVYNPDLLPRSQAADQQSTPA KRSKSRSRRKGHGHGHRKSKSDEVSDKPVSDVERALDYNAGPMVLPWDTAGLEYFYKK DVFVCQPDGRPIYCSKCCHYKPDRTHHCREVDRCVRKMDHFCPWVGGVVSETSFKFFI QFVFYTALFCMTVLIVCAIYTAELRQDVSLISGSRNMLIISRLVMLTLIGLSDSLQLA AFNLTTIENLNRRSAVWTLAIRVPNHMISRIQPGTRWAPTFRTITYPLPPVPPPLSGM PTQPATGEGDNPYSPPPVPSTDPSAEQHIFAILQTLPGENPFALGSPLKNLQQVLGHS IIDWLLPIKRSPCADHSSAESEFVMGPVVSRLKKEAGLESKDAAAGSITTKHKNSSYN SSPSAPADKRSKRKQKRGKHHHHHHHHRHSSTTGTT ANIA_04696 MFRRRRSASQHRHQHQLSTSNTQNAQSAATRAFIQSQPSSSNLS SAAAAAALRSLTPTPTPIENVQTKRMLQRRASLSSQPVGSATLRPSSRNGLRRSNSSA SMSARTFRDQSPGRPSSSYSTMSTSAVVPPLPSIPPEFSGRKNQPRRSVSLGPSALPP TSRTRQTEGRRNVTSPTNVPDSPRDVASSEASPSSPRHQRSESRNSINFSYPMNSRTN SPTIPSGFHDRQDSAASAATSLNQRASAQYHQNKTHAAAPGSPARSTRPVTSALAAAQ AVTLSWNEEPNTPPGSSRPARQQHAIDRSPSRSPASLDVKPRPAPKRTSATSEDRSVK ERSRPSPAQSSSPIPMPLKEKENRPLAAPLKEPPRPLTPTTTENENVVRTPTVSPPQA KPAAELDRERQPAALGQPGSPARSAHFPSQLTVKGFPGDQLHQPPPRSLSPAKSAMKN RNSSLSPDGRLSGILRPGPSLSEISDATSVASDDGVRPNHRRKPVKVSFEDEAEIVGV AASPPTSPEDIVPESPPGKSKSKTSWFNLHKRKSSPLRSTDNDEFDGVLKPRAALPSF GSIRGNKDGARLDAAIQQGVDDDSDMESDDDFINGHFVNSINPRASEAKVTKQERADN ELPAVLTVTAAKGVAEKDATPVGEFNMEPTFFHKTQLSPLVEVPSEQNLPLSEEQNLE LPDITLQPATPDPEKGRASLEEWISPEEYPHQSIEAEPEEPSRLMGKRDSADDDSSSS IYSDAEEDLAGGGYGSINAIVDHETASSVPGVAVTTLQDETRLAEHSPYATDVPETCQ IARVQTPVREDLTAPTPGSPGLIEPLPLSSPPVQSKAETASAQGKNTRSTEPVEVYSI ANLREDNPQEVNTRSKQVKANGSGAQQIQQQSQTREALTPLVQNDVKSQGPAELEVSG GAHIPRRQLSNGSDSSSSFKRARRAGRGGMGMKRTLRGSQQESSAPVSPSRSAAHVDS STMPSALGTGTLRTTLRNNASRKEKPSIFSAGKAHKGKLAKSFNLPFASRFPDSDSDS DDGVQNRRLPRLGHRSARSMSDFDMRPVRGIPRRQDAYDGDSTELEDSSDGERRPNSA PRGLAAEAPAPKPQSPTVRDPALAAVAKSRGMTEEQLEELLNRGSPRKPNILNRLSMK KSKPAPKRGKLQLPQVLSNGIFPEHEQAQGQDAEVDHDSPKVVSNSSKLTKKSFHKAA HSDSWPLSSEQADPVDSGIGSVSSPSSTQQSAQAQTPNGGAANGNRPFSQQQPFGTTA ECAPSQEHDQSVLKSNRASDVVIEGSGRKKRFQRLKKAFGIGR ANIA_04695 MGYYDDDVRYRDGVKENVRIVEPRGAAATTSETVPIPTHFIRVG DILVLQGRPCQVIRISSSPMTDQRRYTGVDLFTRELHEESSFVSNPKPSVVVQTMLGP VYKTYRILDIQEGTIVALTESGDVKSGIPVIPQGNLYQRIKDAFLEGRGSVRALVIND GGRELVVDYKIIHSSRL ANIA_04694 MSPRRSSRARTSQPSPAIQHTNSSSSSASMTRERSTRSNHKNPS PRSQSDDDADKGDFRETRQRQRARGDEENDVHSKIGDGEDDDVDEEDEEEITRCLCGQ QDYPGLPPSRREALNRNGARSGIKDENPLDSSLDSSDLMSDDIGSMFIQCDSCKVWQH GGCVGIMDEAMSPDEYFCEECRKDLHRIKSETNGSHSSQYLPVAPAPSSTVSSRDSSR DNAKRAKDQKSRQSDPFPNPKRRSTMNSRDAAYDEEEQLRRAIEESKEDNKTTDGEES TTRRPKRSRSDSDAHRQLAKRPRTRSPSPTINPKQSNPASPALSDDEAKSRPIVNGNR KPRAASRGQREKDTKELDEPEVEQPEHTGRRKGRSDRRKGEGEIQGDAIQKIPANSIQ GAESDHEIVESPVKMTAIEPEIPPRSPETSAPVPETAPSRPSTRKSGRPPARRGRVGR NQYTRDRDLNGTGTDSNTGNSPRRGQSLDTGGDSPRIGSLSTPVNGTDTGKPSRPRYV HQRTTMNEMKRRVAAILEFISRMQVEMAVAGESTTPPERSNGFDAQRAENVSKTAGEG SSDGVRTEKDFKDLSSVEMMDVLTRHLLKWQQEYGKFGER ANIA_10580 MAATLNGVIYSAPDTPSPVYPDRLIRPLPKRTLRSRLSSDAADS ILYPPAPPATQLFYGACTTNRDAVNDSKVHVQQSDGGPDLSPDSRDHHIPYENGVDFD SGDEDGPVVVRRSTGFRGSSLSPTPSKVQHQTPGIGKEQSTKSSPTGPDGYDAFENTN NKKKRKIPTPGNMGSHHSSLSPEFATMALANPGQQGSASSGEGGHVSTFYGTGSPASP VGSGMSGSGRGRLGRQGRRSSIHGHITWSSQNRGAGRRDGPLSSPGPTGESGARNQGI ISAAIASAAASAFSPSAFKTSAQGSMLEQQTTPTRTQFTFTCESDSSKGMALHAQNPH SAQQRSPIAESQQGNNPRGLSTQGTQTSPTVTAQMSQQSNPQQQPPPSHQPQASTELP AERKKKRSPGSIYMLAARQRKIQQQYANLHHPPSLQDIWICEFCEYESIFGRPPEALI RQYEIKDRKERKRLAEKKRLLEKAKMKGRKHKKAPKNATKHTAAQHAAYDHGYDRVSV DHSSVGEGPHHDDWGHEYDNEATTAGPPATPEGTFKSPLPPGSAAKKPTAAPLGGRGA VEGGLKPP ANIA_10588 MSKRAKIKALLSTALAVVQFAFGLAVIGLYSQDINTARDNGDSA PSRWVYAVVTAFLSTVTAFLYLVLGWWWKKRSKPSFSQRAGLFLPLFAWESVLVILWL VVFGIFGEMYIGVYHVGGKDGGESKVTRMRRAVWVDLACLVLWVLSGAWRGVRWWKAK GMGQGVGFFRRRADVESGEKEMA ANIA_04692 METLPKGLIAVSEKVSEGLDDLDLDVVDIGDIVQLWKACSINPS AHEGDAGYRLQNLFWRIWGSKRLSSSLTGSALSRLFLQISEPASTPTPANRSTTFTSP SFRPPAKTEEKVGTRTHRHFPCDHAAAVTVADLQMQESEPLSSSLKRDEQHPKNQHQH QKQQRPPSHGKSQSHPTPGASNSGTKPLQPVLKKSNSSSHGEVQKTTRLLLTGLGGQS VTRKPSNPPTPIPPSRPILFGEPQAPGATSRAGQKKTFAVASKAKAKTPKRRPVLMRR KSSQQSSVSVSSTRTHSPQTDFGDLPSIDSDNDKTNTAAVLDEDAVEDSPDEMITTRI APITTPNPNPSTSSTISTTITDKSTSTSSTPAPFPPLPSEEQTFEPDEMTKPALPSPF IQDLKTLMHKNTPLPPLRPHASPPTVGFFSATACRHFDVRHLSEENYEQPNSSELVAP DFRTRFAEQKRIADEYYAQLYRRNAEQGGQEQNDSYGQGQGQVALGLFAQNTNLHPAD EVDGAGSPGAGTTTEETETISPSKSRFGDSHSHTQSHGASTVATSILTGGSASAGPDI YHGAQGADTATGMLTGMDPASIQGHDRNQELGIEHGHRDEHQYGSVKASANAATSAMA VPMSVPGTGNLFSTPPGLSLPRGRGGLSLLIEQSRHSMVSQEMGKLTTTGPEKERSDK GQ ANIA_04691 MFRPAILRQVVRAVDQSTRPAALVAGPHQFIRPLSTTVSRAQRD DKNDPLTKATTKAPGGAAGEHEGKYARTDENVVIEYPDDADMPRSPVVQGRGGMHFKR TLAQFSLENRVTLVTGGARVSPEVTVANSIPGDEAEESARKLVEQFQKENPGLEQLPN VTAHYADVSNPDSVNNALSEVIAKHGKIDHLVTSAGFTENFDAISYPYDRMQKLWGVN VDGTYLFATGVAKHLMERKAPGSIVMIGSMSGAIVNVPQPQAPYNAAKAAVRHLASSF AVEWAGHGIRVNCISPGYMLTALTRKILDENPELRDKWISLIPTGKMGVPEDLMGAVT FLLSDASKYITGADLRVDGGYTLT ANIA_04690 MPLSSLLRTSARLGQTVVARRSRRTASTVTSNSSSNFRALDSIL IANRGEIALRVGRTAAQHGIRVTTLYTDPDSQAQHALSTPYAFNLGSVSAYLDGDRII EIAKAQGCQGIHPGYGFLSENSEFARKCTEAGLVFIGPPWKAIEDMGDKSQSKHIMTA AGVPCVPGYHGENQDPNFLEAEADKIKYPVLIKAIKGGGGKGMRIARSKEEFQAQLQS AKSEAMNSFGDDHVLVEKYITTPRHIEVQVFADKHGNCVALGERDCSIQRRHQKILEE SPAPHLPDATRKDIWAKARSAALAVRYEGAGTVEFIFDNDTGEFFFMEMNTRLQVEHP VTEMVTGQDLVHWQLKVAEGAELPLTQEEVEANIATHGHAIEARIYAENPAQGFIPDS GALLHVRTPATTEDVRIDAGFVQGDEVSAHYDPMIAKLIVRGADRQEAIRKLAAALEE YEVAGPATNIEFLKSVCKSADFISGKVETGYIEKHHDELFAQSPIEPEVLAQVALACL HDDARLAAQKATNFQGSAVGFGPGFQQHHMTFTNSASANNEAFDVKVQQTGENVFNVK IGEHTFEQVTSHPNADFRIITSFFPHTRLDTTVIRDGDSIVVFQRGRQYRLTTPRAKW MEKALGMKDVTNSVLAPMPCKVLRVEVQAGDTVEKDQPLVVIESMKMETVIRSPQRGK IAKVVHQKGDQCKSGTPLVEFAGEDEEASK ANIA_04689 MSDDEDDYYEYDEFEDDGIFWVEEADPTAADDLAAAATYDSSFP DDPSLETADLYSDWEEISDDYYDDDPTVVRRLRAIGAWPIDQPTHINAPSSKRRKGAA TLAADLTSFQGVAWKHPQDETDVVEIYAPGDGEKVSLLKNWREVFRDAKPAIGRLRGR IPPSKTLDVVSSEQSESDLDVPSLVEDNIEDEMGSLDAAEALPANMLPTRIHSQVVIE TPSRESSVHSKKGKLEELKNILEPVIEDQGTTTNGAATEYPAEEAKEPTATAPQRGRK RKASISVDDQLDQSGNNTGAERQTRAKRAATTKFSQNKTLLTAEPAPASSAPVRRSAR HKK ANIA_04688 MATIRLPLLIRRSLPTRAPARFTRPLIPCVRTLATTKHPRGFVP PTEDDLLELRESVQEFTKREIPEEVAARTDAQNEFPAEMWKKLGNAGFLGVTADEEYG GLGMGYQAHCVVMEEISRASGSIALSYAAHSQLCVNQLSLNGTPEQKARFLPGLLSGE KIGALAMSEHSAGSDVVSMKTSAKEVDGGWVLNGTKMWITNGPDADYIVVYAKTEPEK GSKGITAFVVEKTFKGFSCARKLDKLGMRGSNTGELIFEDVFVPRENLLGEVNRGVKV LMEGLDLERLVLSAGPLGIMQAALDLVLPYTHVRKQFGAPIAHNQLIQGKLADMHTKL AASRAYTYATARHIDSHASLGSAAIRTQDCAGAILYAAERATECALDAIQLMGGNGYI NEIPAGRLLRDAKLYEIGAGTSEIRRMVIGRAFNKEYAQ ANIA_04687 MRVSVPLHSIRRSCNSSIANAATRLTPQRLYLSYGRRSSIVQAH PRTQARPIASYTHPHHASAISVLSTAVDTSSPDFRENERQMQEVLNRMNSLHSTISQG GPQKAKDKHVARGKMLPRDRVSALIDPGTSFLELSQLAGHEVYPGEDVPAGGIITGIG TVEGVTCMIVANDSTVKGGTYYPVTVKKHLRAQAIAQENKLPCIYLVDSGGANLPHQA DVFPDKEHFGRIFFNQARMSSQGIPQISVVMGPCTAGGAYVPAMSDETIIVENQGTIF LAGPPLVKAATGEVVSAEDLGGGQLHSTISGVTDYLAVDDAHAIVLARRSISNLNYPK AKQPLESNDDIKEPLYDPAELNGIVGTNLRRQIPVHEVIARIVDGSEFAEFKRDYGTT LVTGFARIHGHRVGIVANNGILFSESSLKGAHFIELCAQRNIPLVFLQNISGFMVGAD AEKGGIAKNGAKLVTAVACADVPKFTVVFGSSAGAGNYGMCGRAYSPRFLFMWPNAKI GVMGSEQLSSVMEAVGRTADPELKARIDRESEATFSSARLWDDGIIPPAHTRHVLGLS LAAALGGKSDKDVQTKFGVFRM ANIA_04686 MFFEIAKTLTLALAITAPVIAKKVHPSAFAASKAIDVAAISSAS EKVKQVPAHATYPMSIKDFKDKSTIHSDWASFAEGAAFVFRADMDTDCDGVNYKCDGN VDGGPLTNWGALSAFEVPYIVIPQAFLEANPTAIPGQNVAAVICNNKMFYAVLGDTNG NNPQVTGEASWLLARSCFPENNLNGNRGHDKADVIYILFTGPEAVFPPSAINEHYITD FSKLRSMGNRLTASLMKNLGIKPLGASGLSPPKDDNLHAGNHDDIPILPDIPQENGDV PNDNQGSTDTGSDDNDDIDSAASGGATPEILALFVAVLVMVIYV ANIA_04685 MRPQREYHIVVLGAGGVGKSCLTAQFVQNVWIESYDPTIEDSYR KHIEVDGRQCILEILDTAGTEQFSQGFLLVFSITSMSSLNELAELREQIVRIKDDENV PIVIVGNKSDLEEDRAVPRARAFSLSQSWGSAPYYETSARRRANVNEVFIDLCRQIIR KDAQGRSEDYELAPRKRENTSRQERKRGKRREPKRKGPCVIL ANIA_04684 MARVPFIGRLFWFEYLTLFGSLILVLLEWIIHIITFCLPELIIN FCYERSKTIFNLVITPERPEKQGRRKRRASAVAHASDFAEICSIYGYEAEEHIVQTGD GYLLGLHRLPHRKGEESQTVNQGEGSTKKKVVYLHHGLMMCSEVWVCLTDEERCLPFQ LVERGYDVWLGNNRGNKYSKKSTRHSPLSNEFWDFSIDQFAFHDIPDSINYILDLTGQ PSLSYIGFSQGTAQAFATLSIHPQLNQKIDVFVALAPAMAPARISNPVVDSLMKASPN FLFLLFGRRSILSSTTMWQTILYPPIFMRIIDTSLSFLFNWKCKNISHDQKLAGYLHL FSFTSTKSVVHWFQIIRNRNFQFYDDEIYAPFSIVASERFYKPVKYPTKNIKTPIVLL YGGSDSLVDIDVMQKELPRGTTAKIITKYEHLDFLWASDVSELVFGHVFEALDRYGPT KRLPDGSVNGLINGA ANIA_04683 MRWCSSLLLFGFLAAVNALSSSGSRLLVVLEDATEKGLYSTLWG DLEGRGYNLIFESPKNEQLSLFELGERAYDHVLLLPPKSKGFGPSLSPKNLVDFLNSE GNILLALSGKSTTPSAVSSLLLEFDLHLSTDRSSITVDHFNYDTLSASEKHDVLLLER PGKLRYDTKEFFSGEGVVAVPSASPHTLGDNSLLAPILRAPATAYSYNPKEDTGSVED VFATGSQLALVSAMQARNSARFTVLGSVETLQDKWFSATVKAPGGEEVQTVNREFAKQ LTAWTFKETGVLKVGKIEHHLAKDDLTAEDLNPSIYRIKNETVFSIEISEYNYDGYVP FEVPVNDNIQLEFTMLSPFHRLNLQQSATTPNSTIFSTRFTVPDQHGIFSFRVNYKRP FLTNIEEKHEVTVRHFAHNEYPRSWKITGGWVWIAGLWSVIVGFLAFVVVWLYSEPAT AEKAKTKKTQ ANIA_04682 MSTPQFWSTPLRYLRWASHEKPAIFYSLIVGATGPVMLVALPPI RRFFGDVDPEPIPLTYPIPQGPRVIPQGYDDE ANIA_04681 MNLVGSSAHKYGMLEIQSYYDSQCAIDETSICSFPVWPEKTRHI WSNVFKIFYDLPPILDNDGYNSILENCQALAELAEELQSAEVVCPAINKTLLGFDQQL YRVIAQNPIDWVTLAVRIQSAPIFQESMVHLVGKWSLIKESDRELLPQNIRTLCNQKI HDLNAIKKTIELRIVNSLPRPRSDSPQYRETSNYFGWMALTFYQQWLCESFAEGRNHH APDGGAAFYRAIAAGGDFYLNKLDQDISQFSASFGANAGSNKGLRELEKDLNELKKGM KGLVSDLLVSHAKYDSAILGELPYLTCCNVSEEEMPPAKATFGVEIGSQVQEFRNTLA FLPSNSDTHTFFPSAPVPNMGVASAGAMVGFNNNLANNYPLNPGLQNDNPNMFTFNAF NQQSTDTTLMWDNTVGFSSPSLNNGGPLPSISEITDTNSIYQAQTQSQTQFDDGTDLG GSLNMFDVPLLTDGGGYAQHGNDGSMAFL ANIA_04680 MTSRQNEYFIPGDGISREVIQADICRYLGNDALVRPGNHNGRAG FFIRAYRNLTSEMIADLKADSARWEADVRSRADQGYPRGSYIQDYSYSQPSRATPTYS TSMGSSMHPEMSHGQGPSPPTTYAAPPQQYSEQYHQSGYPATSSPSYSNAPSYPSNHS GFGSGQPPYPQHIPYSAPTQPPVTSEVHPSYTYASSGYGFENGRNNAPRYPGPGYDAD SDYSPVTTGMAYPATTAPDPRIGMEPRYTPESTYDRSRPQPAREREAPRRTR ANIA_10587 MRVAAWAFIRHVEMVANQGFSPPGPSVSLGSSLGSCTLIGTLFD RIPTRACFLSGCGICKNLTTGLGLAGLRFSSVRARLQMTSTSHPFFWFTAISVFPFRV SWSSLVIITSAIHYSQRRSPRTTLANTLYTHTAYLDRAVDGPLL ANIA_04678 MPLSPEPPGSPPNSSNDSLKRHSRYTYRHFQLLRQASPASPLRV IAHIDLDAFYAQCEMVRLGTPRERPLAVQQWDSLIAINYPARKFGITRMISAKEAKAK CADIVLQHVATFREGEGGKWAYREDAWKNIGTDKVCLDPYRAESRKILGTIKRELSKC YSELNGDLSGLGLSSQIKLQEALVEKASVDEVFIDLSPLVFGVLLQRYPEMREKPHGD DRVALLPCPPTTALEWDTEDCLVDLDENETEVDDPDWDDVAMLIGAEIVRSVRTAVWN SLSYTCSAGIAKNKMMAKLGSATNKPNKQTVVRNRAIQNFLSGFKFTKIRMLGGKLGD QVTAMFGTEQISELLKVSLEQFRAKLNDDTAVWLYGIIRGEDKSEVNPRTQIKSMLSA KSFRPSINTLDQADKWLHIFAADIYGRLVEEGVLEHKRRPKTIALHHRQGAQVKSRQL PIPGSATIDEDLLFELGKTLLRQIAADGAAWPCANISMSVGGFEDGTSKNQAIDGFLL RGDQARNMASSSSSRVHVADEVPAEHQPIEKRRKVEDSGLRRFFNKPSETDGPGHSFD KGHMADTPDINVDNAQLDDHVASDGEIEQVHSKSFRCPRCGRYINCGEEDEHNDWHFA KDLETQERQAARSSQAAQLPNRAASGSRSKPARGGRGGKSEKGQTRLTFG ANIA_04677 MPIARRKFGLQMVQTCLKIDPTVKLIGKDQTPRSADDEREYRNW EHDVIDRKNLLTGERADNLEPSQILDSTTRWWANTFGMR ANIA_04676 MPRRSRLVEYDDVIEDMEEVYPSRMKGEVLIDRDVRYGHGHGHR MPRAPGPPLAPVAEVLPDRRHRRGRSKAGYPHEDLEYLRDDVLAAERRARLDLDAYRA EGARKYREERHISHKSQPQLQPGSRGRRRSKISDVDEVYYSHDDSHHGITESESDEMD EYIEAKRSISRHELDARKEALQRSKNLKEEKRTRQSSYDEDMYPKGSRAPEEYARDRK GPPHDSVLRPRHRSHYHIDLVEDETTESDESVDIAPTPGRQGVVRRTHLEQKYSARRR AATSSLSSPESAGSSEEELPRVPLPIPVPPVYKESPRRIKPLGHAPVHQIPRPPSPPS PPSPPSPPRVPSVETVLNEREIRQRRKQEKAAEEIEVARRSRESLQLSSEPHARRKKG KQRAIIEEPEAAGHREILIEDERVTPRVAYKEREFIGEEYRQEPQHMRSSPQSDSTED WAIVRTPSSKSKYSPEIESPFVDVREELRHPRYRDRPAKVPVDDIREPRHKGDIPRGK VGPRYIGVKNRRDRLWTEITKDLVVREAIERAGYEYEEYDSFYYIFSYLHPDDVSAMV EDSDNIRRARRRRIKEIQHERASLPPSRRSPNNSTPLVAERPPSPPVPPSPPRQHRED RREERKRRERERERDRVPVREEDIAIEGSGRWARTGRW ANIA_11446 MNLGTGTQELGLDQRERRADSPVHTQTSSGSAEPEGQMRNVPAF ANSPSKNFSTQWWTASMGYGFLGIMSPLGIQ ANIA_04675 MILPARGAREQQERQAMPVPINERWKRWDRVWEPSGEQVVKGKS EKRIVRQDLEEQEHGRGRKKNLEVVSAYQARTSRTQERVCCPTVFAILLATSSYHLG ANIA_04674 MNNHFVPLLALLAWTTLWISVDSSSSLTYCSSVNTGTGSANFSI YQSNGNCEDHCSDSAFAILLDKNCWCSDVAPNEDDNVDTSKCDDNCPGYPDDSCGNAG DSLYAYIQLGSPSSTASASSTKSTTSSTSTTSSKTSTTSDTTTTTHSSITETQTHGGV VTTITVDSSSATSAADSNASTEDSDSDSGLSGGSIAGIVVGVLGGVALIVAAVIFFLA KRRQSDPSNYTGGIDGRQSKGSQMSYANLGDNHSHTLSNGSSIPARLPTFTDNRLNTG AMLRRDSDISLQDNEDYSRPVLRLTNPD ANIA_04673 MDIHTGLVFLALVGFCFLFSHSAPQLLARLRGCKTAARTSQTPP RALSPSFSADDKSKTATQSANPATTLPPQLRHVLSTLSLPGDDEYKYKEIPEKEVLRC PLPMTQDYRKCQEERYTPTGFSVAEVKALGDFPDYAALSGVPLPRAYGEKFDVDKALA RPYRPFRWAYHQTMSLTKMETDWWLELENTYKERIAQRKALFAKHGGGVLAALPGSEL ACKELMEMALQFYCARYPQYFSLIDRRIFKNRILGTEQDVRLKPPLEILMNNVPEDFG IMMRDDNTGNYFLRAAVICSSMGWNVASKLGLRLDHIHATIPDYKEKMEFSMNRFFTK MPADKPIQRGSWGLEVGQPLHTPPGDPHEKIRESQDPKLRLENCYLRTDWQTLRRLPL SAAIVFNFKALFTPITEFRDESCVPALVAKILREGKKNIMEYKGTWHVEHLVLPNLDI WAREQEENGLAPKNWEVATLDESPWFKGWEEKWHRQQGF ANIA_04672 MASHRADASTLLDNRGYSGPLVRGLNPAMLFEKAVRDRITESYY WKEQCFGLNAATLCDRAVELTFIGGTYGVSEKASPFLCLAFKLLQINPDRDIIMEYLN FSDPENETDGADEDTTAEDRAQRSVVKHRGDFKYLRALAAFYVRLTWEPVEIYKTLEP LLLDYRKLKRRVRDSFVLTYMDQFVDDLLTKDRMCGTSLWKLPSRQQLEDLDLLEVRI SPLQDELDEMDRSDDENGHASDARSHGGRSDD ANIA_04671 MVLLTHSTVSVILSTGVVSIFTFLLFLSGYALQQQSVRTIQHVI RPPEHPKVYKRSQDPQSNFDLGAELEETPQVQTKITPGAEGNYAYLQLLSDPDPSNIC SAILFFKQLSTNESAIHDRLFMYPSYWDTMTLSKPVSVALSLLRAASLKYDIWLLPID MSAATSAGYTATDTKLLRLGQIQFMQYDGVLYLQTPGLLLDSAKLDSMLLSRPLPLRH DKSRVESYNNEAWIPMPLRAERDANLPPVYLVTVNSIENGNIEARGHIPNLALEGFGR IVTGPWGVLAESDTSPDPAKQPGYVWFERDNEGNAKWEKNPLFGSWRAQQHEICEGLD FDVR ANIA_04670 MASIVEDEDDRDIAGSQDGSSDNDMDDTLRDADEGGGDNEPDMD ADGDADDQDADSASNASHASESAEVATQQNQETTMTPVPDNATTDLTSVFHPSVRPEC LTASSYDIVPTTAAPHSTSINAITATADMRWVFSGGSDGYVRKFNWVDSINSKLMLTV AQRHPFVDSVIKAGVLMTYWENMDGNALSPVYSLACQSEGLWLLSGLESGSIRLQSIR HDEGKEIALLQQHTSAVSVLSLTSDEKSLLSGSWDKRIYDWDLNTGQTRRVFGSSAGQ ISAIELRPESSLPVPRDTTEIQQPNGTFSSNNQASGGNSFSYMDTTNDQGDNDAVNPQ AGSPADSLFGGADSLFGDADGTAGDGLGTATNSFGIDDDDEFGKALTNGVAPDADAAG EPDTVQQKNLFDSKDPSNDAPGVDSNTLVPNQPLDSHSTDAVNNQSQPLVNGLPHAEE LEPPSQSQEHTQSTPTEASNDNDNTFLAASIDGTIRVWDRRQPSAIARITPRNSPPWC MNACWSPDGNYIYAGRRNGTVEEYSLHKGLREPERTFKFPQGSGPVTALKAMPNGRHL LCASHDILRLYDLKHEQATRHSTVPFLIIPGHRTGTVSQLYVDQACRFLVSTSGNRGW EGSTTEVLLGYEIGVPVVR ANIA_04669 MDNGGDEAGVLRSLETCYDGPSKLRHERFPLSEHICCLKPHVDA PDYVKTHPVVELDSAISDSSKSALEQMLTKKLAIRQGSPGTGKTYVFVLALKIMLSNM KPDDPPIIVASQTNHALDQILTHISHFERQYIRLGARIGTLGHAFKTSKRLFNAISEI LQPSTLFKQYGLLKAKQYESLEKGAKRWANTEGEQDEADPIVAWLGDQAVPFQVRCTN EDFGFAGDESDLEALVSSMKPRIVLIEEAAEAIEGPLAAACLDSLQQLILVGDHQQLR GHCSVQDLEGDPFYLEISMFERLVKNGLKYVTLQRQRRMVPEIRQLLTPIYGTLQDHE SVYEREEAPGMGSNQLPLTCVKHKLRRFVKVPTDWKNTNGGCAQACGEKLNCGHPCLL TCDSLSHSGSDATKYATGRCSASISAKHLVQALIPAIVIVGKVAAENPRVPLMDNIRD CKQQDKIKKFQEHDAILEQLAELQRQKEELKRAFQPLEAHVPDTNGHSAISSVAPQLK AEPMNQVKPKVQQPGEIDLIEL ANIA_04668 MAEFIRSDILGTTFETTSRYANLQPVGLGTAGVVCSAYDLISEQ VVAIKKMMKPFHSTSVAKRTYREVKLLRHLRHDNLINMSDIFISPLEDVYLVTELLGT DLHRLLNGKPLESKFAQYFTYQILRGLKYIHSAGVIHRDLKPGNLLINENCDLKICDF GLARVQEPQMTGYVSTRYYRAPEIMLTWQRYGSKVDLWSVGCILAEMLLGRPLFPGTD HINQFWLITDLLGNPPDEVIDRITTNNVRNPAPDLQPSNHLEPANGNRRIDSSGALNL LDNLLVFDPDRRISAEQGLMHPWMAPYHDPTDEPVATEQFDWSFNDADLPLDTWKIMM YVHHCSDVVSFTL ANIA_04667 MSSAYNPIKLRRKKNVKKGIQFCLMVCGASGTGRTTFVNTLCGK QVLQGKDADDATNAHLEEGVRIKPVTVELELDDEGTRISLTIVDTPGFGDQIDNEASF GEIVGYLERQYDDILAEESRIKRNPRFRDNRVHVLLYFITPTGHGLRELDIELMKRLS PRVNVIPVIGKADSLTPAELAESKKLIMEDIEHYRIPVYNFPYDIEEDDEDTVEENAE LRGLMPFAIVGSDDFVEIDGRKVRARQYPWGVVEVENPRHSDFLAIRSALLHSHLADL KEITHDFLYENYRTEKLSKSVDGAATTGTDSSINPEDLATQSVRLKEEQLRREEEKLR EIELKVQREIAEKRQELLARESQLREIEARMAREASQGEAAEAEQ ANIA_04666 MLGQSNYLALVGGGRQPKFPQNKLVIWDDAKQKVVITLEFRTSV LGVRLSKSRIVVALLNSIHTFVFSSPPKKLAVFETTDNPLGLACLGQKVLAFPGRSPG QVQLVELETGNVSIIPAHSTPLRAMALSPDGEVLATASEAGTLVRIFATSNCAKMAEL RRGVDHAIIFSLAISPSNNLLAVTSDKSTLHVFNLPHPRNAPYSNQQASSSDDGVNKK WGILGKIPLLPRVFSDVYSFASAHFELGEEEPGPTYAPPLGTVLGRPPKGVIGWSNDN TILVVGSGSDGRWEKFVLRDDEEGKKHCIREGWKKYLGSGS ANIA_04665 MNNIRQVQALNKRELEHAVPPEASWHADYRDTAYIYIGGLPFDL SEGDIVTIFSQYGEPVHINLVRDKETGKSRGFAFLKYEDQRSTDLAVDNLGGATVLGR VLRVDHTRYKKRDEDEDTNNVAKLLGETAGKEADGDTDDEGRRRKRGHSGERDQPRRQ LLKEEIELEELIRNHDDEDPMKEYLIEEKKEEVARALEKEARKERSSRRRESSRERPS RHSRHHHRHRRHNDDRSRSRERSSRDYRERSRRDRSYRDKSPERSRSPAPRHDRDRHD RRR ANIA_04664 MSTSKRSSTVSSKDGLKKNIWSSMLDSAATGKRLPEKNLLILGG TPESQREFLEAYSADTLDSSLSNEKRKGKGKVPPVANQFALGYTYLDVLDADQEDTLA RVSAYLLSEPSLSFAPLLKPLLTPQSIPETSVVILLDWSDPWTWVRRLREWVRLLRHV LVSLDDETKVVMEENMTQWRERKKGIDTSSTGSQATSSGSAIIGPGEWDEGLGVPLCV VCQGTDKMEKLERDHGWSEDEFDFIHQFMRTLLVKHGASLIYTKPFHANNLHSVLHSS LGIHSLLKKLSLKANFIERDKILIPTNWDSWAKIRVIREGSNLEGISTAWSIEIQDPP EPLSDRNDEAQDDGSSEEDDGTSAVKIYEQTIKDPKRNTSLSYPGSQQSGNKIEVETL DMQGFLTKQLEVLEQLKIEDEKDRAAKKTPQLEMSPLEDNSRVNEHIGPVQFNMGGIQ VDADDMLQKLKDREASRSQRKDPVSSPGDEKANNQALANFFAGLVKKPGGSPRGA ANIA_04663 MAPFRSIYEKDATKKLVVGAALLVLAAFYSYVFLLTLAPVYGST PSHIFHGYGVGIAGVAGWFSKDIVDRVSGRKAIYAIPVLAFFLPVVQYFVSQQSSALG NPAGPIFTEVLALYPLVLLSVACAGKLVQAGLNLQRHGDLVAEHIPLLGSYVIYSAGE HLIKAFLSRFIGSTVLLSRAGLQILIAIFYAAAVPSKALLLAIPAFLFSVTSNTHLPL GHTTTALNNIIADDGFALVARQDSTTGYISVLDNLEDGFRVMRCDHSLLGGQWIKKRP NYTPPAVKDPIYAVFTMLEAVRLVETAHGIPRADAGSNALVIGLGIGTTPGALISHGI DTTIVEIDPVVHKYALQYFDLPENHTPIIEDARAFVQRSRNAPQPKQYDYIVHDVFTG GAEPVELFTYEFISGLHALLKDDGVIAINYAGDISLYSTALSIRTIKSIFPTCRLFRE AAAPEIGPDFTNMVIFCTKSRGAPITFRDPVPEDFLGSRFRSRYLVPKHEVDAAQFDN VGLEDGPQGHGRRVLVDKEVGRLHKYQDRSALEHWGIMRTVLPDRVWEGW ANIA_04662 MPLFPMGTGIQNDESRAFDQTSRNHAPPIPAATQKKNRRKRWLD LHPEYFSADLELADPLLYDRLIRRFQTPAEREAEGRAKGFSGVLQADLLRSEAKMDAL NHPDPRSLFSYTRGPNGEILAEDRDEIPASKEEGEKAWRWEMTMRFLRGEDTDFDYST VDGNEDLDDANEEQERYFDEEEPECRLKHLATVSVRIWYQPKIIAVYGRARLRP ANIA_04659 MFRAMYCPSRVLCGRTPRLADRSLLQSLSLTRRGLLTQSYARGP TEPPLFETTIGEHFAKIVQQYGDRTAVTYSGLDAKSNALARGLQSVGVKKGDRVGVML GNSMEHATVPINPSFNATQVVAALSHLGTSHLIISTESNLPRKQPRSNIPLLRHLVQD LYASKLESAVVPTLQKIILVDNSTDRINTNSSLFSFKALTPYKSITSLNPADGAALPE QNLTPNEIVNIQFTSGTTAMPKAACLSHRSILNNGAQIGDRMRLSPADTVCCPPPLFH CFGSVLGYMATATHGSAIVFPAEAFNARDTLRAVQEEQCTALYGVPTMFLEELGLLES GQVPHKGFEYLRTGIAAGSSIPAELMKKLHKTLNLTELTICYGMTETSPVSAMTTTDD PIDKRINTVGRLMPHVEAKVVDPLDRNKILPINSRGELAVSGYLLMKGYWGDPEKTAE VMLKDKDGKVWMHTGDEATISPDGYVTITGRIKDLIIRGGENIHPLEIENCLLTFPGV ADVSVVGVPDAHYGEVVAAFVIWKQAHQNTEEQAETGEKLRKFVREKLSAHLVPKYIF FLEPTDSFPKTASGKIQKFKLKETAIKLLGGH ANIA_04658 MSVRKEIPRSVPDTTSLDRKRPCEDTSKDEGDCFDFKRRRAILA KYPQHVQNQVTQLVAEILASIYYHINYVCIVRAICRFENKAEHVAYYRLQYSESANLL DLLIGQIIDPERYQGSDPRGTVHRMGEFVVLFGWKMLSVCMTAEGFRRAVREIRHREI WGELLDKIRVNQWSLEVFARSRNLDWRGQLLKYAHLGIPRLDAELRSHRKMWSQHPHH VVQSIDKKLRRPWFKGEIQIHVDKPVFDPDSENWKAKGQTTDPTLRRPEDGDCDLCGL GSCDCEIDFSAGSLVELVERPLTGTGVRTLTSFREGDILGQFIGIYR ANIA_04657 MQRCLMLAGKQPAYPVSNNNGPSTSNSAAPSSLEGVIVPSSQPL PPEERDLAIRIFDEITLHFERSQETDSGYKPITLIRLMKHEVSETDEFLSFFFSFTGQ DLLDEEDGGIGLDRILLHLAGFSNWSTEEQGTLSESLVTFAKYLVDNFFLPLKALAAK TPQPTPASSRSKLHELAIGTPQRVSNLRKDCLRRDRHRCVISRKFYAQEAQNRYKRDG RDVKDDDGKSLLPERDIMAYLEVAHIMPHSLRSITSGGSEGRLLFGNFEIAFEPVDSQ PHTYRINYIDSDRMGRVEKLPVTVSLFITPNRDVEPPSPELLRIHGAIGRILHLSAAG EYIDEFIRDLEEMESGEVMQNGTTRLDDYVRFRVSYS ANIA_04655 MSSPLPWSGPTMRKIRSSPNLGYGLKANLLKLIDHYSNPRNVGS LNRKSLDVGTGLVGAPACGDVIRLDIQVDEATGKITETRFKTFGCGSAIASSSYLTTL LKGKTLEEASKISNTQIASELCLPPVKLHCSLLAEDAVTAAIKNYRSKRAAPATDLSG TAKEIPKEAAATA ANIA_04654 MSADPLFYPLDSSVRQDEWLDLDAMFQLPPEYLDSIPTSVESVS PRDLDQSFTDTDFLNWESDPAMCSQTMFPDFVGNDGYDVPVGELGSSVMDFQPFINPN DVLRQAPSFPNQFVDPVFENTCLPDAHDFSLFRDLVESQAALDPRCYSQKEKRRDASI ALHLQRMQDELVSEMNTPSLSSNQLSSPDWSESSLEVTPECYSLAPTASTSTESQTTP LAGPQSWAAPMQLVLDLNMNATTNVPKKQKPRSKAQRENYIKARKYGVCEKHRKQHKR CNCVEKAAAAHLNANASRAIAGTPCLQTNHDRAFVNKSPQRSVQSPTGSAITGLPRPV RQPVETTKPDLSPTQPLAPLGRAQNTIRRRVNAANVGVPSPLNHPVRVTKPDLSPTQP LATSTRGQHVARQPIFIQKSNISSALSQIHASPTSHDRATAHITPQGAHTGGLLKAPA LYRHKDGLNKQIIRIESGGEQIRQTIQVTGTQTTPGCRGMLKVHSLRAEDMPLTRRAG GIERQIIQTTWFAHISISLVQKASATIRSAAVGLLSHWQGLTTLSSAGHLLGRLAVSS SKLFLQFRKTLGMI ANIA_04653 MTANLNSTTESHFHFSTVTGIFLQDEESTNPDNFNYASSNFGLI NRRYPSDSDSSSSDAEPETQWPRLATYITYLNATASQGTVYKLLFLGRHGQGVHNVAE SRYGTPLWDCRYSLLKGDEHGDWFDAHLTELGISQARVAHEAWKAQIKNGIPAPQSYY VSPLMRCCETAKVTFEGVGLPGTETGNFRPVVKELLRETLGLHTCDARSPKSAIAAAY PTYIFEPGFSEEDLLHKADVRESDSARDARFYEFLSEIFAHDGNSVLSLTAHSGAIMS ILSVVGHRPFTLETGGVIPVLVKAESRAGPAPERVIEPWFGRPLCPGEECARV ANIA_04652 MATMGSFQPYGHLIRSARTGIAYVPRRTLTYTPVRRAEEDPNAS NDKPETKQKSGAFSSIKNFFGFGKSDAKPTIRRQTAPLKREGELGAGSIFAEDEAGPK LTASGRTPSARKQGQVEQEGEAEDFTVEKRNRSHMMAVLDPRPKARIRWERKMVVREL RSRGRLSKTEKILRTERESLSKSHWFKTSIKKLGPLARQIAGKNIDEAILQMQFSKKK AAKDVLEHLKHAKNVAIVRSGMGLGAAQAGEDAPKPKPITITLKNGERKTISDPTSIY IAQAWVNRGPYGHDYDHRARGVINIMRPPYTSLSVLLKEEKTQIREWQDREARELRKR KANLWVQLPDRPITQQNQYYSW ANIA_10574 MMDINSLLSPQDANSQSGRSAPASGSSSGNTPPAPSPAPKPLRK GRAAATNRPVMASSPLATHVYAPPSRAPEPSPPSSLGSAVNMGGKSGSGTPPTVDLQN SRQPSTPGMDTLADLASMQHHQPPRPRAANLRTPSYESQLSPSTIHPNVNQISHNTPT PRSSFDIAMSDGPRESARRDYAGSSLLPDARRMATELFARIQVNPQSYEAHVNFIRLL HDGFVNHVYPPNNPDIHGDPREYDLLKDMRTAREEMDKLFAMGEDLWAEWIQDESMLA TSVNERIAVMELCQRSIEEEYGSTKLWIIYGEWVLYLYNSAHGDSSQSRWSEEDRLVG REVFTWQSVLDTWQRGAEATRWRIHDSHLVWDRLLELQVRDLSRNPSQDKIARVRELF DIRLQTPHATWDLTFQAFSNFISTYYNANYENIMAETAGKYATPVKDQYAAREDLEIR LRNAAESGDRAQEWAIFGEYIEWELNRNRRRRNTNFELINAIYQRAVLRFQTDANIWE DYIMFLIDESMHGNAHPTTISALDRATRHCPGSGTLWSQYLLSSEREGQPFTKIADIK HKATSTGLLDVGGMEEVLKVHTAWCSYLRRRAFLSEATDEDLDVAEVGIRSAIESVQE LGEKKYGRSYEGDPLFRLERIYIRYLSESGSWDSARETFKGLMGRRGNSYEFWLTYYH WELVSWSKFVQGEATVDAARRTPNPSFATAVLKQAIKRTDLDWPEKIMQVYVAHCEDY EDSEELQLAILETRKAMRAINARRQREAQEAAAQQAAAAATETQEASQSEKRKREDES TANGLPTKRARADRASVEAEPVALRRDRENSTVVVKNLPQGTTEHKVRQFFRDCGAIN GVKMMPGEDGKSEVAMIEFNTRDDAAAAQTRDQKTFDGNTIQVHFGSETTLFVTNFPP TADENYIRDLFSKYGEIIDIRFPSLKYNTHRRFCYLQFKTSVAAHSALALDGSAVGNG LHLVVKISDPSRKQDRNGPIYEGREIHVSNVNWSASEDDLKELFSRFGTVELVRLPRK VDGGSKGFGYVVFSSKEEATAALAMHEQEFRGRPLHVKVSAPQGAKRIAATVVTRVGK SQSPAPEANGAPPATDLEEPTGERRDRTLGLMNVPDTVNDARIRALAEPYGKLVKVVL RPDHQGAIVEYADVNHAGKAALELEGQEITPGRKLHIGTVGEMLKQSAEKKAPVQAPK PKDKPKSSFLTPTAPIKRPPQPGGRSGKRGHLGVKRGTAQPTSTTTTMTTTTTNASGE GSKNQKSNDDFRAMIQRSQEDSNSESAS ANIA_10578 MDFHLIPRGTDRNYTGFLTKTIVYSGSLIVFLASFGLSIAAIAI PRWVSYHSSSYDYSYGLHRRCSSLTDTCEAFPNREDCIGEDRYFCSMWRTVGFGMSFA VVLEGLSVVAFLIVLSGGKRLREEGWRVLSLLILAGAAVQAGCMGLVAYLVENEPRFF VGWRLDESWIYCTVSWCVSVFSAGAIILAAYSLPSEGGYELIPDHS ANIA_04650 MALRMSGINHAAGIFADMSVDGPAIGTLVAVVDRAKNLPNRKTM GKQNPYCAARLGKEAQKTGTDVRGGQTPKWDQELRFTVHESPDYFRMKLSVFNDDKRT DLIGETWIDLQNLIIPGGSQSDQWHTLQFRGKYAGEIRLEMTYYDTRPEDEAVIERRT QGTERAKTSGSSRSGPVAVVPSPAPSSSSSSSLSGPRQLKEVKRRPLPSDHSSGPRPA PEKAYSSPAPLPVSHPPRAPYDGVPTPPSSSGMDYAHQPRHTAAPVIYDAPPRPRTYE TPDDFGREWNAPPHHPPAGRGQPHYSEYYPTRAEQDYYARPRSGYENAPPADYRSMRQ MPAGRQDPYYEDMHAEATRPSSRHYNQHPPDAYTYGPEELQPARYHHASSSMSSRHRI EYPQESEPYRQHNSLPRRGRSPSRYHAEYASMQPRVEDEDEEGAPPPPPVHRTAMAHP SPQLVPSPAPSYKAYSPEYGPRSTQDMKLPQPTELMLDPPPVTSTSMPPSLVAGLDPV VAEAESERFAREIRRRSGVLEEHLPGPNRSRDPSPSPISPPYPDNLPAEDHHRSLVSR RSVIAEAPSQQLVLRKSVSPRPPPSRGRGGSQPSVSQTPFSPDSFDSFNPNAARSVMN RDPAPAYTTPAEAMEAARRSEAAAARPEGPIIGDDGREIDPSDHLPTDTWAPEPDRKS RKPGVIVRFRNAPTRHSPAAPRPTSSYVSTSDGDRGRPGYGSNSGHGRTYSTPSSNPM PRRHSISPSPSPMYAPAPVGPPIPAKVPIGAPTGVQDALSRELNSIDIGSVGFSSSRA MRKYVPRPTGYAV ANIA_04649 MDNSEERGKVAVQRPTGDDLKRDFKGRQVAMFAIACSMGTGLTI SSGTALTRGGPASLLISYLLIGFAVFFIMTALGEMAAYIPMKKGFSGYASRYAHPALG YAIAIPTNLTAAGLIVHYWRPDLNVGIWITVFGAMVILPNVCPALKRRICHGNYSQYQ VTHINNFGESEFILSCIKLIVITTLILCCFIISAGGSPSGEKIGFKYWHSPGAYGQYL LGGRKGYLLGWWACMIQACFAYTGTEVVGVTFGEASNPRKTIPMAIRQTFWRILSFYV IGVWALTMAVPYTSSELVDATSKSTSAAASPFVVAISLAGIKVLPDIVNAGLLVFVVS SAASDIYCSSRSLYGLAKDGQAPKLLAKTLKNGVPAWSVCFAALFCVLGYMNAAKSAS TIFEYFVSLATIFALLNWLSILLSYLNFRRGIKRQEISLKERSYCAVLQPYGAYYSMF ITVLCIVFSGYDAFIPQFKADQFVLRYVGIVVYVGNFLFWRFYKGARYVRPCQMDLTT GFYDSVLEGSSDQINVEVPEKGEVEVRASEVEITTIGEDGKVAGR ANIA_04648 MAAPVLPLTQVKLPELPASQLSPEQLHWKTFKNPLLIPSPANGP VNFITQPSAPSSASAFPSLTQPPDVFTVTTGARVQIYSIRTRKLLRTITRFDDTARGT DVRPDGRILVAGDDTGTLQVFDVGSRAILKTWKDHKQPVWVAKFSPSDPTCVLSASDD RTVRLWDLPSENSIKSFVGHSDYVRTAAFMPGSLASSGSLVSGSYDRTVRLWDPRMEG RSAMTFKMGAPLETVLPMPTGTTVLAAADNKIAVLDIVAGKPLHMIQSHQKTVTSLSL ASDGTRLLSGALDGHLKIHETTGWNVVAGFKYQSPILSLCAITSGAAREDKHIAVGMQ SGLLSIKTRLSGQQKARERERKKEMEALLEGKIEEYDKKKNKNKRRQGSAWERRWRGL DFVGEGVDIIIDGNEAKKRKKEKGWELDLRKGRYATALDQVLGSADRIAQLTLFSELR HRSALRAALRGRDEVTLQPVLQWVYKNITEPRLVGLSVEVAMNVLDIYSANLGQSEQI DKMVERLHWRVRDEVDFAHQAFETKGMLDMLRA ANIA_04647 MPGLTPAQVTSFHENGYLVLPDYLTPQQINALITETTSLLTSFD LSTHPLTQFTTGDDEKDNKPHVGDDYFLTSGDKIRFFFEPDAFTPDPSNPTKSILSRP KEKAINKIGHALHSLSKPFEAVSLNEQNAEIARSLGFRDPRVLQSMVICKQPGIGGAV PPHKDSEFLYTSPPSAVGFWFALQDAGVGNATLAMYKGSHKKRSGEVQRRFVRKYNEA GQLCGTGFVSNEGEKFPRDLEVGEDEGEPEVEVLDVKAGSLVLIHGNVLHKSEKNTGD KSRFAYTFHVIEGAEGWEYDSRNWLQPPEGGFSRLYQ ANIA_04646 MPFSTTTSSTSTFFSSSSSSFSRDGQQPEEHHSAHRYSTQTQTS PDGTTTVRTIRQDLGQPVIVEEHRYDKDGRELAALPDAQSDGMRRIEDVGDEEFE ANIA_04645 MTLQSIRSITEYNISTFRRHYVSEIAGSLGDLGTFLPIALALAA NGTVSLASTLIFSGLFNILTGLFFGIPLPVQPMKAIAAVAIARSFSPGSIAAAGIFVA AVLFLGSITGLLQWFTRVVPIPVVKGIQVGAGLSLVMAACTTLHGLGWTHPSWADNRL WAIGVFVALLLTNSTPKRLPYALVVFIIGVVLAIIRSSLKSNLPSFSIWHPSIVIPVG SEWSEGAVDAGLGQLPLTTLNSVVAVVHLAADLLPSVPTPSVTAIGLSVSIMNLIGVW FGAMPVCHGSGGLAAQYRFGARSGASVVFLGVCKLVLGLVFGESLVNLLHRWKMRVES RCTCLRRRGTRGGWS ANIA_04644 MPAVEGCLLCTTSYLCPITTPNTTLFSIATATNRGVCDIGRQNL MADVTIIPNVGEQIIIPPETCHTDNDSCLLPNTTRTRTCVSGGPRNYYTVNGDTYEII ARRLNITTESLTAAALGDETTGPNDRLSPGKFIKVPLCEPSQCAIQPYMFTWGVYKDL AEEFGTTVGQIIMLSPTYNYSSLAFLPGGSFPPTVCS ANIA_04643 MDLIVPNYFKYVNIDTLLLFPLALLVSLAYCIMWITYTRWFHPL AQFPGPFWASVSRIWTVLHVLPGNAEKTQRKLHEKYGPIVRIAPDELITSDPAAVKTL YGVKSGTLKTDFYLAFRPPWARFPDHFSAIGGKQHADRRRIVSAVYSMSSILQSEQYV DACIDLWEEKLGEMADRKESFDLWLWTRMYAYDVIGELFFSKMFGFLEAGGDHLGYIA ATDDLIPVQFLAGIMPTYVRPLFLLTGFLLPKVRGALTALASLTEATNATIKRRLFAL SELDSNTKPQRADILGKLLDISHKNGKALNFELADIKMESFSGFFAGSETTALTLSGI LYNIFRNRAVYEKLTSEIDAAITSHQLSRPHITYTEATRLPYLGACIKEGIRMHPITG VSFPRHAPSCGCEVGGYWIPGNTRVGVNPAVIHFDKSVFGEDAGIFRPERWIEAGANV ANMDCHIMQFGMGARVCLGKNISMCEIYKAIPQLLHSFTFEMGAEEPVKTTSYWFHKP VAIHVKVRRR ANIA_04642 MSSQYAESFTLLGLGLVFIIVRVCVRWTQVGPSNFQLDDFLMPL AGIVFTIEVTLAYLVGSKYDGLTNSYMTDEQRAALDPNSREYYNRIMGSKIQVAGWSL YVMVLWLIKGALAVFYSRLTTGLSHLPSRVRFAYVLLAVTYLAVALTLILSCQPMRRF WQINPDPGNLCQPTRSMAYVLVVMIPNVLTDLYLMSIPLPLLWAVKIGIRRKITLMGL FSGAIFIGVAGIIRAVIIITAGPNGAIEGSKWACRESFVSIVVSNLPILQPLIRRCAS KIGLSGLFSNSGGKESSYKYGGGRGKGTSRRREGDYPLTSVTGTGGGTVTGTGTGLGK AKSERKRDRLRFGSVHQTETAESDEEVLVGGGGRKNKGQITVTHETVIEREEESPTGS GEGDRAGFAHSLGQTSLSGLGGNTWDSKGARGPQA ANIA_10573 MLSLDLVFSFPAWALLLVLTLLYTLYLATTRLLLSPIRHIPGPT LAALSFWPEFYYDVVQRGQYFRQIDKMHQTYGPLVRINPFEIHIQDPSFYPVLYTGPT RRRHKWLWAARMFGNNTSAFATVRHEHHRLRRSALNPLFSKSAIQRLTPHLQHTLARL CSRLDGFAFTRQDVDLGIGLTAFAADVITEYCFGQSLELIGKDNFGKEWIDMVSAPSE LGHLVKQCPWILVVCKWAPKALVRALLPGVALLFQIQERMSAQIQPLVDRAAAVDKPA DPLTVFDFLLSSTLPQHEKTVDRLKGEGQTLIGAGTLTTGNALKTIIFHVLNDPDIFR KLRAEVDGALENMDILSMSDTAYLERLPYLSACIKEGLRISYGVTHRLQLIAEEPLIY SGVTIPAGTPVGMTSIFMHDNPVVFPQPREFRPERWFEADFETVQAMNRHFVPFSKGS RMCLGMNLAYAEIYLVLAVLFRRYEISLSGVTREDIEMAHDFFDPAPKEGARGLIVQL QKRG ANIA_10576 MASPIIQPAGAGIHDIFTQLELWESIDKGLSMITILRDNDVLWK PFLQLTLFNQLNIVRKAWSATIQKASESDKVPTLKDVYTSESSFIAQALLDTKNLQIT PPATPRTALSGALLAKTIVIFHHSERAQEELGTELPEEVRSLVNQNAICLKVLYNANQ WHIDLHYKRDSLSSAQAGEVAEIFEQYLEEALEAVASAIPPSPPVEDDNAGHGGLCKE RTDCPKVNRCIHDLIEEQAIARPDQEGICAYDGSLSYAGLSKLSSVLAEQLKTFGARP EQRVAILMNKSFWYPVVVLAVLKSGAAFVPLDPSHPKNRLKQLISEIEPCALITTSVL SELADDLGCPSLAIDSDLTRSKEGSTTALLPNTSASPNNAAYIIFTSGSTGKPKGVVV EHSALSTSAITRGVVLGLGPDSRVLQYAPHTFDVSVDEILTTLIHGGCVCVPSEDDRF SIAHFMESARVTVALLTPTSARTLHPDEVPSLRILQTGGEVLTEDVNDKWSNRVTLFN VYGPTEASVACVISNRTGLKGAGHVLGQAVGGKLWIVDPDDIERHLPDNEVGELVISG AILARGYFRDPSRTESSFVRMRNGERVYRTGDLASMDSAGTIIYHGRKDLEVKIRGQR INIAEIEIAILQCDLVHSVVVEYPRSGLFEKKLVAVLRFEDSSSDAEDGLFGGAKGLT EDIYCLLLSHVSSVLTPAMIPSKWLSLPCVPQMPSGKADRKQVRGWLEDMDKRTYTRI FHPNGTDNLISDPSDSMVAIWLKVLKLEPQSLRLDQSFIRNGGDSIMAMEARHQAHEA GINIDVRELLGSRALQEIGEMATKTSAVEEVSKIEDDRDEPFPLSPVQQMYFDKVSDP SLGLQQRVCVEIMTKIQPDMLREALNHVIQKHRMLAARFTKHMGQWMQQVPFGKNLKH LSRCHIYSQAVGSLGDFCSEPMALEDGTLLHAHLQSSGERQTLVLCVHHLVVDFVSWR VILQDLHDALAAAQNGLPSGISRSTLTFQQWCREQTKYASTLIPEAVLPFAPGPVNLR FWQPSNVQAVSNTYSEIVQHDFRLSSTQTTQMLEKFTTATVHPTDLMLATFALAFKRI FTERDTPTIFIEGHGREPWHASLDVSQTVGWFTAAFPIHLPKDTLLNTTTAILGASER RRSVLANGHPYWACRYLSPNGQKVFGDDPRHQEMEFVFNYAGSIVQRAPGQTLFAENV RIAEIGHPNCERFSLFDIGAAIEMPSSELVVSFTFPKGIAHRERVAELVKTYQELLET AVERDLDLSAKLSSPLVCPADVVRSLEVNGVCIERDVEIVYTPSSIQQHMLWRQSQEP WFYRVQGDWTIEKTTTQSEPVDIDRLSHAWNQVVHRHTTLRTVFRYSSEEERFVAIVL HEVKPAISIIRKGIQTSGSLCRDDDLSPPHRMVLREKDNGSVVCELEFSHTIIDAASR SIVVQDLLDAYDGKLAHRPLDFPPFWEYIRLAQSSTPSARKEELHRAGRVVTLPFQPT HVLSKVPEACKKNEITISSFFMTAWSIVLAKHFVAHNQRVDSTSSQAVAFDYVLSDRS ANIPGIESAVGPYIRLPTLETHVKEGVSLKNIARGLHAQCTFQSLSQSTQDGSSLELP SKATALQKYSTLVNIRNSGSDSLDLVSDSGEWKWILQGFSDPWDYDLVFAVNVHAGKV TGWTVEYADGVVEHSAADEIAKDLNDVVERMVCEII ANIA_04640 MNAEKPPCKTEEPVVSPSQDTDSGPDASTIDGKGPPPLLAKLFA VLFISCISFGSHWSSGVTGAMESTIKKQMQVSNTQFSLLEASEDFMATVLLLISGIVT DRIGGAEMIVYGNIVNTVGSILVAAATTVRSLNFMIGGRVILALGDIATQVAQYKMFS SWFPPSNGFASTLGFELAIGKNTGNFAWVFWTSVFMDLFTDAVTAIFYLFTRYCNKHY TGRQDSALKEVLTEKNKKFEFQKIFQLPWMFWAVMAFSLFQTSTALVFSQNATELAEK RFDVDSITVGWYSSLSQYAGVFIDFLGNRASVLCVCGLGIFLSMVLVNFANTKAGTAA SFGIYAIAVSLGPTSIIDSIRTTLWHQSVFGSAYALKVTMNNAYAIPPSFSFPLRPTV TDEAEQHEHNHTHYNQRSPRR ANIA_04639 MRDPTVDMEQRNTSPHQASSLQAEAPRRTQKSVRVAFGPDLETH IPPRQRSPAPISNHHRSFTTVEHHKPPPARPTSSSGENTTPTEGNSRFTSDQDSSSSA RPTAALKRAKSDYGPRGGFDKPVVVDNEDEEDFAMRHGWQEEYTSSEYLKVLHSNFYM YFTEKRHETNGFPRDPVGSWPSQDWRMKDRLKTVSAALAICLNIGVDPPDVVKTNPTA KLECWVDPTSTTGGGNNKLMEQIGKKLQEQYETLSLRTRYKQYLDPSVDETKKFCVSL RRNAKDERVLFHYNGHGVPLPTQSGEIWVFNKNYTQYIPVSLYDLQQWLAGPSLFVFD VSHAGNIVQNFHTFVEKHEKENLEQKRLDPNAIVQNYGDCIILAACQKNESLPTNPDL PADLFTCCLTTPIEIALRYFILQNPLQSNIRIDDFRVPGRLQDRRSPLGELNWIFTAI TDTIAWKTLPRALFKKLFRQDLMVAALFRNFLLSERIMRTYKCQPISSPELPETHNHP LWKSWDLAVEMVLAQLPALIDHEEGRRQYEYQHSTFFAEQLTAFEMYLSSGPTEKSPP DQLPIVLQVLLSQAHRLRALILLSKFLDLGPWAVHLALSIGIFPYVVKLLQSAAQELK PVMVFIWARIMAVDHTVQNDLLKDNGIHYFISILNPSSPIPVGNASEHRAMCAFIVSI FCKNYTQGQNVCLSSDLFESCLIHLMDVENPLLRQWSCLCISMLWSDFPEAKWMGIRC SAPMRLCELNFDPVPEVRAAMLHALMTFLGIPDLTDQVAQIEESLALAVLPMASDGSV IVRKELLVFFSTFVKRYQNKFLVAAYEELQDEKLNLLGKLGLDSSPPAETGTAGKPQP LSKNTTFGTIWKQLLILSVDPHPDIAQDAGIIVDYMHQLLLESPMAALTGRLRKEILD LSNQVDQQSQVREKPNLKKAAPPPPPPTAPPKQEGYLSLSLRRTASVAASLKNLAFGG PSQGESSQGSEASTSPQSRAPATPRGRAPPEWTRPPEVNDQLAPATTYQQAPVPTSRG FERRDSSVAPSIPLYFREPQMKPNEPDEPGSADYNERLWRRSRNEKIISETQPLKGKA GSSRWDNSIALLSNATQPLKMCFHQFEDHIAVADDRDTIAIWDWQNHKRLNRFSNGNP LGSKINEVRYINEDDQALLMTGSSDGVLKVFRNYESAKDVEIVTAFRALPELIPSNRN AGLVLDWQQGQGKALVAGDVKVIRVWNAATEVCTTDIPARSGSCITSLTSDQVAGNIF VAGFGDGAVRVFDQRLKPTASMVKVWREHKQWITNVHMQRGGLRELISGSRNGEIRLW DLRMDNPISTIYGTRDTLRTLSVHEHAPVFMVGTNRHEVKTYNVDGTHLSTFEPYSSF LHHNRSSPISSTAFHPHRTLFACAALNDNHINLHGRQFVCPALVKQSNGLEYL ANIA_04638 MMYCVCLYCDYEHLKCRSKVTCTIGKILHSSTLSGQFQLSHISA NLSRSFDARFDVTICRSSLMAAQRYIIRSESYAQTVPTFARRTVDYELSDDQELASSQ PVSLIQLCRKSSSRNRATRKAKALHSASADDLTGVSRGVSAHDDVDKHEHFPRLSLPG YSSTTEYGSDEESEKLTLPSSRLVSQGYPWQRDESHSEATQEQTWHPSPKDYHSQKNP LNYATHNNRFHPGETSYLSESPFLRKSEWDSSEHGSGPADAISAARPSPLETPQPYLY SQKVPQREHLPASLQIYRESFDRPHDRSPYESYRLNRRGNRTDLPEVTTSFQYGNPHD LAYEKTIGNITIRSAPNFATHGRSRLSNSEAATHSIATRKVHKSQEAPVFGSRLSSGT STSQRTLKEEIYAILDNMNVNSQTDPGPASTQIRESTESPPARVLGVPQLLKAESPEL RSYLTSNDTRAEPRDTIIRALDLRKEPHRCQKTIITADTLPLEYDQSNIESVLGTPNT SAASPKIIKPTPGLLNPDIPPGDKISASTTARLRDAGYWFHQDVRGEEQLRQHIANTV ENFVDTTECVGGQTYLRQDRILTKQTISALGDVIANLHAYNSGDDQRGYFADFASVAS RFCDLPVSGQRSYFEDPWERLMEQIIHDGEFT ANIA_04637 MRPPRLVFLAFCLIFFPIFLTFYSVLTSSPRVSTPNSLAGQATG LHSLFSFNLPSSLFPPTAIISLTDENSTFFLARPAAFGPLLPEKGLSGRLWVGSGFGE RSTASGRELGCSDIPGWRDGDSDIPTDGRAGKPGSDDMDVGITPGKTHADFLQGSTSR DGHSVSLTPNDGTDDHLHHPLPESVVVDTAEKNRDSSPSLKSAHADIQSLQETAEISG QVVLLSRGGCGFLEKVKWAQRRGALALIVGDDTRGGSLVTMYARGDTSNVTIPALFTS YTTAHLLSSLVPPHDRDESVSIDSAKTVSDSQIESTPAVSALTTPSSIQQTMSDEIAP SPRPGFFHELLSIFGLGGSEQVATLEDNRRPPSSGNINWVPVGLWDDQAISGNEAEAG DKSHKNSQSGTSSDGDGFVIGVQDWRDPDLVATKSSALPAATATSVSEGSKRDDKGAT STLKGGSITPGSGEYHAVEKSSASEDKSRKTERRSSALGGAGTKSRGWFSRHFLGVRA EGSEPSIRSDEKKQRVSRDTRRKDVKSKEHEGLWVTLTPTSMSTSPFFDTLLVLVVSP LLTLSVVYILLLLRSRIRRRRWRAPKSLVERLPVRTYQTIPTSSSSSSSVRSSSPGPV SQTSPLLGSRSSTDHTRSRPRFQKAEPDQFGSRREKSGASTTWRRKYTGRQIECVVCL EEYIDGQSRVMSLPCGHEFHVECITPWLTTRRRTCPICKGDVVRSMSQSHHAETRSEH DAESHRGGTTAPVPINPMGEEGLADLERRVSSDTGIERHSLTPPSWRNFAALSLSALS GDTIWHQGRTDNDN ANIA_04636 MSDRAKEALRAESANLRATAQDVVLSGTYLYPFRGILHLTTHPP LYKPLTKRLSQTLVAGVSITSALFFFTYVPQTAILSFTAGPFFAPIAAALLVLSEASA VTHFVARGWILRDALVDVFDAVLLEKGCERLVGSGRQLKSASGVVNRLGKMVKKPFGP GSDRNGGFIKGMIRSLVMLPLNFIPVVGTALYIYVAGKKAGPAMHERYFQLKGLSREQ METWVKSRRGAYTGLGMASVVLEMVPFASMVFEFSNAVGAALWAADLEKTEK ANIA_10572 MDSEPPLPPPPRIRHRSPVAKQSQSGSQSGTQARARVNKTYRRL SRFDDASSQPSSDPALFSSDDVPASGLENYHGSLSGSGSGNGSRKRRYRGTWWGEMVK DKEVKRKRAEFKDKRLVDSGVWMGSDDSRASILASEDAPPCWGEELLGQNQNLNRDQE REREQDQDEGMGMGMDMGVAMSTTAGLNRIPARPGFAKKVEESREHQMARAVVNDCLE SGLDSVDISNGNLRVIPSGLLRPLQHLTKLPSIKEAPISEEVYTSLQPFLRLFLSGNS LNTLPGELFELGNLRVLSLRNNKLAEIPPAIRRLTKLQELNVAVNRLSVLPWELLWLI KKGDLKHLTVRPNPLNEIDDPATKIAQWHQTRESENGVEHKPGPGSLNAVRYEGPAPE EAWAPIHVATGPVQCFNMDGFPIQALPTTTSPTMSQSQPLSRVPSLREVALLSFSKSA YLDTLPDDELAHFPDLALRLFLHARDVRSAGGRSCSICHRGFVIARTEWIEWWDCSTY ENGLKGPRASGEKLRPLPFRRLGCSLGCLPTASEI ANIA_10575 MKLATIVSTILCLGAAQFAAADETQTSTLTTTITKTLVRVNAIT PTATPTSSSALFHTSTPVASSTLVSSTTAAATTVAPSTEPTHTGAAASLGGNMHVALA GGAVALLFGAL ANIA_04634 MAEDLADVSLEAPPTHYRSIFLFLGYLSLILSLAFSCCRTIYVR YRARQTNNDWATSQRQAHLSLFVFLAALSLGTTWFYMISLFVREETPLVTRMGLWLYN TYIFQEAWETVSEDAARVWWSGQIFWWTIGWSLFLGITGRRYRIPHVWVYMLLAQAVS VAFSANLFFAAITVSTRPDEKSVAFSWSPPLFYEVVPVALSLLDTLAVPIFAYQKEFM LVLLAPHFLVFVPCLLSPKSSSSEPTTKAQGQRTTQRYVALMQWVAAVSVVMQAYFTF LALQELGTDLSYGEFAKQLWDTVYVHPACSSVSWDAIMSAVSAFSWAFVHGFDTSRML GGEGYPGNSSGRRRTISNEAISS ANIA_04633 MPVIAGPPQAHGPSTFDKMKMGALMGSTVGGIMGFIIGTVTIFQ YGAGPNGVMRTLGKYMVGSGATFGLFMSIGSVIRSEGPHNDAWLRARGPPMILPRQTP LRRSQE ANIA_04632 MTAVASPPSVQTGPRLGWYDSGDGGQGALSSMNPDEVSRMFMPR KTLHRSNSSSSIGSNSSASTIAASPSNTDAGQFANGDSATAASKKKSSRSVWPSSKSE PVSGISNARSQAKPAFFSGTGASSAMSAVQQPSSILPSQHLLQSSQQNGVRAGSTPAG DPPAILTLIPLNGTFEKKQITLPYFPETLRIGRQTNAKTVPTSKNGFFDSKVLSRQHA EIWADRATGKVLIRDVKSSNGTFLNGQRLSPENRESEAHEIRENDTLELGIDIVSEDQ KTIVHHKVSAKVEHAGVYGTVPNIFDLTLGDLDPASGNGLLPSPLSQPLSHLRGRAGS ASSTRSAQSNASSQFNALQQQRQMNYWSSPLSIEQVVKRLTSEMKQAKQQQQELRQTD EFLTGLMKSGAAEKEKQKHSSGDSISSRQVNGRPKMPRVDSFSRFSEPPAPPPQQPLP EKPDALPRNGVDAISPLKRTDTEKPKMSAGSSPVSRESSQILSLIEALSSAKRELDTQ GARVKELEQLLQQERLARESAEQKAKSLELVSAKGSDRPSALRQDSQADGFPQNPDHE MTVNKPDSQSIDEPAVQEQGHTPAEDQTEKLQRRLETMMEDMEAMRKQLSSYKERAEK AEAETGEARKSLVEMIETLRKERAAVRDREPLLPVRDTKFLNDTSHVDEEPTAAVNHS DVGSQDATSSPRSKGADTGTELATQPHKRLDAVEQASPLASMLGVVLLGVGLMAYLNG WQKMDK ANIA_04631 MAPPKQSQRQSQESQPKLADLQFERRNQNMVKLPKYARVTKRPI PHAPISSPYAGASIPKTIYVSSSTPYMSAVKRVQKLLLQAEKRATTSLANKNNKNKYG GKSAPQKKLGEQTQTQQEQLIAAMARGEDRELLDKEEVFVKATGKAMQTALKVGRWFG SHGREEEYKVRVSTGAVLVVDDVEEDEMSKGGFLRSGEKNTKGEMIEAEAGAADVDVD MEAQGAASAHKGDDTTLLTTTTGTEADDTTIMTETEAGKSSEPTDAATKPKAESKPLS KSALRKRKRAANMAASLAETELPETRTRWVNSVEVAVSLSYTPTALRPGPIL ANIA_04630 MNPKLYQFFVALFASFGSFLYGYDLGVIASVVASDSFIDKFVRG SSTVSGTVVALFTAGAFFGAFGAGFTDPLGRRMTLVLGSVLFIIGGIIQTASVNLGML YFSRIFSGFGIGILVEMVPMFQAEIAHARIRGILGSLQQTMLGIGSLAASWIGYGCEH HWSNTGNSVQWRLPLALQVVPAIGLASCIFFFPESPRWLIDHDRHEEGLRNLATLHAN GNENDAYVLAEFELIKQQVEEEHRHGAKSYKELFSNRSNTRRIILACACQASTQMTGV SAIQYFSPAIFAQIGISTSQTLLYQGINSIIGELAQFIFFFLIDRVGRRPLQIGGNIA CGLAFTIGAALMAVYPPSSTNTSAHWAFIVTSTWFFNFCFCASGTMSWIIPAEVFNTA TRAKGISLATMVSFAFNTMIAEVTPVALENIGWRYYILFIVCDFGNALFFYLFLPETK GITLEVMDDLFTNSPLLVPGSRWQPRPELDVDKVMERKDIMLRSVVAAP ANIA_04629 MSPSALPPKRALEDPAGDQTPPRARPKHASTISPVDTSPISIPQ HLLDFLYSESSISKIWQVAQKALGSPLGPLPHRLQLQHLCRWWSANLHQNAARRDTHE LGFMIAPWAIKAWELHRDPQAYSSLVLAAHSLASRFDRRVQSLRSWDVCYTKRYTFTD PTKDFLVIIDNMLNLDLLF ANIA_04628 MPRTGRVAGSPPPSIDEKEPPGSTHKEHTDPVPEKGLGLQAADV ETAAHATGDPVNIDKATNRRLFWRINRRILDGMLRIGGILAWGTSHYIGHVISWKLLF LVLGAATCAWGLFLSWYIPDRPMKAKCFSEAEKRLMVERVRANDTGIQNKQYKRYQAV EALMDSIVWLCVLLQLSSTLVIGRWTQLLNIAQGGVTIAVMVGGASLATWTRRTVMIM HLCTFPAIVGTAVIYSISPTAASRVGLLIVFYCTQFILVEGNLLFSLISRNVAGQTKR STVLAMTFIAWAAGNATAPQVHTVATLFHYRLSVSAGITGETTSSNLATPRVCLSRRV GHPGAGKAVLPVVLLEQMEGVTMCLFSNRMQIPEPSIGTGRNLK ANIA_04627 MHSAEHNTYRCSLLQSHSAAQLIYNVTKTLARRARILFIGCKSS LPLIHHLASAGHEIHGIDESKDAIALARRFPGDYHLISPVGYYPPFEFDAIFAIRSLH HLSHAQFWGQRFRFQHGQVFIDPGQLGVPLQDSRPFVPGQRSHNTGTAQRDGLVADPL LHELS ANIA_04626 MKRRSCDRCYTIKVKCQPGRNREASTCRRCERLGHKCQTLRKIR PPGRKPQTTSTSPSPITRPNSDDSGRLPLLVHPLPYPRLSITLRSEEETTIQFLFSTT AFISHFTIGPSFRSKMRHSLHSRFLISPENLLEGFLACAGEFALLSGHLQIQTQAQNM SRCAMAIRKLRALDPPTDLAQVRLMLALGTSILTYDQMVSPAAAASVYGQGAFTICRY ILFHAKPWYDPPGPLSQLSEMDIEMNCLLYLDTVECLIHRRMPVLRLRLRDGCELVVD RYIGLCYSLLPLLYDVCVIGADSRNKKHAWLRVWEKVRNAVTEWQPSVPAEFAEMYTS KEVIGMFAQANVHRQMALLVLHRLRYRFGEEDIAAKLYSESILAESETCLQLSGEYPF QIGLSLLVAGFELSDISQREHLIRTHFAADHGLFAQPHSRMRNLLALVWTEKDAGEYV SWFDIISSKTSGFCNVP ANIA_04625 MIQDTYSEVQSRYGSIAKQTNADTNENKDDTEDKLAQAFGYTAA ELSSLPGKANLGLSCGNPIAFANLKPGETIVDLGSGGGIDVFLAARKVGPEGRAIGVD MTEEMITLATTNAKKANFPNNQVQFIKAPITSIPLPDSSADCIISNCVINLVPKDAKP IVFAEIARLLKPGGRVAISDILARKPLSPAFVSDIALYVGCVAGASLVEEYEDWLGRA GLKDVLIVDTKADINLYKQTLDSSPADSCCAPKESVQIPAANFSDVDFNEWVGSFQIY AVKGGF ANIA_10579 MHISVIFSKTNSTMPRPKRKTKAEDLARARNNQRRSRERRKQRV IELEQRVRELEEAAALVPAPSLEAENRALKELLESVGFDRASVEWYLQGATGVRGLEL QNSVDSYSAFDSAAVLSSVTQFFGTESTMPIPSDGARQEANQLDTINASSFTGLDFSH ALSAPFGFSRQPDTLGFIETSENVIQNQDVSGPDAVGDNLSEILDSLGGGNPIPTGSV NETTTLCSIAFHMVIQCNRTGRDVFELESKLRYGYRMPASPGEGCRVDNKTLLAVLAE LL ANIA_10571 MWSHSAKKSPLKCIPSTFARLLSSKRMAAPSGTSDVHVFASRSL ALPETEDDISVRHKYRPFLLKEDNDWVSKLELTTVLGLAEKDLRQTNSRLRVLVLYGS LRRRSYSRLVAFEASRILFRLGCDVRVFNPEGLPVKNDTDHTHPKVQELRELSAWSDG HVWISPEQHGNLTGVFKNQIDWIPLSTGSIRPTQGRTLAIGQVCGGSQSFNAVNSLRI LGRWMRMFTIPNQSSIPQAYTHFPEEGEPGDQRLKASSNRDRLVDCMEEFVKYTILMR PHKDIFGDRFSEREERRLKEAREILSK ANIA_04623 MLLRSGIVASWRLSCVVIATLLTARATSSPSVNVALQASFDSGP YLIELLETAAEENATSYFPLLDRIAEGAFDDYLTDKELFDRFLQVVVEDGHLSSPESV SSFKLSLSIRSASPRIAAHYQFYNTSVQHSLMAAQDAACPVWVHSDGKQYCSSAMERA QQDVEGDLDGRALPFDRILGPNSLPPVILYADVASPMFKDFHQTLSALAKEGEISYRV RYRPPQHWISRPLFVSGYGVELALKRTDYIVIDDRDAEQRGPNSIESAGTEEAPDDLK PLSSSEVARLGLNTVSYVANSEDPLNTLVKLSQDFPKYSATIAAYNASTQLHKEIKAN RLGMLPPGASAIWINGIQIDPRQIDAFFLVDHLRRERKLIDSFRSLGLSAKQAVDLMT HETVTEATAQGTPQRYDYRDESEGGGVIIWLNDLEKDARYESWPNQLTAFLQRTFPGQ LPAVRRDLNNIVFPVDLTRMDDVDLIVSTIQMFVKRKIPVRFGIVPLATSEGSIAQLK VAHYLQETFGLASLMTYLEQGLKRNKLAVPDKTCFLKATEDRTPRGEKQPLSLDDILT NEGLDTSVSRTGQYQNRLGIKAGDSLIFVNGIPVMRGENWPQEMSAKIERDLRLVQQG IAEGLFEEDAWLPSFFLSQTFGRRVPWIVPEDPKDIELVDLVKVAAQLGALLDKVPRV AAHVEPLESVHVVVVGDFGTRAGLKMLISTLEMQLKQDKTEILLVHNPEDPLTNAAAS SLIYRSLKEGNGVDSTRILADITSSTSSDVDAQEASEFWLKFQPLVEALGLSSGMNGI IVNGRVIKPSQDVTAEDLGQLLLYEDINRIGPVTKAAKDLGLGSRIPDPLSFAKLTSL MAISTVSDVPEGLYETSSDVRVKFFEEWNESSSVITVPGPEDPVITISASLDPTSEMA QRWLPILKVISDLDGVRLRLFMNPRDELRELPIKRFYRYVLDAQPSFSQDGSVSRPTA TFSGVPVEALLTLGMDVPPYWLVAPKESIHDLDNIKLSSVKGGSDVDAIYALEHILIE GHSRDITTKSPPRGVQLVLGTEDNPYFADTIVMANLGYFQFKAQPGLWTINLKPGRSE QIFNLESLGRLGYSPQAGDDSNEVALLSFQGKTLFPRLSRKRGYEDEDVLESGLKPGS AMDFMSKGLNFASNVLSSVGVTSKSSDPNADINIFSVASGHLYERMLNIMMVSVMKNT KHTVKFWFIEQFLSPSFKSFLPNLASEYGFSYEMVTYKWPHWLRAQKEKQREIWGYKI LFLDVLFPLSLDKVIFVDADQIVRTDMFDLVSYPLDGAPYGFTPMCDSREEMEGFRFW KQGYWKNFLRGAPYHISALYVVDLNRFRALAAGDRLRGQYQMLSADKNSLSNLDQDLP NHMQHHIPIKSLPQEWLWCETWCSDESLSRARTIDLCNNPQTKEPKLDRARRQVPEWT EYDDEIAALARRVAASEVEVEVEQQILADEIEEEKEAAASEWKKDEL ANIA_04622 MAHLEEKLDRPDGSALTWILDHILRYPGTYEIPLRTMYALNCNN TRQSVPGTQLPETAFARRPSSPKSSAAEDLRAQLTHQISRLPSQPCSLPPSFVTSFLR RCFSPQLEDVDFPQALTGLDYLRDLETRRKKEVAAALQRLGLHPENSRQDPEYLKKYP CVVTWLESIKAKGSKVEKLYAEIYIGLRRWTLLNEMLMEPLNKANCMAMLNTLFPPVT EATVTPTPYLTPHILKAHRDGFFRYISHIETNGRHILDKVIAQGAPEGESTGWPLVRN ALDEYLRRTNEMIDECSMINGPASLDEGLGSTSSRGHKGRKADSGISFASILGESATP SISSNISNEDIYEKPLPPSPRGHKMGGSTLERLARELRKLSDGGKAKGLRKMKSTNTL SRTETMSPTNEESFFELDEQKRRRLAWAHSKHSSASSSRFD ANIA_11445 MVDYFGLHQSGIAHWTIRRSRNTASYNYDNTVIINPPKLDCPEP AVRHIDQDFCVTASGPQLQ ANIA_04621 MISVSPTVKVLLIAGHVSLTGLLYGLDTGSIDVITQMTQFNASI GYLSSIQQGVYVASILLSSSVSSLTSGRVSDRISRRYGILIGNVISLLGTVISACSPN FASLIVASLVTGAGMGQDISVTTVYLVEIAPVAIRGVAACLLQTCVVFGIMTGYFIAF GSSNIAGNSWSWIVPFIVQAVVAAVLSLGMVLVPFSPSWLAQIGRNNDAKKVLFKLRP VATVEAEFEEIRQSLDPEKQQQTASIKEIFKRKYRSRTVLGIFLKSFQQLTGIDVVLY YAPILFQQAGFTSQRASFLSSGTPLIIGGAAMAICFIVIGSLYARFGRTEGNKVALAS DSAQWVVIVLIYFFVANFSRSWVCSFVPTRLAKRTVLSLWVLDRCRSNTLCLMPETKG HSLEHIERLFENVNERQRGNRNASENRQGNKKTGRRTKIEAEAMVVSLPTTLESA ANIA_04620 MDVNRCGLGIKEPMFRLPSELQGIQEDFYEHGIAFLKECDEAKL SKLASQLGKIVRPRNEKISGTGISNIRFEPSLVGKGYSSEELYFHTDRSGWDCPPRIL VSTLKSKSTNGGISMLADTTRIIQEIKKQGDGLYELLTDPKHSSFRTDDGSFVARPIF DESSGLFRFRFDDGIQLSASLVLRFSQLFDAIYRNSFSVGLEEGQGYLLDNHRYLHGR TSFIGSRELLRALVNLPPPKSVVTILFDIDGTLCRSEELSVDAFYSCLSDIVGRPITH ANTTVSLHGRTDLGLLQDILDFHKVEPKTSVTEKFLESHPRYLHASLEKGLKSVACQG VKDTLEWLTAKKKNSDSPTLRVGLLTGNSRSNALLKIRAAGIDTELFDLSISAFGDTH VDRISLIQDSMKKLRARDGPDLHESKVIIVGDTPLDIECAKRAGCAVVAVASGNYNMD DLEMLEPDHACAQIGESRAYLDSHLSRPATPLPLMGN ANIA_04619 MESIYLLFILSLLIVLSSAINVNSQRSWAVLESDNIQTASQHDG LPGNNSFGYVASATDPANFINYCSKRVLTNGKSSKQGSCNGIVMGDIPSDKNMVSTVI TFPLPDQLLPAHIPFVIGFRVSNLNAGSVTNPNLTLYSAPQALQDGKVFGHVHVTIQS IFNYKNDELEGYGVPPDPTKFVFFETVFGEGNALNGFSVVVPGGLPMGFYRVCTMAAA SNHQPVIMPQSSIPRILTMLKVADIE ANIA_04618 MGQGSSQPAGLAQSGESDDESSTQASVQNHSSSQKIVKRSKAES HQGSSKRRRKSSQSGTRPATTSPGSLPASVEEPGPESPQEDQSATGYKSRSPPESTGT NHLAPTTRVEEPQQENETALKRKRRSFDGNSSPLRASPDPQPSSVKRKRLIDSFSNND ASVAKRKNRPPGHRSNDSQSQDSSTRLPKSTPSSALKNDYKVSPSPALSVGGTQTKGR LNRSNGTVNAKGTTGAFLPHEVEALEDFKVEFCNANACSTTVFDLMVQHGKEGPFPGP SGIGKRAFWQQVHKILPGRDRRSVYRFMKRHFQASGQKPHEWTEEQEDELVVLYQQHG PKWAHIAEMLGRSGDDVVQRWKNRLEHRDTMRTGPWSDEETNQLKDALRAAWDKLRSE GINVGENIYEMDESLILWSQISKSMRHVRSRQQCADKWRRLKLTAAGSSQANSRANSR MNSRSVTPHSAKPEFHKNYKSAAYVFSSEDESESDSKPEEKTKTNSQLKLSTSDHGSK EHASREASEAASSDKSGDTSSSEEESDSEEVDSSDVPSVSKQKRAKSPTRRSGTPDDK RVKLKKESSPTPSAVSSQESSSGSESESENDSDDESSRSSSSESDSGSEVDSDVNEEV AVNSSPNKLKAQKRAAVRKSSSPADHTGSDSSVTNSSESSDSEDEPQAKRPIARDETE RVDNVTNLKTGARGVSENSETRDSSSEESGSGSESESSSDSE ANIA_04617 MSSPLILLPGDEVPSEYLPNNSAPLRLGQGLRLLSQPPGTTPSS HVLTATQAGVLSTDNKRNAVSILSSSNRRYVPTTNDLVIAQVHHSSADYFHCMITPQS PHALLGQLSFEGATKKTRPMLKGGDLVYARVLSTGLGPGAEVELTCVNPATGKAEPGG LGPLTGGMVFDISTGMAARLIKASSSSSDQQEGVAGLVVLDELGKKLEKVGGFEIAVG RNGKVWVDCSNSEEDAIKATVAIGRCITMIDEHNLVPTDQRKLVTRILREMKIDS ANIA_04616 MSDEINGTGERFAIGISFGNSSSSIARINPEGKAEVIANEEGDR QIPSILSYIGGEQYHGTQAKAQLIRNPSNTVAYFRDYLGKDFKSIDPTPCHNSAHPIQ SDSTVAFSIRDTESETPNTVTVSEITTRHLLRLKQSASDFLGREVNAAVVTVPTDFND AQREALTAAAKAAGLDILQLIHEPVAAALAYDARPEAVVTDKLIVVADLGGTRSDVAV IACRGGMYTILATAHDYELGGSTLDQIIIDHFAKEFIKKHKTDPRENARGLAKLKMEG EATRKALSLGTNATLSIESLTDGIDYGSTINRTRYELLSSKVFAQFTGLIEQVIKKAE LDVLDIDEVIFSGGVSHTPKIAQLARNLFPEKTRILAPSTLASAINPSELSARGAAIQ ASLIQEFETEDIEQSIHPMVTATPHLSKAIGVEFTSGDAVEFLPLLNTETALPARRVA QYSVPAEGGDVLIRVCEGSREIKVTKPEPKPKEEKSKAEDDEDDSDFDSDEEEEDIRE IVWKTEQPIAELAVKGVKAKGKVELMIHINADLGLQITAREVGGQSAVRGAVESP ANIA_04615 MPASRAVLGLLGLFFTAGALLLMWLVFLAGVRNTTPLDNIYFLQ VDTSNIPGAPTLSRWTWWNLCAVEDGKSQCGSSHPDFPFDPPSHRNFGTEVNIPSAFI GTNHYFLTSRFSWPFEILALFFGVLSLFTGLLAMCTRIGSYISALMAWLALTFHIIVT CLVTAVFVQGRNKFNGNDQSARLGVKAFAFLWTSVACWMLACLMYCMGGTVGRKDRGY SGRKQRRRGFFTARQPSQERNKEIAP ANIA_04614 MATWAYPPASPERLKQEADSALKQELEWLLRSLQDSLASLREGL HECAALLAPKEPGSTLVLSSMRSENVKGFVTRVGTKVVKGDIQLRLSSLSTRGAPTTR LCLSQSPEAPELALSQLVSVRDSVRQCLDIVDVSTWTGDPLDARFIYSQLHLLGETIA EGRQMLKGENDIVRGKWWETSAPDNVFDPPLPPHLSFHLSIADSALVLYLRTLESTTQ AHTPTAFATDISLTGFSIRDRLFGSRGPSHDEAGDVFSWKGDEVKVREKVRVESQDPS LMAVMAKLSALHHEVIKCKTALKVLMGSEDDTDI ANIA_04613 MLSRSPALRATRAKPKNIIIPGYGSLHAGSRRLRCRAPTLTNPQ FSTKSTANAADSLKSIRHSGRTPNTYKSGHFVTGQRYFSSAKEADSKTEPDDALYALI DKINTTEAEILELLDELDLTDEYSGSGSSTQEVLDEASNKAAYHVEEQTAEERVHQAR QAFGDTLPDGYLNEEETLLYSRLYGEPINLPEHESEVEGKQDEADRLFRDDGVGGWEE VPYDATETQNDWPVVYDMEAPPIEETAAMQRTREVAEQLGAEIMLETLEEQEAEGSSP RLHPLTRQGKFATDPYTVFPPKDTVTGPISAILSEFSNKHIAEAAHKAFGGKLLPQST RTAPAHAQEPQEAIGLSASQRFMSEMEANAYMAVLYPGMYASTLSVLTEVRKRLGSDW LRSLISQEGGPNVLDAGAGGAAILAWRDVLRAEYELMVPDHPTSDPYPLGRSTVLTGS DPLRLRASLMLENTTFLPRLPDYIHTRDSPTVHDSRPPKRKQFDLVIAPYALMNFSEE YERKEYVENLWQLLKPNGGVLVLMEKGHQKGFEAIAGAREMLLKRYISSPGSTEYDAL TESAGDDRKVQKEPGMIVAPCTNHERCPMYHTDGHAKGRKDYCHFEQRYIRPTFHQRI IGAKDRNHEDLKFSYVVVQRGVDLRQTEHIVQGSQATDAAFEGYEHLNEAGEVAEAEE VARTEVETPEPLSPAPETKFHALSLPRVLYPPMKRRGHVIFDMCTPAGKIERWTVPRS FSRRAFKDARKARWGDLWALGAKTRIPRNLKLGDKRGEGKKERLARRAAERSDFQEGE GNLDDLDEYVEGRPSHSHLDIANLMREKGEKKIPSWKKHAENKRLRHASRKIARESL ANIA_04612 MAMSLANSVSSLHSSLGLVDDSIAILDSGVSDFPRISKVLQTTR HFELLPEPTIREAQKALLDEITPSIAHLLSLASNHVEKLSRREQGLRAKCELQEGRLN SESRKTSTRGQRHTASGEAGSAAKALELRRLVQKKERLKYAVERLELQSTQRERQLRK SMAFY ANIA_11444 MASLLPKKFPTPIAKPLGPFFAAGLVILYGINSASNAMANSAEY KNDPRNPNRQAAKH ANIA_04611 MSQFRAKKLDIGGFINIRVIRDHTKRKVFEQYEPERQALRYIIR NTTLPQRVRAQAQLQLSQMHAYTRSTQIKNRCVAGGTARSVFRDFRIGRYQFRQQALA GELPGVKKASW ANIA_04610 MTIVTQLALPRPSAVDPPLAETRRNKIPENRNWQEHVNSVFEGI LAARGRLVRRDAKIEIIGASEGGLGAVRYLVRNWPAWRDNISALCLANPLHTKSFDLI SSEPNTTGSKNAGLDSASFPSFVSSRCRAAYESPEFCEAQLIVRDIREEEVVSESNGG ANGA ANIA_04609 MTISPSSAKAPPLERRSSTLKDEIVDIWAQVQARVIQLAGDDSK IQTDLSIEDVLSYLEKAQDMSSKKDSSIRSAFERTLQFIDTVGGVVAGGAGEAFPPSE LCFNAVSMVIQAWQGYQGIFESLATLLEKCSQYLSRLEYYIRGGIDAKLAKVAVQHLQ LFVEICDRTIKLRRSKRRKLIVFSKLLFLNDNDIADLLEKMKSLVDEEGRLVTAQTFN FAAQAAVSTRENLAISRAVNSKIDMLIASRSDSLKESDKRRRKERILDTLAFDDNKLD QEKKEPDPYWQRIYYNYRKCAVPATGQWIFEENEFKAWERGSDDAPPILAIEGPEGSG KSYLSSTIIRRLRNRSSATTAATAEHPDSDSRTLLAFYFVEGDSKEDLKRTNHIDVIT KSLIWQFVQADASFMKSVAGICERSRDMDPHEIASKLLFNNPDIGQMIDANFFIVIDG LNDVVGDTLVSFLADAIASANSHHKIRILLTGRPRAFEQISAANKDIGFKTIHISERN RPDIDMYIESRMDMIEALRNKERLGVKDLRKKIRVELRDKTSGDYFRINTILKRISHL DYVNDIDQVLKNAGRERSQQIQAEIESLNKVRNAKEIVEINEIILWILYGREWLKPKQ VAAVLYVQSGELSLLTLEAKLQLKYTLFEVDSDGDVDFRSYEIVDLIPEGDQGQLSTA EKHRQTTKRILPEEIDIIRHFLRTVCPPVLYDKFDFETVFEHKLQHTSGYIYRDDKVT GEAKLAVTCLRILTEDRDARRDTIRPYAVNYFYQHMAAVDLSLVDRKYKRVVGPLLAR LFLDGASTDALLWTEDPQAASDFAWMARSAWLEGDGAVREVVRWLKESVAIADISDGK ERAWVSNLISSSEPEKELLQTLAKRMVVHWLREETPHKLAVYAFSFILGVLKKINRTD ATRNPTSDTDADPETAAPTLEDIAEIEAWSLTLLDCKKDSLWEVQVAVILYDYNFSLQ AEQRCRHALTLDPTNWRASYYLAHVVPSNSEAIDILNDIIARLKTDERWMLKLNNVRA FAEMLFDRGQRYWDAEQFDPAIESFTESVKVDNACFKRILTIIEQYYDRRLWKDILEL LKTVQAGAVSDTITGSRERKGNSHLSRMLVDLASEEAFHSIILHTAVETGQFDFIETV YEDAIKLSAQMEAYTSLFYIRYHYANEIFQQDGTDSEERAIVLWETALKEDLPRSFLD IDYVLPSLTLKLAPTYLSRARSAEPNSDLAQEYLHRIASITPDEGSASSASASQSNLI LPAKLYLARYYVVTGNKEKAKQIVRSVVKLALEMLSDDDADNDYLAYWRLLLVFLPLD DDANALAAVAMAALASRAAAFANVNAGSGPGISMPLIDEPTRTTNGKDHNSKKERKEQ SPRSRPAVNTASPSISLQTGPGTPEPRRPGTPNSLPRTPELKPVSLADSHSHSLSEPA SAPASAKGDDVDDSGEDPCSVPVFAICDGSCGRYWQGASEMWWCKDCINLTFDKECFE QLRSGTLPLKVCDRSHAFLEVPKYDSHGPDGDEYGVPKGFVPYMGKAISLEEWKKAIV RAYIE ANIA_04608 MAKLLTVFGATGNQGRSVINTILADPVLSKEFKIRGITRDVSKP DAKALADKGVEIVSADMTSIPSLEQALSGSHSVFLVTTPTFTENSDLELTQGKNVADA AKRAGVQHLIFSSLLNVSGSTSGRLKNVPHFDHKAQVEQYIRDLGIPATFVLPGYFMS NYVFLGMLRKGEDGVYTLAYPVNEGSQFPLVDIEADLGKYVATALKSPSKTQGSRILA ATDYYTPTRILKEFEEVTGHKTRFIQIDHETYKSFMPGYVGLEMLENHLFIEEPGYYL GQSLKDSLDLLTDAGFKPTTWKEFVESHKGAFA ANIA_04607 MEGRPISSRDSTMHKPRGRSKTVREKWIVDPLIRKLTGTFIDKA TSKYYGETKHTYTSEAILSIAMTFDICPGVKAQRLHRDDQNFHVDHEDQTKSGYRVGS DVMMSFMVPGVKTTFENGATLAIPGSHFWEFDRAPKLVKLSVPR ANIA_04606 MALRDSGGSCLKCRTRKVRCDRALPQCSQCKQRSLDCFFPEAHP RLLWLPVRTQVDFSLDQEQIELDMHVRRQPLFKASSICCRFAFPNIRSSIRATLEQLD YSAEGIDDGMSTSSGPFHAFRCERTTGKSTLSTTTSPNFPGLELWRLLSDNLGDALNE EDIITAPWSKELCFPDELLEINQQPEEAYPPLQLSQQEQPSVISPPDLEEFAMSTAKL LLDHYQNITATLYTPASVESKTPWEVCYVPNVLSTLGEIALTGTSSDAKASLLFAVLA NIWYDMCKNKLETRIGSQTDTAEPDCQRRDEKCSALPARY ANIA_04605 MSSLGLHFGIIKPSDIILVDETGIAVGGNRTRPANAAGFLIHSA LHKSRPDVNAASHFHSTYGKAWSTFVQPLEMLNQDVAIFYVKAQSVYKEFGGVVLEED ESAALASALGKEGIGMILKNHGLLTVGSTVDEAAYLFLLMWKSCQIQLAADAAAAAGR EKVYICDEFARFTFENTSEAESLHAEFQVYLQFESGDSHDGYKAL ANIA_04604 MNDEKKSLGPSESNTDLEPTYSLGVGVVKNHGDLHRSFTPRQIH VIALGSNVGSGLCIGTGKAFANGGPANMILPYSTVCIAIWAHLQTFAEMTIVSPTSGS YIDYADRWVDPALAFGAGLAEWLGWTAVFASEATFFAFLVDYWTKDVIPEAALLGGAG LTGSVKDGSTWTDLPAFKMASKALLVQLFLPSGPSVIISILAYWVEEHGRRAIHGTCG QCGSLARDCLLYGLDYLCLCDCAIIRSQTARWLWLAASPFVIAIDNAGIKVAPDLVNA CMIIRIVVIALECIFLTSRMLRTMALQKLIPSFIAEVDKRADPAGRS ANIA_04603 MNGEIPSIAVFTSSRAVIGGRLTSATIVVSRTTGKVTAVFDSVI PASDFPDGTPYTDFSPYVLLPGLVDAHVHLNEPGRTEWEGFYTGTQAAAFGGVTTVID MPLNAIPPTTTVENFKLKLKAAEGKCWVDVGFYGGIIPGNAGELKALVREGVRGFKGF LIDSGVDEFPAVSSEDVRKAMAELADEPTTLMFHAEMVPPKTPSELPEVMPEGAPEAY STFLASRPSEYELCAVEEILSLSHLAPKLPLHIVHLSAMEAIPLLRKARAEGVPITAE TCYHYLSLAAEEIRDGDTRHKCCPPIRSKSNQDALWAELDRHAEDGVIKTIVSDHSPC TPDLKLLPSHIPGNCSHGSSKHANTTPVVNEGSFLSAWGGISSVGLGLPILWTELSRR KGLTSSPDDTTTKQALQDIVHLCCANTAAQVGLHKSKGDLVPGYDADFCVFDDTAEWE VEPSTMLFRNKCSPYQGRTMRGMVRETWLRGEKIFNRDDGFTVKAPSGSLLLEKRV ANIA_04602 MADKKPSDPTQTNPRGIPVAPFVDNVSDYVSSRADVEPTMRSFQ EMISKYQFMEVNTSRRAAGLREKIPDIKKTLEMVTFLKARKESSSATPLETNFELNDT LYARATVDPAHTEEVYLWLGANVMLAYPIAEAQAMLEDKLAAAEQSLANCDEDLEFLR EQITTLEVATARVYNWDVVQRRKERAEGKEETS ANIA_04601 MRPFIDDAKRRAERRLSASRQSISARRMFASSFPDRLKVDADDA QMDYTAPPSSNDSRDGMQYMQQSVMSLIAAVGSRTDLRARFDDSSDSEEETRTRPRFL SEKPFDQQITASPRADVTLHVPSAPETRSSSRERGRRHRRSISEHKLFRPFKAGSASQ DKKQDASPDPGPSTSDRLSPIPVLPRPRSATPRAAPILSRMVEARALLETENPEENPQ TLEEKEQGVSKKSQVSPLSRQLMEMFRFPTPEKVVVEYACSLLQSMLLQGYMYVTEGH ICFYAYLPRQSTRVIKSGYIYKRGRKNPKYNRYWFSLKEDVLSYYADPSNLYFPSGQI DLRYGISASLTEPKDKSRESRDFQVTTDHRTYYFRADSSVNAKEWVRALQKVIFRTHN EGESIKVAFPIENILDVEESPMVEFAQTFKIRVVESEETYAIDEHYFTFFDPDSGRRA FDLLKGLISGTPTRSPPGLSPLPDHTAQPRRSRGSQNRWSLTSGSKSQVVSTRRQRSA STSILGSGTGNENSPQRQEDSSSSFFNSIDQVTESSAVLQSITDTTESASQILNRSDV FQSPTIHTWQQRTSGTARSNRRHSDEITRSTTEHGLDGISLARDGPEMQYTNSDSEQE SKDASRLYSAVALNEIVKAGTYPLQRAAGLAGYLKSRSKEMSNLLATESMGYIEKVSG MWAGGRRHYGETDGLLPDDRALYPETAEESLRDRERFRAHFALPPTEKLEAAYFAYLH RALPLYGKIYISQNRLCFRSLLPGTRTKMILPLHDIENVEKEKGFQFGYHGLVVVIRG HEELFFEFNAADARDDCAVTLHQRLESAKFLVESISLSQQETDESEAAKVEHRMLQEA RRNASAEQDLRPGLSESSELHSIFDDPRASIVNFKPAEPLKITCLTIGSRGDVQPYIA LCKGLLAEGHKPKIATHAEFEPWVRKHGIDFAPVDGDPAELMRLCVENGMFTYSFLKE ATAKFRGWIDDLLSSAWRACQDSDLLIESPSAMAGIHIAEALRIPYFRGFTMPWSRTR AYPHAFAVPESRLGGAYNYITYVMFENVFWRAIAGQVNRWRMKELGLRATNLDKMQPN KVPFLYNFSPSVVPPPLDFPDWVRITGYWFLSESSDWTPPRALAEFIQCARQDGKKIV YIGFGSIVVSDPSALTRTVVESVQKADVRCILSKGWSARLGDPTSTKVEIPLPPEIHQ IQSAPHDWLFSQIDAAAHHGGAGTTGASLRAGVPTIIKPFFGDQFFFGNRVEDLGVGI CMKKLNVSVFSRALWTATHDERMIVRAKQLGERIRSEDGVATAIQAIYRDLEYATTLT RQRSSISSTPFSPTPSTKTSDDQNANDDIADIEDWTFIGDESDLEFPRRARERAVSGA DNIPERTILGSRSIYADS ANIA_04600 MAAKPVKEPKKARETRSESVSSSSAASDSGAEESSSSSSEAEQS DSSDNESAASSDEKATGQNGESGQKVSKPKAFGAPRQYKPPFGFKSAKNQPSSSTTTS TLSNLAGKQVFHITAPAFLPLSKVKEVSLAGALKGEPILKHGGVNYGIPADSLTHAQA GAGGQSLFLYDAKSQSYYTTVTSIPTYRIQEMVELPGGAELEEATVRSAKAMEKPPRK QPKHLKMRFRPVGSGDAPPETLGSSSEESEGEEHTVKVPQGLEKEKERKRKHQQTEGD GSQAAGLPRKKSKKHSNDVEAGEEKSKKSKDRDGKKRKKEKA ANIA_04599 MMKRKADRQTAAAAPVSAFAARKARLQQTQTQVQTVVASEKTTH TDVAAEPPSKRPRRSLQESQAQSTSEEDTKRTSKRSAAKTEKVQRVDSGTITRTRETR MKDSEIEVEDLEKERSSNEESEGEDAVEENAAGVVAVPAAEDGYESPADNTMTVVFPL SKIRLNKNNIVYSDEDTLCVRIQEKLSIVLIGQYDLWVKRGVVSVMGAKLHPSPRVYR VYAPSTHSLPVIKCVTGVNGAAEVEFKSCHSGITRLRDLSPLYQRLWNSGNTPADKLS LKAVGQDARRTFSVLHTSADDPLKRHLRPLHLEKQWSAAIKVLSQRAGRLQVLICGPK ASGKSTFGRYLLNHLLSPAPQPELNYTNTDGVAFLDLDPGQPEFLPMGQVYLAHLRSP VFGPPFTHPSLNNEREGTIIRAHHIGATSPKEDPDHYVLAAANLMEQYRTLLATYPQC PLIINYPGWIFGLGLEVATYLIQSLGLSDVVYMSEKGPTEVVEPLSQAASLARVSLTI LPSQPTEFVSRSSAQLRSMQMQSYFHMAQPAGVSHPTWLENPVSKNRPFRVRYAGENR GVHGVMTLDSQIIPDLLCESLEGALVGVVAIESPNALPIPSSNAPPANEEEATSNADD DVDMENETEHNRNATISHLTTLTISTKESLPYITSGPGTSTPLHPSSSSMLGLALIRS INPDTQTLDLVTPIPSTRLIQSLERGHALVLVRGVLDNPNWAVAEEYYAARAEERRVR RGIRGRKEAGVGEQGDESVEQRLLGLLKERIRRAKDVPFMTVVEDHGRRKQEEAAQRA LWKLRKKAGIESEDEVGY ANIA_04598 MDFILSLTHFCEVHGPTSIICSQVLPFSCSQCYPENTTSSSEDT PATSHDTVSSHGLRSTNASGKQSPSVKSPAKQVDNADTPHRIEDYPCFVKSPSNATET QKLNILGGADGDTCASCSLTLPEDVSKQLPPGAPGTARGDGKGKNGSPVLRSREVVYS CGTNHSDLDDSAHDAHIHGSLPDSLHSSSVASDISCHHHILTYLSLRGPPNPADYALL RRSSIRTLSCELLPRGLSSGPLCFGDSNAGYTIAYIFRLPDPMARGKRRSYALVALAG KDARRAFRACPIIWRAFDRIATSIYNAAEKFQEDEKRRDEQNNVANRPGNRQYTPVSS FLTGRAVDPDGQLRRPGQVRARNLTEIVGNTYLFAEIHGSFVALLQQLGSMFGAPPVS EERFICSTVNEEEDMGRRRSVSSTSVGKQKESVQKRDGGDLGLSKLEISSGPKPIPIA PRRSVIA ANIA_04597 MTSIARGRPSRRSAAARKSYVEETSESEDPGNVTPTPSYPDGDD DGEEEFTPVPQKKAPARASRRRMTSETPKAQAPRRSRRSRTAEPTDTSSVADPSEDGE SIASAQDESESASPNTSVKRKSMGHEEPESPSRTATMKRKSMARKSRVSSTPNPEKSS LPTPEPSLSPEPHPLPQRDNVPPLADITDSAVNQTPAKPTEDTKSQFSIVKPNTTILE KPMDIMLKSRTLGPPKPEEPQGPKSRLMITTLVLNNFKSYAGKQVVGPFHASFSSVVG PNGSGKSNVIDALLFVFGFRASKMRQGKISALIHNSANHPNLPFCEVEVYFQEIIDLP GGEHEVVPDSQLIISRKAFKNNTSKYYMNGKETNFTAVTTLLRDRGIDLDHKRFLILQ GEVESIAQMKPKAANEHEDGLLEYLEDIIGTSKYKQPIEEAATELEALNDVCVEKNNR VQHVEKEKNALVDKKDKALAYLRDENELAQKQSALYQIYIDECADNLRVTEEAILQMQ ELLNLELEKHEGNESGIKELEKAYKRAMKEYERMEKETQELAKGMAKYDKETVKFEEK KKFLVGKQKKLEKAMTSARLAASECQSLVKRHSEAIENKSKETADYENEVEHEEQELT KIRESLKGKTQGLSDQIAAKQKSLEPWDEKINKKQSELAVAQSELDILKEKSNAGAVL LEEAQSKITSIEETIARKEEDLQECKTQRSTLEDEVEQLQHDLKKYSMKEPDVRAHVS NARQKAEEARATVASTQNRGSVLTGLMRLKESGRIEGFHGRLGNLGTIDEKYDVAIST ACPALENMVVDTVEVGQQCIDYLRKNNLGRANFILLDRLPKRDLNKILTPDNVPRLFD LVKPKDPKFAPAFYSVMQNTLVARDLDQANRIAYGARRWRVVTLDGQLIDTSGTMSGG GTRVARGAMSSKQVGDITKEQLVQMESDLEEMERKYQHFQEKQRRVESALREKTEEIP RAETKIQKIMIEIDSAKRSLADAERRVQELSAAHKPSKTDASRVKVLEEQIVGLEEQI EDLRSQKGGIEEEIQALQNKIMEVGGVRLRSQKAKVDGLKEQISLLSEEISNAEVARS KNEKLIKKHENARAEAEKELANVAEELQKLNEDVANQANDASGWKEKVDEAQDALESK KAELKTMKAELDEKVAELNETRATEIEMRNKLEENQKALAENEKRSRYWQEKLSKLTL QNISDLGEDQQPSEFQTFTKDELAEMNKDSLKAAIAALEEKTQNSSIDLSVIEEYRRR AAEHESRSADLATALAARDSAKSRLDGLRSARLNGFMEGFGIISLRLKEMYQMITMGG NAELELVDSLDPFSEGILFSVMPPKKSWKNIGNLSGGEKTLSSLALVFALHHYKPTPL YVMDEIDAALDFRNVSIVASYIKERTKNAQFVVISLRNNMLSVEAPMVQVRQYYKNVV RKTTFIELRMTELQRPQQVREAFKESKQLIKLSMKTRKNP ANIA_04596 MASWEEFDAIFYFNKNFTYDGKVIEQILSNRRALDNRLFADRLL ELLGVQAVTKLYPPISNSDLRTLIRHIVSSELDAHQKQSLIYYILKDCRAPSDVAAQF ARRCHLPEKYRLFIEGLWNLDRLEFKRAIEYLSEPSIIPTFPDEILYALTLSHLPRHD DSLVMAYYLTVNPPLTSEKAQRAFMETLCRASITEAFYFTRKHHEALRQAYLTQLIEF VHRTDAGQMRSRRAMELIGLPFDDQEEQWFEDALLRGSAKTLHGAKDTVMARRLATGK VAGLSAELESLDGKKIDGLNWDLLKQSMKPPLNSADRGQSQ ANIA_04595 MVVCTYFQQGRCKFGDRCKNEHPRSQQTFTGGNRFGALSAGGGF NSRGFSGQNQQSKQEPANYGITTADIKADLTAGEGRPIWVFSCYGPGKNAPRQLFGGP QREQSFEELRLRHYEAAAAGNPGPAIQEAENMYNEAVNQMEVVLRNLNAALKYVVDGA NEHPNRIDIIKGDTGSGVGQTSAFGQSSTPAFQGSGFTQQAPSRPAFGQPSFGQPSLG QPSGFGQPSFGQPSGFGQPSALGASSGFGKPAFGQPSQPSFGKPSLGQSGFGQPSTLG GSGFGQASDTASPFSQISATPASGFGNASPFAQAGSGFANSSTAPATTGGFGVPSQPN NPFSQPSAPFGQPVQPTNPSPFGTTTQQPAAAPSSAFGQPAGGSIQISQPASSGPVGA GPPPFIRIENPNELAPIPPLSGPTTHNPMTKKLQTWKGQPVQYIDDVPCYLHPQDRKT YVRIFFPDGPPDAASLRDAQAEPDQYTPEVTEQYEFFIKNGYFKDGLIPRVPPKTEWV SFDF ANIA_04594 MSYKQEKDFGEGPKVHKIRITLTSRKVASLESVCAALIERARSK SLQVKGPVRLPTKTLHISTRKTPNGEGSKTWDKYEMRIHKRLIDLLAPTETVKQIIIN IEAGVEVEVTIAA ANIA_04593 MATLPPLAPLPPPEVQRSIHLGEWELYLDAWILLLEARLETSES EFKASALNDESAVPFLTSFYHQLAASGGHAFQSGEKSRTLRKLTFLLTRRLVLEITPP PSELLEWTYLSEMGCCYPSSSALKELLSDAWNKHENVITSSLEKGKAQIIVQLSSTNS DSISRAVSNIRLLTILVSSLPDCGHVLMAGSDFLDILAETYQTHESEDIRKSLVANVY VGLTSLLKGARPNLTLLLDQLFGLKASIGVGTPKVKREPTLLSDVICSSDFLSRLERF LVMQPQKRGQDLVLSLRAYQTDSKPFHHRYQKPKKRVNKGKGRASDFPVPDEVHAHRM SLVSQIQDLFPDLGSGYVVRLLDHYGDNPETIIAHLLDASLPPELQDLDKSEQLPPVP ATQRDPLPPKPTPASFSPPEPRRNVFDKDVDLAELARSADNKTIHFGRANPSQTADDI LADRGNHAANKAAILSALAAFDSDDDERDDTYDVADVGGTVDATDDGTAEDRKSSSTD EFDLTLLRAYKSTPSLFARDSATRRSQPRNALKRESGLTDEAIEGWAVMLNRDPKRLA MLESKLALSAGGDGGGLSQPEIPSTSYRRPKGDADSESATEGETPTSQRPGWNGSARG RGRGRGGPRGRGRGGGSGGGPSGDQNSAASRQRKEENKASRANHNRRQQRAKKVARAG GMVG ANIA_04592 MASHTKDALLSRRYIEGQIAAGKHIIIFDDRVLKVDSWIKFHPG GDKSIKHMVGQDATDEINALHSVEARQRMLAFQIGRIQGPWVNFLPPIQGGKFRHYDE NADSEEDDTSGQSQPPSPIFDAVDAAPGVRRQYASSETSVSTPASESSEPKPFFLDAR TREEIVLDTAKYPSLDAKTQQDIKRRYRELNKRIEAEGLYDCNYFSYFIEACRYTLFA GLSYFFLRLGWYSVSAVFLGCFWHQLVFSAHDAGHIAITHNYQVDSIIGILIADFLGG LSLGWWKRSHNVHHIVTNEPEHDPDIEHMPFFAISHRFFMNLRSTYYDRVMYFDAFSN FMLKYQHYLYYPILLFGRFNLYRLSWEYLILGQGPRKGPAWWHRWFEIAGQIFFWIWF GYGVLYCSIPTWGSRLSFLFISHMVTAPVHVQITLSHFAMSTADLGVQESFPQKMLRT TMDVDCPTWLDFFHGGLQFQAIHHLYPRIPRHNLRRTQKLVLEFCRDTGIPYALFTFT DGNKEVIGRLGDIAKQVRILEECRKSCAQQGVFSNHH ANIA_04591 MASLEDSLSPLIQKWLEWDQSANIDQDPTTRSEIEQLRDTRQAA ELEKRLRKRIQFGTAGLRGRMAAGFSCMNSLTVIQASQGLAKYLRENHGDFVHCGVVI GHDARHNSARFAVLAANAFIAQEIPVWFYSGPAVTPAVPFGVTDMRALAGVMVTASHA TKCLVPPSSVFIFLLTSFSYFKNGCQINSPMDREISESIERNLEPWPNAWTESPTGKY FNPKTYEELLPRYTTRVWHYSKSTVQNWRYPKPFVYTPLHGVGGLVLPALCRSLGITD FTTVKEQAEPDPDFPTLPFPNPEENGALDLAVETADREGKSLIIANDPDADRFAAAEK VDGKWFFFTGNHMGALLASHLFDSLENIDTDTRVAVLNSAVSSTMLEKMARAKNIYFE ESLTGFKWMGNTSRKLEESGYYVTFAFEEALGYMFPEVCYDKDGITAAMIFLSAQARW ATQGLTPYTKLQQLFAEFGHHETLNNYFRSPNPETSMALFDAIRAGQFRSEMKLGPFK ILRWRDMTEGYDSGTEDKTPRLPADKSSQMLTLWLDRDVRFTIRASGTEAKVKFYIES CGSSKQQAIDAVCDTLRAVVKEWIQPFAPSLTYSKQLPTSSGYTLQLD ANIA_04590 MSDQISSWNVVHKFEKRGLLIAINCVAALSILFFGYDQGMMSGV NNSKDYIDLMGFGYTEMKDGHPSPVVTNSLLQGGIVSVYYLGTLFGALVGGWTGDKVG RIKTIAFGSVWAMFGAALQCSAQNHDWMICARFINGIGTGILNAIVPVWATETAEHTS RGQFIAIEFTLNIFGVVLAYWLEFGLSFIDNGASPFRWRFPIAFQIIFLLVLFAAVWF FPESPRWLVKVGREQEARYILGRLRGSSGEDAIRAEAEFQDILSVAEAERSMGHSTSY LAMLFGYKTGKLHLGRRVQLVVWLQIMQEWVGIAGVDCLCPDYFQHCRIRFHEESMDQ RFKQRLLHEQFATMICVFTLDRIGRRWTLYWGAAAQGIAMFLAGGFSRVSIDARDAGN MARAESFGAAAASMVFVFTAVFGATWLTVPWIYPAEIYPLAVRAKGNAWGVVGWSIGN GWLTLLCPVMFEAIGEKTLYVFAASNVIAIPMVWALYPESNQRTLEDMDLLFAADTPW VWDAEKTFARLKAENPGFIETAARKNSVLDEEAAKSVTLAHRETVA ANIA_04589 MSEQVQELLNIPQEFLRDGMQFVNRSQKPDKREFIKISQAVGTG FLIMGFIGYIVKLIHIPVNNVLVGGA ANIA_04588 MTRAGSDAVIAAAAGSEKDLHCRLRCSWFRWCIAAARIPRARAV LKDVMSKLRPSLESQYNYDMRVDSLLDPSVDDTVIGPAENNGQQMTAIPVNFESGQLP FLVVKHGGLSLLAWNFWTSSLAMLPTVLRQPVWLCMPFVQQPDPGREWGVAFHLQPAD GSLEPRGSFADVAFLRKVKLEALRLV ANIA_04587 MTLLCSRMGNGLAEADTYKKIDKRQEYEAVPVDQTQILAKKVIQ HPALTPFRKSLYLALLQIPPGQWTTYAALAKHTNSSARAVGTAMRLNPFAPGVPCHRV LASDGTLGGYMGTPSASVSARSKGSGKGIEKGGNLERKRRMLEEEGVKFDLKGRVIGT AFVAFRS ANIA_04586 MSFRPTMSQPIACPPMEHSPSSLSSYSSYSPSSSYSAVSDDSGM SMLDMYFLHGGRGHGASPGTSTGPGSVVDFPLSQQSFDFEPSSLDSNGPYFEFNPTFV YTPEAFPVMDAPTSYPASSNPAWSPTSMLVEQSIFPLDGLSQEPVKPAKPYSCEDCGK AFTRPADLKRHHSTVHYPVFQNCPVPDCSRKDNHGFPRRDHLVEHLRSYHHMDVPKRR AAKRLRTV ANIA_04585 MTSRKTQQEIDKTFKKVAEGIQTFEGIYEKIRAATNPTQRDKLE ENLKREIKKLQRYRDQIKSWASGNEVKDKGPLLEQRRAIETCMEQFKAVEKEMKTKAY SKEGLSAASRLDPKDKEKVETCDFLSNMVDELQQKIEAMEAEEESLQMSMKKGKKDVT KTNRLADLAHFIERHKWHVNKLELLLRSLQNGNIETSQVVDLKESIKYYVEDGNQIDY AGEDETLYDDLNMGDDAEAQFGIGGDNDRVSSQDTQSMQDEEVEAKPKPKAEASATSH RRPSAQMKSPLPVLATLHPSSSSSSASGMKPAPPPTRLPGETLKYASAAAAAAASDKN GVGIAPLPPPPGASPAFPSAVPASKASSTASPVVTLAQPVPKATPAAAIVAEEGRSRT PAFSPKVSAAVSASNTVPSTPAMDKAETASTKPPAAANGESNKENQAGEESIYHLPPG LQDLIHSFEVTKNRASANPSSQPPSVQRLLTASAANCPEPGDSEKPRHYKPQNPYNTP LYYPQEPLAILDDPRLYETGRIDTDTLFYLFYYRQGSYQQYLAAKALKGQSWRFHKQY QTWFQRHEEPKTITEEFEQGTYRFFDYESTWMNRRKADFKFIYKYLEDEL ANIA_04584 MVNRILKAKLFPAENDKQASNTGLDIDGEVLCVSQFTLFGELKK GKQPDFHQAASADTARRLYDYFYQRLGENYKPERVKNGVFQAMMDVELINDGPVGVDY HSEDAAVTIEVNTQLPKKEKEKGADTADSSDSKTTGSVEFKLPAELLE ANIA_04583 MAESKRPRVFFDIQIGQQQTGRIAFELFNDVVPKTAENFRALCT GEKGMGKQGKPLHFKGSIFHRVIKQFMIQGGDFTAFNGTGGESIYGEKFPDENFELKH DRPFLLSMANSGPGTNGSQFFITTVPTPHLDGKHVVFGEVINGKSVVRKIENMPTQAD KPTTDVTIAECGELTGEDYDNADKQTPDATGDPYEDFPDDHQGEELSAPVCFKIASEL KNFGNTAFKNGNIALGLEKYQKGLRYLNEFPEPEENDPKDLEPQMKSLRFTLHSNSSL LANKLGQFKNGKTWATYALDVADAASAKDADRAKVYYRRAVAESGLKEEDEALKDLEQ ASTLAPSDAAIAAETARVKKAIKAREAQEKATARKFFS ANIA_04582 MPPSRTPRALPRQTARPQFASTPRFLFTQRASSQRKEPGNNDYT LLKDDVDVISNLHPTPTPARETISRQKEVIEDSSSDLELEQDYNQTPKNDTSGLLEDI PSSPPPDTTEVDAEFEELFGPKRHPSKRRRASIPESTTLGTPKVQKRRPYDDIETSSP VTSPYGWTFAANAALNHEPPSPSLPHRTTPRNLRTSLPQPLAPTPASATPATAKPSVH SYSRFLVSSASRPPPKPTFVLPRPSSPEQTGDLGPYAIPTPFSPSSHPLRRRGRQRSP APSYLPGGMASEVRSWILEMGTKREQQMQMASGRGHTSANVCSADPSKYSYVLRISDV RQSALGSCGPLAFIRGQVVATTSVSSENSMHDSGLETNGAGTDTRNVLLMGAPRLHAS ELRPSSGVPSLQAGNLVGILRWLVWEIPGMQACKSSVSLPAEHRHEQIWGDESERSSE LEFGGWLVGMEWEIIQSV ANIA_04581 MPRPRHNTTSLPRGLRQELGIRDRYGERKNKRIERSRKDLRREE RAENKRGGPGSKKSANSRHRDDEDDDNDFDDLGDGSDFDGDSGESDEDDGDVMAKLKA AKQKMEKPSASSRKVEDDALRDVPRKEVSKAVQDQLDQDDAEIAALEKKLGLKKGKLP KSFAEDGLDELLGDLGGGSADEDRKRKREADEWLLNKRRKAQGMPVEESEASGSDDMS DEDLESLPDDEDIDGFDEEDGEDESEDDQPAPKKRENPYVAPVVATTEDKPGKYIPPS LRAASSSESESLTRLRRQAQGHLNKLSEANLVSILAEFEKLYREYPRQNVTSTLVTLL FGLICERSALQDTFIILHAGFIAAVYKVMGMDFGAEIVQKIVETFDAGGDDRGKFEGK EMINLISLLSQLYNFHVVGSTLVFDYIRLFLQDINEDNTELLLKVIRNSGPQLRQDDP SSLKDIVLLIQPAVTKAGTASLSVRTKFMIDTITDLKNNRLKSAANSTIASEHITKMR KILGSLNNSRVIRATEPISISRSDIHNSSKKGKWWLVGASWKEDPLESARQELSSLSA ANTHQATIVADDSEAEPDYASIAKAHRMNTDVRRSIFVAIMSATDFQDAHVRLLKLRL KRAQEFEIPRVLTHCAMEEDAHNPYYTLIARRLCGELGRRIKMSFMFTLWNIFKRMGE SGDMDDDEEDGSNLHGEDEENPLSMKSVVNLAKMYASLIADGTLTLGILKTLNFAYLQ PKTKAFVEVLMISIIQQSQKQKKNKKSKSKSKSEDSLDEQPLVEIFMKTEYTPQIIKG CIFFLRKVVAKTDIVASEKEAVMVKWGVRVAVDALKVVESKESKFS ANIA_04580 MTTPLGHEEKARGSVYLTQKPLLNTQSPDSADKETLPSILIRAS DGNTNAPSPKTHSNNKDKKAKDTKVKISTIVRPGELEAFYARYAEVCKAGMTGLKKRD RKLKKAKAKGSAGKVVKA ANIA_04579 MSDIFDAPPPRRSLTLPTKLNPSIRRSSESYKPSENDLFYHPCA KVVHFAPRAVAPIPSSTAPSDFDYPVDTIETLPWRSPTERTVAFAPLRLEKVYGLTVF LKCGSVVHAILKNSQCWCVDRESTFVLRIRPLTYYRIELPNETDPDKELVTQMKDALS KILRYEVTPCPFKRGFTVEIPEEAKAPRRKRAWRPKGQRESALMQPAHSQETFTISEA TTPDSLSAAEDVNIAATDNSGFTPEASTTESETPDNREQLPEPINIPVSTEMPRRSVS ETQQSFQTLLVRFEDTESHDSPEQTLSSSIESFHSIDVTPEPSLTPPSPASPDDTHRM WYGHREVQPLGEDFAYAETLHARDQMYTPQNLYPEQDLKLRRTPGSFSSIHSATSASS NPDLSSMSIEFRRRSKASCERETGPVRPPSTLILSRPEKHEAASLIQKTCTLVLVPPL SLLIVLIHIAARIVIGPALDSPAGEFHRKLERQTSRPHEAVDDFDLPLAPDCSRKPSL TK ANIA_04578 MSSFEPNAVIRGAQLTVVGTVRALINPRLFKYDHFRQAALAIGI GIIIQLIIQIPIISLRLLIWITSWIVNLEDATWDDALLNSLDFLNKSVLQVPFLLMTL LRYLVPTLDEIFMDSLNWVDTTYVHKHKTDDPNTLRAMYYPNLVQYPTANGKGESKPV VKKLMAFLKRYGRKVGMLLGIYLVSLVPVVGRFVMPAASFYTFHRAVGTTPAAVIFGT GILLPKRYLVTFLHSYFASRSLMRELLEPYFSRIPFTSEQKSRWFKDREGVLFGFAFA FTFVLKIPIIGVLMYGIAEASTAYLITKITDPPPSPAESEGFAETQVTWKNKHDFLRL SLDNIDKLNVAAHEQPSPKPATPGRKFA ANIA_04577 MGTKAIRTAVKVDLNKPAREQKGLHNRWHPDIPSYGTIANNEVV KIECLDWTGGQIKNDDSADDIKNVDLTQIHYLSGPFDIETAEPGDVLLVEIQDVQPFE DQPWGFTGVFARENGGGFLDEIYPEPAKAIWDFEGIFCSSRHIPHVRFAGLIHPGILG CAPSAEVLAEWNRREGELIAANTLGRDVAKPPEPSNVHAGSADGELAAKIGREGARTI PGRPEHGGNCDIKNLSRGSKVYLPVHVPGAKFSVGDLHFSQGDGEISFCGAIEMAGVI TLKFTVIKDGMAKMAMKSPIFHPGPVEPQFGPGRYLTFEGFSVDEKGKQHYLDATVAY RQTCLRVIEYLRRYGYNDYQIYLLLSCAPVQGHIAGLVDIPNACTTLGVPMDIFDFDI RPEADAVKLDMGSCAFASK ANIA_04576 MAPQDLRVAILGAGMGGLTSALALAEKGFKHIDIYETASDLGFV GAGIQLAPNMARVLDKLGVWKAIEAEAVNIEETSVRVGASNSELAHVDLHYIEPTYGY KHMVGHRYSLANGLYQGCLKHSDKITFHFGTSVTEVHSFGRGTQPKPSFTVTPRDSSK QPYTVHADILLGADGIKSVTRVAMLNDLAISPVPAVKDTNQAAYRIMIHKDQIESDPN ADPELKALLASNKVVRWIGEKRHIIAYPVSNNTIYNLSTTQPDTNFAAATNATYTTKG SKSAMLGVFADFCPLVQRMLNYVPEGEVCEWKLRTHEPLPTWVDGCTALVGDACHPTL PHLAQGAAQAIEDGAVLAVVLSKLPSTDTESINKALKVYEMVRKDRAYALVELAAASG RALHLGEGAAKEERDKQFAALKAGKGPVPDKWADADVQREIYGFDCIKEAEQMFEEYF GAAAATATA ANIA_04575 MKASVITALTWAACTMAGPIGLRDVAGEDCTCEIETVTITVPAP TEVGTIGGPTNPPGTATWSEPAEPNPNTNTGTATGTVTATATATATETWTNTVTATGT ATGPATVPPTSAPTPCDDEECHGTGHLIQDLGPQVNRALTVTGADGERFLVQVNEDVY NLLSGRVSLSDSIGEIVGDAATIGDLIADLGPIIDCILTIVGEDSHILLVRLAPEVAD LLRGTGVTLGLDAINNPIGGLLGSLTGGLLTRDEAQPLTVTGQSGHDLPVALDGVVGN TLRENNVSGPIGTVIAAAISVTQLLQHPLAQDSEDLIVVIGRDTGALLIRLAPGVARL LDGLLPGIATPVGRIIAVIGDSL ANIA_04574 MPPLFPSSPSGLLCLATLITTTVSSSFPPNANHIFNAIHSSMRQ WGSSLNHNGMSFFLATVPEGTQLYHGDWRSDPVQGPEWLAFEAEHALVFTHPMPHNPP PEDGSLRGPGRRPGPPPMQLDSQRVIGQPQPAQAADGFLHTFAAAKDLRLLYVDGMSA GKTDNGTMDSQDRIVFRDGLGDGEGMRDEKKRAELFCQMVKDWWVGRLDGMLRMEAGF EIILCDFSDLEVLQVARVRPSEQGMPGDDIGKHAGQMWLPAVASRYWNIGGDRVALNY DHFVTAYDNAYKLDLFPDTGAEANATRHPRLEHIPSTELQPLQHDLDALILEHEASEP STNWQAVADMVVERYGRRLRFLASGQASTLQQLQNEIEDVVTPFIDYDHRNASLEAER CSKQFVRRTASVTTLAAEAVLSVSHSICMTLIEALGYTEYDAVVDQIQGLMDYLAWTT WKDCRGCGDHEICMIPMWPMGTVDDYNHPQCRVISQLDGHGPRYWGSRPPRPKPGPLR SEL ANIA_04573 MILTPAWLFVFVTPIQTVSGRAVLPVPSPPMVDSSVRVPLQILP LGASITWGKNSLTGNGYRGFLRDQLRQAGWEVDMVGSKHHGEMEDNDLEAHPGDTINK VKAASVHSYRYKPNVVLINAGTNDCRLNNHISTAGDRMRSLIEGFLHAGDTDTGPLIV LSTLLPSGQRRTAKNVPLVNNQYRDLVQAMRKEGVSIILAEMNSEDSDIKYPEDYMID GRVDSTHPNDRGYEKMARIWYEAIVDAAGQDLIPRPICYGDVEKLSPP ANIA_04572 MRHLLLTLDAFNTLFHPRLPIPTQYAHSASAFNIRISPSALQPA FGASYKALSASHPNYGRELALRGDYAGPRQWWEDVIRGCFERALAANPTANSARGNRV PDGLIQDLLERFASARGYKLYDDVVPFLKEVRAQRMKTSQGGGRKVIIGVVSNSDDRI SSVLESLGVSVRETRAGEEKTRSGGDLPGFEEEREKEKTANLPQDQKQKDIDFVLTSY QVGAEKPDPLIWNVATRTALQLTGESDAANDEAGGWERIHIGDDYGKDYRGAVDAGWG AYYLAREAEAAAQAPEGTKVITSLLDLLPNIPINSQHASVPSPCYPITPAN ANIA_04571 MSSPSTPRTARSASAAAVSPEMLTPGRKIKAMLAAFDSDSESES GNPVDLDTHKNHAGFPGGNTKSTMGQPPTDPDEENDDDEDIIMPRGRMAARLLNITTE NKQLPTESAYERVSKTLRANKDDSEDTRCGTADDTTDSSDDDLPTTGPRRRVQQMHGE DESRSRSHARSFSPLFISSPAKSLNDGHEHSEASGAEEEVAPKRNSRFLELVAQKRKE REEKERAEAEKKAARMKEREALNAAMFSDDESTDDGTSRQKKATQARPARRAGKKALE EMSRETQRMSRNMQLAHDARTKKKISKESFFARFNFMQSQAQAAPEQPGDNSSSTAGS QHSSDVEAHKDKGTPQTSPVLSPADAHYEPDKVPRKDIEFPALKEPLGATVKVTEEIF GKEDQPDKPSAELSSVKSGQPLRIAPVRVRLSREQVARHQKDDSDSDLEIVTSPAKCR SIAAFENLPVRKVQEPASIFKLKALAQLTSPTRKSTAMNPAELSASLLYQARLQAAKE RMERIEELRAKGIVIETAAERAAFEDEVENLVEKARQEGEAIAKQERAAAKKANGEDE DDEEDIDFELSGSEDEGAGDADPEGEGNEEDEDEEDEEDEEDEDEEDEEDEDTEDKAE ADREANMIDSEACQDDNSDHNDEFEDDTTAEPALQVMEMPTQRRKRVTRVVSDDEDEE VEQTPKTPAKPINPFLNSVERPNIPVKPSEATMSLTQAFAGTLATSQDLSQPEDTIPN SLPDPVPMSIDGAPDSQIVIKDSQEQRAGSTDIFTGHWRPDSRVPESPASQSVSQMSQ IPDPTQDAGFVLSPFDPSKRFLGTPTSTIETVLVEQNHSPAAARMMRNLKRGKAPQLS AVEDQEEGDFEIDASAFDVMKKASKKAKKQAELFDRKKSKARDVVEEAAEESDDEYAG LGGASDDETDDEENAYDREMINDNSGEKVDAKQLAALNALHQRNADERDVAKLLKDIT TGALRRRRNNDDEFDLDDSDDELLARRRQKQREFARMRNALLADGKVGELAENPKKAA FFKAIEDREDDDDVGLDFLGYEQESATQDESQDGAAQTPEGESNSNRRKRPLEPAADD VNNRPPPYLRRKPASAMSKKPATLAEIREAVSFLTERPEYDSFHEDASMDDDEGEQQA NADNEDTDGHGSDEPTATSRQRDVSPAVGFRHPRILKLRFKFQQFPTCIPHRRRQRKG CFVWVQAADPAQDHDGFILIQFYLCV ANIA_04570 MADDLDAELLALAGDDASSDEETSPPPRKHTSASPAQSASPRSP EPTSTMGRKGNAKPARRSRKSRRDDDEEEDGEISADSLNSASMSESEAPSDSESSDAG VEDEGPIYPYEKLYHSAQDKQEIMALPEIQREQILSERAQEVDRHNQDLALRRLLASR EREEARKAKKNKRKASAANLDEGSRKSSRQKTTLGGRKVGEASEAIEAYKRQREQKGK RDELRRRDTATKDHKSKSRVSDEDADGESEVEWDDRERSPTPPKDDPPAELRDIQRAR VGRTNFAQVCFYPGFEDTMVGCYVRLNVGPNPNGVNEYRLAMITEIKEGKKYALEGAN GRTFTTDQYAVLAHGKTTRAFPFVACSDSPFTEAEFNRWRQVMAVEDCKMPTKSQLAK KVMDINRLINHQFTPEELNEKLRKQGLLDTKTTFFKRVDIEKKLKIAQELGDDDEVGR LQVELANLGSSAKPRTEKKLTEHERLAQLNLRNQKLNYENVRRAQIEERKASRKAAAA AARGEGSLNPFLRVKTRAKTHYDANESTPKSENGSPSVTPASSTPNPSTPARSSTPSN SQNKQSKGGGGKIRHRNMDDENIAALDLDIDIEI ANIA_04569 MKAFAALQRYHRPTAPLLLDFLAPSALHIRQYHATPARLAESQS NSKPKYVLSKEQREFLDSALRVNQAGELAATLIYTAQTPQVVRSHPHLRPLMKHMYDQ EAGHLRTFNQMIAKHRVRPTAMYPIWEAAATFLGWSTGVMGREAAMACTEAVETEIGT HYNEQIREILAWEADAHSRGEELDDELKDMLATFRRIRDEELEHLDHAVENDSKEAQP YDPLVNVIRLGCRAAIKISERV ANIA_10570 MYWVYFVMARTDASGSHRCIDKTPVKKPRPFPSHHSNSRLHTHT HKMTAPNPSNGQPVNVLFVCLGNICRSPMAEGVFRNIAANHPLINNIDSAGTGAYHAG EPSDSRTMSTLRRHNIRNYHHLARKVTLEDFLNFDYLFAMDEYNLEDLLELRASVLSS SSQSAGRAARGKGTRAATTASIAASVEAGAKVAEVRLFGDYGPGGTLHERVGGGEVVQ DPYYGGANGFEEVYQQVVRFSTNFLQYLEKKNQN ANIA_10565 MAPPFPSATRTWHSTSYASISPTRPELSASGKTILITGGGTGIG AETARSFAAAGASKIALLGRRMQPLLDTKRTIEKEFGQGVHVFVASTDVTKKEEVDKA FEDFITSVSKDDNEIAKIDVLVSNAAATGPMEGVEDVDADTFIEAVSANLKGALYVAQ AFLPHAAGSAVIIDVNSMAAYLNFGPRFAAYSIAKLAVYRLWDSLGSVNSGFSVYHTQ PGVVDTDMNRAAGGVKAMGYEDHVSLPANFHVWLASPEARFLKGKFLYSSWDVEELKA RAEELAASRELTIGHVGWPFDSNEGEINWNA ANIA_04567 MDTTAALEEPVLQLGLGLAFRARGGRPGATSGGLDARDVWPEMW SVNTQQRSPSGARHDQENNGRLDTLAAAESGLRVLNPAEAEEDDLLNWDTYDNNFELN FADLELEILDPILQANRTPVYQARDPQISIPPPLALPRLLTRRPESTTGGKQTTHLIL QTLKSYLHMMLRENILPPFIHTHSLPQSFSVNSQTAPDYSSMGPLEVCLNILDTIKNS ASQKSFWNHVRTQCEQLCSEKHQQLGRWELLGAMQALGIYILVRLGEGETDENNIDFS LLAGVTLSGIHALSELDSPLSDGHGLDANWNEWIFEESRRRLSIIFRMVNMLTYFQPA ARCDLPTDLVLAPLPAKKPLWEANNWIEWKTELQKEPRLQQTAFGLATTGELVRLNKV YGQSGPISHLALDAATVSRNAASWEEWCSGMDGLGALVMLAASSML ANIA_04566 MSYNRLGDPYGDDRDARSPIMNPSSLSNRSPSPGRPLDGYQLSD APYGHHHHIEMPSSDRLAEQPTYSVERIPQSYGHNEAYEAQHQHYPGYEYSVDPEAHH DAYYTQPYQPTVTPGHDDYDLGQYPGHQHSYQDDEPILQPEDPFQAQNPYSDDYQEDM TIAPTPSPAPLRRWKTVKEVQLFQGNLVLDCPIAPKLLNQIPHAENGQRDEFTHMRYS AATCDPKDFFEERFTLRQKLFAKPRHTELFIVVTMYNEDDFLFARTMVGVFKNIEHMC SRTRSKTWGKDAWKKIVVCVISDGRAKINPRTRAVLAGLGCYQDGIAKQQVNGKDVTA HIYEYTTQVGMELKGNQVHLKPRSGVPVQMIFCLKEKNQKKINSHRWFFQAFGRVLDP NICVLLDAGTQPGKDSIYRLWKAFDVEPMCGGACGEIKVMLDHGKKLFNPLVAGQNFE YKLSNILDKPLESAFGFISVLPGAFSAYRYIALQNDKNGQGPLERYFLGEKMHGANAG IFTANMYLAEDRILCFEIVTKRNCRWLLQYVKSSTGETDVPDQMAEFILQRRRWLNGS FFAAVYAITHFYQLWRSDHSFIRKFMLLIETIYQTINMLFAWFGIGNFFLVFHILTTY LGDADLLGTAGKVLGVVFEWLYLATLVTCFVLSLGNRPGGSNKLYMTMVYLWVFIMIY LAFAAVFVTVRSIQEEVKDGSFTFSTLFTNSTFFSIIVSLGSTYVMWFIASIIFMDPW HMFTCFIQYILLTPTYINVLNIYAFCNTHDITWGTKGDDKAEKLPSANLKPGGKVDVN IPQDDGDLNAQYEAELMKFAQKPPKEIKTISEEERQADYYKGFRSSVVLVWVFCNFAL GAVVLSSAGLDRFSDDAEAAETDRNNRAMIYMAVVLWSVAGLSIFKFLGAMWFLVVRM FRGV ANIA_04565 MDPEAASPVSDQPPVFNYILSFLLVGVAWGFTTPFIRRAAADFQ ARQEKQQQLQQTELQPTGAQSRAHDDDNADDSATGSDEDQPLPSQPSDRSTTRQPAWM NQSTSSSSWIRTKVVSLFWTVVNLLRTPAYSVPLIINLTGSVWFFLLVGKHELSLTVP LANSSAFLFTVLGEWYVERKVIARETWLGMALVLGGIAICVQSKS ANIA_04564 MAFLFKSKKNQQTSGLPPATRNVHTSEGAPAGSGATLNGSKDGV VTSQTPTPSGSYNNSLNSVTSTNSPEQQRMRQRAESESQAQRPQQSTSPVSSPGSSLY PWSQRRLNFSSPQANPFPRYGAAINAVASKEGDIYMMGGLIDGSTVKGDLWMLESSSG NLSCFPIATVSEGPGPRVGHASLLVGNAFIVFGGDTKVDENDTLDDTLYLLNTSSRQW SRSIPPGPRPTGRYGHTLNILGSRLYVFGGQVEGYFFNDLVAFDLNQLQNPGNKWEFL IQNSHEGGPPPGQIPPARTNHTIVSFNDKLYLFGGTNGLQWFNDVWSYDPRTNSWTQL DCVGFIPTPREGHAAALVNDVMYVFGGRTDEGIDLGDLAAFRISTRRWYSFQNMGPAP SPRSGHSMTAFGRQIIVLAGEPSSAPRDPVELSMAYMLDTSKIRYPTENPNGEKAMFP GPTKTGAGEKPPSGRVSREAQNQPSDQFRRAQGPRESVVSPTGRPTELGPGPGSRLPR ASIANAPAGPPPPGQAPTPGPRGNPPRDGNNFRSKTPTKQERGYGGPPIDTTRAIAGD REREPAPRDSPKDPRFAQESTGQRTPTQQSQRMSARAMEAGEAAPLVAPARQRSLRQR QRSSMDSADESILGRHASIDGSVDSRTHRNSRTGDEPRSPRLTAHQEALFKELEALKS RNAWYASELALAKKAGYTPNISANSALDERTSDAFTDEDRPLIEAFLAMRAELAKMQA TVDRQAAIASKRVAEVEQQRDVAVNEAAYARAKLAAHGGSQQSTPSLDGQSHDLDKAA SDRTTDLSRRLALALASQNELKSKLEALSTDLEQEKRGRELAEETSEATRRRLAELEM QNNPLETESLRAQLHQVEASFREESMLRSEAESSLKQLALDKEELSRKLEDSTNRLRD FGDNIGGLKQAVTASVEKASILEKKLDEERERREGLERKLLQLRSEHEERTAELENAT RRLRDAEELAESHAREAEAHKNAFILGLERASSFDSETSIRSLVDQRVATSEAQVERA NKLARASQAAADEAAEKLRRAEERIAGLEAYQEQASREGLQLRRQLQAALKDCQTYTA ENRELKAQIENHQREAGALAVQHAALKDLLGERGYTDSRRSPRGESPGSRFGTPEQNR LRELEQQLSASLKAHDELKASFETREQETDRAYKEKLEQLENDYQSAVHYVKGTEKML KRMKDELTRYKMQNAKIQSELEAAQSREGSVAPSGWEAERSQLQQSLTDLQQDTSRSI TNLEEQITSLKESLASAEAEKEKSVAEYETMRQELLAVSEKTSSELEQLKHENSLLEA RAMDAEQKVSMLLDQVEASVGHYRRQSQHGQGVNGISRTHSNASSGTIGAGTRRSRAN SAVSQDDTFLDNRGSMALDSLANELEALRSHWESTNSNYRLSTQSDFDRTPTKDSGLS DSLAEWRRRLDEEEARAGSPEKGKPRTAAEGQAAANMI ANIA_04563 MTTMDLRVGNKYRIGRKIGSGSFGDIYLGTNIISGEEIAIKLES VKAKHPQLEYEARVYKSLAGGVGIPFVRWFGTECDYNAMVIDLLGPSLEDLFNFCNRK FSLKTVLLLADQLISRIEYIHAKSFIHRDIKPDNFLMGIGKRGNQVNVIDFGLAKKYR DPKTHFHIPYRENKNLTGTARYASINTHLGVEQSRRDDMESLGYVMLYFCRGTLPWQG LKAATKKQKYDRIMEKKMTTPTEVLCRGFPNEFSIYLNYTRSLRFDDKPDYSYLRKIF RDLFVRESFQYDYVFDWTVYKYQKNAAMMSDAKKDKEAEEQARRQGATMPLTTSGAAK PGAISSQRRKIMERGALDNTPDTNRAVGGSDRILRR ANIA_04562 MNQYPYYGGHHPSQQQNSFPLYGLPTPTQNSHGDDFQGPFDPLN YQPPFDPSFNPAAPQFVGGPPPQSPPESYTKHSVSSGEHIAGSHYPGSIEGHDEFLAV RSSSEEKDKDGIGITPAQSKRKAQNRAAQRAFRERKERHVRDLEEKVSNLQQESSNLL ADNERLKREIARYSTENEILRATTHSRTHGPSSPKYNSNSGTGSDHRQNGSNEPAQTG PMVYSPTDFYSNLVPEGQSARLHRVTYCKETGQRLLDAGATWDLIQSHEMFKRGLVDI AAVTRKLKTSAQCDGQGPAFRESVVRQAIEESVVQDPDGLL ANIA_04561 MQVEVDPNEDTEWNDILRKHGIIPEKPQDPEPLIQEALVEAERK AYENRLEDKDLDELDELEDVEDEAFLNQYRQKRLAELSNITQSSVHNQVYGLQKPDFT REVTEASKSCFVCVNLTSSSSNNVESRRLSEIWRQLAAKYGDIKFCEMRGNMCIEGYP DRNTPTILVYKDGEIVKQYVTLMALKGAATRVEDLENMLIEVGALKESDIRLKKKADD DSEDERPSNFKTKSSIRKGVTVEDDDDDWD ANIA_04560 MRIETCHFCSRPVYPSKGIQMVRLDGKAFRFCRSKCHANFKLKR QPRKLKWTKAGRAASGKEMIVDSSLVLSQFAKKRNVPVKYDRNLVAATVQAMERVEEI RARRERAFTKRRLAGKLARERKREEDRKVVAEGEHLIRKELREREEGRSLAEEGVKVA NRVHGEERLRQKKKTRLLVDGTTQDEMDVD ANIA_04559 MASALTLGLGVATAAFLGRAGLVAYRRSKGGVNALGKAFYKGGF EPRMNRREAALILELPERTLNKEKVRKKHRQLMLLNHPDRGGSPYLATKINEAKEFLD KHT ANIA_04558 MDGIGEGHGPNGMGYDMPMLMNQHTPIFGAYGPEGSPVTTALPN PSLHDEASMSIGDDNNDAKRRRIARACDMCRKKKIKCDGKMPKCSHCINYKTDCVFTQ VEKKRNPPKGAKYIEGLENRLGRMESLLRLSGLLSEDDGKTDLGTLEKRLADRSLSAG GYNATNSPTRFSLPLNGQPSQPASTSRHSTPRVDSHSSPRTAATSPESQKESENEVEG LSDMMCSLVTNNCGETRYIAYIDDNKWMYWKPEIFSDVFARRVFKPLPPKEEALSLFR DFFDNFNCMFPLFHEPTFMHLVEKQYSRDPYEGSGWWASINVVLAISHRLRVMSNLVP QEEDKKAWLYLKNAMGVLTELTMRNTDLLSVQALLGMSLFLQGTPNPQPSFFLVAAAI RLSHSIGLHKRGSGFGLNPVEAEQRKRVFWIAYMLDKDICLRSGRPPVQDDDDMNVEL PSEDPPDNIGNVPLFDGKGKFNMFRTLCKFSIIESKVYKRLYSATASKQSDGALLNTI GELDRELEEWKDSIPIDFRPEHEIKATHGPLILHIVVLHFAYYNCLTTIHRMSVHHGY WTSRLSNYAIQGLNARPLNPRVFLSAVLCVTAARASINLIKYIPQGDFACVWLILYYP VSALVTLFANILQNPTDARARSDVKLMNVVVNFLSTLVSDESNGSIKRMLGVCGEFER IAQVVLDKAERESQSKKKRKAGPEEPRDSPQAASTTSTKKNTANTSATMPFSPPPQYG ADSQDSSSNAANGATAFTSSQTMPGTSSISDMSGTIPAMPRASQDFTEMLGPNALDGL NFNSQPPLTSTGDVPIAQPFQQPFVPQDLWQMPMTIEWDWADMSTNFPVFDSGPSH ANIA_04557 MASLLRRPGNLAQYSRRAAEHIFRARPLQTRISQSRLSSLYTAH RVRTFTSQRDVDPKPERRVPPTENDGKQDDGGNSQPPQKPEGPKDSEEPNKPEEDKKP EQPPSKLTAEEEEQVKQFFEHMTQFFPASQINDLRIFVRILQKNGMTPETRQFIEKYI VTGKEPSMMEYMRISTHVQYTVRKNASQENEQKDGQKEEQKQSGQNGQKQQGKSQSDS PDFKTLDFKFDPASSLISTVIAYYIYRSIFPGDNSKDITWEEFRSKFLDKGLVERLTV TNRTRVRVELNRDAVARTYPDSPAASPNFYYYFTVGSVESFERKLDQAQFELGIPTSE RIPVSYVDEVPWMATVLSFGPTLLFMGGLFYLSRRAGSASAGRNGIFGIGKSRARRFN HETDVKIKFSDVAGMDEAKVEIMEFVSFLKSPERFQKLGAKIPRGAILSGPPGTGKTL LAKATAGESGVPFFSVSGSEFVEMFVGVGPSRVRDLFANARKNTPCIIFIDEIDAIGK SRSAKNFSGGNDERESTLNQILTEMDGFNTSDQVVVLAGTNRPDVLDKALMRPGRFDR HISIDRPTMDGRKQIFRVHLKKIVTKEDMDYLTGRLSALTPGFAGADIANCVNEAALV AARENAESVTMKHFERAIERVVGGLEKKSLVLSPEEKRTVAYHEAGHAICGWYFRWAD PLLKVSIIPRGQGALGYAQYLPANGDTYLMTANQMMDRMAMTLGGRVSEELHFDTVTS GASDDFNKVTRLATAMVTKFGMSPKLKYIYYEEDPSSQLHKPFSEETAKDIDIEVRRI VNEAYKQCRDLLTAKKKEVGLVAEELLAKEVLSRDDMVRLLGPREWPESGEFAKYFDG KHGQTIAPPEPEVGPEAGPETRESPSS ANIA_04556 MTLPLPDVESLADCVSFNHAVRPFLSQVAALPERLQPAIAAKDV NALKDIYLSTNPLATALAFTIFLSVLFIVFSEINRNYSQVDRFWSILPSVFNVHFAVW ARLSGLRTLNLDTIAAISVIWSVRLTFNYWRRGGYSIGSEDYRWSIIRSRVNNRFAFF LFNITFISVIQPLLLLLLTTPTYNFLLLARLPGGEAFELPDLIFSRVALVFIILEFFA DQQQWRFQNAKHEYNTSARIPGNLKDQYDPEDLERGFVVSGLWSLSRHPNFAAEQAIW LTLYLWNAYRTEHYVQWTALGVIGLMAIFQGSVRLTEEISARKYPEYQEYQARVGRFI PRLSITPRYKGNKNKKRVAHGKKEE ANIA_04555 MAGTKVLCVAEKPAIAKAVAQHLSGGRMETKNVTGNRFVKNYVF DFNFGNQWGNSSVTMTSVLGHLTSLEFERQYSGWASCPPAALFEAPVKIAVDDDKKAI ANNIMKQATHSQYLVIWTDCDREGEHIGTEVRDQAKAGNGRIVVKRAKFNNTEKIHVL NAARSLIELDERQANAVAARIELDLRIGAAFTRLLTLQLQNLHATLTQKVISYGSCQF PTLGFVVDRYLRVKRFKPETFWGIKVMHTRDGIKVSFLWNRVHLFDRAAVTIMLERCL MATKAEVTKVNQKPTSKWRPLPLTTVDLQMMGTKYLRMDSAKVMKIAENLYTKGFISY PRTETDQFDKGIDLKKLIEKQLPDERWGEYARCLLGGNFRTPRAGRHNDQAHPPIHPV CWVNPTTLTEDERKVYEFVTRRFLACCSDDAKGQSTDVEIRYGDEMFHAHGLLVLERN YLDVYVYDKWESTQQLPNYQVGELFEPTEANMFDGKTSPPNYLTEPELIGLMDANGIG TDATMAEHIERIKSREYIGEMTRGSGRNAVKLLIPTRLGIALILGYEDVFAGLADSPS LSKPFLRKQMELEMRDVCAGTRLRTHVVQQNLDMYRELFIHTQRRMNMLKAAFRKYIV EGEDV ANIA_04554 MNPTFSAQVLIGVSAAYFIWPGGPVPRVNHHVVASAWSSLSKLP WSSASSSTNSRLPYEKDRSSAGGFRNSSIVSLEDVLPNSTTSVSAKFSQDGLESFSDQ TYAVMLFVVLGVFGLVLWVWLARWMAIDTQVRQSVEEKKIGPVKKVLASVKILLSPIA DKSLIPVKGSFVSVQQTFDPVVKKVIYPIKKVFSPFITISLNPVKRFFALVKAVNILV LNETFGPTKGMQEGEAAMVKAIIDERIEQLQISVDGLKKNCGTLEKRGEQIQQQLNRF SSRIESINQWQRESEFYFMRPNETRGRRADEEA ANIA_04553 MLDPRPFHILSYGTLLGVQLYQSFVSGIIAFRALPRPQFSALQA KIFPTYFALQTALPVVVALTASRGGQPLGISGLLERENQFSVLLPLAAAFITGLVVRI TDMLGEETRDGKKSYDPPPHSKEMIALNKKFGRLHGLSSLVNLVTLGATIFYGVVLSK KLE ANIA_04552 MADKEATVYIVDVGKSMGERRNGRDLTDLEWAMKYVWDCITNTV ATGRKTAMLGVIGLKTDGTDNELGDESHFSHISVLSEIKQFLMSDIRELGERIKPSSV DKGDAISALILAIQMIITHCKKLKWKRKIVLITNGLGRMNSENLDDIVSKVKEDNIEL IILDLTGRRGPDFDDAEYGIKEEDKDPHKASNETLLRTITERCDGVFGTLEQAVEETE IPRVKPVRPVASFKGFLQLGNPEEYDTAVRIPVERYPRTMVAKPPTASQFVLRSDLAA GQEGPVSSTAVPETQPEDGSNLTNVRNLRTYQVSDESAPGGKIDVERDDLAKGYEYGR TAVHISETDENITRLETTAAMELVGFIQSERYDRYMHLSNTHIIIANRANDKASLALS SFIHALFELESYAVARLVTKENKPPTLVLLAPSIEPDYECLLEVQLPFAEDVRTYRFP PLDHVVTVSGKVVTQHRNLPNDDLLDAMDKYVDSMELKGTDEDGDLVNTPFPIDDSFS PVLHRVNAAIRSRAIHPNDPIPPPARILTQFSQPPEHLLKNAERHLKRLIEVADVKKV PPKAKGRKRAREPEKPLSGLDVDSLLHQEKRVRISPNNAIPEFKQTLAQAENIETMKD AVKQMRSILEDQIRHSLGDANYDRVTEGLGVVREELIAYEEPGLYNDLIRKLKEALLK EKLGGDQRELWWLLKRSKLGLIEQRESELSEVTEEEAKKFMSA ANIA_04551 MSHKRNFPGSGSGYSPYTKRARSSYTEDDQDDEETQNSVTPYEK PRNHPVFGQKSAFPGLDTAAGDELFYGPAEDGLEYLRMVRSEANSLPTLFNAPATTES LVENRIVANATSTKPEYTQQGNVLDEELSTTDRVPEGIYSDGVYIGLATTKVDADENL SDAQASYYNLLHHRFLLLRSILKCTPPPEAISALDDSHPISFPRSSKIAQREWRRLIK EVDPQTVQLACMDMDSVLRVLVIVARLISDNVRNGDAVLIRRIGAWAWGLLAKCRDAG QLGARDIGDIRELGKRAVRILKKIREEREEMQDEEDDANDYESDAENKIAEGPSGDKG SEAVDISALPDSEHFDAEKKSDAEKLEQAKARLKARISATESDSISKGGIGTQREVGE TAVDVGTLTRAMLDMIITIIGEHYGQRDLLEARELWTGAEQSFCPYMGINQLPSTSHG AKLQISIPTTTTSTTSPPFTIYNITLRLPLRSFAVSKRYSDFTTFHTTLISQTNSAPP LPLPPKSWLSNTVTNANLRESRRQGLESYLRAINEAPDPRWRNSPVWRAFLNLPSLGS NNNSNENITASSARLHAAATGPGFLNGDPILDPRLWLDCHRDLKSHLHEARLHLTKRD QETTPQRQHESSARAKSSLVRAGSLITALEDGLKRMADAGNSNGGTKGRYTSSNSLGE GEIRRRKDLLINARKERDGLENLLNAMAAKSRVDNAVASIKDKEALIGAANGTGGGSS RKPARTGRVLGKETERTRELDNQGVLQLQQEMMQQQDMSVEELLKIVRRQKELGIQIN EELEVQNEMLRMVDEDATRLQQKVDIGKKRLGKIS ANIA_04550 MADSELPARPKPEETPAAEAGEGGEGKLSKSALKKLAKDKAKAE KAAQRAAQEKAQAAAAEANDTAKDLYGPIPETADVLPSTQLSQINDEHYEKEVTVVAR VDNARAQSAKLAFLMLRQQGLKVQAVIAAAEPISRQMVKYTSGLNVNSIVQVTGIVKK PGVPIASATLSNHEIHIRKVYMIAEAAQQLPMQVKDAERPLPETTEEGFQVDSDGAPI VTLKTRLDNRVLDLQTATSQAITWISSGVAELFAEYMIKSGSRWISTPKLVGAATEGG SGVFEVKYFKRNAYLAQSPQLYKQMCIAGDMQSVFEIAPVFRAEESNTHRHLTEFTGL DFEKTFSSHYHEVLEFAEQLLVFILSQLKERYADQIAIIQKSYPKAGDFKLPKDGKAL RLNYMDGVALLKEAGVDVSEQERFENDFTTAMEKQLGQIIREKYDTDFYVLDKFPMAV RPFYTKACPQDPRFSNSYDFFMRGEEIMSGAQRIHDVKELEASMIAKGVNPNQEGFED YLAAFRQGCPPHAGGGLGLNRIVMFFLGLPNIRLATLFPRDPQRLRP ANIA_04549 MRSQNLWRSLRLVQRYSARSFLPAGPSIRSIRNLSSAAPRVPDF AFAFDIDGVLLRSSTPIPGAAESLALLKKEGIPFILLTNGGGKHETERVAEISEKLQV PLDADVIIQSHSPFAEMVRGTESQPALENKRVLVVGGDGDGCRAVAEKYGFKNVVTPG DIFMANPSIWPFSKGFSDYYKTFTRPLPHKGEPGDPTMGLKIDAIFVFNDPRDWALDA HIIIDLLLSSQGVLGTISDKNGRANLPNRGYQQDGQPPLYFSNPDLWWAAAYSLPRLG QGGFREALEGMWSAITGGSNKGVELQKTVIGKPSQGTYEFAEKQLLRNRSRAFAFETT RPLRNVYMVGDNPESDICGANSYRSAHNSEWHSILVRTGVYMGGEPAWTPKTIADNVQ KAVEWGLQHSNWQTK ANIA_04548 MSKWNLGALVRKLNLSSLVHHSSPSLTARLLGRVKENLEVFIAP RASFAVNSLPSLAKCSRLRHLDLSLVATPIPFQDLRRSLSNLVNLQTLRLPQSTSITG TESFGIPWPQNLRRVQFSGHFSAEPMRSFHWPTSLTSLTLKNCSDLSLTNISSLMCSP DLNRTLKRLTISGFNRRLSPESITSILGLTPALSFLSIPGDMVDDTFFDLLCHICIPT LEVLEFGWPSEDPTIYFGIESLLGALELGLPNLYAVGFAEVFTENSMLDDDAVDDFLL NRAKTRKALENSNKPTLNIQPGVYYV ANIA_04547 MSYMKKDEDADQTMIKLDRTSVFQDARLFNSSPISPRQCRTLLT KIAVLVFTGEQFPTNEATTLFFGISKLFQNKDPSLRQMVYLILKELANTAEDVIMSTS IIMKDTAVGSDVLYRANAIRALCRIIDATTVQGIERLIKTAIVDKTPSVSSAALVSSY HLLPIARDVVRRWQSETQEAASSSKQSTGFLGFGGSSQAHAISQSNFMTQYHAIGLLY QMRSHDRMALVKMVQQYGAAGVVKSPAALVLLVRLAAKLAEEDSGLRKPMMQMLDGWL RHKHEMVNFEAAKAICDIRDVSDAEASQAVHVLQLFLSSPRAITKFAAIRILHRFASF KPHVVNVCNPDIEALISNTNRSIATFAITTLLKTGNEASVDRLMKQISGFMADITDEF KITIVEAIRTLCLKFPSKQAGMLSFLSGILRDEGGYEFKRSVVESMFDLIKFVPESKE DALAHLCEFIEDCEFTKLSVRVLHLLGVEGPKTSQPTKYIRYIYNRVVLENAIVRAAA VTALAKFGVGQKDPEVKSSVSVLLTRCLDDTDDEVRDRAALNLRLMAEEDEMANLFIK NESMYSLSTFEHQLVMYVTSGDKETFATAFDVSTIPVVSQEQALAEERTKKLTSATPT LKAPSTGPPKGAKANGVAEAATAAATQKYAEQLMQIPELKAYGTLLKSSVPVELSESE TEYVVTAVKHIFKEHIVLQYDIKNTLPDTVLEDVTVVATPSEEDILEEEFIVPAPKLN TNEPGVVYVTFKKLEGEQSVPVVSFTNNLKFTTKEIDPTTGEPEDSGYEDEYQVNDLE LTGSDYVIPTFAGSFDHVWEQAGANGEEVSETLQLSNLKGIADATEQLISTLSLQPLE GTDVALSNSTHTLKLFGKTVLGGRVAALIKMAYSTKTGVTTKITVRAEEGGVAPAVIA SLA ANIA_04546 MLTRLGRLETALLEKVRDQNRLYTEQGCRGIAVVGSSRPEHQTN HNHYHSGAFLHPLPLFTLSTFSSPPSSDSSSVSSPSLFLSIPSSSFSFLLSSTSLLFL PSNLPLPSISLQILAFLATSTFPRPPKPLWIRPDSRRFSRLRITFPHIDPLIVLLAPL SLLLRLLSHILPVSSSTTPLKLENMDTTDIHETPIADETMTDAVNVGDEGDLEVAPKT EEEYAQSMLTLRAIVSSKEAGVIIGKAGKNVADLRDETGVKAGVSKVVPGVHDRVLTV TGALSGTARAYALVAKGLLEGAPQMGMGGIVSNNGTHPIRLLISHNQMGTIIGRQGLK IKHIQDASGVRMVAQKEMLPQSTERIVEVQGTPEGIEKAIWEIGKCLIDDWQRGTGTI LYNPAVRSSVGSGSIQHNGGNSDSYNSRPYNRTGNGADFSDQSGGYGRRSNPDTSNRG YPLVTEDGEEIQTQNISIPADMVGCIIGRGGTKITEIRRSSGARISIAKAPHDETGER MFTIMGSAQANEKALYLLYENLEAEKTRRSQLQE ANIA_04545 MLHDKESAGTRMSSPTTPTLTSTTKDQTTSLDPRRRRKTVSIVQ PSTHASQSHSIVESAHFSRRSTMDLPSRTRYPAWVHQIEPASPAVGSDDPEGHYLIKS KSSGRLRSAALERRLTISGDPHPRDTGKAGALTFAGTTPSTAPLPSPSLSPSRSIPPT SSPSSPSRLHQPGSLSPIPRLPQSRFSFEVQSPLRKIALTSHLKTASIDRSTPAPESS RSPRHSSLYNGHRRSVPDFHSSHLDTESVSDPPVVSSAATATGQGGSSALLNGGSTLS VQSPNAPKRRKSTLYSQQSPPDVKRRMPSSTATFVQGRSYADENGAAAKTDESRSRND DIFLNIARSDSSRRESLGRSELRRSRLRMSGTGFRSSTSRVSSDLTPSPEQLSRSNTF ESPLHSNSQNGFPSTRHSSLPYSYSASAHPLDESGRSPQSNFASSSHSTVGLPRSRLS RTNPEDEPISERRASLHDSRSFRHSGLSTIRSSRQASASEVTEKPRYESRQDGTESTL STTAPSTVWDELEDLKSRIKKLELTGKLPPSSQEAMLSSGDRPRTATTTVTTMSSSPR HRRTSVSGESDTITAPNPVHPLLQSALVKVRSVVNKDVYTALEAAATDAMALSQILGA GKTPSGNVSIVNGYGSAERQSRRKADSVCRSLTELCLALSDEHHTKQQSSGDTTFRIS QSNTTDEGTVTPTTLSYRKSITQDHEGNAPRHSSGPRTASRLEARRASLANQGDHYPS PENAGQSTKLAHSPSAPVTPATASRLSRLSVSMRTKRLQEDEPSDYRSPHTRSVSRSM TDIGAASSTQKASPRQQSSFGYQAPRPISDSQQSSQPRTPTSSQSGIPLRRSLMTPSH YTPAIPRANIQAGSRRYGLPSAAGSPVDDVPLSPRQDAPQSRISAPSSKLASSYTPIT QNRLRANSLGGRRLGVRRPMSTVDTKQSKSFNDSID ANIA_04544 MPVQTSPPSLPDSFPSFMSVFGGDPSISLEKEEQPTKVQPLNFP ASINGNTRRPLPFQHRESVSSVTSGSADSSPTTTVSTFDSPITTDTSPNSSPESPTSM PLSYSKFMTASRNLENQGLSTAQSNLSKMTSESSTTQKRPDSPGRRDRNLKNLSLRMP PPLNSSRPSISTASIVETNSQHRLSAPPSPISIPPKGIRRKPAGLTIRTPGFDRSFSN NISELAPPTPHGRMSLRHAESSPSLTSVFSPSFGPKGGMQLPRPSTQHGYRRPSAHSE DNLSPVPSVADEGAIAETVLHELAEEDDIPQSRESARRSERGYPNGPIQIYDSGVFLY LEPTADEASRFDVVVNVAKEVMNPFSKTADNSQTVMSTLRNGTVDSKQQSCSTPFSAF SDASFKSALEYLPGNSSDSDSNNEPSSPEYVHVGWDHNSEILQDLYPLCELIESRISQ GKKVLVHCQLGASRSASLVIAYGLYKNPQLDFNSMYETVKERSRWVSPNMSLIYQLTD FRSRLLRGSRPSSGDWSLKGSAPPTPLPDEAMSSQTEPSTDANGNPDTETTSSTVPTQ GSPSSNSLSVPLTSHTTPVSANNLSFSKSLSKKRSVSPRPLALRQSFFNPESGNRFLE GESGGDSAGRQSRDPLAKNADEPSLFSPRTTGFMSMTRSRPLSGILEDGRSNVASIAD PRSPPQGHERLIMRSIDEFL ANIA_04543 MENTTLTHISAKHNPTSRKSTSGDTKTTASDVDVDHDNSDSEDD YNADSDPGTSWFSEHNAPQKVLDFLTRETFPLAPCNTLPNATATSDNSNGGGSGIRSI RRRAKRLSEIEKKNQPSILDLGTGNGSMLALLRKRGGFAGDMVGVDYSAKSVELAREL QITKRHEAYLSDSDEEDDEGSWSDDGGETKAEDEGEEEWHEIRFEECDILNCREDLQS KKVPWFPYDKGGFDIVLDKGTFDAVSLMVEKSECERYPGIAGSLVRKGGFLVVTSCNW TEEEIVKWFTSAEIQINGSGKLVVWGKVQYPRFRFGGQEGQGVCTVCFQRV ANIA_04542 MASFKELSLSRPFPQSAPRHHSHSISLGAVNSNHRVTRRKSVTT TAAANAAAAVAATLKDSPDSVAIAMPAHRRGSRKGLESSSVGATSTFSSSYLSRSINS PSRDSMVARKTSPGQLHESAPTAQTAVDGSVAPGKPISTKNRNRRASEGGHMVKGEGK SSRPELRCDRCGKGYKHGSCLSKHMWEHDPAWAITSKLLISKHQQVQLLEAASVLVNM NVEEPTTANPDAESETSSASPDASSELRDGLSSAETTPPPMDEDNSDDDMSIEPEKPF GVNNAAPQYSHSFQSVPASSFTGSAPWPSPGFSHFRHSSIDARPPTAEAKLADDDEAD LAAAIGLCNFGTPRMGPTSASPGVPPVPPLPSRFLDQASSSENRNFGRSGSGASVTDT TLGNSHQDMFLSLSYNPSMSYKVSDEREARLGNTERSSRQTRNADVDFGSRPAHADDD DDGVFGRMEE ANIA_04541 MSLHARLRPLPRRLATQPPSESAAPTPHFEDPPDGANAEDDAED LFSSFLPHLFPDDAPQFHGDPGQYLLYSSPRYGELQIMVPSYPSQSQSGARSKEIAEG LPRSDGQVNQVEEGRKLFAHFLWSAAMVVAEGLEQADTESGGSEAEFWKVQNEKVLEL GAGAGLPSIVSALANASMVTITDHPSSPALGPAGAIASNVKHNLSSSTSIVDIRPHEW GTTLTTDPWALSNKGSYTRIIAADCYWMRSQHENLVRTMKWFLAPEGKIWVVAGFHTG REIVAGFFETAVSLGLKIESIYERDLNSSAEEGGEVRRAWVSFREGEGPENRRRWCVV AVLGHAPAAAGTGADA ANIA_04540 MPGQIMRAVVFKGPYKVAVEERPIPKIQNPEDIIIKATYTALCG SDLHVYRGIEPAGTGFVMGHEVTGEVVEVGDAVKTVQRGDLVVSAFTTSCGTCFYCEQ GFSSRCDENTLLGCDDLDGAQAEYVRIPHADGTVVKAPSGVSPQYLVLMGDIFPTGYF AAQNAFKNATAAQIAEQTVVIIGCGPVGLCALINALEYKPKHLLAVDCVPSRLDLAKS LGAEPWDFKNDREALDRRVSELTNGRGADAVIEVVGMSPALRMGFELLRPWGVISSVG VHNGEIPWTGSEAYGKNVTVQMGRCPVRSVSDAALEVLKKNQHLLGFMTENIMPLSQA AEAYDLFNAMKVQKVIFEAGK ANIA_04539 MGLSYNVYLTSAKIFGCKQCKTHLADYDDIISRNFRGQHGKAYL FNNVVNINTSDAVERSMTTGRHIVRDISCRQCKETVGWKYDKAYESSEKYKEGKFILE EELLCVVC ANIA_04538 MSADLFAEFGYAASASQPSQAACQQAVLTQDATLVPGLDSFEDA TPSQLSPRHPHELKQPSSQPSFQNQLKQLDDFGDFELPQGGNNNDVLFDATLERCSDN GSDDWGDFESAEVTVGQLAQNPTSESVKSEKAVSKPVPKAPPNHNSASRSLGTPDLLG PMESITIQNKPMASGHQGNKKPGGTINRSNVQYTKPRLPVEDEPFEDWGDFSDGPTEA SQNSNLEVPESQVSGKRKNLAQPSKATASLRAQTSKQSSSTVQVRPTNIPPPSILLEL FPQLFERLRQEGTKAKRNLQQKDTLNSIAESITCTLKTVARIVAGRTLRWKRDSILSQ SMRIGPARSGKAGGMKLSSVNRNEDIKEQQEAVDIINMWRDRASLFNSVVQAAGRRPV QVIPNNTRVIIATASQGALKAPHACALCGLKRDERIPKVDENVEDSFGEWWTEHWGHT ECRQFWEDNKGSLGQR ANIA_04537 MLEGTYPLAFLLFEDLNRKQWPDKISEARSTYVALKEHFLKYIE HPNDLQSSIDPLADDEQSPWQTLRQDEQLRAEISQDVDRCLQENLFFHDPATKAKMID ILFIYSKLNPDLGYRQGMHELLAPILWVVDRDAIDPKSREQFIPTGQLENSMLQLLDS EFIEHDAFSLFCSVMQSTRVYYEHNTHRSMNGQADALPIVLRYLELADHLQALEILPQ IFLTRWMRLLFGREFPFQDMLAIWDLLFAEGLRSELIDFVCVAMLLRVRWELLSSDSS SALTTLLRYPSPHPHAPLSFVHDGLYLEQNPTAERGSFIISKYSGKPPELLRMSDSAH RFRPGRRFQFRGDVRGNSGTSSPTTSSARDSPLSIEALLQDVSEGIQRRTEIWGVAKA VRGAVSEAKKNMQSMQSEQVSRLASTRNSVPSTTSYREPEATTQLKSRIEGIWERNRG LAKSLGEAVNEIRSQLADSVTLNADTAKSVEQALTKVESVQTTLETRYSDANITQNSP GVEEPTNRTKQSDNSATADGGSTSLENDKPPRASFDSSVINTPGSRSPIESDTGQRNI LSPKPVNKARPLRNPARPLLANSEFAWMLGGDRQLSSFVSPASVPPEQSRHVEPRAKQ GTLFGNGEVEQKPNPEPDGLAMKSLRGTKGSGNEAKLMLLDSIRFFFRPRVTMASQGI YT ANIA_04536 MGAASYNPDGEPSPLSSPLGRLSESPEDESFMTPLSDDQTNSSK YSVENTSAGFDVLPRSPLLEQHEHGLGPSGLDRIRSQPPSRVFTLPNMSTSSIGALSP RTLSPSPRSLSSSRANSMAVSSSQDINTLEDLHRFPSESLHSFSFAQQSEELLHTRQN ILKRSIDFMRDRFKWGPGSTTGVASPPNRMRGDTDTQAMVDLMSQSSIFGASFGPMTG PADLGSDNVFDRTFTDLQRPLPEAKDFGQPPSQLPAQPHLTSSQQLPHERRGLKSAPA SRRVSLKRTFTDVSSAIPQRQLIEPLAQPYPTADPFSPLGTPIIGSVFPTPALHTHSS KWNPVSQAVFRTESKAPWTILAANDLSCLVFGVTQAEVRKLSILEVVQEDRRQWLESK LRNPTTDAAAKARPSPDKSRMKPAVPKSMGMGNGVTAQLLSKPPSRARPSRRAQTDDG YGSSVYTARQLNHPATKSRGVLLCGDVVPIQKRNGAKGSASIWVMEKRGGLIWVLEEI QEDVAYIDCDESWNITAIRGAAGRIWGHGMTEIGQHLSKLLPQLPPSSLKAPAEKGLS EVADIKYFAASTSGPICTPVTVSKDERSRSLRVSSYPHVAGIMVLSSSSLKIVSSNSV FSSVLFGYERPEGLDITDLIPGFDDFLYVISEEENVPLVDGVVIPELSFRRARTLSML RDGKANVASVFLEPAGITAKHRDGSTIAVDVQLRVVKSGSIFPKQREKKGDIEEEADD RPEGAVTVTELVYALWITYSRNIHSHGSPVRPPTPHEVSSSATSTPETPTSKPSPGTP TGPRITVPEGMKSRIPTSTLSQQLSEAASEPLTDKPVQLVPEVKPANNKEAPKKRSIS DYVILEEMGQGAYGEVKLARLKKVPSKKVVLKYVTKKRILVDTWTRDRRLGTVPLEIH VLDYLRRDGLKHPNIVEMEGFFEDDINYYIEMLPHGLPGMDLFDYIELKTNMDEQECR NIFEQVVSAIHHLHTKALVVHRDIKDENVILDGEGRIKLIDFGSAAYIKNGPFDVFVG TIDYAAPEVLQGKSYRGKEQDIWALGILLYTIVYKENPFYNIDEILDHPLRVPFIPFS EDCIDLIRRMLDRDVDNRLTIGEVMEHPWMVDG ANIA_04535 MATVDPEIVPFPEAPTSASPSSSADQIPLQQAQKVKGRHRLLQG LQRFSSSPSLTRRNRSRSASTTYRQNGASLSCVSLSQSAYAPCSSNGSATQLYGGLNI RPTTPGPTGSHAADDQEGNARIRFVADTINGPQPKKIALPTEMRPGSRGAVLEDTALI AKPKQFDFWGKMPNELGMLIFSYLTPKEIIRCSTVCKWWHRMCYDGQLWTVIDTTDYY SDISCDALMKLIMSGGPFIKDLNLRGCVQLRERWENEIDEITAVCRNVVNFSLEGSRM DKYPVHSFIGRNQRLQYVNLAGLDSVTNATMKIIAKSCHQLRTLNVSWCTNVTATGLK RVVKACPILADLLASEILGFDEVELSSELFKRNTLERLDISRTDITDESLKVLMHGID PEIDILEERAIVPPRRLKHLDLHQCSELTDDGVKTLAHNVPHLVGLQLSGCPELTDNS IVPVIQTVPHLTHLELEELEHLSNRTLLELAKSPCAPFIEHINISSCESLSDPGMLQV MKSCPSLRFVEMDNTRISDLTLSEASYRVRKRGYDETLPQIGLRIVAFDCPNVTWVGV RDILAGNAYIPRQYKVPVPEAVSVINQALNSSKTSGSASEPLKPMISSSITPPPPPTV YPNHIIQLKCFYGWQATVEEHTKRVLRGDLAAANRLEKKWFDYMVATEEAGLGGAGAR RRRRRAREAERIYNEDDEEEPYFGLLGGRRRARSGGSCVVM ANIA_04534 MPKDFKPPRTPRKTPYPDRPHKSKSKSQPDREEKQHPSVNELKR RIRDVKRLLAKPDLSADKRVIQERALAGYEKELADEERRRERSRMIKKYHFVRFLDRK TATKEVKRLTRKRDELAKNSDIDEATKQKKLEQLNVRLHTANVNLNYTIYYPLTEKYI SIYAEKKKKNEGQNEDEDVQMEGGPTQEEEIVTAATTAQKKAMLQTVEKCMQDGTLDL LREGKLNLNADSVTEGGTKTETKSKPKSKPSSKDTGVKTVGGKENAKPRTKTSDSKRG KSAKHTAPAPAESDSESDGGFFEI ANIA_10564 MDRAIRWSPSSTPAEQRFLSVDVAGKVFRLCKVTSFTNSILSHS VLSTHTKVPAFRAFDWSPVDETLVAVGQSSGDATILRMREGDDSQESFSFPVRHQRYC NAIAFSTHGLLAAGLDRVRNDFCLNVWDVNQRLAMKGAKGHVEPLRKLASSEPITSVK FFRDQPDTLVTGVKGQFVRIYDLREGPGLPSLQFPTRCVHNLAIDWLDENYIASGLTS HDSTVCVWDRRVGARLSAAATPGLETGQMEPALEFKNVIAPKSAIWSLRFSRTKRGCL GVLSSNGHLKTYNIVKEYVVEEYRSPIDRTLGQNSVSNYPEQIYTKSVRDVFSPYDHP SRGYEVSQRVVSFDFLNMSSSNEPSVLTLSADDQVKIITVKPPPPPVRLSSQGTLICG RFDEHRDFKAIYPLGTEGSSIAQIAKSLRDNALERQEEQAETRGLRENPEQPLSSREN RERMLSVGTLGSPLTAEEALTLLTVNRLRCKEGYLFNGMRNKQILADDPSLQDFWDWI ERARSYSADDSMIMNHLDLNYLGVFDVWTGDLGVSLEARWLGPSAAHYPDINDTIVDL VQEKLNLPSSESLHSRYPEQRRLGLRICGAAQSRRELEELVKTLSAESQHTKAAALAV FQGEPKLAYLALRSHTPTQAHKLLAMAIAGAAKEKPDPDWEETCAEIAKELTDPYARA IIAFVSKGDWRSVIQETTLPLKYRVEVALRWLPDDDLTTYLTETTKRAIQQGNIEGIV LTGLGHSAMELFQSYINKFNDVQTPVLAMSHTVPRLVNTPANKHRFETWRETYRWQIN SWKLQLERARFDVGSRKFAATWDGRRLVEPPRQQVSLTCNYCTRPLTQHDASSQLSPS TSGEVVHATPGNPLGSAAMSGTICPRCGRHMPRCGVCTLWLGSPDPMSKACVAAETAT ATDQRKLSEAEFMRRFIVFCINCNHGFHAHHAREWFDKHNVCPVAECSCICDR ANIA_10567 MPNSGPSAEKRKEGGGKGGGKGGRGRERKPPSREVTVSKALSLL LRHAAEREGLKIDSQGYANVADVLAWRKLKSLKVTFPEIISAVETSDKKRFALLYQPP SEQTDTLPTKVEEPASAQDEPITATSTGTATATTAALAASKTDQTPAHYLIRATQGHS IKTVEAESGLLERLTLDNPDKLPETVVHGTYHSTWPLILESGGVKCMGRNHAHFATGP AVQDVLSAIDSSVSRGEEGDEKSGSGAGSHGMVISGMRADAAVLIYIDIRRALAGGCP FWRSENGVILSEGMEVNVATGTGTEKGVIPVEYFDVVVERRKGLGKIWEGGKVIQSLP EELTKKGNPKRRGGGE ANIA_04532 MASHRFDPKFTDNVVNAMGENVNPRFRQLMASLIRHVHDFAREN ELTVDEWMAGVQLMNWAGQMSNAKRNEGQLVCDVIGLESLVDEITFKLADEATDAPTA TAILGPFFRADTPFRSNGESIVKTAPKDGKGEMAYMAGQVLDFVTKKPLVGAVVEVWQ ASTNGLYEQQDPDQEEFNLRGKFRTDENGRYSFYCLRPTPYPVPDDGPAGKLLKLMDR HPFRPAHIHIIATHDGYKPLTTQIFDRKDPYLTNDSVFAVKDSLIVDFVERKGDPQAG LELKYDVKLVPDGMKSNGA ANIA_04531 MADRPRVILEKSRTVRRRYQRSNKRFQFTASQIARIEREEEREI QAQKLREKEKRRIQLKKKKAEKEAKAREERIRRGIPDPNAPAVPASQPLLFNFIKRTP SVQPESESPEEQTRADPESHIETDTATESENDDLSFEDEEFDNILIALDGADHPTPVE KADGSGAKNNGNDEDEFSDCSAFYDEDLFKATEIVDQVSVATVLSTDSFEDDTAILLE KFVPDFDPGSSFDQELILTDIQPSPNKMPFVSINNHQLHYADSHPNGAPANGLTFFFI HGLGSSQNYYFPLLPHLTPQHRCITADTYGSGRSTYTGQSVSIASIADDVIGVLDALN IPQAVVVGHSMGGLVVTLLGSEHADRVKGIVAIGPTHPSETLTSVMRKRSATAAEGGM ESLANSIPYQATGSAASPLASSFIRELVLGQNPKGYAALCQAIANAPTIDYSAINIPF LLIAGDEDKSASLEGCQYIFDRVSSANKKMEVLPQVGHWHCIEAPDTVGRAIAAFVKT SF ANIA_04530 MRLVKNKIEYGGAGSVTLIPEEPEDMWHAYNLIVPGDLLYATAI RRVTTTAASTGSTSSSRVRLTLEIRVKNLDFDPQNSQLHVSGQIVNETPHTKIGQHHT LDLELNRQFTLEKGTGQDGEGSGWDSVAVEALKDAVDEGGNRRAEAVAVVMQEGLAHI CFIGQFRTVLKQKVEISVPRKRAGGSDHDKTMTKFYQTTLDTLLRHMEFNLSSTSMTS NDPVRPVLLASPGFTATAFQKHIQSVANTTTPALKRLLPSIVVVHSASGYLHSLTEVL QSPTVKALLSDTKHARETKLMDDFYEQLRKETNKATYGPREVENAVEQGAVGRGGGIL IISNRLFRSQDIAERKRWVALVDRVRDVEGGEVRVLSSEHESGKRLDGLGGIAAILTF PISDDLEEDSE ANIA_04529 MSFGQGGCNPNEGMDEFYGGINPQWPSPYGAVSNPYNAPPPYQA SAILTPISLPDTSYAHTRTSPGLSHHSQEYQYPVSDSVAHHGLGITTSYPSELIRDPQ YGLGFAPSGYGNREETLSPQPSKKRARRESRQSVAREPPVNILPHPEGLQRLEEQQRQ SFAGPSTQPARAPGRGRRNPQAEEEDAFVDSLRQQKLAWRVVRDMFRERYNKDATEAR LQMRQRRRKERMARWDDHDVRILLQARSCWEREKYKFIAQKMTELGATTTYTADQCET QLDLIDAQERERERDEQEQDNTQQQRQPPQTPELRRKRRRTEPNEAGDSTTYQRKTRT KTNTTT ANIA_11442 MVGGAWCAPRPCSHVFRTVLAGYAPDDTRTQSSCAFSSTSFQSI REEDELGANPAPKDGITWAVASSPSSGE ANIA_04528 MIHLTVPRVLADVTHFLSKCVLIVAIHRNRSAEGVSLLTQILYA LVFLSRYADLLRSVGWKDAYLVIFKLFYFSSSFYTIFLMMKVFPRTRERERAWKMTLG SVGISLVLAPIVHLIFGERHSTRPLLEDVLWTFSIILESVCVLPQLLLLRQTTVPTVI NSYYLLMLGSYRAFYIINWFVRAVGSEHHVDWISIIFGIVQTAFYADFAWVYYTRQRV KLRNGGVVDSEDYRNSYLVNKVLNIRRRRSEDEEEQRLHDQDDGDEHQSRYNRWGARG ISVSADDTLENQRNGRSSPADHDAGGFSEDDRN ANIA_04527 MSSAPSSKRVKTSAPVSSQQLLSQQQQIPQAQPSQRVAHYEGIP MPPSQNPGSNPRKRRLSPPLGSTATMTSTPGDDPVAPAPENMPKKKGRTNTPWTAEEE QRLKTMRDAGRSWSEIAKTFPNRTEGSVKKHWYKDMHYAEFAEDESIALREAIKEYEA NKWKVIGQKVGKPAKACEQYAKEHFKDT ANIA_04526 MLINPSSMASGAATSTIGEATKEIRLTLFDEPHHHEEGVESRRA IGGTSSSQRLTYHLKKVENRLVQYSLEARGIERVQEDERIPHISWVSYLQVFLLWMSV NLAANNITLGMLGPAVFGLSYLDSALCAVFGALLGSISSSWMATWGPISGIRTMAFGR YTMGWWPSKLVVILNLIQMIGYCLINCVVCGQILSAVSPNGSLSVAVGIVIIAVISWM IATFGIRVFHYYERFAFLPQIIVISILFGVSSSKVDLSTPSQGDTRTVIGNRISFFSL CVSAAITYTPLAADFFVYYPVRTSKLKLFSLSILGLLVSFTLAFLCGIGLASSINIHP EYAAAYNNGQGALIVQGFSSLHTFGNFCSVIVALGLIANTIAPTYSAGVDFQTLGRYA EKVPRAIWNTFGVVIYTVCALAGRSHLADIFTNFLALMGYFVAIWVAIVLEECFIFRR RDNENGYGYYNWLVWNDPSKHPVGIAALIAFLTGWAGAILCMAQVWYIGPLANLVGEY GADMGNYVGFSWAAIVYPPLRYLERRQFGR ANIA_04525 MFFQRTVIALSRRAPARALSVRPFSSSIIRANQNKWQPKQEGKI LPFEEIKTEEDLIPPGAKPGTVPSDIEQATGLERLELIGKMQGIDIFDMRPLDASRKG TLDNPIIVNGAGDEQYAGCTGYPADSHHVNWLTVSRERPIERCLECGNVVKLNYIGPE EDPHAHDHDHGHHHPPYEEPKTFADYVKPEYWYR ANIA_04524 MSATLADPYRDYAIDYTQHATCKPEEHEGTLVYHSQQPHIQHTK QTDTSFTSGNSHGAAFEQHHANATVLPTATPQTAVPDISQAVVLNQAQMLPRQLPVQY ATANYDIASSVQRGKKRPHSETDPESGNTDHGIRQLPVLPPDAPSEPTHSPELLFSVH GESAQHHHPMQTHGFGPTDPMSLPQHHHHHHLPPHASLRTAERQGINVETSPLASGPP SVVGQPGMPDPAPRPRGPKLKFTPEEDALLVELKENKNLTWKQIADFFPGRTSGTLQV RYCTKLKAKDVAWSDEMVQRLRRAIQEYENDRWRIIAGKVGNGFTPAACREKATQL ANIA_04523 MASLPPPPPPGWGASAPPSMPLAPPPPGYQPPADPNVAKYAQKK TEWLRTQRNRFGEKRKGGFVETQKADMPPEHLRKIVKDIGDVSQKKFTNEKRSYLGAL KFMPHAVLKLLENMPMPWESTREVKVLYHVNGCLTLVNETPRVIEPVFHAQWATMWVC MRREKSDRRHFKRMRFPPFDDEEPPLSWSENIEDVEPLEPIQMELDESEDSPVYEWFY DHRPLLDTPHVNGPSYRKWNLDLPQMATLYRLSHQLLSDVVDQNYFHMFDLNSFLTAK ALNVAIPGGPRFEPLYKDIDPNDEDFSEFNAIDRIIFRAPIRTEYRVAFPFLYNTLPR SVKVSWYSHPQVVYVRTDNHPNLPAFYFDPVINPISSRSVAPKNITVSHEDEIFGPGG YEDDFELPAEVEPFFADEDLYTPETASAIALWWAPHPFNKRSGKMVRAQDVPLVKHWY LEHCPQGQPVKVRVSYQKLLKTYVLNELHRKKPKAQNKQDLLKTLKSTKFFQQTTIDW VEAGLQVCRQGFNMLNLLIHRKNLTYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLM REILRLTKLIVDAQVQYRLGNIDAFQLADGILYAFNHVGQLTGMYRYKYKLMHQIRSC KDLKHLIYYRFNSGPVGKGPGCGFWAPAWRVWLFFMRGIIPLLERWLGNLLSRQFEGR HSKGVAKTVTKQRVESHFDLELRASVMADLMDMMPEGIKQNKVNTVLQHLSEAWRCWK SNIPWKVPGLPAPIENIILRYVKSKADWWISVAHYNRERIRRGATVDKTVAKKNLGRL TRLWLKAEQERQHNYLKDGPYVSSEEAVAIYTTMVHWLESRKFSPIPFPSVSYKHDTK ILILALERLRESYSVKGRLNQSQREELALIEQAYDSPGTTLARIKRFLLTQRAFKEVG IDMNDNYSNINPVYDVEPIEKITDAYLDQYLWYQAEQRHLFPAWIKPSDSEVPPLLTY KWAQGINNLSNVWETADGETNVMIETELSKVYEKIDLTLLNRLLRLIMDHNLADYITS KNNVQLSYKDMNHTNSYGLIRGLQFSGFVFQFYGLMIDLLLLGLQRASEMAGPPQSPN DFLQFRDRATETRHPIRLYTRYIDKIWVFFRFNADESRDLIQRFLTENPDPNFENVIG YKNKKCWPRDCRMRLMRHDVNLGRAVFWDLKNRLPRSITTIEWDDTFASVYSKDNPNL LFSMSGFEVRILPKCRNLNEEFSVKDSVWSLVDNSTKERTAHAFLQVTEEDIQKFNNR IRQILMSSGSTTFTKIANKWNTALIALFTYYREAAVSTVNLLDTIVKCETKIQTRVKI GLNSKMPSRFPPAVFYTPKELGGLGMISGSHILIPASDKRWSKQTDTGITHFRAGMSH DEETLIPNIFRYIIPWEAEFIDSQRVWMEYSQKRMEAQQQNRRLTLEDLEDSWDRGLP RINTLFQKDRSTLSFDKGFRLRAEFKQYQLMKSNPFWWTSQRHDGKLWNLNAYRTDVI QALGAFPSWEGLFWEKACLANGTQLLRYDGTKVNVEDVKEGDLLLGPDGGPRRAFNVV SGKDRLYRIKIDGDKEDLVVTANHILVLHRAKAMNTSVCFDRSKEQQGGAGEQLDISE VSAAERYDTVEMTAAEFAALHPQERSWYRAIRCPGFELPEQDVPVNPYFLGLWLGDES RNQSAIYSNHEEALREFLVSHAAELDMHLVYHGQSAYSTVCNKDRPTNKRIGPANQTQ TVRPTIRQTRRTIRQQRLAAEHAAAEYTTQRETASLTPLLESPTSDKHGLLSSVETPG RLSDSVTTELPMSRSASAMRSIRTASGLSEFNDVTNVSASMPDIQNSGIKNQGRIAKV TRQQDSKGEVDFRQQYSQAIKDDLELLETDIEDDVASSDEIEDVCVVGSENELIGSEK QDQSGRRRQIHRLRTGHRGYGDLSDDEQEQLLDSVVERYAGDSRLNTLQQELSKMGIL NPETGPINDKKRIPQVFMQNSRSVRLSVLAGLLDSDGWYIYPENMFGFAQSELCHKEL FWDVVTLARSLGFGVWTKKRMMPDPTGKRMSPMLVAQISGDLAEIPCVLARKKAMPRL IPQSHSFAIKDISLESEATEWAGFRVDKDQLYLRHDYVVLHNSGFEESMKFKKLTNAQ RSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFLHGKIPTLKISLIQIFRAH LWQKIHESVVMDLCQVFDQELEQLGIEAVQKETIHPRKSYKMNSSCADILLFATNKWN VTRPSILFDTKDVYEPTTTNKFWLDVQLRYGDYDSHDIERYVRAKYLDYTTDSMSIYP SATGLMIAIDLAYNLYSAYGQYFPGLKTLIQQAMAKIMKANPALYVLRERIRKGLQLY ASESNQEFLNSQNYSELFSPQIQLFIDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNP RTGQLFLKIIHTSVWAGQKRLGQLAKWKTAEEVAALIRSLPVEEQPKQLIVTRKGLLD PLEVHLLDFPNISIRASELQLPFQAAMKVEKLADMILRATEPQMVLFNLYDEWLKTIS PYTAFSRLILILRALHVNIDKAKIILRPDKSVITLEHHIWPSLSDEDWMKVEVQLRDL ILNDYGKKNNVNVQSLTSSEVRDIILGMEISAPSLQRQQAAEIEKQQEEAKQLTAVTT KTQNVRGEDIIVTTTSQYEQQSFASKTEWRTRAIATSNLRTRANNIYVSSDDIRDEGY TYIMPKNILKRFIMIADLRVQVAGFLYGSSPPDNDQVKEIRTIVMVPQVGNTREVQLP QQLPQHDYLNSLEPLGVIHTISGNEPPYMTAQDVTQHSRLMNAHSSWDKKTVTMTVSF TPGSVSLAAWGLTPQGYKWGAENRDTTSDQPQGFSTSMGEKCQLLLSDKIRGYFLVPE DNVWNYSFMGSSYGSVEKRPVYVKIDTPLRFYDDQHRPLHFQNFAELEDIWVDRSDNF A ANIA_04522 MANNRLQYRRRNPYNTRSNRVRIVKTPGGELRYQHLKKQGTAPK CGDCGIKLPGIPALRPREYAQISRPKKNVSRAYGGSRCAGCVKDRIVRAFLIEEQKIV KKVLKESQEKAAKR ANIA_11441 MTEPGLSPLDASQNEPRTGSAKATISSHHSKLSKTAVVGWKYLV TGSDWWDKIDGVDALAIDRLLQ ANIA_04521 MHRKGDLGGQQVQFQKGLARAVKTESLASTMADPQDVLQVAARF PPCPSYTLNPTRDPASSGNRRDHWPSVSMIAFHDAEMAVCEDNPGAKGLEEPVLFLAD CGKKKSRPSFPAVDVCLELQKSAGSLQQKKKELERVCVGSGLVELCGIEWSHVVDMTV REKQEAKSSSNRTTPETPPSLVDQWSESSSSSPEAMLPYQQPWAVEPAMNCSSFQSQS PVEPSPDGLPRIVPSVGGRLLEWPAPLMASSYSSSRQLKPEMRRLPAGKHLPDWAHAK SSEVASFSMYKASHSLPPHSHSSSSSTDPAAATISPTSTSMPYHSLPLSIVSPPGKLE MNRDSAPTANADENEDTNADPPYSQLIYEALSAAPGKKLPLQGIYLWFEKNTAKGKDR SSKGWQNSIRHNLSMNAGFEAVREESTPGKKAVNYWRLTDEAVSNGIQSTTRYRKQAN YKKPVTSDPPAPQRQRSGAKGGKATKITARFRSNGLSMNSMSQEEYRRDRACRQNLQQ PQSHNPRVTPSQRHLPKSFIYSQYLPRPSPTTTTAAYAPGVMGTTSSTTLPMTATRSP AIEGFNLGNVVGCADAPPCTSATPIFCDMAGPGPDCLVFDTGFMGMDGIHSSFAGSEI STTDLHIGL ANIA_04520 MSARLHNRSRTRRSRSRSPHSDDRYQKYDRRSDERDQRYDNSRY RVRDARPGQSYARGMKDQMRLNQLQEDEQVREWVAQEDVFVLKQAKKKAEIRVKEGRA KPIDWLTVMLRVIDPTRNPLDDEISDSELDLVDPEGVFEGLSETQLRDLEKDIDTFLS LESNSQNRDYWRTMKVICKDRQKTTAPEGRALNSVAGDINKLLSPKSYEQLQKLEEQV RIKLDSNEPIDTDYWEELLRSLTVWKARAKLKRVYQDVIAERVRALRRQQREEAESVR TKLAPLAPVARQGTAKINEEEFRDLDPDPLLQIRPEDKVYEVIDEEAFLDQVARERQK LLKMGYVPLRQRQVEKASAPVVSNITNAPVASNSTRFSSIPNEDFSQATKALYERELA KGVSENEEIFTGEESVSTGAQPQWASKYRPRKPRYFNRVQMGYEWNKYNQTHYDHDNP PPKVVQGYKFNIFYPDLIDKTKAPTYRIEREHGRKRGQSFAAAGEEDTCLIRFMAGPP YEDIAFRIVDKEWDYSAKRERGFKSTFEKPQIYYRK ANIA_04519 MSKKWHRLHLANREDIPPLLYKYSPTSTGYELYMTDLNSMWSEH LDREAILKRADEDNIPIDPSEDLDQFKVLLTKIQEGLQSGPGSKVSLQPRTRGRDHTL ELRVISKLPAPLEPLVWKVFLSKEAQSSMTRHLLLPLINAEAEQEARQRSLIEELNKK DWVLSKLFDKFETQGIDLTAMFPGASGIRAGRKGLTLTEMGKYIKGLAPFDEEWWREE ISKSSSGSAFSTNIVAELSEGSPNSRQLGSLKLPPDGWWEGLTLSNNATSTPPDDDKK PETNLATDSLETDTDSGSETGADEFERQETPPRLKKRSGSPQKSSCARKEDHKEAQSE DEEATSPKNKFEKAAKHRAEVHSNPANNPKMPLPRRSKGLGTIGGKKQTKPSLSSPSR TPTPSPSPSPSHGMHNPSKSLANEETTDEDANADEEHQYTLTKTKHTPTSAPRKVPQQ SRRLGVIGGRRKQATPEPEPEPEPSSKPFRSQSPEPHPPPKKRKPLGRIGVIGGHNSK QKAVQDHSSEAPSSSRTESVLPPAETAQRQSNTEDEAEKKERPASRSPSLREAKEPNL KTPAKPEREETEEEKADRKREELKRQLEAKSKAPAKKKRRF ANIA_04518 MTDLPRLPTRGSTSPTPPPPPPPPVQQSQANKYSHRAASALARF AQPFFSGSRPPSPHGPGPRPDASAALLGRPGASQTVTHRTGISIAALDISPQRTHAVI GGKEILKTIRVLPDHSSEEFNLRNAIIGYSSTHHAGGGLSARHKDQLTVKDVKWSHGL YDQIIATAVANGRIVVYDLHRTGLEYCRFQGHSRQVHRLAFNPHQPAWLLSGSQDAHI RMWDLRTVPTDRGVSVCGSRDQYNSNSDAVRDVRWSPGDGVLFAVATDSGAIQLWDIR KSSSPILRITAHDRPCYSVDWHPDGKHIVSGGTDRQVKVWEFSITAERRQKPLFQFRT PQAVLNVRWRPPSWSRESDSSGDWQSSQVVTSYDKEDPRVHLWDFRRPHIPFREFDRY DSTATDLLWHSKDLLWTVGDGGVFTQTDVRYAPQVVNQRPTCSVAWSPSGKVLAFAQK RPRRSIRGLSTNEFIGHSDEEDTSGEALSQSPAEEAYPTGSDRSRPHTSAGKAPLKGH IFLFVPKGNDDSLMYNAQCAEDIALPKLAQTWRVIHYAIIQELQARDREQRQAPEKSS RSIRKKASLEDPIIEKVRPLEDARHGKMKTRFFKGVIESEASKHSHSDLESASNMTTP LAQPLPDSPPDSYDSSESQFRHLDDAEDIQPLPPSVLSSNQDTMASNDWSSMSDIGSR PIHQLHHRESNDSENVRIPSDSPPAGRSGSLHQGTEEEQRSAPLAIAGRTDWHNRRPQ LKKQISDVDEFEQKVEDKRAAIRDYKYFPKKPLSLEAHAGSGKPGYYRHESTESLPMF SASTTSSHPSKSPATSFTSATRLHDAAEVVRNGHDVGSRNEKLLRTRSDSIITTNSIA EEELEVDESFDEGLPDQNHIHLDRPSTPPPLMKESTPLESLSKENEGSAATKDCASAA VPDLPDGLSRISIPILSDQTGNNPWSAEILLKEAIRHYHSGTHVDIQSAAHLLQKLHI LIEDIDNVLPAEESEIIFKAYNEALIRQSMYIKAAELRLLCVPSYPTVYEYAQGDTYM NVFCFTCKKPYENPKNDNTRCHRCSTPQEPCTICMSLEPPPEWVAEQSALSPDAEHDL HPSFTSQLLSPSHSSLNTEPIPHSELQRIDEFGPEVYRRARPKGTTLWSWCQGCGHGG HLACISTWLRDIEVSEGGCATPGCMHDCAPGPRREHNRRVLLEESKKRDNASRKAGVG FVKRDTWTKGESKAVEKVRGMLGTGASAGAVASTGSVTPSTTAATSVAPSATSSNLMS SPKKVRLVTPSEQERPMRTGSARTSFG ANIA_04517 MARHNVMRGSLEYLRIGYPILVLVVFAAAFVANSIVTARTANQN PSASQTGPGGRPLPKRSRSTVAVVKANQKFSQNAKLCFRLLSVVILVTLVAEAAVTVA HVMVARSEQWWCGQAVVIYVVGSFFDYAIILVSLLDTDPSPTFAQFVPWLVAAPLEMV ILALSVSIYSRPHHEPAVGAPTGGKLRGGITLWEAAGVGCNSIRLLALLMLVMLYTCS SLRSPSVARKEARMNGDGPNETTGLLRPGTENGHAYGSTNGAHGDHKPADPWVRPTTQ PSTSWWEYLSGYSLFFPYLWPSKSRRLQLVVLVCFLLIILQRVVNVLVPLQVGIITNK LSKQGDEFRVPWFEICLYILFRWLQGNQGLIGSLRSTLWIPVSQYSYMELSTAAFEHV HGLSLDFHLGKKTGEVLSALSKGSSINTFLEQVTFQVVPMLVDLCVAIVYFLVALDAY YALVVTIVTFCYLYVTIRMAQWRAEIRRQMVNSSRQEDAVKNDSMVSYETVKYFNAEE YEFGRYRGAVSDFQRAEYHVLFSLNLMNTSQNTIFMLGLLIACFIAAYQVSLGQRDVG QFVSLLTYMAQLQGPLNFFGTFYRSIQSALINSERLLELFREQPTVVDRPNARPLAVC KGDIKFDNVEFSYDARKPALNGLTFHCEPGTTTALVGESGGGKSTVFRLLFRFYNSER GRILVDGHDVESITIDSLRRHIGVVPQDTVLFNETLMYNLKYANPSATDEDVYEACRA SSIHDKIMSFPDGYNTKVGERGLRLSGGEKQRVAIARTILKNPRIILLDEATAALDTE TEEHIQGALSTLSRGRTMLVIAHRLSTITTADRILVLSEGKVTESGTHDQLLAMKGRY ASMWRKQIRAQKAAAEAQVLQDRAQRLRSASTSAAAEDSSSQSDEDRNTNRGPNANRQ AQFHHP ANIA_10569 MHPPSLQLLRALRTSISPKGAKPAIPLCANLNRFQSPIAVAFAS PHRSNSSSNGSTHIRPARMVSRAHPSKPRTHDRGPPSKEDTQTDFAALNVLGNIPTPT TAVDACLDTGFHLDNGVKITGGDGVMLVGGEAFTWRPWMSKKDGTKNNMINAKGQFEV DEQVWGILDLVWPRPGIRVEVLDTRNAAAQFNLLATERGVSEIAAAMIPIGWKGR ANIA_10563 MPREEPLLAPRQSSEQSSIRNAEEEDALLTGERTHRGGSRRGWG FWREVGLFSWALIATVAVIILAIVYQRESSWIQSHKKETWGPGGKPTGKRNLIFMVSD GMGPTSLTMTRSFKQLTQGLPADEVLVLDRHILGTSRTRSSSSLVTDSAAGATAFSCG FKSYNGAISVLPDHSPCGTVLEAASLAGYKTGLVVTTRITDATPACFASHANLRQYED QIAEQEIGEHPLGRVVDLIMGGGRCHFLPNTTDGSCRGDDRDLVAVAKEKGFSYIDDR KGFDSLNGGTEAKLPLLGLFAEKDIPYELDRRTQNDVYPSLEEMARTALKILSHATED SDQGFFLMIEGSRIDHAGHGNDPAAQVNEVLAYDKAFAAVLEFLNDDSTPGVLVATSD HETGGLAVARQLHDTYPEYLWLPGVLANVSHSSEYAAQKLQDYRRQKTDKPSQEAYTR DLLKKSLGIEDASQQEIDALLDPNNPIIPSYVFADMVSRRAQIGWSTHGHSGVDVNIY ASSSRDAWPLQGNHENTEIGAFLADYLDLDVSAVTKRLQNSEFWTAAESISVSESFNW LGNPLGDDVRTDGLDTYHGDFKRKRGFSGDADECGCGGVH ANIA_04515 MLRLQTALFFAYLAARSLAADDFTPPSCSLDEHCPKEYPCCSVY GQCGTGAYCLGGCDPLMSYSLDSCAPMPVCESKSYKWENLDSAASNNEYLGNATESDW VYSGKLKVEDGNLVLTMPKESTGSLIANNHYIWYGKIGAKIKSSRGAGVVTAFILLSD TKDEIDYEWVGSDLKEVQTNYYFQGILDYDNGGKSKVDGGNTYADWHTYEIDWTPEKI DWLVDGEVVRTLTKESTFNETADRYEYPQTPSRMQLSLWPAGQASNAQGTIEWAGGEI DWDSEDIKNQGYYAAYYSDITVECYDPPSDAKVSGDVSYDFKDDKGLESSVEITDNNT VLASLGATGLNMDLGSNKTDSSGNSTGSISNSTVPQNQGGTGNIAGSSTGNGGSSSSD SSGGAFSQGTDDSTDGENAAPSERVLKGSFFAVLVAVVVLIIM ANIA_11440 MASSRDRHIVPELISHPPIPISPLSVLASRRKECPPTLAWQLTS TSVFRSNLIGILGDFILVKSFRDQIGLRGSKALVARARKVVWCTNGVSKTGHD ANIA_10566 MTNHPPPGGPIQQQAVVALLNEVQKEQNKPAHFESCPLQRLPPP PAAALQPHSWAQVAGSITSGSSHEIMQLMSPTLVTSCPGSKEGQNSDDGRSNDDLITF IPSSIPVLPEEKAGIIRILGRMNEASIAHITARIHEGPLQDIRIEAPDRARVVFQHLS HAEAFCEADKEMVVRLGFGRLGKGYRVEIAEIVDWTDDHRAMNQPIRERRRLSFARKG LFSYYKNIPGVLSPEAWKQDMRSIAGVGNIERLFVFNNGNATAIFTSTIIARRVLETV NRWKETKAVYRGVSVTYSSDPCEKELILTDDKCVLMRRHEKFRPNHPSYRPGKIRQGQ PGRR ANIA_10562 MAFAAPTLGSTVFSRLFRTFSTTSPSLSHTTESISRNMTSSSTQ TATLAAGCFWGVEHLFRKQFGQGKGLLDAKVGYCGGNTASPNYRAVCTGDTGHAEALK ITFDPSLVSYRSLLEFFYRMHDPTTKNQQGPDVGTQYRSAIFTHGDEQHKIAESVTEK VSKEWYKQPLSTEIIPAGQWWDAEEYHQLYLHKNPSGYECPAHFVRSFPPLSE ANIA_04513 MGASSDSSSISVTVRVRPFTIREAAQLTKCEDGPLFLGDGSLAG APAPKLNQKGLRSIIKVIDDRCLVFDPPEDNPVQKFSRSVVPNGKRVKDQTFAFDRIF DQNATQGEVYEATTRSLLDSVLDGYNATVFAYGATGCGKTHTITGTPQQPGIIFLTMQ ELFERIEERKSEKHTELSLSFLEIYNETIRDLLVPGGAKSGLSLREDSNKAVSVSGLS SHSPKSVQEVMDMIMKGNACRTMSPTEANATSSRSHAVLQINVAQKDRNADINEPHTM ATFSIIDLAGSERASATKNRGERLFEGANINKSLLALGSCINALCDPRKRNHVPYRNS KLTRLLKFSLGGNCKTVMIVCVSPSSQHFDETQNTLRYANRAKNIQTKVTRNVFNVNR HVKDFLVKIDEQMALINELKAQQRESEKVAFAKFKKQTEKKDAAVREGLARIRNAYDH SLPERQERINNMIRLKQVSRRIGLLSSWIAAFDNVCANSENEVPLSNLQAVRKTAQGI LLELEGSRQHYHQRLAKSTWDRGMTSAVENAVQQLQEFDTSDKSDVTNLRREAELLRA NTEREALSAVAEQDKAGDAAVVQLLLQAHFEIASSIERIMHLSEEEAVEMGKRSLTKM LDSCCTATSNVVKPDSNLPPMPTFSPSKHSPAKAKKRLSLAIVPPSKSLNATVALHPT APTSPTRGSPRRRKMGTGRKSVSFSPKKAPAKPPKRSVRWKDDEEDGTLTEIQKTPQK REATLIHRSVSPQEPGLPRASPIPRGIPVPTRNFSPSGGSSPIPTPSDQPLSIPKNNR FKTGFLSKKTGSSPIPAPPTVALSVSDRSSPLRDIEGSSFLNRASTERPSRIAVRTPS GNYSPSPAQPETKGEWKASKDDVRRISTAMRRISIGSFGTSASATALRAHRRRSPTSA TYGSSPPENTMFTAQARRMAKGEKELENKPAVLGPRSLPIKKNTSQRRTTFGGDIRPR DFSFSGRDIRLSAIGGF ANIA_04512 MPDPLSWTLLFKKHRTTVLLLLPPSHTIEATKTKLLEALRARGL KEINGDPVPEDPTAIEFGVAVDKNDLEKGWTSLEAPKIEADDAPKRGPEKKTTSISLK AAELNNGQSIAFRFRKMGVTSFDNATDLGLEDPGWDVVIPKFDDEEVSS ANIA_04511 MDAEQPSGTRNPESTTLYKILHWDKFFESEAPPRLGMEVGQRLP LTALSAFSAGLAIGATHGSKKAAYRFRAENAHRFPTTPTGWFQYHKTKNYISIVGGVK EGMKMGFKLGAGALAFCLFEETVDYARHDQRDFISTVTAGLSFSGIYSLLARHDVYTA ARTTKLGLKLSLVYGLMQDALESLKGNRPAYIDFILGRRSKVE ANIA_04510 MRRHGRAGGGSTKAVAPVSDTVSLIHSFDSVTNPNRPVRPSPLA SSNIQALPLDLIDRLRSFPLFQSTPESFLIDVGQHLRPQLCAPNDYILTEGDEAKAIY WLVRGAVSVTSRDGESIYAELEPGAFFGEIGVLMDRPRTATIIARSRCLLVVLTKEDF RRILPRFPEVERAIRDEAQERLMILEKKKKETSVPSADLIEAGRRGSKRLRETFSSDL SLTEQNGASLKFVNKKRKSPSPGLADGTSSALANGLVNVRLLLKELPLFAGLPPDILH FLGLNAQPRSFPPFTDIIKQDSQGREVYFIVRGEVEVLSEKKDPLNGRRPLPSTIEYP GFEVKARLKQGQYFGEVVSLSLAPRRTATVRSINAVECLMISGEVLSELWDKCPQDVR EQVERTAKDRLKTASDGDVIMAEAGDTDGTGPADESFRIRSARRQSMPLLTLTETELD STQRSPNGLDEHTVLRPSDPDPFLNVGLDNVRLRSRRGSVAPLTPEEVSRDQQRPSST EPPSSSSSFRSLPDTPTTPVAQKARREPSNQNRGIFPDTILVRIFQNLELHDLLRLRA VSLYWSEILNSSPDLLRYLDLSVYNRCLTDDVLAKIVCPFVGNRPRYIDISNCFHITD EGFNTLANTCGSNVVTWKMKSVWDVTASAILEMAQKANGLQEVDLSNCRKVSDTLLAR ILGWVTPGPYKPPDETTKSGKSVIKPTILTPTGTAVFGCPELKKLTLSYCKHVTDRSM HHIASHAASRIEEMNLTRCTTITDHGFQFWGNVQFTNLRKLCLADCTYLTDNAIVYLT NAAKQLQELDLSFCCALSDTATEVLALQCSQLRYLNMSFCGSAISDPSLRSIGLHLLH LNRLSVRGCVRVTGAGVESVADGCTQLKAFDVSQCKNLVPWLESGGTQKYNGKISFDT VAVNGRLYR ANIA_04509 MMQITTDFVVLSSSPETSAIHFPPLPLGNSKITSLRDPSMSLSP SPAASPTASKRSTFFSTPPYSQFKTAHKTTQDASKERTTTTKTDENSASSENGCIRRG QKSQPISQVELQNIGQDNSENKENAPTKPKRARKKQEGSRTGTEKLKNKTISGKVTKT GMSKSRTSVIKPVKSKQGTCERNTGKDGCEKDNLQLELAMARRRDWTPTKNAANPVID LDDEDDATNRPDGLGTLLSGYEYTGMAIASDRHKVLTNSGPTKRRRIELILTHHKQLV DSRVLPAKPKSLSEDDSVQNSEGEAPICAVSKPSKKPKPKTKRLTTLTARVTAPYDLS ANSSDSTVQEILGTAEASTGAKPKSRRTKRKADESPEYKVPRTLFSPEDAVKSLDQQE LVFGTCSQLQREDSPDMLRDTQIAVQESEKEFTRGMGRLSHTARYSSVLSRLATQRNL WSAAARDTEGQLADVEVVDLVDSPEASKFIVSSLNNEKGTEDHSFATYSSRSSEVLQA KALAPADAPIDEALPALTSHTVEQLEYEEPKNQPITRSKPPLPYYKGKTDLQLASEVR RYGLKPPKNREKLIELLEKCWVAKHGLDLQEAREELQSKTTAGMTFSTSEPKKVEQEP YPKQAKTATQSKITKARSQFRPNVPELTGKSRETNTTTAVVKEDKQNNPKPKLKERQP NPKRSFIDVEEIQDSEDEFLPSPSAILNEFLVSPPRKGKQSNKDTKQNRQELPTSTIP SSPSPKSSLARDSSLSPTRQTKKSRTIPPVSVKRDLLDLGEQITKAVRAQPRRKQNSN PVTTGTRKRPTWHEKILMYDPIYLEDFTSWLNTEGLALVDEDREVAIGFVRQWCESKG ICCCFRVKKTSERF ANIA_04508 MAFFLRRPFAVPTALRQVPKSANTARFIHNSPFKPAQPKPSFAS SSIFAKSRQTFQNAFRRPYMQQTANASQGDLTQKLIYGAAIVGGTIMATNFIFNRETR EDGGMPPFERAYLNETFMHTGLGVGIIGIAARALHTSGWTYRLMATNPWLVAGVGLVA SMGTMFGTYYTSPNNYIQKYALWAGFNVTQAALLAPLMFMHPAILARAGLYTVGMMGS IAFVGATAKQEKYLYLGAPLLAGVTIVALSGFAPLVLPATATRALMWSENIWLYGGLA VFGGFTLYDVQKVLHHARMSERGLLQKDVVNESISLELDFINIFIRMVQILAMRNNNR R ANIA_04507 MTDRFARTDGSTTHSCNLTEGLYCGGTWRGTIDHLDYIQGMGFD AVLISPIIKNVEKKAKYGEAYHGYWAQDMYSLNPHFGTHEDLLDLSQALHNRGMFIMM DTVINNMAYTMNGGNPATDVNYTSLNPFNEKSFYHPYCKIDDWNDYPQSQYCWTGDNI VALPDLNTEDERVQTILEMWIQEMIATYSIDGLRIDAAKHVTPDFIGKFEKAADVFMF GEVYERSVDIICGYQSNIMPSVTNYPIYFALLDAFTIGDTESLPNQVESMKSKCPSVT SLTIFSENHDLPRFASLKDDINLAKNILTFTLLFDGLPIIYQGQEQHFSGSTDPHNRE ALWPSAYDTSSPLYNTIHTLNTIRKHAIQIDPDYYINYNTYPVYRGSSEMAFRKGREG RQIIMVLSTQGSNSGAYTIRMMNGFQPSVVARDVFSCRTWTVNDMGELRLDMDKGEPR VLFPEALMRGSGLCGYAREKVTYMDFVNKTYSPESGDDKESGGVVGSSVVVGGTGVAL VWALLGLISFAMC ANIA_04506 MCTNSYFQTDKEALEGIVDSFEAAGELLLVAVEGAIIEPFGIEF ARCCGEAPAPQTYTRTLTKALQHSDDPKCLCHPRPKKPFPKLELVIRGSKPEESRRLD QVGAQLDVVFDLIGNGLTLRETIGDPTVAKASYSIAFLLKSKMIDFVNLQGLSDDSLL LSFRMQPSFCTATGKGRMTYKEKYQGFSPNRLESRLYNDFYSCNWFEQHLELLLPAER IMGWKTVALVLKTFQRITPENWCHMVKLRNRPGVAGLNWMAIEDKVMPRKIQAPVVLF PSEEEKKMHYLIEKKKAAAKRAMQKQGLLCNY ANIA_04505 MSGEMEIDPPVSQEQAEPQTSNSGTDARTHDGAVAVRSIEGWII IATNIHEEASEEDVTDLFAEYGEIKNFSLNLDRRTGYVKGYALIEYSTLPEAAEAIKE LNGTKLLDQTIEVDYAFVRPPPSNKGKSGGRGGRGGRNRSRSRDRSRSPGAENERD ANIA_04504 MPSLLLTLWSALSLGCLLEGSARVLVQYAQPQTQVYQYQDKDTL QQPLATNKYKETLRDLIDALDVMQDSYFVLYEGTWPTGNDWTRAVHGTHVSATLAALT AYTDDKLLGVLLSNRGENSDERGKDDGDEAEDEGDEGDEGDEGDEGDEGDDPEEDEED EDEGDKGDKGDDSEEDAQDNIIENSLALENLVSHFFGQVTTYYFGENALGLRDQAYDD MLWVVLGWLENIKFQRLHSDLHYDTESSSKTGGRPWHGTQFQTPAAHRARIFYELASE GWDTIVCGGGMIWNPHLGAYKNAITNELYISSSIGMYLYFPGDQIDAPFAGAEESEDG LPHDPAYLKTAQKAYRWLKNSNMTGIYDLYADGFHVRGYRGPNHPGTRKCDVLNTMVY TYNQGVILSGLRGLWLATGSQEYLADGHELVQNVQRATGWPNIYDQHWKGLGRAGIME DACDSNGDCSQDGQTFKGIFWHHFAEFCRPLRPQEERFLRTQSYHDSSFKDTYDWHQE LCSTYRPWIEHNAEAALVTRNEEGKFGMWWGRRYRVIDESASTSDTSLPDGAVDYRNH PESMPPSWYANETNPIASKAAAGVEDNGPEYNDRGRGRTVETQSGGVAVLRALYQWKM AESLASDV ANIA_04503 MEVLGLYGRRLQSSSVAPATLQTGGQTENADKQSVQLLNHSVTQ SLSESTSAPTDISDSSCATLYHGRTANPRDSRSLESACWLIGGGGTRKGRLHLSHPAW VPQGLSVPDDPAKAHSAHEGLAWHLLVENQDEGELEDGRRKRRMM ANIA_04502 MASTSEVHSSSPVPTLPQPNETNRAHMSSLSSSSSISDAETERR GRPERPRMASRKPSASILVPRDHPEIEIEEEEFPPDDARAMSPRRNSADLERLGKEAR QTLQEQAKALQSSLQALAERIDAVKTDHDKLENENRFLQDYIGGLTRNMKSEMSKSSK VKKSHK ANIA_04501 MGHEDAVYLAKLAEQAERYEEMVENMKVVAASDVELTVEERNLL SVAYKNVIGARRASWRIVTSIEQKEESKGNESQVALIKEYRQKIEGELAKICQDILDV LDQHLIKSAQSGESKVFYHKMKGDYHRYLAEFAVGDNRKAAADASLEAYKSATEVAQT DLAPTHPIRLGLALNFSVFYYEILNSPDQACHLAKLAFDDAIAELDTLSEESYKDSTL IMQLLRDNLTLWTSSEAEPAAENAPAEKKEEAPAAEGEEKPAE ANIA_04500 MLRQSITRPLSTTSRAIFTRPFSAIAPRMGEGDTGAPRPGGAAQ SDVWQKRETAQENLYMYEREKEKLEALKKKIQEQREHLNELDKKLDQFTQNGKK ANIA_04499 MAFNFGKQNSSGGSNGGLFGSAGTSSNTGSSGGLFGNAGATTGG TSSSPFGAAAASNQGSSIFGAGAGSGSSTPKFSFGGATSSQTPNKPTESPASGSSGTG LFGGASKPGGGLFGAATATPASSGTNTLFGGQPSTTPAGPPPQGGAPAQGQSLFGQQK SGGLFGLKTTSSGSDSTPATSAPSLFGGGQSTGSSLFKTTTQESTPSSTATSGNLFSN LGANKPAESTKPTTTAENTSKSLTSNAPASGTQTPSLFAAPSSGTDAASKNTQTTTSS ATPQKPLFSLGGATSSATPSFTPAAAPSGGLFGGLGTSKPAETSTTKPAASTGSLFSK PAETATTQAPSTSAEATTIGTGAKPALTATSGPTTTATAATSGTSGTTTAGGPALGAS TAGPPPPAQSRLKNKTMDEIITRWATDLTKYQKEFREQAEKVAEWDRMIVENGSRVQK LYGSTVDAERATQEIERQLASVEGQQDELSSWLDRYEREVDEMMSKQVGPGESLQGPD QERERTYKLAEKLSERLDDMGRDLSSMIEEVNNASSTLSKTNKADEPISQIVRILNSH LSQLQTIDMGTTELQTKVAAAQKAGQSMSARFGHGLSMSGAGSANAADDFYRSYMGRR ANIA_04498 MTERPSPPTSSYLEPPRQSVELEDPGAPDHASDSDEEHFSDASE GNSRSRSRATSRASPVPRTRVEKVDDVPSHGDVPGTSAYEKREQDAVPDEVEVISRSR SPSAAGQHRRSVTPGGTPIPRTVVEKVDPGQPSYGDVPGTEAYEKRKADAVPDIVTKT SEATSPSVAPTKFNEANTTTTPVPETLLSEVGDVPDDGSIHSGPRAHRRRPSDTLPDA TETVSDSPDLLPHSNSSSHPNHTGQNTTLNEEDSAETVGGDDFDDFEEGGDDDFGDFD DGFQEPDADLDEPDPASLQSSQKTTPPFVPPLIDFESILSLPDLLTRLDGTLDRLFPA SKAALSRQSDLQPIPNSSAIFSTERSLSLWSQLVAPPPLQPQNWVKSRIRRLFLVSLG VPVDLDEILPASKQKKLILPSIHIDGSKSTAAGPLSRSQSLARKDSQSRPNSPRASST ATRQRSSRRREPSPPPELDLAAVRRLCATTDAALDGLTDTELQTHVKELEQLTLRASA VLEFWLKRRDGLLSEKEAFEGVIENLVNHARRVRK ANIA_11439 MQRSPNMIILETGDGMMDIKLDWIRRRFKARRGQL ANIA_04497 MTPPAVNKNSRPPDPARRITVSGLEVTVQNSTANQFLAGAARKA RYAWMNSPLVQRDDQTQTTALPTPEPAASARAFAARAPPAPASPASPAPPMPDLPPSH QNLASFRSNQSAIASPTPTIPRNAATRLQTSPSSTTIPQQKQSQESLETQKQGCLEQQ SVSSLPSPDPTIPPRSHTSPIAAGERNGAPIASPSMTAFPSPPLQQHASNQRRPQPNG STVGTFPASIPSNQQANSSATQQGHPRFVPYSPPGYSHLAPTAPVHPLPLPQQHPNTL SQTLASDRPSVITAEFFVRAKRNLDAFVAKEKRSLFVHDGVEAPRLQLLDYALTHQDL IFLALHQVYCLDSYDSAQLKNLPGFGPEHVKGLYIVRNLLVDNQRVASNFLKWCVDFP SPLSELLKDWKYHIQFQGMLRLLADLVIQWAVFEDIVRTKGHPPLMDDMRSLGVISMT LQFNIFLCLSRRIPGVKAEGSMREIFLRDFDYFRRRLSEPISTEQRRRENEEIISMYY AAIAAARRDSVSAGLPRNAGVAHGVSRPGQTVPTPVSAPPNLHFVQHHSPHMQSHSAA GGQNGMASASARSSLSATPSVLPGQSVPQQPDAQQRGSEPWALPGQVISPIVATTPQV MMPHELHQGHLVQQYPQQPPHSQSQPQLQQLEQLQQLQQAQRTGSQNERLVPGPLESQ MVANHRTQSPNLHYATLLQPQHRQLQQQAARQPFWTPLLPPPNVPPVITTRPNPNRLA IHQAYLRDPINRFISGDGTRVSETELMPHMTSFFMKPKILSQEDGAVTESISLSGDEL NRRASYRGQGKGERLLRTVKEGSQTYRLRCIKTPQSAVSLNENSWCVAETAWPTAIYV HINNIELFPRRKIHNTRDLPVDITLVLQEGLNKIEVNFLLGPAERKNFTYAVAVEVLT FRSLASAKALAQPLPAAESQKRIQAKLALNPDEDGDELSIVSDDLKVSLVDPYTARIF AVPVRGRHCDHTECFDHETFLGTRLLKSGFQSAIEADWKCPICGRDARPQNLIVDEFL ADVRNRLERTNQYESARALKIRADGTWDVVTDNDTSSSEQRISQTALKRKSSVLHTGI QQRIKVDRSASASVPERTPDQSPEVIVLD ANIA_04496 MALNSAPRGYHTGDLLLVVHDFTARSEDELDLRKGERIELVELD DGFGDGWYLGKDLRTGITGLFPGVYTTKAPNTFVRPQTASSESNALATDGAGESPVYQ GANALHSGEFVSTPKSDDSTPQASRHVSMTDLRGAHGQSEPNPSLLASKQQQPQNRSS SSPLPNIKMASDIQQSIRQTLNPHPSGQESPVMNETLSVIDEHITDLSTPRHSVKPSA DQKTINDSASEYSSHLDHRMSYINGHETDEEEGNQPSEEEVRRWEVGETVKHLRQLGV EDKHCDIFEEQEISGDVLLDMNQDFLMMKEFDFGVMGRRLKTWHKIKSFQEEIKGYKD QQSSTARGSIAAFSTMSEERSQSRGGQTGPLRPRIPNFRGSFSGTQHPRLVSNNMQSE TGSPVTPQTPPTGFMDHSRRPSAASIREINHQRRHSSLDATGRFSIAEETSAHVGLAH GKKGSFDRGWTMSLGAQRATPRPGTALGATSTGSKYTNGLESAVADDLERGYFSGPET DSRTQRKVLQKRASVAGSAHSLQSSNPDEQFRANRRRSRISSVDSVADAPPHALNGGS TYPLPSSKGRLRSLSTRISSQQPPHSSGSKASAGGFLSSFAPRAGKQDSESRSSAQPL QQFKKAAPRFRRAVGLRMSDTAGKGADASAVPASPVNDTDPASVRTGSTTPSTSKSSE RHSTDGSGKTAEGGILVPRARPSVKAGVKSKKDTSAYVRGLEKKTPQEQMDGCDFSGW MKKRSSNLMATWKPRLFVLRGRRLSYYYSESDIEERGLIDITAHRVLRADNDPIVALH ATITGATVSPTSPAGSVPAGNGSVSENGSTSESRRGSRSDKEGPFFFKLIPPKTTRTV QFTKPAVHYFQVDSVQEGRKWMAALMKATIERDIHLPVETTNKQRTISLKEAQMSNQR PPALMPEGQTPTEDALVEDASVEDALVEDAAAKDEGAGLMIQGLDIEQVESPVDVGDI NLPNQLGELDTGPSSLLPETARTS ANIA_04495 MSLRWILSRLLLSLLPLAVTATVYLYLYPVFSGCAYPLPLNSSN ASQRPSAFIHTLRTHLTPRSTPGIEPAIFRLLVLADPQLEGDSSLPRPENELEARLQK HWNDALLSIHTAPFPVHLDVWRTISAALRSLLAQDLPRAFAAQRKRLDLLGNDYYLAH IFRTLHWWTRPTHVTVLGDLIGSQWVTDEEFQRRGSRYWRRVFRGGERVDDELTRTGE KGYAKSESDEVKVDELLPFSPSWAHRIINIVGNHDIGYSGDASEQRISRFEEVFGRAN WDIRFQHPPIGNITPSLHLINLNSLTLDGPAYSQDIQTQGYAYINSIIDRSYPVEDRS TFTLLLTHLPLHKPEGVCTDGPYFTFFEKNDESGKKRYKAGGLREQNHLSEFVSSNGI LQGIFGMTGDEGAPAGGRGRNGLILTGHDHTGCDVVHSIQKQPQQPPVENNEGSFPDE AESGQQSWTWNTTRYDPSGPQDSSSPSIREITLRSMMGEYGGNAGLLSLWFDTAASEW RYAVTMCPAGVQHIWWAVHVVDLTTFIVGFLYALVRISECFGTDSSSIPPAVVGEDKK TG ANIA_04494 MSSKRGRGVAGNKLKMTLGLPCGAVLNCCDNSGARNLYIISVKG IGARLNRLPAAGVGDMVMATVKKGKPELRKKVMPAVVVRQSKPWRRPDGIYLYFEDNA GVIVNAKGEMKGSAITGPVGKEAAELWPRIASNSGVVM ANIA_04493 MASGTSGPAGPPLDPIDLNVSGDRSKRVAYFYDSDVGNYAYVSG HPMKPHRIRMTHSLVMNYSLYKKMEIYRAKPASKFEMTQFHTDEYIDFLSKVTPDNMD AFAKEQSKYNVGDDCPVFDGLFEFCGISAGGSMEGAARLNRNKCDIAVNWAGGLHHAK KSEASGFCYVNDIVLGILELLRFKQRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKY GEYFPGTGELRDIGVGQGKYYAVNFPLRDGIDDVSYKSIFEPVIKSVMEWYRPEAVVL QCGGDSLSGDRLGCFNLSMRGHANCVKYVKSFNLPTLIVGGGGYTMRNVARTWAFETG ILVGDNLGSELPYNDYYEYFAPDYELDVRPSNMDNANTREYLDKIRTQVVENLKRTAF APSVQMTDVPREPLVDGMDDEAEAALDDLDEDENKDKRFTKRRFDQYVEKPGELSDSE DEDENAANGVTRKPAHLKRRNQANYRLDLADSGVESGMATPQDASSVADEEMDTGTDV KITEAPGPEPDSEAQGTSSAAEPPSRAGNGSVDEPSEMIVDSKEPPRSVPVSRPVSPK PTDEDTAMEDADMPVPEVNQENTPEASQATQNKPAEGTPASESAVAKLTSQTKASFES NEGRKQLEPETVKEAGLAAVTTETKDKTPEAPRAGALPAVTAEQETTKQGEPSAEAQP EAAKE ANIA_04492 MDRLTRMIQAAQGMGMNGSAPGADTPNLLDNSETVHISSLALLK MLRHGRAGVPMEVMGLMLGEFVDEYTVRVTDVFAMPQSGTGVSVEAVDPVFQTKMMDM LRQTGRPEPVVGWYHSHPGFGCWLSSVDINTQQSFEQLTPRAVAVVVDPIQSVKGKVV IDAFRLIQPQTVVMGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIGINYRKTGLEE NMLMNLHKQVWTEALQMNDFHDECQHNVDRMKQLVNLAEGYEKRVKEETELTKDQLKT RYVGKVDPKKHIEDVSQQLIEDNIVAVSRQMIDKEASVARQSNGADGKEPQNGVGMDV DEEL ANIA_04491 MADDLIEPLQRVRFADPPAGANAYKLRNVAATAYDSEEDEEDEE YPTPSEPFRFFDLPAEIRLRIYHFALFTPRRRNRQTNGNVGASSRNPSRSPQSDRIAL FLTSRRVHDEASDYFYSTQAFRVFHIQDYSRIPTISGIPTKYRSSIGTIELILGSSWT APPRSWRVTRQLGLEEMTRLRLLKVFVECDPSHPVFNGFRISNNFYQDFAGGLLRQIL ERLPRLEFVEFDGNPSVMKGGALMKRLLHEARTAGKKIVWGPQRGWTDYDKEDMIAER VVYGLQSTARRPPVTYIRESSSLFQGVV ANIA_04490 MLRRAILPLTRPSGLVSAPRLSALPVSHSRCYAKGSKPKTPYKL PESVKSSKPEQPAKPSQQEQYAAEQAEFETTSDPQANTANTTSQASSSPESSPSQSEQ DAPQRPLPDLTQGIPSTLAAELEARSKKSGSGTLNLTEDPSRFEEDYSDDGRGDIPKG GYESSLDRKRARMAKLMYALFLLGSVGGMAYLGRNWDTVEEENAHPDVPSGWSFGLWY NRIKARMGDFTSYYKDPAFPKLLPDEDPNLRQPYTLVLSLEDLLVHSEWSREHGWRVA KRPGVDYFLRYLNQYYELVLFTSVPSMMADQVLRKLDPYRIIRWPLFREATRYKDGEY IKDLSYLNRDLSKVILIDTKEEHARLQPENAIILDKWNGNPKDKTLVALIPFLEYLAG MGVDDVRTVLKSFEGQSIPIEFAKREKAMRERFEKELAEEQKKRPRSGMGSLASALGL KSSARTLDGEQLPSAGLQEGKMLWDQIRERGQKNYELIEKEIRENGEKWLAEMAAEEE KLRQEQMESMKGSLTGFFGGGKKE ANIA_04489 MPPAAVKPSPNSKAATPALNAGARPYRSHKVRACDLCRKRKSRC TVDIPGQSCLLCRVQGADCHYQEEPGSELSAAQGPEPAVWHSRAVGDGFHTGQKRKRS PDTVSPPMTSSRTDEIPEVRRSHSAAPRRGSEPGRQGVEDPQNESVFIVGPVVADDAN VIEKHMPPQQSNRSVEPKNHPYNVYSNDPRKPILYTTVSRRRQGMRVGIPPGENQKEI LEQILGPFKDDLVRLYASERDYHVCILLIPSLRFLDRFNAAFPIFDGEAFWEAYISDS PSEPPASLLCQVYSMSLVHWKHTPKLACHPKPDVRYAVNRTVAALHEEFSAPGLSTIS AALIDLTGRPIFSMTGNAISCGRMVSLAHCLGLNRDPSNWKLSRQEQNQRVRLWWAVV IHDRWGSFGHGVPPQIAKNQYDVPLPTVEVLVPPASRSPERVRAAHCHIALCRLTEIL GELLPLVYGLQQRSPRETSKKIRQIRTDLDIWEDSLPDWLRSPLGPSEDRIAGLSSLH LSFLAVKLLVGRVELNDVNNSETDLPEARRYFQTECRKGAEEIVQFISSLRKENFKEF WLPYSAFHLTSTATLLVRCAFETSDPEVARTCLANVESFRAILRRVREEYDWDVADMC LDHCERILNRLPPGNGHGVNGSSATASGAPNAQGGSGGGAAMGPPDSTNGLVNPAAIS ISLPETQTNNDIVDDMMSISNTFGTMDGFPFDMTGIWDVSVFQDVNLT ANIA_04488 MVEEGRPPPPERLGVDSESPIEPDTAQHPQETESHTSTGNATGT AASQDTRGSPFSRLPRTVIEHILYVADASTFASLALLNRKWRRISDSALLYGHHLSHC PSFALSQKANDQLPIQTDDLAALKRQFVSEIRRNGFDVFFRPHQTLVKLISTSMSSST AFPRGEAFRFAFSQDGQLILCISSSRIVVLDVASDPPAVKYELKTLRRPLHATILDDG SLLAVVSSSHQVNIYSLSSAEAKLIQDLKLNHVPRTLAFSPAGSVLAIAYDDMIEVYA IGETALATERRAVRCAGVDSLSFSSDGVILLGSSNNHSTGSLVSITVPLYGEQEPELS IKDVQVRMWTTQILFPEVVQNYSYACLVPLHTEGEGSWIMGFDNKLKVFRATGLTKAS SGTTYFASPISEDTNIEHPPMMMAAADCGGELVALGFQGSGVWVYGLPDRLDIAPVAQ NASGPQTRGTAQNQSTVVTAAGTNLNRLQQTINRPKVLITGHKVTDIPGITAARWVRR NDSTGEQRRLAAVAPGGVDHASFGEEDVPLDGGRILISDFTCSTTNGNVTELVIEIGE AEPVLLREPNASLDTEVELERRRTRIHRGSTGRGNRESYPAASSNNRPRPRRNSSYLS GSSNEVADGEIPPILDSPYDNTQPRSQDTLRRAATAAAASRRRVAHESRRVLQEPSVP AYFQIPHESDADNWVPPPPPYSREADVPLPDYLRRTLLPSPQRYSQNAVESFRRSLST RFSPDTSSRPSLHRLNTVTGPNLASRMRRHVGESSTSDVHRRHHSWARGRAGSGSQVQ PLSSVNESAVSVQPQDFLVSPQLVPNAVPVVPNVTYTDPLVATLQAHAQPMTIQIPNN TQVTNSQLVMPAVPPTPPAMNNYLYSLSSPNLIPAQLIPETPETPTPVQYPSARHHDR TQSYDYQPPLSAAALRNRRASTDPTHSSSQSVAPEQQWRRRIEEWNERTIYERSKKRS KCVIM ANIA_04487 MGLLDKTTKTERTQSSNSLPDYAQTQEQQPGLSPLNLTQNAGPA LYTTVTHYECIAHLKFLAALSDLRDTVTSIPNLFDILDPNPQEFGTHINEAWALVKEK RWAVYTAKAVARYTSWWNACVPASRPRPTVQQLSSPTFGVITVCESSLTWARDQLPPL DVLMVWHAHMLNPRSFLEDCIRHGKISFWSAGFPWEAINASIDDRTMVYDAGGDAAGI FTSKTNLEWDNLKDMPSKMLDCPSCSHPNSAPWTKGRLTLPFERTYDTWTGFTDKNFH IYCGYCKLRITHDTLRVRKFRNDITDLVEGDLPMPGTLLNLWGVPEPQSATRRKLQQA NFPNRLLQTTRRDIREYLRSTLWMCPSVTMLRDYLGTLLQDREVMRQANPGSLGTTLY KDEKIAFRRMMSRYWSNSSQFALDLVGAVVRQGTFVQKMDNIDWLHSPALTETMQRLI RKYAVFFQIMAANPGRMAVPTLDVDLAWHTHQLTPGRYFEYSVHRTKQDGYRAIFIDH DDKVNEIKLSEGFEWTSKMYRKLTDGGIYSECTCWYCEATRGADLNSRGRILLPISSG ARARSAAANLHDNPNISSDPDKNPHISAHSAVKTKNAMADVAGIDPNRVKFLKLRSEY ERICRRAEKRNSRYGKSNTTPSPDHDPNDKDKGNRRSKDGADAYAAYPLVWGYPVYVP YYAPYSGDPGVHCDAYAADPSCMNLQSGHPGNCASGTCGGAVAAGACGGKGGGCSGGC AGGGDGGGAGGCSGGGGGGCGGGGGGCGGGGGGGGGGCGGGGGGC ANIA_04486 MGGIGHTSISPAVASDGAGQARKRNAGMAGLDSSPGSIDDVEEV ENRDEKRRQPVKRACNECRQQKLRCDVVQDPWTDCSRCRRLKLECKIESNFKRVGKRS RNAEMEREIIELRKQIASVQSGSVGQQQSHLPSAQQTPKQENPSNQVSPARAYQTPSA MSAADQFMGSHEAVASLLDLRSGYDGSSYMRNGNQHFKRIEDVAVVPERVTELFDLFF TYYHPFLPFLDREQSPEEYYTVSPLLFWTIISVGARRYQPDPNLLNSLAGPVTRLVWS TLADVPQSYHVVKALCLLCSWPFPTSSTSTDPTFMLCGLMIQIAMQLGLHRPSHTQDF SKYRVELVEEELRDKVRTWATCNIVAQRVATGYGQPPCTLYDWTLSSQESMDPNFKLP ESIRHRLDIEKFCDKVTKALYTNRRDPVGLCGSQERSTLTSLLSRDLDELETQLKPHN DYITDLYLRASNLHLHLSAFFDDFTAKDYRERLLSLFFAATTFLEAAMKLQTQVGPML SNTPYYIYQMMVAGGCTLLKLCKSFFASHIDMDYAKGLFNRTIWAIRSVSVISNDLPE RLAEVLAQMWKLGSTPSPKPPPDSVEMDDSLMLKVRCRMSMSLLFDSVWRWREDTRTK GRNIEGDSLTYLKNPTNPDSNADSSASSSVGPPRTSPGLATGDPSLAPALLPQSNMGV QSGNNIGSLPSGFMEPNYEVFDPLNWLLDGLVDLPYPYSVSGMEAQGIA ANIA_04485 MTPERLSPDCPLALIVMQTPRYSTSRQKACHQCSSAKTRCERRS GHGSGTRCIRRGLPCSWLPKQSHDSRLHSNDTTNITIETAQLSGPFSVSESSTRSPGR VTVNPDTNTSTDPRAFPLDTQLGQDTTSSSLTIPVPVSETDIVSRQITFNESEVLGDN EDNTLNFSNLNLLCPINANDIKDRWIQAYIPVPGQTVKTARGASAIHPSNADESVLGS RGEFAADYLSEVGAATEIGAVSVSLSLLATFQSYLHYTLTLFFHFDLHHSTINNDNDN TQPLRVSITSLQDRAYACAHQGLLCTAESAAIRTRPRWEEWIVAETTRRTLYIMYLFD SILSRQEGLPTFLGVELHGLPAPAPGFLWRARHRREWEAEYNLFLVEWGDWALRIEEL WPETRELDEREREWRKERVERWVEDEYGSMLHAIMQCTHGGK ANIA_04484 MPSIEVVSSPASSEHPDRLLLKSHKSLPRRTNTNPHGQFSQSSP TEHDGHFSGGLPPMAVPILPLTPPGITQNENPTGASAQKSTLSSHSVTNVLTPSRLSH PPTPETTPPRVMASNRPGLSQFGYISSSSRAESFKTAHETMSDAETVTPRASPPLLSR SDTQKSTKSTKSSRANRTDAITKDLAARELFRESPRSKVEKHVEKKPLATADISRKQN LANGQASELCVKPTRDKSKGTRNAADSTVTDIDASARGRRSLRDRVAHVQHPDDSPAL DQFRQEIGWPSADDELARLEDHRRLSGVSASSTIEAVIVDKPRPARRALRHTEKRSSL RSASSPITASERTSITSSSDLGRRLSHKPNRITENDRRSVSSELSVSVSSTLGVPQQS VDVVPVVVIPQRHSSLKGPSNSSSKNPSISRSRPSIHAPAVPGSRVGSQDLPRGKRTV SESRVSDDDRGRGLSRPHVPPRSSSLSAPTSQNNSRATSLTSESLRSHNLALEQVKKQ HQPEKVQPPSIPVDSPSLDQHDASDILKTQSIVIGVEDMSYLRPPSVPFTPHSVPSSS PGPFEISEVRRVAIFPHNNESLLLVNPHGQSNLRAQLQQAEQPQTPDNTQQTTDVDSP LRNPRPPPKPPVSAAADNFVDFDQLPGEVTNGKDPTNGIDRRPSSKRRPWIARPRSES FNSFVRTLSLNSARNPKAGEDIDGRSQPFWRPRRFWDDSPDSLSPEEGNSRPRNLKQP DEIISNSLGMPQKRVVFDGPPVSAARPGAVRRTDGHRANRTMLIGSGIFSPEALYSQT SLHQRRFPAVPRWRLRFRSGIARSLRWRLRRSIQQRVEGRREVRREKLKQSIGEAVLM DSSTQVRKSHTMK ANIA_04483 MSTIQSLKNFIRHGKQARLVTPHAEPTTNVSPVHAEQQRQPQGQ FAPAAGNLDAIDSKLGGGQPHSTQRESPEIPVKRAREAEIEQIIAEEKTNRTKMPHYP GLERYVLLEKMGDGAFSNVYRAKDASGEYGEVAIKVVRKFEMNSTQSDAHLHPDFKRK PKAAERANILKEVQIMRQIDHPNIVKLIQFSESRQYYYIVLELCPGGELFHQIVRLTY FSEDLSRHVIVQVAKAIEYLHETSGVVHRDIKPENLLFYPTEYVPSKHPKPRQPGDED KVDEGEFIPGKGAGGIGVIKIADFGLSKVIWDTQTMTPCGTVGYTAPEIVKDERYSKS VDMWALGCVLYTLLCGFPPFYDESIQVLTEKVARGQYTFLSPWWDDISKSAKDLISHL LTVDPDERYTIKQFLAHPWIRQSGEETQAASDAPPLTTPAPEARNQALDAIAAELAPY PPASAQLSAGERPMDFRSPGAINLREVFDVGYAVHRQEEEGKRRKAGRGFRQSNPTAA FQSALNPLDEDYDDEDGIAYQTIDEEGHPPAKMQKSTQQSGDVAAMEAKMRQTNLGAT SHAAQMRQSHQPRGYGQHSAQVAAAAKQNMVRNARAPFELSLDNASLLEKRGRRHQEQ PAM ANIA_04482 MPFGINPFSKNDKHEYPGVVVPLSSAPANSKTSPDPEKKVGPQD TDAGSLDRSSSAENGVGSMHSHQQSQNGHLTLEILRAEVENDLVASGQDSAYDRKSKI INRAIQDIGMGRYQWELFCLCGFGWLADNLWLQGVALTLPQLSLEFGVDENNVRFTTC SLFLGLCLGASFWGIASDIIGRRPAFNFTLLISGAFGLASGGGPNWVGTCALYACLGL GVGGNLPVDGALFLEFLPFASGNLLTMLSVWWPVGNLIASLLAWAFIPNYTCSGDGPC RKEDNMGWRYLVLTLGALTFVMFVCRFFLFHLYESPKFLLSRGRQDEAVAAVQGIAYK NRTTTWLTVDVLNEIGGHPEQQTREKLSTKEIIQRYMSKFSLERIRGLFATKRLGVTT VILWFCWTTIGMGYPLFNAFLPQYLQRAGGGQSQSTDIVYRNYAITSIVGLPGSVLAC FTVDIKYIGRKGTMIAGTLITGVLLFCFTASTDPDIQLVCSSLEAFFQNIMYGVLYAY TPEVFPAPNRGTGSGISSCLNRIAGLCAPIVAIYGASANPDAPIYASGALILAAFVAM IFLPIETRGRQTL ANIA_04481 MSPNLRQYSDGSSFEEAQIESTNAGVNKTKPPVLGRQRSKTDDD IAPNTNATSNSLEYTEKPELPPAPYGFQPQRTSDDDLDRRLSSATAPDEVSHRAPASR VTTDADGNTYPEGGLEAWLVVLGSFLGLFASLGLVNTIGSFQAYLQTNQLKDYSSGSI AWIFGIYAFLTFFGGVQVGPVFDARGPRLLVLGGSVLVMLQVITMGFCTQYWHFVLSI GIAGGLGTSMIFTPAVSAIGHYFNERRGVATGLAATGGSVGGVAFPLILESLFPKIGW AWATRVIALICLISLAGACLLVKSRLPKKPASKENVLPDFRIFRSLKLSFTTAGIFFI EWGLFIPISYISSYALAHGTSESLSYQMLAFLNVGSVLGRAIPGFFADHLGRFNTLIV TVALCLVCNVCIWLPAGDSVAIMIVYCVIFGFASGSNISLTPVCISQLLSFGTLTGMP IAGEILARCNGDYWGLITYTICCYASGLISASHDSQQLPNDNGYSIIRVSNIVLETRG PP ANIA_04480 MAPLPSKLVLFLSLGTLLHQTGAAPQQAQKSKCANCGQQTVTSA VTVCTSTPVGGGTQTPTAPGGVLPTPSGPGGGGGGHPSQPGGGSGTPSQPGGSGSSTP SVTVPVPSGTGVEGGGGTPSQPGGGGGTSPSVTPTVPGGVVPSPSGPGGGGATPSQPG GSGTSPSSTPTVPGVTVPSPSGPSETPGESQPPAAGGGGGGGQPGGPGQPGGPGQPGG PGQPGGGGPGGPGGPGGPGGAGGPGGPGGPGDQPGGGQPGHPGGPGGPGGAGGPGGPG GPGGPGGGQPGGGGGGTCLCQCPCDGKNNHPQGPGGWGGQGWVANGWGGDGWSEHGWK GQGPNSQVQDGASWPEHGGGGPHNPENNNKVKRLLAAPRGQGSDGHSGDSTFTLPTVP APTPTDYKSGAGTPSAHSIHGHNDPDYRESDHTNADFNDSDYKDPGRKHSGYKDSDYE DPSDDENEAKYPKYHCYKHPHDPRCKDPKYIGYIDPKAPDYDKYADPGYGGHGGPGGR GGHGGSGYDGQDGQRGYSDYRDEDDNGQEQPSITEAPVAGITGGNIGTGAAAGAGGGY GSNRAATESSTLSSTRKATPTSSASPTSSAVASSTSSFQLPTINTRVLRWW ANIA_04479 MACADETLTAAAAIIQNLVREAPSSGSPSFEFNFSPQSTNGVDS LPKLPGEPSLAKVRFENELEALVRRIHRMEVQSQQNHTQHAEHTQHLHKRRKSSKDTE PEILDESDGAASDEDEEEGATTLVREEDISYLRNYVQKQAEEISFQKNIITQVREELQ LQEVQTRRALTKVENEDVVLLERELRKHQQANEAFQKALREIGGIITQVANGDLSMKV QIHPLEMDPEIATFKRTINTMMDQLQVFGSEVSRVAREVGTEGILGGQAQITGVHGIW KELTENVNIMAKNLTDQVREIAVVTTAVAHGDLSQKIESRAQGEILELQQTINTMVDQ LRTFATEVTRVARDVGTEGVLGGQAQIEGVQGMWNELTVNVNAMANNLTTQVRDIATV TKAVAKGDLTQKVQANCKGEIAELKNIINSMVDQLRQFAQEVTKIAKEVGTDGVLGGQ ATVNDVEGTWKDLTENVNRMANNLTTQVREIADVTTAVAKGDLSKKVTANVQGEILDL KSTINGMVDRLNTFAFEVSKVAREVGTDGTLGGQAKVDNVEGKWKDLTDNVNTMAQNL TSQVRSISDVTQAIAKGDLSKKIEVHAQGEILTLKVTINHMVDRLAKFATELKKVARD VGVDGKMGGQANVEGIAGTWKEITEDVNTMAENLTSQVRAFGEITDAATDGDFTKLIT VNASGEMDELKRKINKMVSNLRDSIQRNTAAREAAELANRTKSEFLANMSHEIRTPMN GIIGMTQLTLDTDDLKPYTREMLNVVHNLANSLLTIIDDILDISKIEANRMVIESIPF TVRGTVFNALKTLAVKANEKFLSLTYQVDNTVPDYVIGDPFRLRQIILNLVGNAIKFT EHGEVKLTICKSDREQCAANEYAFEFSVSDTGIGIEEDKLDLIFDTFQQADGSTTRRF GGTGLGLSISKRLVNLMGGDVWVTSEYGHGSTFHFTCVVKLADQSLNVIASQILPYKN HRVLFIDKGENGPEAENVLKMLKKLDLEPLVVRNEDHVPPPEIQDPSGKESGHAYDVI IVDSVNTARMLRTYDDFKYVPIVLVCPLVCVSLKSALDLGISSYMTTPCEPIDLGNGM LPALEGRSTPITTDHSRSFDILLAEDNDVNQKLAVKILEKHNHNVSVVGNGLEAVEAV KQRRYDVILMDVQMPVMGGFEATGKIREYERDSGLSRTPIIALTAHAMLGDREKCIQA QMDEYLSKPLKQNQMMQTILKCATLGGSLLEKSKESRISSSGEMHHVHTGPDGKSQRP GMEGRSITSSSATSRTLASTSAERTEQLSIDRVRP ANIA_04478 MTSSKADSVSTRKRSNFSTRTAPEDESRLDPSEPANASEETAPL LHWPAGQAQTYGSMNPPNSEIPIRYRSSHSKFAEYFSSWWKKSENDDVLPNTALDAGP LQDASVDQKGRSGERYRLLAISYTINLVTTMSLSAIATNGTVKGGGAYYLISRSLGPE FGGSIGIVFYLGSVLNTGMNAVGLIDCFKQNFGAETGTWYNFLREGFWWQYLWGTIIL LVCTGICLAGSALFARASNGLLVILLIATLSIPLSAVFMEPFRAPKLGVHFTGISLRT LMENLKPRLTKGADGSQLSTRETFQDLFGILFPATGGIFAGASMSGDLKNPSRSIPKG TLYGLALTFILYTLVIFAMAASLTRDSLYNNANIVQIANLSGAIVLSGEFATSFFSAL MGLIGSAKLLQAIAKDSLLPGLNLFSKGTRKKDEPVRAIIVTFIAAQLTMLFDINQIA SFVTMAYLMTFLVMNLACFLLKIGSAPNFRPSFHYFNWQTAATGTLVCGASMFFVDGV YATACFAVLITLFLLIHYTSPPKPWGDVSQSLIYHQVRKYLLRLKQEHVKFWRPQILL FVNDLEHEFKLVAFCNSLKKGALFVLGHVIVTDDFSFAVPEARRQQTTWTKLVESLKV KAFVNIAVSPSVEWGVRNIVLNSGLGGMRPNIVIIDQFREGRSLGESIYHHNHHSHLL SPDASRSESSKKPADCRTYVRVLEDLLFQLRINVAVAKGFEELKLPGQRGSESKKYID LWPIQMSAEINANSETKRNILTTNFDTYTLILQLGCILNTVPSWKKAYKLRVAVFVEY EIDVEDERKRVETLLEKLRIEAEILVFWLACGDLKTYRIIVNGDPLPECQDVHETVHK VLKNENWWEDVQRGRRSSDESLGLSLMNRSRSSSRFDVSSQEHRQARHPLAGGVRKLI QSSKRRRSISSFRGMGGVNLGMQTHRLLDAFVDDDSSPSDTSDSSIDTEGDASDEETA SIHGTTTSQEVDKPSISNPGTPVGQGPDIPAIVEPSTAESSQSRGSRPPLSRSASSNR FSSSPIPEAQVNTDEGAGPSIMFATNMSPPRSSHRAVQSIYKRRSPSEVSSDKASASG YPQQASVALSFNELPSRAQHLILNELIAKHSGDTAVVFTTLPSPLEGTAESEAASQSY LSDLSILWQGLPPTLLVHSNSMTVTLNL ANIA_04477 MASPGRYDNGEGSSTPKLGSSPIATSAHRSRSPAPDSVRHASIA RLASPVPSISNSVSSRQIPVPVSVGESQDLSRVDNLSSLPGPGQSMIASALQDSLGRS PPRFGTPPRRTESPVSNQNPQIRSNYGSFDQKPWREGSEYSAAPYEDPEIVKRHLVQP LESRDTQSSGYGTDNADDEFSSLRLQGGDITRQVYRWAEDAEEGGSVRKPQRSRSFHL NRPTPEEDTMNINSIRVPGGFRRDYLRRAVGSPRPGQSDAGDGASPAPRQLPTSSFLE FLTLYGHFAGEELEEDDEVLGPHEYFSSDTWDEAEEREPGEDSALLHPEAPGKRRRKP RGGTGTNTRTGAALLLLKSFVGTGVLFLPRAFLNGGMLFSSLVLLAVSLLSFYCFVLL VNARLKIEGSFGDIGGALYGKNMRRIILGSIVLSQLGFVSAYIVFTAENLQAFVLAVS NCKSFIDIKFMVLMQLIIFLPLSLIRDISKLGFTALIADLFILLGLVYLFYYDFLTIS TQGVAEIASFNPSTWTLFIGTAIFTYEGVGLIIPIQESMKHPKQFTGVLAGVMVIITI IFLAAGAVSYAAYGHATKTVILLNLPQDDKLVNAVQFLYSLAILLSTPLQLFPAIRIM ENELFTRSGKYNPGIKWKKNGFRFFLVMICAFVAWGGADDLDKFVSLVGSFACVPLIY VYPPLLHLKACAETKRQKLADIALTVLGVVSCIYTTALTLNNWVNGNNSKAPGYCDS ANIA_10568 MNSNISRDHSEGSVRERFRPPRLNDDQNGRSRVLLPFNPNQQQS DTFTQTSCKMAAAIKAINAKIRSNKVADYVCSTRKSGIQLLSFQTHSRDRPHQAAVEI QDIHTPYISPDTALRLNVTTKFEKQWLTIHLSQDFWGPVSNFGIPVAAVMDTQKDPEI CINCISCISGQMTGALVIYSATFMRYALAVSPKNYLLFACHAINFSAQCTQGYRYLNY WKYVAIDLLSSVLSHHHLATFCRLSDVLLTAIVAGEAAKLLLLRRLRRRVRRLPRQQN RMMSGKGWLLVIWHPLLPINLS ANIA_10561 MRVTLNDGRQMTGQMLAFDKHMNLVLADTEEFRRVKRKAKSAPG NAPLVEAEEKRTLGLTIVRGTHVVSCSVDGPPPADPSARLGGGPSVPAAATLAAGPGI SKPAGRGLPVGLGGPAAGVGGPPPPGGFPGFPPGGFPGAPPPGFAGRGGPGGPPGFAP PPGFGAPQAPGGFQPPPGFQPPSQGRGYPPPGFGGR ANIA_04475 MSEAKDKSANPMRELRVQKLVLNISVGESGDRLTRAAKVLEQLS GQTPVYSKARYTVRTFGIRRNEKIAVHVTVRGPKAEEILERGLKVKEYELRKRNFSET GNFGFGISEHIDLGIKYDPNIGIYGMDFYCCMTRPGERVAKRRRCKSTIGASHRINQT ETMRWFKQRFDGIIR ANIA_04474 MDKDKSPRRHWHGNPGDRYEHHNVWVRGGAASSYRRPSSASASD VFGATDRRSSSASEGDAGARSPPPSLGERRRSSGHGPSSLFESLTSQKRNSNDGAFAQ RRQSWNEQARPGGYFSKWWSEYIGGK ANIA_04473 METIQQPYAKSLGPRGYIQGCTILSKSSNAPLCRYFGGLRYALP PSERWRKAQKLPASYIYGTKDRPFQCPGATNRCPQATFLESPVSEAAHEDCFQCNIWV PFGDPPANGWPVLVFIHGGFLQFGTPNSFSAAALLGETDFGAIIVMPAYRLNALGFLY SSELEQDATSVGETAGNHGFWDQRMALEWTKENIGLFGGNGSQLTLAGYSAGAYSVCY QLAYDLTLPESQSLVRRACIWSNSFTVQPKSPTLAQTQFNQLLSALNIPISLSPAEKL SRLRSTPSSTLLSAAASIDLHEFRPTTDNAFIPNNLFHTLDNGTFASTLLARNIHIIT GECRDEHFLYGTWRPPVKNTLGSLRARLLADYPRPVVDALMRIYYPNRTLPADCKDWS SDAFGRIYADMQVHRMQRGFIYALTNPIRPREPNLGERVSKLIHRYRMEYRLKCADVS TPPGWGVTHATDQYIWFWGNGQIVLPEEKKIIRNAVIDPFIKFVRGEQELGWGASNHR EMRTLKPDGTVEIWRDGLWDEAVRTWRALREVADFADVEKGGARL ANIA_04472 MRSRYAGATETFADSAYREKEQAFLDANDITKGRYFNEATLPAL RSYAVPPVRETKVASLPVPATTPASPNVAPAPALPQAEARASEVASQGALDAETQRVT APHPVEKHPSYSGPQPSDSRAAPQLAPGTTEISQKADGPAPEVRHAAQNLQQGQKATS SLPDTPRSSQDPSDATVRADQKDATKAIPSAQPAISTPKPQHDLPASPLPGRPVHVTD QPLSPVSSAGPYSNNTPAPTAASPATSTTEDVSAEKLPTPKRIAATQERSSFVPTTPD EQLRFEEAQSLQQNALLVSQVKDGAGTGPLTNQVISEDLPSTSTTANVAEGASEQVSK DLLPESKMPESTPAAIVESKSQPQSPLGQASSQAETVVKDVAPGTQLTKKTSSSPHPG PPPERMTTRVSSGAIRHKSVSEILGEAPKTPVSPIEKPHTVEKPTDSARAGVYDSTDS AKLRLKDRRAREKERNKFSTVVFPKQQQQPDKDGDMDLVRQQSGALIKLNEERDYLFT LFQNKAYAPPRGTALTALLSSAHKTLTTNNYLLDYQEQMDCRILRRIYDLQHANRWPL RQLKRSAEPPRQAAHWDVLLDHMKWMRTDFREERKWKLAAAKSCADWCAEYIHSDPES RSMLRVQARIPPKDSPCKNGPQSATMVSPPAELGNDAMEISHPTPDLVPSSEEDSVSE GFPDEPRHGLQDTVAPAAIFSLGSDEFTFSLDMTPTAQKLLDELPIYTPVQIAPDTNL PRFKDLPDASWKTEILPVSKYARGKIMFREDEPARKRSRYDYSQYSSGSEHPVVELPP EQTNVALFQPENRHIRDRIHPAQPFRPPTEYPMPSLGFLESRQSSQWTYAEDEELRSL VEEYSYNWSLISSCLTPSSQFTSGAERRTPWECFERWAGIEGLPSDMSKTAYFRAYHQ RIDTAQRNVMAQQAAAQQQQQQQQQQGSNGNNQQPMPLIRRRGTQPIRVDRRRSSKHL ALLDAMRKLAKKRETILQKQQHASQLASLRKVNEANQPKPPISSPAEFSRLKHERELK LQERQEQYRQQMIAQQRASLAARAGQMPNQQQMMNAPGRAPNAIPHNPNAPPVSTSTA NGLPNGISNPLANGMPNGLPQNVGVNQGRPHVQGMHGSGGPVNNHISPNPMAMKMMPQ ASMQQNNAPRPNMAMQASPDNARVIREANRLQEQQRILQSRQQPSQHPLQQQQPPQAQ NQQQQLPQQPQQAQQQFHAQPQFVPQGSNSPNLNMPTVNGTPNNPAMIAALQAGGGMQ SPPFHNSAPQGVSTPSPRMGQPNTLSSGAVPTTISTIQSQIQRSNPNMPLEQVKQLTT ERLHQYQQQQQQRMSQVAMNAAAGNLGVQPNYQVSHDGNFQPQSGMSGGPNMQVPQAQ GFSPMMRVPQPSQQNRIGAGGSPAMGVAVPQQSRSATPQTQRSGSIQTGTIAGASKSP NTHSQTQSMGA ANIA_04471 MAKRKRDEVAKELQQAQPSKIAKSTKSKGPSSSEQTDSAAITLQ IVTGSYERILHGFTASVPSTCYSDGLKKSSDDGSPVQFVDTFLFEAHASAIKCLALSP LPKADSTEPPKVILASGGTDERINLYSLSAAPPTVSEHYPTVPTLAGNKILENPKNRE LGALLHHSAPITSLSFPSRSKLLAAAEDNTISVSRTRDLTVVSTIKAPHPKVQGRPSG DTAPPGGSPSGINDFAVHPSMKLMLSVGKGERCMRLWNLVTGKKAGVLNFDREILQSV KEGRWSTGEGRKIVWNAAGEEFAVAFEWGAVLFGIDSTPICRVFPGPRSKLHEIKYTS VGDEELFAVSTEDGRVIFYSTRNVRKADDGDDSSIPYAEPVAQFGGKSQGYPGRVKSF ELLNLKGQPGINDDDFAVVTANSEGVVRVWQLLGAQLRNAIAKKSSDTKDIQVGKLLS SYETGNRITCLKAFVMMPSEECTPEDFESSDGESEDVLSSEESDAD ANIA_04470 MATNGDFSDEESQPGSPILNANGQDDIQDQEPLEQEEKPIKSAM KKDFIPVSQPKRPELPEQPNPETLDLSTLTPLSPEIIARQATINIGTIGHVAHGKSTV VKAISEVQTVRFKNELERNITIKLGYANAKIYKCDNPACPRPTCYKSYKSEKEIDPPC ERDGCSGRYRLLRHVSFVDCPGHDILMSTMLSGAAVMDAALLLIAGNETCPQPQTSEH LAAIEIMKLSHIIILQNKVDLMREDGALQHYQSILKFIRGTVADGSPIIPISAQLKYN IDAVNEYLVSHIPVPVRDFTASPHMIVIRSFDVNKPGAEIDELKGGVAGGSILTGVLK LNDEIEIRPGLVTKDENGKIQCRPIFSRVVSLFAGHNDLKFAVPGGLIGVGTRVDPTL CRADRLVGFVLGHRGRLPAIYTELEVNYFLLRRLLGVKTADGKQAKVAKLAKNEVLMV NIGSTATGAKVVGVKADAAKLSLTSPACTEVGEKIAISRRIEKHWRLIGWANIVAGNT LEPIVN ANIA_11231 MWILDQLARLLDRPFFPWKNVLVGFSLGQFILEGILSFRQYKVL QRTKAPKVLEGEVSQKVYDQSQAYGRAKAKFGFISGLYGQIQNLAFIYGDVLPKLWGL SGFLLAQYLPARFQGEIPQTLLFLFGFNLISTVLSLPISYYNTFVLEEKFGFNKQTVK LWVSDMLKGQMLGIVLGAPIISAVLKIVQKTGTSCFYYLWLFGVFVQVFAITIYPIAI LPLFNKLSPLEPGAIKTGVENLAKKLNFPLQELHVIDGSKRSAHSNAYFYGLPWKKHI VIYDTLIEKSEPEEVVAVLSHELGHWSLSHTTKLFGIAQFHMFYIFALFSAFVNNRSL YQSFGFHTEQPIMIGFLLFSDALAPMDAVVKLLMNILSRKFEFEADAFAVNLGYSEEL SQSLLKLQIQNLSTMDADWMYASYHYSHPILPERLKALGWKGRKVTDHKEEDSEKPVK AADREL ANIA_09433 MATQDPTPLPPTTILSSKPISQAVAHDFLAAYLDRATTDPALQP NAGISEHGPVSRTTAAAPNIILHNLKRVQAGLAGEVLGRDLAIAEMKEGAQLAQAQSG NDNADGKWEDKAQFEQEQDGNQVYDANVRDEPEGMDVDKVDDTEGKVAATTGTLDKEE RKRLKKERRKAEKKAKLKDADE ANIA_11230 MFCLHCRAVPSAIRAATSSINKQITPTLHLSSQPKLQYHLRPFS FATTATTRPTLSLPHSQQPQAPTQTLSLLPNRSAISQQVRSFSASASLGGKRATYNPS RRVQKRRHGFLARLRSKSGQKILARRRAKGRKSLSW ANIA_09434 MPATRASHFKLLQKFKPDYSPSEFAQYESQRTGMTVVVIDQKGP KVNGYFVLATEIHDDSGAPHTLEHLVFMGSRNYRYKGFLDKLATRFYSNTNAWTATDH TAYTLDTAGWEAFSRMLPVYLEHVIAPTLTDEGCYTEVHHIDGTGNDAGVVYSEMQGV QNNAAELIDLEARRLTYPEGVGFRYETGGMMEQLRVLTADRIRAFHREMYQPKNLCLI ITGEADHDDLLETLDRFEDTILDVIPSPDSPFKRPWVDSKQAPPLSQSVVKTVEFPEE DESYGELEIRFLGPDCTDPVQTGAVNVTLLYLAGSSASLLDNILVEKEQLASAVYYAT EDRPSLEIRFTLTSVETKKLAQVEKRFFEVLKDAMNKDLDMKYLRECIDRQRRTWKFS TESSASSFAEYVISDFLFGKKDGSTLLDVTSLKEYEILEKWTQDDWRAFIKKWISDAP HVTILGTPSSKMSETLKRSEEARVAAQKKQLGENGLKKLAEKLERAKAENDKEIPREM LEQFKIPGIESIHFVETMTARSGAALQLGHQNNKAQRVVDADGSDLPVFIHFEHIPSS FVQLSVLISAESVPVQLRPLLSVYTEAFFNLPVERDGKIINFEQVVVELERDTVGYSI EGARGLGNSEMLRISFQVELEQYSTAVAWLREISWHTVFDVERLRTITSRLLSDVPDS KRSGDDMLAAVHVMVHYAAESIVRARSTLVKARYLKKIKRQLVKDPTVVVARMEEIRN ALFQFENIRILVIADLEKLPNPVSTWKPFAERLGVSKPLKPITSRRSLLSPAGKKLGG EAYVVPMPTIDSSFAYATARGLDSYDDPKLPALLVAIAYMNAVEGPLWVAVRGKGLAY GTTFAYNIDTGFVNFDVYRSPNAHKAFESSKDIVQAHLSGEAPFDPLMFEGAISSIVV TFANEQVTTANAAQGSFIRQVVRSLPSDYKERILREVRDTSVEDVKRALREIILPLFS SDTANIVITCTTVLRETIESGLKESGFSPKVQPLKEFEDDYGLKVGDGSDADDDDDDD DDDDDDEEEGEEDESESEEESDNNDDE ANIA_09435 MSQPTEEEICDAVLDFVSEGTYPDSEQVVAAEFPLSALSKELEL ITQARDEVEAEISSLSQDTDLDIDAWILQAKQLHSDLEQSRLTAREIVKQHEKTRPLQ LKVEDAAAKVELVEREIVFNQAVTDTLEQVQGLCQQLDAIRPLDQYGKITATIDQLEE IEHAINIDSCFKKTNVMVILTENVATMRREIVESLRSRWQRHLELDSEKGKLVISRGA LEETISALERLDQLTSVNNQFQKDLFFALLDPILLPNNDGYSHGIQVEESLISVDPEP SRASVSDVLNYVVQVLEFLRRSLPESIITPLSDSLIPAISSNIISHWLSTAIPTKLAD LGEFEATLEHVLQFTKTIESLGLHGQEELVSWTTQAPRLWLTRRRVDSLDQVRKVLAA SKGDSRQVERIEKRQVSETDEVLLDNSTSDDWDAGWDDEKENAQNNDDEEDVSAWGLD DDTDDPKVTKPNDASGVDDDETGDAWGWGEDDEDEGQPAEENPQTGNTTAESARANED RGDATSKEITLREYYTITDIIRQQISDFEIISKPSHSSTLVSSSGAGLLAIPTLILAM FKAVAPSFYGLKLNAGQMYLYNDSLYLADQVRQVANNHQLSRLDGDIEALEKFGKLAY SKEMQTQRTIVTDLLDGAQGFSQCSEQPFLGDCENAVRATVDRLRTVYKEWQPILSHS ALLQAIGSLVSTVAEKIIVDIEDLGDISESQSQKLVSFCNQLSNLEDLFLPEHSDDAK PVPMTAVYFSGRYQILVAGGRAVPRILSG ANIA_04469 MDTSQVSVSSQAAQRQVRVQLTSKQEDIALPDNTGPILVPTGLK RYALSTLVNNLLGNDKPIPFEFLINGSFLRTSIDEYLTANGISAETTLEIEYVRALIP PLHIASFEHDDWVSSIDVLSTSSPASAGSDAIARGQERILSGSYDGFLRVWNMSSQVI ATSPSPTDGGHISSIKAAKFISPSSIASAGLDRTVRLWKYTEAEDGFSGKIVPQVELY GHKSGINSLAVHASTNRILSASADHNVGFWSTKKSDAPAAPESLLPSAASRTSKRRKL NASVSVSQRGPLALLSGHTAPVSDAIFDARDSTVGYSVSWDHSLRTWDLVTAALVDTR TTSHSLLSLQHLPDHNLLATGTSARHITLIDPRASAATISAMTLRGHTNAVVSLARDP HSIYGLISGSHDGTCRIWDLRATKTDKGGAVGESVYSISRKSLEEEGKANSKRVGGEG VKVFSVCWDREVGIVSAGEDKRIQINRGEGVLSSS ANIA_04468 MVFILGVNFPEGQLVQKSLQKFFGVGPQVSSRIMSRFHIHQTCK VGELANKQVLDLTAVLSEMKIENDLRRQVLDDIKRLKETGTYRGRRHALGLPVRGQRT RNNNKIAIRLNRIDRRL ANIA_04467 MTSLKSLFLSFFLVVALGLALVNASEPRGPKITNKVYFDIQHGD ESLGRIVLGLYGKTVPETAENFRALATGEKGFGYEGSNFHRVIKDFMIQGGDFTRGDG TGGKSIYGAKFKDENFKLRHTKTGLLSMANAGKDTNGSQFFITTAVTPWLDGKHVVFG EVLEGYDIVDKIQNVPKGRNDRPLKDVKIVKSGELEMEADVANEGDKKGSHNEL ANIA_10557 MADGLNMGSLTLNDSQHAPAPGGPPSGGRAAYIPPHLRQRQVNA NGDGASAPPPGPTGGSWGGPRGPRGGNWANANAPDFNPRGPNGNTNTNSGWTATEAGR PLFNPNAYGNPGHGGGYAGASARGSGDGQWRDGKHIPGPPNPRLERELFGVPNDPTKQ NTGINFANYDDIPVEASGHDVPEPVNTFTNPPLDDHLISNIALARYQTPTPVQKYSIP IVMNGRDLMACAQTGSGKTGGFLFPILSQAYQNGPAAPPPSAAGQFGRQRKAYPTSLI LAPTRELVSQIFDEARKFAYRSWVRPCVVYGGADIGSQLRQIERGCDLLVATPGRLVD LIERGRISLVNIKYLILDEADRMLDMGFEPQIRRIVEGEDMPNVNDRQTLMFSATFPR DIQMLARDFLKDYVFLSVGRVGSTSENITQKVEYVEDHDKRSVLLDILHTHGTTGLTL IFVETKRMADALSEFLINQRFPATAIHGDRTQRERERALEMFRSGRYPILVATAVAAR GLDIPNVTHVINYDLPTDIDDYVHRIGRTGRAGNTGIATAFFNRGNRGVVRDLIDLLK EAHQEVPSFLESIAREGSGYGGRGGRGGRGRGANATRDMRRMGGGMGGPPSYGGGSGF GAPASNYGGGYGGAPSYGGAGYGGGYGGGGYGNPSGSTGPSSWW ANIA_10560 MADVESAGVDDSFAQSEPHDEQQIHNMTVGTRRQPNGTIGSVYS GNKIRHLKKEDGIPLWRKDIQYQFLKLVFEDKTPVFTRWPDGQKNMDFADIYIDAMAR SSKTSKILKDKLQSDKQAAINMAMVCLLVNFGRMNTTLNFFPEMRAQLRTYHSIPSLQ AHQDPNAYKQLQDAPRLKSILKGASEDVDQPNTLDKIKRENVPRTNPVNLIFVLAQYA PKVSEMHFFPPRDFFDLVMRSTLSSKSRAKAFLWLMWWYLESDFSREAALNNPFGPGL EGEGTGGLPIKVPSFEILTEEQANEENVDTQSEIEYGEEKRLERKRILEEEEPTPRAP KRPKKMPYWEFQYLKQMEPGTKIPSRQFSNKGDAYRAAADSDDLIEFRNPDGSIRSTL KMPRDEDYAYQSGSLDGPSPRIVLRTKAENTPDAASPAPPGSGYPILNRFVTEASPHH QGSSRRPRPLTQHQLAVERNRRQRIEYILAKRKGEAYRLLRAKRLTEIPFARYGRLLQ NLPDGYDTDDDKSWGKGGLLPNPEEEEDFGECANYFLSVIRKASRRLDRWDYEHANGP RRDRKKEREERQKAREEAMDFDGDVDGKVSSRSSRARAQRNAKRKLARAAAAASSTPS ASTPKTAAARSKGNRSRNPRDEKVAAATSLGANTGLETPSRDDALSPVQGDLEGEESL DDIDRELLGEGSGDEDDIPPRGLEPSRPAELGYDDSFLGGDADDALSSDEEEEEAEDE ELDEMDVEGDDNLSAPGGEGRYAASDVSGPEMANGKPGWN ANIA_04465 MSDDEARVLRPRPQRVFRVNDSSGSSTPAEPSNMDTLTPKEPGS AAISRNGSIMNLTSPTLYGIYSPTAFEGTRDEDSAWGTEADVQPSSPDIPKVQPTLAR SRTESAAVLRTRSRLSHGLFRGVILPQTISGALLFAFGVVYGIITIHLHENHWITPVK LEYTHFYGSWEYLGFWGFTGVAIGNVLPWLDSYLGGAIAQEKQSSNDSAELALSWSAV VRSVGAFVGIAFAMRRTPWESTTQASLTLALANPVLWYLIDRTKTGFILSTTLAIGGM SLLLGLKPGLIPATEGSAPVIPSLNGVGPEATPGARLTQESMAVRTWIASVLFCACVC FGNIGRQLAGESRRETMKG ANIA_04464 MSQQKSAILSVYDKTGLLDLAKGLAKHNVRLLASGGTARMIREA GFPVEDVSAITHAPEMLGGRVKTLHPAVHGGILARDIESDEKDLADQNIAKVDFVVCN LYPFKETVNKVNVTIEEAVEEIDIGGVTLLRAAAKNHSRVTILSDPQDYPEFLKELEA GEITETSRRSYALKAFEHTADYDTAISGFFRKQYAGNGEKHLSLRYGTNPHQKPASAC MPQGKLPFKALNGSPGYVNLLDALNAWALVKELKQALGYPAAASFKHVSPAGAAVGVP LSDKERKVYMVDDIAGIESSGLAQAYARARGADRMSSFGDVLALSDVVDVTTAKIISR EVSDGVIAPGYEKEALEILSKKKGGKYLVLQMDDSYVPAGEETRTVYGVQLNQHRNDV VISPSKTFNTIITPKDLKTLPDAALRDLTVATIALKYTQSNSVCYALNGQVVGLGAGQ QSRIHCTRLAGDKTDNWWMRFHERVLNIKWKAGTKRADKSNAIDLLCSGQTPRNEIEQ AEYERVFEEVPAPFTQEERESWLKQLSEVAVSSDAFFPFIDNVFRAARSGVKYIAAPS GSQNDGPVFETAEKLGITFVEQGTRLFHH ANIA_04463 MAPLPIKFTELINLTNAEIAPASIGFNTCTLESDHFVCVRQKLD DEDKPQVIILNLKNNNEIIKRPINADSAIMHWSKNIIALRAQGRTIQIFDLSAKQKLK SAVMNDDVVYWKWFSERSLGLVTESSVYHWDVFDPTQAQPLKVFDRLPNLSNCQIINY RVNDEEKWMVVVGISSQQGRVVGSMQLYSKERGISQFIEGHAASFASIRVEGSPLEHK LFTFAVRTQTGAKLQIAEIDHQEPNPRFQKKAVEVYFPQEAVNDFPVAMQVSRKYDIV YLVTKYGFIHLYDLETGTCIFMNRISSETIFTTAPDSESAGLVGVNRKGQVLSVSVDE NNIIQYLMENPAMSGLAVKLASKAGLPGADHLYQQQFDNLLAQGNYSEAAKIAANSPR GFLRTPETINKFKNAPQTGQMSVILQYFGMLLDKGTLNKYESLELVRPVLQQNRKHLL EKWMRENKLESSEELGDIVRPYDMNLALSIYLQANVPNKVIAGFAETGQFDKILAYSK QVGYQPDYTQLLQHIVRVNPEKGAEFATQLANEESGALIDLDRVVDVFLSQNMVQQAT SFLLDALKDNKPEHGHLQTRLLEMNLVNAPQVADAILGNEIFTHYDRPRVSQLCENAG LIQRALENTDDPAVIKRNIVRTDQLSTEWLMNFIGRLSVEQTLDCMDTMLEVNIRNNL QAVVQICTKFSDLLGPSRLISLLEKYRTAEGLYYYLGSIVNLSEDPEVHFKYIEAATA MNQISEVERICRESNYYNPEKVKNFLKEARLTEQLPLITVCDRFNFVHDLVLYLYQNQ QYKSIEVYVQRVNPSRTPAVVGGLLDVDCDESIIKNLLTTVDPSVIPIDELVSEVETR NRLKLLLPFLEATLATGNQQQAVYNALAKIYIDSNNNPEKFLKENDLYDTLVVGKYCE KRDPNLAYIAYRKGQNDLELINITNENAMYRAQARYLVERADPEIWSFVLSENNMHRR SLIDQVVATAVPESTEPDKVSVAVKAFLEADLPGDLIELLEKIILEPSPFSDNGSLQN LLMLTAAKADKGRLMDYIHQLNEFSPDEIAEMCISVGLYEEAFEIYKKVNNYISAVNV LVENIVSIDRAQEFAERVELPDVWSKVAKAQLDGLRVSDSIESYIHANDPSNYNEVIE TATHAGKDEDLVKYLKMARKTLREPAIDTALAFCYARLDQLAELEDFLRSTNVADIEA SGDKAYEEGYHQAAKIFYTSISNWAKLATTLVHLEDYQAAVECARKANSVKVWKQVNQ ACVDKKEFRLAQICGLNLIVHAEELQDLVRQYERNGYFDELIAVLEAGLGLERAHMGM FTELGIALSKYHPDRVMEHLKLFWSRINIPKMIRACEEANLWPELVFLYCHYDEWDNA ALAMMERAADAWEHHSFKDIIVKVANLEIYYRALNFYLQEQPLLLTDLLQVLTPRIDV NRVVRIFQASDNIPLIKPFLLNVQSQNKRAVNDAINDLLIEEEDYKLLRDSVDNHDNF DAVELAQRLEKHDLIFFRQIAANIYRNNKRWAKSIELSKQDKLYKDAIETAAISAKPD VVEELLRYFVDIGSRECYVGMLYACYDLIRPDVILELSWRHGLQDFTMPFMINFLCEQ TRTIEMLKKDNEERKAREVTQKKDEDNTPILGGSRLMLTQGPAAPAPPVYGQANGITP QATGFRPF ANIA_04462 MASIVPHNQPEEAVDETEFVDDHHHAHHRDSVHHRLRANSAIMQ FQKILVANRGEIPIRIFRTAHELSLQTVAIFSHEDRLSMHRQKADEAYMIGKRGQYTP VGAYLAIDEIVKIALEHGVHLIHPGYGFLSENAEFARKVEQSGMVFVGPTPETIEGLG DKVSARRLAIKANVPVVPGTEGPVERFEEVKAFTDTYGFPIIIKAAFGGGGRGMRVVR NQADLRDSFERATSEARSAFGNGTVFVERFLDKPKHIEVQLLGDNHGNVVHLFERDCS VQRRHQKVVEIAPAKDLPQNVRDSILADAVKLAKSVNYRNAGTAEFLVDQQNRYYFIE INPRIQVEHTITEEITGIDIVAAQIQIAAGATLEQLGLTQDRISTRGFAIQCRITTED PAKGFSPDTGKIEVYRSAGGNGVRLDGGNGFAGAIITPHYDSMLVKCTCRGSTYEIAR RKVVRALVEFRIRGVKTNIPFLTSLLSHPTFIDGNCWTTFIDDTPELFALVGSQNRAQ KLLAYLGDVAVNGSSIKGQIGEPKLKGDIIKPTLHDAAGKPIDVSSPCTKGWKQILDQ EGPEAWAKAVRANKGCLIMDTTWRDAHQSLLATRVRTIDLLNIAHETSHALSNAYSLE CWGGATFDVAMRFLYEDPWDRLRKLRKAVPNIPFQMLLRGANGVAYSSLPDNAIYHFC KQAKRCGVDIFRVFDALNDIDQLEVGIKAVHAAGGVVEATVCYSGDMLNPSKKYNLEY YLKLVDKIVALKPHVLGIKDMAGVLKPQAARLLIGSIRERYPDLPIHVHTHDSAGTGV ASMIACAQAGADAVDAATDSLSGMTSQPSIGAILASLEGTEQDPGLDSAQVRALDSYW AQLRLLYSPFEAGLTGPDPEVYEHEIPGGQLTNLIFQASQLGLGQQWAETKKAYEVAN DLLGDIVKVTPTSKVVGDLAQFIVSNKLTPEDVINRAGELDFPGSVLEFLEGLMGQPY GGFPEPLRSKALRDRRKLDKRPGLFLEPLDLAKIKNDLREKYGSATEYDVASYAMYPK VFEDYKKFVQKYGDLSVLPTRFFLAKPEIGEEFHVELEKGKVLILKLLAIGPLSDQTG QREVFYEVNGEVRQVSVDDKKASVENIARPKADVTDSSQVGAPMSGVVVEIRVHEGSE VKKGDPIAVLSAMKMEMVISAPHSGKVSGLLVKEGDSVDGQDLICKIAKA ANIA_04461 MPSSPPPDGGNANKTSIKPVSLSLGSSKKLPIKKPVSSHTLARR PHHNLPYHHDSDSDDNGGDSEPAFQSVTGFDTLTGRTLDASGAEEKKPLTIPVASGNN WRDRPGVIRRSKGKNLLPKEVQALQEAQSKGEVPGDGDAVGPSMQYGLSFAKQPQNDG GDQKMEDAALPAVEAAEKAKPLTEDEIALQALVRESRGEVEGRSDLVIESTRAGEGEA EEDVNEFYDGHNSETRSFRADVAARPESATLEQYNTIPVEEFGAALLRGMGWKEGQAV GKGKYGSADPSRASTPHIPARRPGFLGIGAKDVSNGKAEVELGAWGKAAMRKGSKKAG EATKNGEGNTEGIYMPVLMRNKKTGEMITEEELAAMAKEAKKRDDSEDWKEERDRNSE RSERDKDHHRDRDRDRDSRRLEYDDTYRYESRRNGSSRRDRSRSTSDRHSRRRKYEGD DGDRRDDRYYRDRDRERRSDPVRDRDRNRDRKSRYYDDDRNSSWYSSSTASSKQRNRD RDRDRDNDRDSRRRK ANIA_04460 MSKAVVKTTFEASRTLRPIYTGGSTALDASGRLLVACVNEDALV VDLETGNQLATLDGDGEVITSLAITPSASHVILCSRSMSMRIYSLSQYEDTTPTLETK LVRSLKPHTAPVVTTATDSTGTLLATGAADGSIKVWDIRGGYITHSFHGHGGVISALC FFQPPTAEHDGKLSSKKKPKRKTGMAGDDDDNEMMDLDSASNSNGAFRLASGSEEGKV RVWDLNKKKSIASLDSHVSVVRSLSYSLTENALLSAGRDKTVIVWDMRTFKTRRIIPV LESAEAASFVAEGGLCLVGGEYGRLRVWDCNRGGEVTGDQEAAPEFEAIIAIHYTPGM SFAMTVHADQTLRLHSLEPLSNLKPGTTIDPLPVVRRISGNDDDIIDLAYVGPDRSML ALATNTESIRIVSVGPSDYRPSTDGQEYFGADIAHLDGHDDIIICIDVDWSGHWLATG AKDNTARLWRLDPQNSSYTCFALLTGHAESLGAISFPRDSPPPDTPAYKAPVNHPPPF VITGSQDRTVKRWNVGKLGPTIGNKPHTPKALFTRKAHDKDINALDVSPSSALFASAS QDRTVKIWSADDGSVVGVLRGHKRGVWSARFSPKGTPILSADAKSSTNRGMIVTGSGD KTVKLWSLSDYSCLLTFEGHTNSVLKVLWLPPPDMSSKTEDEEDEDAAASKPNSIQLR PLVASAAADGLVKIWSPYTGELETTLDNHTDRVWALASPTPSGTRDDVNSSATKSTSP YAIASGSADSTVTFWTDTTSATYTAAVNANSARIEQDQQLQNYIVAGAYREAITLALQ LNHPARLLSLFSAAIDAADDPHNTDNQGEDRANSFTGNPSIDEVLQTLDPANLYVLVL RLRDWNTNARHSKVAQRILFALFRSYPASTFVELASSSIAKRNGDGRAAAAMKDILQA LASYTERHYRRVEELEDESYLVEWVLGEMDGGIGLSALGAPTQGINEIEDKAEHGKDV VMLGA ANIA_04459 MGIVVSEDANRGRNEQQKIDAIVAENDYGLVMVTLDQISVFLGS WWTTSLIGRIQVMPLECHHEEVSGLIERAALGTFGFYFAGIPAWAMSTCLSICRHHPL ERLISTFQNFFPNDDAGSKLVRASFTILHSATRGALLVLAMQTYMYSLLQSLHLIPPA SMPSIGSFMPFGEFSSMLLPSFPPDLSLCSLSNFAWNFLKTPSLFYIYVYLRPVIEVR LYRLIRRRLPKPKLTDDLSIKVAFENDLVDWMVPTLGRRAAEETQRSKLSLMEDLSYE LGALRQWLSSKIPFRSRWSSLVHTAQEQSETPQPQGQPAYRSLDPEEQHRRLRSAQWH PADQTMSTHGSDARSTGSHSALSNEELQAPNTDNMDLLRRARNLTLSTRTSSPGPDTH QHEATTVNGSRRQSHPDTLLSRSQSPVSSQSSPRVRAQFVQESDVIAMQLELESRQTH DRNAIRDSDVELQNAGAGPAGSHSIPDLLDTILSDQGGHITTMLDSEATYNAVDMTDA VHPSHGETITATASGHQPNGVPTGQNAAASSLEPTVSGPTNNPSDVEELAVDADNNQL PNRDDDAAADADSEFPPHLADSAARQNSASDTAATTAFIPSHRVTILSSLPVDSLASH LAAMITAALFAPLESFYLRSLARSYLAYKGAPAVLRSDVYPLGTWGGGRSQSHTLAYL GKLTLMMGIQAAVNASVWGIISGTAIRIGRKWCGWGAF ANIA_04458 MTKRQAELSLEQEAAVGSPASKKARTESDNQQEDDPRHGALPLR RAPGQEMEDDEHRGMNILAAADQEGEELQEAAQVDEPEDDEDEDDDRPAIVAPQRQSA PMEGYSDLYLDTINRHILDFDFEKLCSVSLSNINVYACLVCGKYFQGRGPKSYAYFHA LEVSHHVFINMGTKKVYVLPEGYEVKNKSLDDIKYVVDPYYTKDEVAKLDKVVTDAFD LSGRRYRPGFVGMNNIKANDYLNVVAQALAHVLPIRNYFLLHEFPQPGTPQLVLRFGT LVRKLWNPKAFRSHVSPHELLQEVALRSSKRFTLTQQSDPVEFLSWFLNNLHLALGGS RKPSKTPTSVVHAAFQGHLRIESQAITAHSDTQNARLVFTESGTINSQTTPFLILTLD LPPTPLFQSANRESIIPQVPLTTLLNKYNGITASEKLAHRVRHRLLHPLPPYLMFHIK RFSKNRFVSERNPTIVTFPSPRSLDMSPYVEPNPEIWPPGEPILYDLVANIILDPMIT APGGTEDAAEKGVNAASGGGASSSGAGAGTEKVSWLVQLHDKAMAAENTSIQNEQHSG EQRGPEWLEIQDLFVKRAESETLFTKEGYLMVWERRRVPGMKKKGKTAPK ANIA_04457 MEVLLGITGRDFVILAASKAAMRGPTILKAEDDKTRQLNEHTLV AFSGEAGDTVQFAEYVQANVQLYTMRNDTELSPNAVANFVRGELARSLRSRNPYTVNL LLGGVDPISQKPHLYWIDYLASLASVPYAAHGYAQYYCLSTLDKHHHPDITLEQGMKL LEMCTDELKRRLPIDYKGVLVKVVTKDGVRVEDFDNNRIVKSA ANIA_04456 MSSFFTLPASQRKRKREDRAGAPASKKRGVDVDGDARNKNARKS RERDESISGSDLDEDAESVASVVSEEESGSESDDGETAADRRLKLAERYLENVREEVD DYGFDAAEIDRDLIAERLKEDVDEFKGRVYRQIASDLAFSTASHAFFRADTQTTTSIA IHAPYIYTVSKDRTLIKWELATPGAAGTATTNGAENTSKRPPKPQRKKPKQVRFTRGL QKVAESADEQGHTKNILSVAVSPSGRFVATGGEDNKLIIWDAATLTPLQTFSQHRDSV SGLAFARHISAMSSGEQLFSGSFDRTIKTWSISTAGHAYVETLFGHQDNVASVAAMTI DQCISVGARDRTARLWKVVEESQLIFRGGSSKNTYKENNIDCIAPLPPTHFVTGSDNG SISLWSIHKKKPLYTIPHAHGFDPIPPVELISPEVDQQTAESNARFLRPTPRWITALT TVPGTDIVLSGSWDGWIRAWKISDDKRTIQPLGPVGAGTYRSTNAPDTPSKQLEQSLA SANPSHRQSLTNINFEPESEPLVKGVVNGIAVFERRAETAKPGQVPSESKSKPSKTSP EARGLCIAVAIGKEHRFGRWKCYANNYHKGSASDGRNGAMVFEVPFIAGSVGQEDEPS N ANIA_04455 MSRHTPPTDTLGKESDRTRATTPQDASGCLTSWFAEGKNNKTCP DCRAPVKSQPAPAYLVRAVVQLFTSRAELLDKGETTEQHRAHQNEEAERLEADKKNRD PKEGGLFRGIFNQTRQRPAQPIYDLEDGVVRCPYCAHELEADDCLNCGYRNDDSLSDV RSDSENSEMTDDPYAEIDDDGFGEAPGSDSAEWYDDDLTTENYPGIQRLPTGFRLQSF PGFGNVPGGMARAIYEHFHGHSDFDSHGSTHEDDDDEMDDEEDEDMDSFIDDEEQPED YDSDSDRDTVVGRSEYGGTQLRTILDSSPGSRYSSAQSNIYDFDTMLDSDEDGTSDEG EDEDGNENEDEELEDDDGEEEEEEEEEEEDDDDDDDDDDEPIRPSVARSRRTTYQILS SSSPLRVNSAPATSTCSRPQPPAAGLSAASAISLDDDSDEGPVGPATRRARARPAAA ANIA_04453 MSTNDAVFQRRNKQIEDAIDGQNLKQALQLIEKRIKKGEDTPFL KAWRAQILFHHADEAHRQRGIAETLQLCKADPAVTDLDSLEMLYETLQKIGGHEETMR SIWERAAKAKPQLRDIQTRWFDYAFEGDDWKSAQKNNFPKKRKYYIWAIFLCYLLAVD EASSETDRKLFGTLAYRMVSKAAESVPADPKELLSPPRAIQSAEELLLLVRIFESQGR HAEIIKILDSDNLGINSRIIQNDWSFVGVKLSNLEKAKMWTEGLLYAKELLAIPSSEE ERKAIQERDDWAVWHLLVTATQKIDTADSQSGAVKQEELLLACQAYFDHAKNKLYCFG DLLDYLPALSKDSIRSFVEYASKNSGNTEVTGPFRGVAVINALKLEYCFLLSSNASDV SREEVEDFVSRCLKEYREVERPDRGSAPSTIESQPSDDLCILAAMGLLRFSGNWVSRK QEEIPDIMLIRAAAILERLIVDSPHNYQALLLLVRLYLRLGVGSLALKTFSKLSVKQM QFETVAHNLFTRLATIHPHSAPPIDGAEYKDFNPQSAFVQAMIFYLSANATSTRHRSN GLEYGSYINVEGTIELQRRLKRSICRRMWALEVKRVQRLTGGEPVGRYDEMARDTSPL VDQRTFDAFMNCEAPGQPTFEQLMRVGPLPQKHWVTSAQMTDRLWGLLKDLAVQKPIL ATPEIPELDKLVGASAESEMTPSEIECTRTNLSLLRLAVYISGSKSVTSEQVEKSLGL LEEWLKSKLEALATDGNSISPIMSQTTIFLQSDAPYAPTWRFFHGIFSILDSVKALVF LCSTASRKGSKGAKLPKDRVESLLDLGRKVHQGAHANIRALKKRLSEPGKLGSLMDLV IAGKGIGEDGDQLRGELEKMLDTSSLELFCGELMESWDEALGGMLAVRM ANIA_04452 MFGAELVGRETGGQSTDQPYSYRDSARTWDTVTQSVLKAPGWED SYAVITIEADKHGNGAHQETVAPSDLRQYAKLANASQILYAPLIFVTKLSIFLLYLRV FASARRGMTYLSIHLLIWFNLAFYLANFFLKIFQCIPRAKIWDSNTSGHCININIPIL VTAAINVVSDLLMLCLPIICVWRLQMSIRRKLGISAIFAAGIFGCFASIMRLEVSVRD RNTKDPTYDWYTEITCGILASCLPALPTFFRHFFGKARTMLSRSRTRGSSNRSQDRSL EKATELYTLTYPRGQKHHIITDNRLIDQDRELDDDRTQIFSGPSYAVTEARVEGRTPL GQRAYHGDDTVLNGEDGSGHCRGILKVVEPSLRKGGGPTRGTQKHWRNFACLDNFSQQ PPHRQPVVSPITTVAMAQERSGIAVGLNKGHKTTPLNTPKTRISRSKGKASRRTAFVR DIAREVVGLAPYERRVIELLRNAQDKRARKLAKKRLGTFTRGKRKVEDMQRVIAEARR VGAH ANIA_04451 MYIFNRVSQTEQRGDSSLASNISVNKPVVLSFFLSVSIHAVMHF TADMRLSGLEGDKRTDDLGARIKDRPLFPGHLSLSAARQKVSIIVSLLKVDITDIAHN LRTLIPEHDSAPYFR ANIA_04450 MSSHVVVLDSTARRATIKTTPGKYLTDILQEACKKFGLDASQYG LKHKSKQLDLSLAFRLTGLSPGAKLELVQLSRSPSIVTVALQLPPSEARGAPNGRLMD KFPSTTSIWMLLRKFEAGVAGSASIRNLTGRGVPVTDGQGSGRLFYETPVVQILDREL STLPDFQKSLAQYGFNSGNVLVRLSFRRTSQPLEEAMTKIHEYFKSSEDEIATEQTST PKSEEINKENDSSAVQSPAPVSNGSQGDPVPATQPTNGPSGPGLVSPPQQSEPSADSA DLSRPVTVYAPPSSDTPQSAQIAYNDDDYVPSVEHAQIHQRRLQASSKNTRLRSDAEI AAAAKAEEERRAAVKEVEVKIRLPDQSQIVSKFGQQDTGKTLYAFVRSCLAPQYATEK FTLTNFSGPAAPKSHQSNVPFQTVLPDSEDGLLIKNHGMTGRVLINFSWDASVPLEIR QSRSGLLKTELQSRAQEHKIEQPPDVMDTSEDVAVPLKPTDSGKQNGEKSGARKIPKW LKLPGKK ANIA_04449 MVGKDCVAIACDLRLGMQALTVSNNFPKIFNYAPGTYLGLTGLA TDVSTVSDLFRLKVNMYRLREERHIAPQTLANLVSSTLYERRFGAYFVSPVIAGINNT TGKPFICGFDSIGCIDFAKDFIVSGTASDQLFGTCEGLWEPDMSPEDLFETISQALLS AVDRDALSGWGAQVYIIEKDKVTQRLLKGRQD ANIA_04448 MARLVNFPKEFTGFLLEVFGMSHTRNARSKSSMTVDRERLVIYQ SALGFLHVYEAGNRRTFEACTYYSWSVLAEVNVGSDYIRLSPANIGVSIYDRETHL ANIA_04447 MPEPMESDWSNSSASGPTLSFLPQVPINAFQPGDSQIENSGQSA ASPEYAAIEELAAVKKELRVLDLESFWIKLMERTSSLCNAQYAFVARRVKDGESTEEI AGHKHSVFGIAYYYNDGFQIVGMHRHRYFAGGSPLSHMDLKKPCLIPENLSSYNLFDR DKLPFAAEGYLAIPLFLGDECLAYLGLMWSDVGLQNRKLSWSFIETVLFSLEDLVVQR IQEDRRVAEQQQSVPGSALGGRNIDDEMAPPAQGAQFSSQHLKPFARSLSHELRTPMQ GIVGMLDVMHATVRDALIGKPPPKTAYVFQSLKESIEMVQDSARRAVEAADNVVHAYD LNMEVPKTPQVERDSIVFSASPISTSESRPNVFIEGNNMAANPYKRRRSNPPELGKTP TPKPKARRLTSPKELSPRTEEVKNAVHETDKIFNTSTPAHQIEAVMASMVNPRPSLAV RRSAPHLLLEGINVNFQGPALRFTKLRDLLRLVVNESLHVGGRPDFVVSGATELGEMI EVRTRSSNGEVFSKTIDWSVDNAVPDALFADDRDLAKLISCVFLNAVKFTNNGLITVR ATLSRKTNDILINVRDTGPGIPMAFLPNLFKPFAREDASITRSKDGLGLGLLVAKGLA RKMGGDLVCVRSSTSGPDRGSEFEIRVPVTAPEAARPVALSMQLTPPKTNDPSRLSRA SNTPPDTTLLSPLIPVLPPTPTPTQEPTSTLTDESSTPIPARSAPKTTLAKGQNLDVK PGERHPLTFLVAEDNKINRRVLVHMLKRLGYQEIYEAGNGKEAVRTMQNILDAQNSEH DAAQEQKPLNCQTTADEFLVPGPPHRQKRAKPVDVILMDLWMPEMDGYEATSKIFEMI NERYQNPIPSQSQLHRPGLPLSQPPTVLAVSADVTDEALNRATKVGMKGYMTKPYRLM DLERLIAGFCYNDAASQAQINDSTNA ANIA_04446 MAASKRSSWLSSTLAVYAILCFSIPANALYFYVDGRQTRCFYEE LPKDTLVVGTYSSEVIDQTSGTGTYAVDPSLKMLITVDEVFDNDHRVVNKRDSHAGRF TFSAADPGNHKICLTPETNAATGGWLSGAPAGAVRVTLDMAIGETSKIESEDKGKISD LVQRVKDLNSRLQDIRREQVFQREREAEFRDQSEAINSRVVRWTLMQLAVLSVTCAWQ LSHLRSFFIKQKLT ANIA_04445 MAAADVRDMLDLPAEGQPRPHKKQKVVEKRPEGITRELFALLGE RAPPIAINENRYKGRPKWQTKARVRPCARSDDLVLRHWQREPESTNIPAIEDTRAEGE TKEQGEHKTADREYPFAKYNIKLKFSNRYTTDEYNRHLRSEDWSREETDYLMDLVEEY DLRWVVIADRYDFQPQRVDNTEETSSALVPSKQFRTMEQMKARYYFVAASMLALEHPP SEMSEAEFDLHERMMKFDPERERHRKELAALQLNRTADEVREETVLLEELKRITANEQ EFVTERRELYSRLDVPISVSNATNYHNSQGLSHLLQTLLQADKSKKRRSILGPDGIAP TSGGQTPTIPNAPGSARDSSRADTPNGGTTQSTTTKKAAAAANREAAQQAIRTLTPAE EARYGVQHHDRLAPGVQFRSDRAQKLTQAKSHVQTQKLASALAELEVPLRLFMPTERV VKEFEKLIHSVNLLLDARKVAEKVESEIRVLEAAKEERERKAKELREKDKPEVKSEQQ DNDVPIPPAPAPVAAGDASQTGQPAKTNEGQAELNGSSTTNNVADSEPSTQEQEGVSH KRSASVLSNGSDKSSKRQKK ANIA_04444 MAGGGTFEEEAPDYPSHHAQPPPGYGASAESVSGCPPQIAVRKR HQRPGKDVITNGEQSVHLGEMNIHRVLASSGSTSHIQPQNHPLDENRSASCSGAWNQN RCGALNNPPMPPIWDAAETKLSPALPGSIQDLRSPYSPKTSISSAGFDQDEPNIPSFS QGSSGNVPAGRPYLPSEYAAASAAAAEEARALKSKFTERFGSDRSISHALVDSHESDT SIPARRISIGWMSEGRRIGYGYTLVSPDSSSEPQEQTLRGPAGSGICKSINSPKGTPA GSIMSKNSVKQRSPTAKEDNQGLPKTDRSSFDISSILQKFNFSRWAASSFALKSSNDS DAGSRDSGGSSLFGILTNKKKVQQESNQATENPWEFCSWVRPMQTSGQQGPHQGSVGS SGHAEAQLLEKLATLRRRGGAWATRRKVSELARNLEKRADRAVANIAVSNRVPVAQRT ATRVLRLKASASRERPRGVQINGTAYSGYQFDGHQSPQVRPPVRTSTGSSGDWDSLYE ECLERCSIPE ANIA_04443 MVQSAVLGFPRMGKLRDLKKATEAYWGEKISRDELLSEGKRLRA EHWKIQKDAGVDIIPSNDFAFYDQVLDHIQLFGVVPERYSKYNLHPLDEYFAMGRGLQ KPAKDGQPAIDVPSLEMVKWFDSNYHYVKPTLQDNQTFKLAANPKPVVEFLEAKEAGI VTRPVILGPVSFLTLAKADRGQTLDPISKIDELLPLYVELLTKLKEAGVEDVQIDEPV LVFDLPLKSKNAFKPAYEKLGSLGAQAPRLVLATYFGDIVHNIDVLPALHNIYGIHID LVRNPEQLDSVIGALGPKQVLSAGVVDGRNIWKTNFKAAIEKVELAIQKLGKDRVIVS TSSSLLHVPHTLASEKNLDPEVQDWFSFAVEKTSEVVVIAKAVTEGPAAVREQLEANA KSVQARASSKRTNDPKVKERQAAVTPEQHNRKSPFPVRIAEQTKSIKLPLFPTTTIGS FPQTKEIRIQRNKFTKGEITAEEYEKFIEKEIAEVVKIQEELGLDVLVHGEPERNDMV QYFGERLTGYVFTTHAWVQSYGSRCVRPPIIVGDISRPAPMTVKESKYAVSISSKPMK GMLTGPITCLRWSFPRDDVHQSVQAQQLALALRDEVVDLEAAGVKVIQVDEPALREGL PLRAGKEREDYLQWAVAAFRLSTSGVSDGTQIHSHFCYSEFQDFFHAIAALDADVLSI ENSKSDAKLLKVFIDEAYPRHIGPGVYDIHSPRVPSEQEIKDRVEEMLAYLRPEQLWI NPDCGLKTRQWPETKAALSNLVQAAKYFREKYAK ANIA_10559 MGSFLSSTLNGLPPLRDFILPTPEAYVILLNIWQYFPLITVAQW LTAWHPAGKTSKDSILNIPGRIAWFAMEIVGPINLLYILTTLPAKLPTLDIRTLPLTN KLVASLYVLHYVNRAVISPFFTAPSMSPIHVFIAVSAAAFNWVNSTCLACWLVGYNVT TVPGYYPTSGLGAAEAALMTPEKVLEDSLSSTVGTSLVIPAVGLVLFFVGMAGNIYAE RTLFALRREAADKQASQTSANGADAKESTDGKKPNKYHKVYVIPPKSGLFASILYPHY VLEWLEWTGFALVGTTVFPALSPPSLIPDVLSTSFRSTTGGIPGFGLGLGASRTSYGA ASAPASTVHLAPWLIPAVWAAGKMRLPVLPLPAMVFVVNAVSNMLPHARWGRKWYVER FGGGERWCGG ANIA_10556 MGDNMSEADKVSLIFMLFITCLSILELIKAILQIRSKRLAKLAS ANPPTSAETSSEPSSAALTPQAPEASRPQSGETVAPSTPRLEREGSEGKRIKITPTSA APAEQRPQSGTVTPVSNTPPPPKQEDTLEAFEDRTLSAVFKLTLDESRQRDIHGQRLT FLSGLRSELEDQNLSLRISTAVLDQALLEAASSQPDGKPLDYLLPCWKRVTRLHKGFR KARNNDPKFEVICEARRLCMSYAAFALTMPEMFGLEPTGRSPLKPYLLLDPEDDKGVD LEFLSEAVKRFEEDETIKPAFIAAVEELSRELSSMGINDDYKPYVTSFSQLPQALRNL VRHSAIASAITESSIFNHTRDPASFEKETLLGPWFRLSPLQGDATMSFFSAPKSRDQG YILNAQRSIRMVQELLSSDILDIINHMVRASAEARNRILDWFAAALNINHKRRAMQVD PATVSSDGFMFNLTTCLDHLCQPFMDANFTKIDRIDIEYLHRNPRVDMRDETKINADQ HASDAFYAKKSEGTSNFITEIFFLTAAAHHYGSESLTSKLDTLERDLKHMETTLVKLE AERPKWSNYPAQLRLFEIQLKRFKDKLDMGLALKYSLQGVLFDDQWQFRSMTFMRYVV VWLLRVASGKNFPKEQLVLPLPEQPPEVFKCLPEYFVDDIVSNFKFIMWCMPQIITAT QGDELVMMCIAFLECSEYIKNPYLKAGLVSILYRGTWPRPGGATGVLVDLLNSMPFAN EYLLHACMNFYIQAEHTGAHTQFYDKFNIRYEIFQIIKCVWPNTLYRAKLLNQAKHHL DFFVQFVNLLLNDVTYVLDESFGSFKTIYNTQLELRNEGASMDPAVRQEKEERVAQAQ RSAKSYMQLTNETVAMLKLFTEALADSFTMPEIVQRLADMLDYNLDAMVGPKSSNLRV ENLHEYGFRPRALLSEIVDVYLNLMGKQNFIVAVARDGRSYKPANFEKAAEILRKWNL KSPEELKRWDQLQLKVKEAKESDDQAEEDLGEIPDEFLDPLMYTLMEDPVILPASKIS IDRSTLRAHLLSDPHDPFNRVPLKMEDVAPDTDLKAKIEEFKRQKIAERRAAQQGQVD QMDTSTG ANIA_04441 MPSATSSGTDSGGSGKSRDHNQGNQDRKYTPEQKAAVIRIRKCS ATAFYEILAVEKTATDSEIKKAYRKLSLVTHPDKNGYEGADEAFKMVSRAFQVLSDSE KRARYDKFGGDPDSRFQPSSGPAGASPFGGGFSGGGFPRGGGGFEAEISPEELFNRFF NGGPQFVFNMGGGPGFRVHQFGGTQPRRRPRADAQPEPTPSAASVFRQLLPLILLFLL PLLSSLFSSGPAPSGPSYRFDPIPPHTQQRTTPEIKIDYFLNPKDVSDFSSREFRKLD KQVEVDYVKKLRYECEGEILARDRMIQDAQGWFFPDVEKIKEAKAMELKSCRRLESLP LKRKY ANIA_04440 MTMAGPESQMEKTKQTFVQKFRAWGENPFPPTLLATLITAQYMR PFQALPMLFPPVLLFTSYANVSGFKTDSAGISAAWSGLYLLLAGRRRQPFMKKWGARG ITRGATMGLSLVNMVGGGLAYTLGKREDEDDD ANIA_04439 MDPSSKSFQTQRAQYSSALKRFLDSTKDFEIITSVSRDYGEPRV PSTAEVLYVLDSSFNPPTRAHSHIACSAVLENKGQPSRILLLLATQNADKPSKPASFE DRLIMMQLCAEEALAFLESEIPAAELPVIDIGVTKKPYFVDKAAAIETANVYPRSTQQ VHLTGYDTLIRILNTKYYPPEHTLAPLEPFLSKHKLRVTVRPDDGWGSKAEQEAYLAD LAQGGRESEGAKREWAQQIRLVEGRKVEQAVSSTKAREATQTNSQDLDWLVPGKVRDF ILSEQPYQE ANIA_04438 MRFVPNLSVALLGALAVASEAAHGHRAHDNLLHRRAVRPPSGGS ETTTTPATTDYVTVYPTPASEASSETASVPEAEVSSASDETPVPSAPAETPVVSVPGT SSVATSVPGETSAVPVPESPAPGTPPASTPVVPEQTSAVPVPQSPSAPVVPAPETPST PVSVPSAGFTPRPSSSIPLIRPSSSSAVVTPSPTPTPAPAEECVTLTYTLTGSTTISV VTTTVTRPVATPAPTDDCVTITYTLTGSTITSVVTTTIDRPATATSTAYVTGAPTTET VTVTYTENGGTSTTVVTATAQPPATTSAATEVITVTVTENGATSTSVVTATGTGTIQT PTATGAASEYETITYTATEGTVTSVVTTTVHKTSTRTQTVYATPTGADAVGSGADTTL TSTTTEIYTVTPVASETAGSGSGSGSSSGSGSGSGSGDSGSESCVPETVTVTESFTVT VTANPTAAATYTSPDTNVHVSTISSTSPEQTAQPGSGSSGSGEDDDEYDDEDDEDCDG YDDEDEDDGFTSHTPSSSPTPFPHRPINGTIPTPSPKPSGFVTASRPYPTHWRRRNL ANIA_10555 MRPTLRLAGSSGNKTVSNIFNQQASSASPFPWLSTLKSKQLQHL AHKTGLPSSGTKTVLIESLERGLRLWQEQDEKLFQGNGEPENVGLTKLNGDGDLHEKY KELRILSIDMGIRNLAFAVLNVRGLNDGLRFGSRLGLLTEKLEKPSRGRSMAKAAQGL DGEGEGNGEGDNDDAGAVQVSLEAWRRVSLPLDRGLSVEEFSRYLDTPYSAFPSTLAL TSLPNPDIKSSDTNEAASTASPTEKGGKGDKTPFSLPIYATHAHSIVSALLARYKPTH VLIERQRFRSGGGSAVQEWSLRVGVFEGMLWATLHSLRMNQTQVQNQSEQHRVAPRII SIDPARVGRFWAPPTSSPYGCGVRSAAAAGSDKSKMKKKNTSDSRQGKKLKIDLVGSW LERGIFLNGHGPTVQLWIDAYMSKWKKTPKPRLRTKARSSDSDSSSGCGTRADASPVE TGIDIAKLDDMADCLVQGMTWLEWERMKERIVREGIGGVV ANIA_10558 MDLQKTKEARRRDAPGKQEEGSECPLKPYNGNGHSKDSPKADSL SRNSDTRNTKEPANKGHRQQKDEFYSQAAHQSSPAPAPSSSTTPQCGHPLSSKAIRIP AALGLSPKETTLLITANRYPPVTKSTLSELDLPCIMGNINLRMDANFDRDLHFKPDLD GEKGRKKRKDAADYWNAMAAEIKVYAFCASCGLDNKSDNYHDEGSSFEPRLPTMFETL QDVLKTLVPERDHPNIMQNLEVPLLMQQIQKGVLDMVGIATWLAALLKTHCAPMRDEW ADRMVNQITSGSQSQNTTEIVRGLETLFAILEAMKLDVANHQIRAFRVLLIEDTIPFL QEYFRSKIERGDVQVESSRHWYLDLRERELRRMKSPAQTDSFWPLALLLKGLSDFMLH FHSPDGFPDTFDFDADRLWQARGWMQNTINLEICWYIFESYIHSQRRYLPAPTQTYAT FRSRIGTLLEETEDCIRGSSRWLKNVRYIALEIARLASECCGDTTISDDIIAPIEASL EWHLSNEHGLFQHFQGSFREKLLEATFNTAKRYLNMSPLAICEAQRTPARTPDQNYDI DRVATRLAHIGVLHWRVWAPLLYVRESVPSTAESIFPSESELTYDRLPF ANIA_11438 MRRRCVRRCVSDIGTGTKPVGVFRAVEEPRVDHKTDDAGKATKY AGWHCNWQRQVGMGLSGTQLGTEGTEGTEMIAFLTRVAIPLASEVKKSK ANIA_04436 MCMQSRLRTSGLVRYTALGGPFPASGNLQVLWGFFPSLSPLRSC VPLLLSPRECETEGAGAALSEQKGQVIESGKCDRRHSSSIANRAITNGSPIKSTCFDW GYRKYAKKGWIQLHKRSYNNDVNSRVALARGQKSRSDGLVDIKDMSNLAQQEPTDQTI IADRVFCLERPENSDSPSSTRGQMPSGPARGSGRPSGRGWIPNRETSAPAHAGLKSRS KIAAEAQSRMPDAHFMHHPGSKRHSTEPQSHRAVPYEVSMHDTPRKGKHAY ANIA_04435 MISTLVRPEDSLRMPRSYRDTEEEDANRHSPEPAAPLSSSSSTT DGSNKSEDEATLVNGSTGDTSSRPTKSRESLTPEETIQLARRAVENGIQETKRSLAGS EAVGDVVKPKLTIDLGHSNISRIPEPVVDIIKDEVERLSLWNNQLVHIPYRFAECSHL RYLNVRSNNFREFPRGVIKLPLLEILDLSRNKISQLPEEIKKLTSLRVLSVMQNRLDD LPLGVSDMNKLQILKVAGNPLRYPLRKVIETSEAEITSSMMSDNEKEVALTAELKRYL KARQPINSNDFESNNESDGILDTPKPVKRGVSSRFPVIPSTGDGADPKSPSLSRPPPI PLKSHYRIASGHGGALQILQRPGPIPGANERNRSNSEGIIQASFATRSKRMGVISRKN TDLGTLDEMRPYRNSHLRGLSYGSILRTRPSISNSSSPSSPRERRRPRDGFVNRMSSL PEHKGERETESPIIESAKGILFALFQVQSHVYALINVIKRDDYRRNSLEIVFYNASTH VDRLNEALENAENSRADDAEPMRASYEAVKRECETCIMAYSHVGTQLRNSLDRIVANG DSRYIRSLMLMIFGSVVELRNACACLEVPVGNRPRPTGRPPVPEISRESADSDRYHCT TVTPTRGREPSFSTRRLRSDTTILHPQTNMHGPLPASATFQSAVSSPGFAPTPYSYGA RSRSSSRSNHVNTSVPSSLATPRSGESFPPMPTSVIPKINPLTGLDEIEEERIFEKIF YQLTAAYTAALQALPVARRHFARNLEVAEQNREFEDIQMLWNNLIHRCRVCLEVSEAL GLRLSNMKVKEPGGGMRNQREFWQLCKAFMQSFVELVTDMREVRSMHLLPSEVIVILR PVQKASREAGRLIEASPWSYLADMAPGNGPPAIYGPPLPSQTSHQQQQHHHHHQQHHP QLNTSMSPSVALPATPLSAALGPAAQATIPSTPASAYSDKFFEGDVFQRADSLLSMPN QAPFFSRR ANIA_04434 MGKYNLTALQVRKTAIDSIAAGKKGDLPRWVNVVGDIPPAQILV RERPQQHPLVRQRMKTIPGNPTPQPVFQVQTKRVKPKKASRMFLPVEIKYEEDQLRKE FFRDHPWELARPRILVESSGKDSERYNWSRMQQPGKKLDGESVVQRQLWLLNNVPDMT KSRAYDIARREFYRLRLQEDIERRVAVEEAEATGAVFGPSRLEIGMELENQQFEAWKS WAKTEAQIVDQASTSEDGSENQSLP ANIA_04433 MPQPNKSQSPPHLRSLSSQGSITGLPSDYTPMRYQSFFFSNVSA RRIGSSQRARPVATSIADIFVRSLVVAGYCHKHSPRSRAISTTSAKASRGLTGDWEDR GLGRSRRRTLAKHPPGFMTQIENLGVRRSKTQRIFSSTVAQRVEIYDSQDHRIHQTST RHSSRSTPVNYARPSNTACTENASRPLQREGTNSGRSHGSIVPHEDWERVKSRGPAHE VAHKQETSWLTDREKSYKSSAKELYEQTSEIYQELLGDQAWREAADAAAAFEHFPAQF DDTKSSDDLNSVRKLEEHLGDKTKANHYIFTLYRELPSPGVRHLSKRSRGLLLRRFAD PPGRRWVDARRYLALVEDMLAAGLPLSRSLWSSAIHLAGRSTGKVTKFDLVRAVGIWN QMEHLAGIRSDSVVFTILFDIAAKAGQFTVAERLIKEMERRQIKFGRAGKVTNIFYNG LLGDVDGVCRAFDEFVESGEIVDTAVMNCLMTSFLKAGETESAMQIYQQLLQNQPTTN LARQNLTSELIAYRKSSKKLGRVLQASASLKGRLPQHHQALQEALVVGPDTRTFHILL SHHAYKSGDLGAFESVLEDMEKVFPVPPRGMIYLLLFEGFARHGRHKRGWTAEKLRMA WRAYLRALYESKTRIQHRSFALPPSFVWENPLRDDGATAPPVLVDSSIELYTPLPIAP SSTRGPASKPPAQDEHQSSVQEDLIDILDQDPLDLDVHIDIPTDLTQERPREGDTLGL LEHRLENGVFLGRRMILIILRAFGACCGPDDIMEAWLRMESIWQPEKRRGTDVIAVKE ELELQLNRARRRVGPGLK ANIA_04432 MLLSVKMPLQRDVIAAAVEGHDVFLQASTSFGKSLCYQLPAMTT HGVTVVVCPLLSLMTDQVNALQALGVPVATINSTVSLAERRVILEDLLSGHPRIRLLY VTPELCQNNTFRRNLQTMHKQRELVRIAIDEAHCISEWGHDFRPAYKALSWFRHSLTN PPVPISALTATATSQVRTDIINILGLNPRRLKIFSTPSARPNIHYEIRFMQELAPDPS EPEAFQVHDLLSWLHSIQGRREARLGTDAASKLPPISGIVYVSTRVAAERLAHVLCQS DNRIRAVAYHAGLVAADRVRVQNEWIAPQKQPQQRGPGAKPVTFYIIVATTAFGMGID NPEVRFVVHWSPPRTFEGFVQESGRAGRDGRAAASIVYYSLQERERVLNHLRRDIENV NNSTGGRNAGLNQDKVSLLRNLYARKQSFEKVVRYCETTTRCRHELIKEFFGDLELVK MGSQVSKLQSACHDDNDHGSAGGATSSSPSSPCDYACDFCKEGPGELTARKARMASAE ELENFTEASWLDAMFPLDQMFPELARQNTLKGI ANIA_04431 MADEDRRPKRSRFDQTTPEPRRQSRFDRRSRSPSSRQSETTRTR SPLSREPRSPGAGSKADPVAAAAAAAAKINAQLQAKKGIQHVEVPPIRATSSPSQSAT PTGGDAKLNAEIYVADGDYIKDIEINDLRNRYTLTKGSTQKMIKDETGADVTTRGNYY PDKSMATAANPPLYLHVTSTSKEGLEKAVALIEDLMKKELPNLVDERRFRRREPEQVE RDEFGRRKWPEEKIPVGLEPIPGFNLRAQVVGQGGMYVKHIQQQTRCKVQIKGRGSGF LEPSTGRESDDAMYLHVAGPDPNEVQRAKALCEDLLNNVRERYQEFKDNPPQHGGYGG GYGNRGNRHDNYGGGYGAGYGSQHQHSPAPATASPSGQGTPGAASAADYSAQYAQYYG SDPYAAYGGYQNYVAYYQYYQQAAQQQQQQQSLSPAPPPPPTSEAPVPPPPGSGSPPP PPPGGSYSAVPPPPGL ANIA_04430 MAFRYTRMLSKTASSSFLSAASRTSGLATRSTFATTTRASSSST SALAYKALHRRSPLTLPVTDVSQQWDAQTAVSSILYETPVRPTNPPKRHVLNCLVQNE PGVLSRVSGILAARGFNIDSLVVCNTEVEDLSRMTIVLQGQDGVVEQARRQLDDLVPV WAVLDYTDSALVQRELLLAKVSILGPEYFEELLQHHREITTPVESMEAQKKSTDSKAS TKTEEYHPRNLPSSQALRHKHEHLDAITRLTHQFGGKVLDISTNNCIVELSAKPSRID SFMKLISPFGILESTRTGLMALPRSPLFEANEELEKEAADVVDASTLPPG ANIA_04429 MAEAATERLNRLQEADLGTARREEISTADHDSNTWLTRLDVIEA SRRSNVQGTIEQQLAQANRDCLDAWTKHLDNLLDGQSHRLRLEKELRGGNTHDQQTSN RGQRALKGPTQNKSSNHNAKLQNQAVPHGTLAASVLLSSDVHSSNSSSRVYSQKISSA EEFLVEVRSVTSRRGPEQEPLIQKSKAKVSAKTTDRCGKDACRTPRSRNIVKPKEQKV TTQLTISMTPEDKVEDKFGTTNHDLGAGLVSTESPRETPPTASINTTGQAKTGEKLEP NTQESVATPMDSTPASRPQRVVGTLVDLDSPVESENAAAMSPALQELEGLDFTQSLEP EISQSSTLAVAKRRLDLGRFSHPKQQMTSDLDVLEATTDTEDEESVDEYRREIDIVCQ LLQQTHLSKTFLCKLTECKDVLEARLRQRCEPKLGKPQNQQSLTLPDPESEKAEIAAP VKACKAAAPGPKEPHFVRPVVNDNTPTPGDITPTPRVSIPSSPSRLNVSVLQFTPNSC SEQKSFPDATTKSISLEPIADEVVSATQSKSASSEDTVVLATADTPSPESQPSCISIF KSVAQEISGTGHLLGDHLLPGSEYRQPEQSHLYGNHLLPGQRVTPTTIYKQTVPETTE FALAKAAISKPAPAVLSFSPPNKQGQTATKSLPANFTIPLPSEPIRIVDPNILVSSIA KSSSGASAALGAPSSTTLTTAQKTPSMMKSIYAPQLKEAPPSIMDVKKGSPASQ ANIA_04428 MPGDERQQHSQAETNGHAEVESQHLLSDESKKENNDTLHPNISS SVPDEPPSRPTSFSPPDRERRPPRTQNRVRFDIADDSEEESRDNGVNRDSDDVLWLDE EDYVDRGRSGRHHAGQRIPLLTNIEAPSVTLATSEEFFPEEHLESARPRSGMRMAFMN MANSIIGAGIIGQPYALRQSGMVTGILLLVALTVTVDWTIRLIVVNSKLSGADSFQAT MQHCFGKSGLIAISVAQWAFAFGGMIAFCIIVGDTIPHVLSSLFPSLREMSFLWLLTD RRAIIVLLVLGISYPLSLYRDIAKLAKASTLALVSMAVIVIAVVTQGFRVPQDSRGDV KNLLLLNTGFFQAVGVISFAFVCHHNSLLIYGSLKKPTLDRFAKVTHYSTGISLLMCL LMGVSGFLFFGSETQGNVLNNFPSDNILINIARLCFGLNMLTTLPLEAFVCREVMTTY YFPDEPFNMNRHLIFTSALVLTSVAMALLTCDLGAVFELIGATSAASLAYIFPPLCYI KLSNGSQKAKIPAYACIVFGVTVMGVSLLQAVGKMIKNEGGTATCST ANIA_04427 MLPPQGRPNLHNLELLKELLLSGDIWVSQAPEIRLDTRITFVPD MHPSFARFVSQLGDSICKAKMWSDLELSVSYKSCVYGPEQTPTYILSFGIQRSFGLVQ RATPRLPKILKDVSDAIPPGEIPAVHMTSIDVRMEYSHKTSQCTRSGQQGCDDGGNNI VQLVRFNDRVCQGTYWPDDNLTVIEADDGRLEIDAEEMSEGWQLPDHSIPRKSFSEFG ALFQEGLKTLIFGDTALRRKKNRTGPDDFKSLSRIAPSIFKLGYREAINQRSRLMPSI AKSLASMLKRSNDQILRDKLAVGETVFASDLRSPASTGGDSTRSMIKTRLWAVAQKRL YSSPTLKHSTPCSDHMLGTDGNDRAWDETLLSETMTEELVYIGNGPVDANNDLDFQSD ICSNDNELYLDIDAEKAEEESHSIMILEDFHTECSVPIDKLTPTPPYTRTSSVPLSFG DRSEINPNQEMLDMDPDPAEDFASPSSQASPLQNHDVCDRSCIEFRGDDIIPSQVQIS FNCSQKLDWEQLVGNGEEEYDMEMLCNNF ANIA_04426 MTYPLTKKIINNVNESQQEKQVTTVSPLDPGESDVGKLELPENF GEVVKGIYRCAFPQPWNLPALKTLGLRTIITLVDEPYTQSHEKFLEETGITHHRIPFI ANKDPAIKTPERVVNTILRLMLNKSNHPILIHCNKGKHRTGCVTACFRKLQGWDRQDI MNEYIRYSRPKQRLLDEVFIDEFDPSALSHLAQASGAMSWELSGTYASITQEDKNSPE NLIQPPRNGIRVAS ANIA_04425 MGETGPTLRYVDRLETQIGINLSDPVFQGNYHGKEVHESDLDDI VQRARDVGCQKFMVTGSDLEESKRAIEIAQKYLHPCQAKLFDSFPGGPEKLLEELRSL ALEAKQAGHAVAFGEFGLDYDRLFLSPKEPQLKYFEAQLDLAVEIQLPLFLHSRAASE DFERLLTQRLEKLPKRGLVHSFTGTMEEMQRLVALGLDIGVNGCSLKTEENLEVVKAI PLERIQIETDGPWCEIRPSHASSKFLDGAPPLPKAVKKEKWQKGCMVKGRNEPVAIAQ VAHVIAGVKGITVEEVCEAAWANSIKMFGLGEDAP ANIA_04424 MAQGKLVSTQEISGHNKPDDCWIVVDNHVWDVTNFLATHPGGPT IILKYAGRDATKAYSEVHTPGLLKAELAPEQYKGKLDEATIDESWHKQPASENPERVW ENDKPPLETLINSHDFEYVASRTASKKTWAFYSSAATDLITRDANKSCFDRIWFRPRV LRNVRSVDTKSKILGVDSSIPLFVSPAAMAKLIHRDGECAIARACESRGIMQGISNNS SYTMEELKDSAPGANFFFQLYVNREREKSAALLRKCSANPNIKAIFVTVDAAWPGKRE ADERVKADESLSVPMAPSQARNDSKGGGLGRVMAGFIDPGLTWEDLVWVRKHTHLPVC LKGVMSADDAILAMEAGLDGILLSNHGGRNLDTSPPSIITLLELHKRCPEIFDRMEIY VDSGIRRGTDILKAICLGATAVGMGRSMLFATNYGQAGVEHLIDIMRDELETAMRNIG ITSLDEAGPHLVHTGDVDHLVPEGPLHPYARKIAKGRKSRVSRL ANIA_04423 MAAPRSTSLRALRLLSQQHTFSPAYRRGLHITGAHSAQPANVSD KATLYSTRSLADLKSECQKRSLRATGTHAELVERLSNHDFLQSRAFSIAMRRINSSSK PDTPSRTFNTSRSLKAVNDSSTVDFAYMPSIDESNNSSWDAPVPILPETYTHHEPAAP SSAPMKPQVYTVSGDGIDVSASPMSEVVDNDSMEIDPFSLTEAVGKSRYAAQLKSQQE QSGAKSIASELWNGLLDDLLGPKQQRQSPMRK ANIA_04422 MRLSQCLLSAAYLYTGVNAFIPYSFKIGLKTGSVDSPQRRFVPV KMLSGDISSETQHDEEGEGDSGSGDSLLSLDIKTHVRRDNIYKVVLADKPTSQNTVAL HQDGLDYSYFSTVNIGTPGQPVWMMLDTGGANTWVFGADCTSEPCQMHNTFGDNSSST VKTTSDTFMVGYGSGKVSGNLVTDRLKIADIDVQMTFGLATNATDDFRDYPIDGILGL GRSNDTSMGGRRAFMDLVTQEGDLDSNIVGFHMSRNSDGERNGTVTFGGVDKTKFEGD ISYTNVVESSIHWSIPLDDASVNGESCGFMGKTAIIDTGTSYSLFPPDDAKTIHSLIP GSKQLSDENYLVPCDSTAKVQFTFSGVSYTMSPKDYIGANLESGDGCVSTIIAQAIFG DDVMILGDTFLKNVYSVFDFDNDRIGFAQLPGSDSPTTSTTTATVATPTDTFSTESDS DSTDSSTSTSTDPTGTSAEFTGTGSTAKVPAFFWPVATLLFLYLAAFL ANIA_04421 MGRSGGISNSMGPGAVCFEFMDHLYCGSDPKDTQSADMSDPSNP NIQHRTTPQWALYQRENFLNLNEGKTAPFNTDPTKLEQQAKETLSKGGWFYASSNAGL STTHLANRQAFYRHRIIPNQLVDTNLRDTTTTIFGHTVSAPIGFAPIGINKIYHPSAE LAVAKVAGELNLPYCLSTAGSTPIEKVGEANGPGNPRFYQLYMPHDDELTVSLLKRAW DSGFDAVMLTTDTWQLGWRHDDVANSNYAFYRGLGADLGLTDPVFQKRCREAGIDPEK DVVAASTKWIDSVWHGRAWTWEKIPWLIKTWKEISGGRPFAIKGIQSVPDAKKCVELG VDGIVVSNHAGRQVDGAIASLDALENIANAVGDQIYIMYDSGVRGASDVGKALALGAK FVFVGRLWIWGLSIMGEEGVRHVMKSLLADFDILMAVGGFKSVKDFDRSILESYPKSY TYIPDRVL ANIA_10552 MFSLSTRRSVASHSKYLCAATTGANVRSPAVNSLYYASTREFQS TPSQAAFRPTWMPMRVKTPWIEALNKSREQPQSGQEEAPRAKPDLTPKRMADSYYSAI LPLAQDKWLLDTYLNASGHIRLGSLLMDLDALAGVIAYRHTGDSVTTVTAAVDRITIE HPLMEICDLELSGQVTYATGRSSMEVSLQVAKVPAPGEKPNPEDVLITCAFTMVSLDP ATKKPVNVAPLLVETEEERALFEKGEKNYQAKKALRKRSLLEKAPDAEESNLIHSLWT KEMSYLKSPEKRPANTISMSDAVLKSAMIMQPQDRNRHNFMIFGGFLLKQTFELAFCC AASFSHARPNFISLDPSTFENPVPVGSVLYLRATVAYTEPLERESGTKSKYTKIQVRV DTKVRDVEHGTKKATGMFNYTFLVEGDVHVMPKSYGEYMVWTDARRRARNTDELTPIR ERSALRSLDSVTE ANIA_10554 MTTLPNVWHLRRVADTAAKACLICYKPSSSVLITPNNKDYFYVC PVHLKDRHFCSPIVDAEEEAAKKKAEAMAREIEKVKKEYEEKQQRKKEKEKEKEKNDD PKDDKDKDKEDSKKADDKKEEKERDDKIDAIKKQGTSTTDDSPRIFALHKKRGGASQR ANIA_04419 MAMNKVPGYNIYIGGVFALKNKVAMSGANITHVLSVIRLRPKDD LFAGYQHHRIEVDDLDDENLLEHFPSAIKFIQSGLDAGGGVLVHWPLTASSAMGKSRS ATVCIAYLLRRQRNALTPQSALALLRESRPLCEPNPGFMEQLNVYYQMGCPDDVTSHP LYSRWLYRREVEESVACGRAPEMDSVYFEDEQPHQNVATTGPATEIKCRKCRRKLAIA PFVVPHGSHGDVKGAIISECAHIFMSPLTWMRPSLFPDTPGDAPLSGRLTCPNSSCGS NIGKFAWQGMQCSCGDWVVPAIGLARARVDISEPVTIRRGPGLPSGIRLPPNMRPIIG AAGVKPESERDTAKGSL ANIA_11437 MWRRLSRALSCATLQSVRLENNYVDYGSSGFWMTAANSLRFRAS SDQESSQWPQPAQPAEPAEPAVHAEPAVHAGTCSQQQPA ANIA_04418 MTNFAPPPLPPPPRISDLENGYDAGWIHANSRLSQGSTKLAPIN PNSSLLGDHRRPEYSLPRLDPMALDESDGRQNGLPSARSPEAHIKIEPPPPADEGFRN SVSIGNPGPFLKGEHDFSRRSVKDSSHAYDQHLLSKIGKPVSPRQSVSMATDNRASLS TLPIPLRSFSSLPSPAGSDVPSLDVRWSGSSQSGGISPNTKVAWRDYIGRRSPSVESN APSSTLEYNDHSPFSRDGRRKGGTTPQYDDLSSLPSRSNRGSYDQSVVSDMEGEFSTD ESLPSRLFSVREATPPYLEATRSGTKRRASSPPREPIGNDKHSLHTTTSNGDLSQRRT TGHPFTNNLSVNSGYTHSYGSLSAASSVSMRTTASYSSAAPSIGSSITTASTYDRSPT GLSPKSDLDAFHEKATINPGSTASLSAQLAAQITQDNGLDSSSSNPSRKMSFQTNLNV SNPSTAKIGGLYICDCCPKKPKKFDKPEELRFKNKNEAERHQNSLHLRRHSWSCAALP GFQAAFHPSPSSQSGSSAASHDSCGYCGEEFPNYPQPDWDSRFEHLTAVHKFGECNNS KKFFRADHFRQHLKHSHAGTSGKWTNILENACMKEEAPPEPINAPPK ANIA_04417 MAAKPRPYRRILTSALHRRFVHASALSLLVCYAISVLIGDKSSL FWALFPLGSCGIRTILLFISPLAIFVLRVGQMHIGSRTTSSSLNTFHYLFPLHVIQTF GWYIFSAWWFSELYKWSAPTSAHLEWVKRGSPHERATLNERTIYIYTYHLLLAIAQSL AHLYNDYDRVPIAITDKPVGGADQKTHPTQPISKRLQEGLHQTLRDGLFRSTVVALVC PVAYVFFLRRRAWTYTMSFAKLFWDFPRSAADPPSLILPVKPPLIARTIFSGALLVLC WQTTNLFFSMFLSQEPVKRGQPLTSGAKDPTGSLLNGLKAKKETVRAFAFWELCFISQ QFPDRRKAIFNDIDREGGSAWTQIMQSATEVIQGITSRINEQKLGPAKSKPSPAETKS EPTLRTLPRLTDPVKEGNIFAASPRSTTTNGRLGEGFSSTLRSLGESPDWTPKARARA RDVFDRASSAVLSPERKQKLLSSSQDFKLLTGASSTRPESIHPFLAQLLRSPIGLLIR QPFARRLSGIVLGTPTASLSSIIDAIESITRLLIASLNEDPYGKVQADVPAIVSLFTE TLTTLDTYAHVELDVHWTDAAFPPSNDPAAQAAARRVPDVELIIDSLRASLKSLLTAF SPYFKDVGLVGKELRLAKGAAGFDEE ANIA_04416 MSFNHLSSLESQPTTYRRSDDPQYHDDPEFQRLTESLSNQLFTL TSNITRLSDQIALLGTKRDTERVRERVHNLLEQTRTGFRDVGEGIKKVQNWEDVNPSQ KWTQQKLSTEFKATLEEFQTIQRRALEKQRASAVAARTAVEEAGHSTEDDAQQQQQQQ LLEVEQPRLANQDEVDFQEALIIEREAEIRNIEQSVGELNELFRDVAHIVHEQGEQLD TISGNVENVHANTQGANVELRSASRYQKNARTKACCLLIILAVILAIIILAAVLG ANIA_04415 MGAGASTPVSPPPSTPAATCPVDHKTREAWLQQHKPGEAPHPVA SNDGVPTTMKQHRPLSTDREVSSIPRAVASDSDAPPSECPAAPSSPYASPTASHGTPS NAETETGHDKSTGNWIYPSERQFFEALMRKGNTPNSVSSASELATTVASIIPIHNAVN ERAWQQILEWEQKAPLSDPGSKKCGGPKLYSFRGLGSEPEFLSPRARMNSLMGYQLPF DRHDWVVERCDGQRIEYVIDFYQGKSTGGSSRGGLAANAGPGKLSFYLDVRPKLNSWE GCMMRFRRFSGL ANIA_04414 MAAVSESPVYRATTTAPVNIAVIKYWGKRDATLNLPTNSSLSVT LSQRSLRTLTTASCSASYPAADELTLNGKPQDIQSSKRTLACLASLRAHRQELESADP SLPKLSTLPLRIVSENNFPTAAGLASSAAGFAALVRAVADLYKLPQSPTELSRIARQG SGSACRSLMGGYVAWRAGELADGSDSLAEEVAPQAHWPEMRALILVVSAEKKDVPSTT GMQTTVATSELFATRANAVVPARMAAIETAIQNRDFPAFAEITMRDSNGFHATCLDSW PPIFYMNDVSRAAVRLVHDINNAVGRTVCAYTFDAGPNAVIYYLEKDSNLVAGTFKSI LGTELEGWSGPFYDAVKDVSSGVSLEQVDSRAVDVLKTGLSRVILTGVGEGPISVQDH LVGENGEILSDQ ANIA_10551 MRNLKNVRLAEVQLQNGLPLTATAWDTASDAVICTFGPTPTNPV IELRRKRADVYFSDAVSPDVFDTIASWDAPCPLPHLDCDHVLSLHYFADTLTACLVLE GGDIIVVREEPLPGEDKIEIVGSVDVGITAAAWSPDEELLALTTSAHTFLYMTREFEN VAEITFTPDDLKASQHVSVGWGKRETQFQGKRAKAMRDPTVPEKVDEGKLSGNDDGRT TITWRGDGAFVAVNSIVEGIRRAIRVYSREGTLDSVSEPVDGLEGALSWRPYGNLIAG IQRLDDRIDVVFFERNGLRHGQFTLRLTEEERSTWASNIHLSWNVDSTVLAVQFKDRI QFWTSGNYHYYLKQEIPVIVSSEGPFAYKWHHEKALRFVAGASDLIQTNGSAESILDG EFVFKVFHGSTTPPNDVGAVAVIDGKTLKLTPLKLSGVPPPMAHNELPLDANAIDVAF SKSGTRIAVLMNDHFSVYLWSLKSRPVPVPILESSYPLSGEIGSRPRQITFLNDNEVY ILRSRGPNNTCIERTTLETRETKVVYEAADSEHLLTMFSSLGHEALWFSHVPRPGQSI AYSTITMPSSNESQVTPWAQSPVADTYWAKSAQISDDEVGLVLISLSRTGGLYANKKL LAKNCTSFLLTSSHVIFTTSLHLLKFVHLRRAEDMEAPPDTPETDERCRSIERGSRLV TVMPSAFAVVLQAPRGNIETIYPRALVLAGIRSFIDKKDYRSAFLTCRSQMVDMNLIH DYAPEQFMESITLFVDQVKRVDFVDEFLSRLKEEDVSQTLYKDTLKALDMEVAAETGF TMTGKKGSKVNRICDGFLTALEKRSDTNLHNLITAHVCKLPPDLESGLQLVARLREES PEQAEDAVEHMCFLTDANRLYDTALGLYDLELTLLVAQQAQRDPREYLPFLRKLQQLP DLRRFFEIDNYLGRWQKALGHLHGLHAHDELREYVVKHVLYKDAIDIYKYEPEQLRDI THLYADHLYQESQYKDAGIAYESLSMYTDAYKCYQLAHLWRESLYTAMLVPLSQDELT THATDLATTLVEENKDYLAASQIHAEHLHDIPTAARLLCRGARYSEATRLLTLHSVQS LIPEIVDVALADAMGSMTDLLADFRSQLQAQVPRIAELRVRRIQDPLAYFGGDPTATD GAAGVDIPDNVSLAATDASTLAGKSMFTRYTGKTSSGKTTSSRQSSRNRRKEERKRAR GKKGTVYEEEYLVNSVRRLIERVGTTVPEVENLVDSLLRRGMRERAAAIEKATRDVLK LCEESQEEVFIVQQKQQLEEGENGEQGGEAVNGPVDVPMPQGGQRVFWESIVSTLGGG KGQEPPKIKEMKKSALLS ANIA_10553 MFLPTDWSDQEISCPVRGRCRNRTTAGETDRRNYPLVIAGYHVT NLAPSSSYISRMARSVSPPQSSHRASVLFKMNAATALRARMATSFVARRGFSTTRSQL SSPYHYAEGPRSNIPFNPLTKYFFFRYWAFMITGFGAPFAIAVWQTYKTR ANIA_04412 MDELFDVFEDKPQAAQLSEPRRPKKEKSKKRQINGDVKENGENA KPKENIAPDVAEQENKEEKSSGSDNNNQPETKRLRLEEEPEPVVADLFETAQEREIAG SAGLQAEKEAGPVVLSHQVRHQVAIPPKYPYVPISQHKPPENPARVWPFTLDPFQQVA VSSIQRGESVLVSAHTSAGKTVVAEYAIAQSLKNNQRVIYTSPIKALSNQKYREFAAE FGDVGLMTGDVTINPTATCLVMTTEILRSMLYRGSEIMREVAWVVFDEIHYMRDATRG VVWEETIILLPDKVRYVFLSATIPNAMQFAEWITKMHNQPCHVVYTDFRPTPLQHYFF PAGSEGMHLIVDEKGVFREENFQKAMSSIADKKGDDPADALAKRKGKGKDKKLNKGGT QEKDDIYKIVKMIMLKSLNPVIVFSFSKRECEFYALKMKSLAFNDDSEKEMVSKVFNS AIEMLSEEDRNLPQIQNILPLLRRGIGVHHSGLLPILKETIEILFQEGLIKVLFATET FSIGLNMPAKTVVFTSVRKFDGFSQRWVTPSEFVQMSGRAGRRGLDDRGIVIMMIGEE MDPAVAKEIVRGEQDRLNSAFHLGYNMILNLMRVEGISPEFMLERCFYQFQNTAGLAG LEKELAELEEKRANMTISDEGTIREYYDIRTQIDQFNDDVRAVISHPEYSVPFLTPGR LLHIKYKDFDFGWGVVVNIKKRKPQKNSEELTGHASYIVDVLLRVADGSSSGTKTFED LPQGVRPPKEGEKSQMEVVPLLLNCIQAISHVRMIVPKDLQSKDSRTDMGKKVEQIKK RFPDGIAVLDPIEDMGIKDDEFKKTLRKIEVLESRLVTNPLHNSPRLEELYEQYAEKL DLGNKIKATKKKISEGMAIQQLDELKCRKRVLRRFGFINEAEVVQLKARVACEISTGD ELMLSELLFNGFFNKLTPEQAAAVLSVFVFEEKTKETPPLSKEELAKPLKEIQAQARI IAKVAQESKLAVSEEDYVQSFHWELMEVIYEWANGKSFADICGMTDVYEGSLIRVFRR LEECLRQMAQAAKVMGSEELESKFETALTKVRRDIVAAQSLYL ANIA_04411 MNSLVATPPVPPHIYEYSRLSPSRPMSTPSHTPTTNRKRKAEDE HNDFDSRMSASPTNSPAFTPRTLPSSSRQIKRARPNMGGRPLSLPRLLETLDTDALRG VLRSICDRHPNLADEVVQTAPRPSVASALQVLRNYQSALQSSFPLGGNPESDYAYNRV RQPLGNLLEALSDFTPHFLPPNETQPSISLSYLDDVTEIIHQLPRWSSPQNNIERDSA YDEICKAWILVIREAAKRGGGIQLQYGGWDQKLAKHNQNSGGRLQAAVNDLASSLGWM HGPESQGFGSPGGNDLGSIREQLLSGTYGLGTPVKVGPW ANIA_04410 MLRAGAALASTAREEKGQSWLAKRESSTSLVSEAGMYEVDAISH ALRTGISRRSKSGRSTPAAAHSRSRAASRRNSRPDLSMTGLEMSTPSPTSKRSSIHIA SAPRTPSHVPSEDARSTTSLLPDFIDEHVRAEMRDTIQQHVDGDYDSATSYDSWDSEE REEDMDERELQRLTRERGFGLGNWIDRLVEWTLFGVDDWPLSTSTDAVTEPQAAELHA GHENNHEPRELSDKDDDDETRSQVSDTNSDIPHLERAGDHGGWEDAGWFIRTLKRALI ST ANIA_04409 MSHSRIISQLFVDALVLSTISFRPKSFKFFNIYILALPMASLRS VLKSQSLRHTVRSYSSQTMPPASPFAPRHFLSIADLSPSEFATLVRNASSHKRAIKSG SMPQNLQGSLLGKTVAMIFSKRSTRTRVSTEGAVVQMGGHPMFLGKDDIQLGVNESLY DTSVVISSMVSCIVARVGKHAEVADLAKHSSVPVINALCDSFHPLQAVADFQTIYEAF TPKAHHLSSLGLEGLKIAWVGDANNVLFDMAIAATKMGVDIAVATPKGYEIPPHMLEL IKSAGEGVSKPGKLLQTNIPEEAVKDADILVTDTWVSMGQEEEKAQRLKEFDGFQITA ELAKRGGAKEGWKFMHCLPRHPEEVSDEVFYSNRSLVFPEAENRLWAAISALEGFVVN KGKIE ANIA_04408 MTDEASRPLLGEHHDNNASPYQASSTNQQRRSFEVSSESTPLLH RRDDDISAYGGIESSRASSAASGSVSLDDYPKKPRNKAWWTVLCGLLAVGSVIVILIL AFFVPEVVKQYVKEAAIFRPTNLSVVSATSEGVRARVQGDIVLDADRVKSESARNIGR FVTWIGKEVETGQSEVIVRLPEYENALVGSASLPSIKVSIRNGHVNHLDFEADLIAGD IEGLRSVAVDWLEGRLDRLLLHGSVILHIKSGLLSLGEQTLDDTVVFEGDDFPSLPAV DITNLNVHDVDSPDDHGAMAVDVSVSASLGSPFALRIPPLGFKAMVANCSPRDPYISV ADVVTQEIAIIPDRSIEVEVFGIIRGLSDELTANCPGEKRSPLDTLLTSYIHGSQTII YVRGADVPSLGAPRWMTDILKTVTVPLSFTGHALDNLVKNFTMTNVHFTLPNPMAEPD TPESRPTVSALVKVLISVPEQVKFDLNIPRIRAKADVFYHAKKLGFLDLKEWQPANST LIGNPDNTTALQVEFPMDKAPLEVTDEDVLTDVLSSLIFEGKPVGLTVAANVDAEIST VLGKFAVRGIPADGKLTVKPPFSGSHGLAPQVESLELGSTTETSLLVETILNFTNPTQ YSALVPLLDLLLVYNDTKVGHLTARDVTIEPGTNTGVNVNMQWSPLDLGGPSAVLAGQ DLISRFVSGKLILTQAATKQPPLTHVPGLNTSVTIKTHEGTIPALPRLGQALSRVGFE VQIPNLSHSGGPDKDPDQPGQDNGHQNFIQDATLHLWSSTAEFALSSPLNHTILEVTS IEAQAFYEHDHEVGAINYYTPFSILPGLSHSPRLPVDLNLGGIGYDAVKRAVGGTLDL DTMAKVGVRIENYINTVNYCGKGIKAKVKL ANIA_04407 MPDATGVIARNPFEEAPSRLNQYTPEEAAALQARLEKKLGPEYI SSRPGAAGLKVHYLAADKCINLANEVFGFNGWSSSIQNIQIDFVDESPNTGKVSLGLS VIVRVTLKDGAYHEDLGYGHIENCKGKAAAFEKAKKEATTDALKRALRNFGNVLGNCI YDKDYISKVSKLKTVPSKLDVGDLHRHPDFAPIKKEPMRVKPSLEDDDLPPNPSIAGR NTSTNSAADMDAEFGSDVFDEADFNVGEGEYPDGIVAEDTQKRHQPPTPVGRPNAHLN LPKHALGAQASGNQHVVTPSKPERPMNLAPAGRQIPNQALNNRPFPPPAQNQYTNQRQ SVPLPGSNGGLQNGRSIPLGQRASNGMATGSDAGAQVPIKQEHGAKQPNPAPQNTAVP ATPGINGQGPPVVGFLSARGADMLRENPHSAATVAPAFNPHAESPSIRKTAGVDHTKS IPISKPMLAGSASPLSNQTRDFINPSAEMHRKIGAPSGSVVGGPMNRGPSVSSYRPLT RPNVDPKAAAQNNTAAANRMAPNMNGKRPPLNDVTNETLPGGNGCTPAPGLNDPKRAK YDESMSAQQHQQQHQH ANIA_04406 MSILLDPPDLGFRRPFNREICQVLHLTNNNPDAVVFKVKTTAPK HYCVRPNSGRIEPGKHVEVQVLLQAMKDEPAPDAKCKDKFLVQTVAVTKDMEFANVSS IFEKATKSAIQERKIRVAWLPADEPEVKQEDTNGTNAQNDETPSYSSPKGDFETPAAN FAKSSNTTSPIPAPDFNESVKRDYPTPQVAESKSASAKASVASSAKQTDYETRLAEAN AQIQRLKDKLADQGLRQRKIGSESEKSPAALAQQVQSVEAGYYHF ANIA_04405 MASTIASSATPQLRMSATKNRRKAPDLRNDEPSVRIPPPETSSI EDHFFWTYTEEPHRSRRQAIIKAHPEVTKLCGPEPLTKYVVLGVVSLQICCAYLLRET SFFSWRFWLTAYVIGATSNQNLFLAIHEISHNLAFRSPMANRLLAIFANLPIGLPYSA AFRPYHLTHHKSLGVAGLDTDLPTAFEAFVLDSLLGKAFFCTFQIFFYAVRPMFIYSP PFTIIHLINLFVQFSFNYILTKICNGSLNPLLYLLLSSFLAGSLHPCAGHFIAEHYFF SNVDHGTESLRELKGEKGEKHPLTSLPPPETYSYYGPLNILTYNVGLHNEHHDFPAIP WTKLHTLHRIASEFYEPLPCHRSWVWVIWTFILDENVGPWCRVKRAQGGRLVGGGDAT GRAGEGISAGPDEANDDGWKESEIQN ANIA_04404 MAAQASEKLEKLDLNGQNGESAAGPAKAGQADAGEVEDESDDDA DDAGAAADGAANGAAKKKKKRKSKKKKKGGAKVQSSPPRVPVSSLFANGQYPEGEIVE YKNENSYRTTNEEKRYLDRMNNDFLQEYRQGAEVHRQVRQYAQKNIKPGQTLTEIAEG IEDSVRALTGHQGLEEGDNIKGGMGFPCGLSINHCAAHYTPNAGNKMVLQQGDVMKVD FGAHINGRIVDSAFTMSFDPVYDPLLEAVKDATNTGIRSLQEAGIDVRMSDIGAAIQE TMESYEIELNGTTYPIKPIRNLNGHNIDQHVIHGGKSVPIVKGSDQTKMEEGEVFAIE TFGSTGKGYVREDMETSHYALVANAPQVPLRLSSAKSLLNVINKNFGTLPWCRRYLDR LGQDKYLLGLNNLVQSGIVQDYPPLCDIKGSYTAQFEHTIVLRPTVKEVISRGDDY ANIA_04403 MTMPTLYEVLDVPVTATPAEIKKKFYALSLAHHPDRNPNDPSAS SRFAKISSAYQTLSNSAKRSTYDRDHGIHSRNFQSTHSTATPGQHPMGSHSSHGASYF GSRPASGLSKRRGVFKGPPPSFYAHGGYGNNRARRAGGFYSAGSGAGGSSAGAAGSTK KEDDPTGFIDRNPISHFNARGHYRTQTAEDARRQERRSRVDADINEQYIGSRGDFALR FIAVSSILLGAGALAGFLRWPSSDGSVNEKSRQTKYSRMKDG ANIA_04402 MAAPAAFSDIAKAANDLLNKDFYHGSAASLEVKSKAPNGVTFNV KGKSAHEGPIAGSLEAKYVDAPTGLTLTQAWTTANALDTKLELDNNIAKGLKAEIITQ YLPAKQSKGAKLNLHFKQPNLHARAFFDLLNGPSANFDAVLGHEGFLVGAEGGYDVQK AAITKYSAAVGYSVPQYSAAIQAANNLTVFSASYYHRVNAQVEAGAKATWDSKTGNSV GLEVASKYRLDPSSFAKVKINDRGIAALAYNVLLRPGVTLGLGASFDTQNLNQAAHKV GASFTFEA ANIA_04401 MCGIFACHHHPDVQAFKPTALRMAKAVRHRGPDWSGSFIADKTI LCHERLCIVGVDSGAQPLVNDDESLALAVNGEIYNHRIVRKTLDVEYNYKTHSDCEVI IPLYMKYGLDAPKHLDGMFAWVLYDKKEDRVIAARDPIGICSFYIGWSSQTPGAVYFA SELKSLHPVCDKVEAFPPGHVFDSKSGEFSRYFQPSWWDPTNVPSTPVDYKAIRESLT RSVRKRLMAEVPYGVLLSGGLDSSLVASIAQRETLRMQKASSGVKSEANGELVGIDDE NELSTVNSFQQLQSFSIGLPGAPDTEAAMEVAKFLGTKHHALTFTIEDGLNALSDVIY HLETYDVTTIRASTPMYLLSRKIKGLGVKMVLSGEGSDEIFGGYLYFHAAPNKEEFHK ETVRRVKNLHLADCLRANKSTSAWGLEARVPFLDKAFIETSMSVDPQEKMITKDRIEK YILRKAFDTSDEPDVEPYLPDKILWRQKEQFSDGVGYSWIDGLKDHAELHVTDEMMKN PKPEWGDDIPTTKEAYWYRMMFDEHFPSYCASTVERWVPTWSKQSDPSGRAIATHNAK YDDAE ANIA_04400 MVARHFRYGNGGTHDQKKMRLKRMYAVYADISIYALKKIPHLCT SRKGEK ANIA_04399 MSLCLNRLTEERKQWRKDHPFAFYAKPHRTAQGVLDMKRWECGI PGKKGTIWEGGLFKLDVTFPDEYPTKPPKCKFVPALFHPNVYPSGTVCLSILNEDEAW KPAITIKQILLGIQDLLDDPNPESPAQAEAYNMYKKDRAAYEKRVKQVVKENPAL ANIA_04398 MTSDSTPAKPGGMLSLYANLLDPSADNSPGTISRAPVVFKQAEG EAQSEEAAAKKQVNNVLRFQPTKRPQLATQKPKPKPTLPKAAALAAGAAPVKSTLADW AATEDDDVNGFFAGPKRQRGGRKKRKKNREPQEFVQNWDDIYDPSRPNIYEEYKHSDE QITEVREWKDRLYAHRMARSSSRDSYSDEEYGRPVNRQFAPPSNFAPPPNLNNIPPAP SENVASGEDAFARRAQMPSSLAHNNEQDSSPKPPPPQQPVAPIDAPTGDDAYLRRLQM SAQPQPLLTPSNQLHKIQTPSATISRAPVRYTLPPAPDDIPASEAELEEVLAKEQPVE EEAQDDGQRSLRPGQKGFAERLLAKYGWTKGSGLGATGSGIAKPLQVQVEKRKKRPDS EGGGFVTPAGRGKIIGGARKQEDVGKFGAMSEVIILKGMLDGMDVDAELAGSEGGGLM QEIGEECSEKYGRVERVYISRESGPPVLVFVKFTNQLSALRAVNALEGRIFNGNKITA RFFDAQKFEQGIYED ANIA_04397 MATLAQAFSPDGSNAAVIVPGKPALTVTYAQLHSHISAFQEKLA KLGVGHGAAVNLALINSYEFIVGFLAASWQRAIAAPLNPAYKQDEFEFYIDDLSSTLV LIPRESYAQNGPAVLAGRKYQAAIAECYWNGTEVVLDVKELGKLKGKGDIGVQTAQPD DIALVLHTSGTTGRPKAVPLTHKNLTTTMRNIQATYKLTPQDRTYLVMPLFHVHGLLA AFLAPLASGGSVIVPTKFSAHQFWSDFIEYKANWYSAVPTIHQILLKSPLPNPIPQIR FIRSCSSPLSPKTFQDLEKTLNAPVLEAYAMTEAAHQMTSNPLPPAKRQPGSVGIGQG VEIKILDQSGNEVPQGHEAEICVRGENVTKGYLNNPAANKSSFTKDGFFRTGDQGKKD PDGYVIITGRIKELINKGGEKISPIELDNTLLQNPNVGEAVCFAIPDPGHYGEDIGAA VVLKSGQNATEDELKSWVQEKLAKFKTPKQQIPKTATGKIQRRKVAEAMLKPKAKL ANIA_04396 MSNEFLCILPDKPDAQAKRLEVRSKHLEGVKPLVEAGKVVVGGA MLNAHPSEGETPSFKGSMLIVLGEKEEDAWEVIRNDIYTKSGVWDLNAAQVIPFKSAV RVGA ANIA_04395 MLLPKGGVTWKSARARLPPWRAILVLVTRTRFLISLAITGLIVL LWRGISSSASEMQNFYCYGPPKAPMDMSLNEITEWTAHAQTPVLFNYHEPYEVNSSSI KNVDLNPIKSTNQAVQNRERVLILTPLRDASFHLNRYFDLIYKLTYPHELIDLAFLVG DTKDDTLATLASELQRIQDQGDKVAFRSATIIQKDFGTDFEMNVEDRHSFAAQGPRRK AIGRARNYLLYSALKPDHSWVYWRDVDIVDSPDTILEDFIAHDKDILVPNIWFHRYKD GVDIEGRFDYNSWIESDKGRRLRATLDPDTILAEGYKEYDTGREYLVGMGDWRNDKDK EVELDGIGGVNIVVKADVHRSGINFPAYAFENQAETEGFARMAKRAGYQVIGLPNYVV WHIDTDEKPGNLGDRKAY ANIA_04394 MAPMADHISQRYTLPGVRAISSEPSPVDMTMGRSLPPLPQNMPQ RDVFNPITNRNFLPSPPSTHEAFSAKPVLPPAVSPPSPAYSADSWSDSPESQISFRSL PDPSVASIDEIMSLLFPPPEQRTRKRPLQQCGRKRKLSAAGFEDVLREKHRVAEADRR KNLSILVQGLDDRLHDFFLELAGWKSSKNSMQSKEHIIKAAIILIDYQKLIIRELFRG GNKLPCDLQGRMQCQRLVASLQQQNEQQKQEILDLRERNRALEEKVRALEHQLNASGH MFCSPKVEQSSLQQLAPVPDSKPKLMLPSLQGFDRVVDLSPDSARFNTSPAKTSQSYY GQSFLSRTPPSTGPSSPVFHQDACSAASRPLSFIQSP ANIA_04393 MDNSRTYYELINSISSPADLQNEKHVRTSHIRAEAGGAEPRTII VVDSDVEIATVTKAYPSTWVVTTRQEIPEIQQAELMGDGTDLWRLREQWFEYAQSTAY VFIYRDVIPMICAIICWFGACHLGSGSGGGGPYALADITCHL ANIA_04392 MTIAPVTAYPVSPSSASRPRIPNSTRSIGATLCRVDIDVNLWTG KTCFSNTGFSAVHDAHACVRAGALYCDGSFPCVWLLGGVESTYWESSQEQWKAGTIPR FAGDAICHTLMGFAARGEVQWAIELRGQDRVIFLGACCSLIHHCELEESWELNPENMN EWLDRMVGCDRLV ANIA_04391 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSY ASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKL SIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALN QLIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEA HNASFQAIPGPCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_04390 MAFVKYALPALAAANAVFAANCGSGKTITISSQSDLDGYNTCES LDGDVELSDRLTGTVTINGVQRIKGALTSTGGANITSLSAPSLETIDDTFKLEGATSL TSLDFPQLTSVGEIDFQAVPVLQSLGFTKGVTKAGSVSIVNTGLTSLDGISLNSVGSF VVTDNTQLETVDVNNLKNATNLINFAGNYDELEISLPNLATGTNLTIRNVSSVSLPSL TKLEGQLGFWGNSFSSLSAPNLTESGDLVFEDNANLSNLSLPVLETVNGGFLITRNDK LSTINFPKLATVTGAIDFSGAFDKATLPSLKNVRGGFNMQSTGNFSCSTFNSWKDNTI HGTYKCEAETSDPTTADGTSGSSTTTSGDGSSPTDDAAVLTAANVPVMGVAALFGLLV QYVL ANIA_04389 MALRRSTRLSALIPLKSTAQAATSASQRSNGITKTRKLSTKEKK KKTWTTENLNSHAQSVIDSDPNPTEQTPTTVPPLPPSSAVDSNTHNSNYWDSTPISNS RLHTPPPLDRPVEPHRTNATLLTPHGSSLVAYPPGATGSDISPSKPGLPRPTATTGTL LEKATAHLIATDPRLEPLIKAHHCSLFSPEGLAEKIDPFRSLVGTIIGQQVSGAAARS IREKFVALLWGLNHTYENGDEVQRDREDENEGYFPTPEEIVRVDIPTLRTAGLSQRKA EYIHGLAEKFASGELSATMLLNASDEELLEKLTAVRGLGRWSVEMFACFTLKRTDVFS TGDLGVQRGCAAFMGKDFKYMSEKEMLDLAAKFAPYRSLFMWYMWRVEEVDVTVLSG ANIA_04388 MSAPSLTSYIVKRPWLKRWMMPIANWYTDAAGYRKLGLKADDLI PEESDTVQTALKRLPPKEAYDRIFRIRRAFQCSVSHTLLPPEEHIKPNEDVEYLSPII REIEKENAERADLDNLVVNRKK ANIA_04387 MFSEEQKTSSASSDSNKQQMSNSDSPASPTVHMPPAVTSSRSSA FVTRIELFAAGVPDWGMLGTGKVIWREDGIRGLYQGLGPMLLGYLPTWAVYLAVYDRS REYYYETTGSWWLSRGYASVTAGACSTIVTNPIWVIKTRLMSQSLRSTTEGFRAPWQY SGTWDAARKMYKNEGILSFYSGLTPALLGLAHVAIQFPLYEYLKMAFTGYSIGEHPDT GSSHWVGISCATFLSKICASTVTYPHEVLRTRLQTQQRTPPSPSPEEIAFRGGLGGMD RGRGAGASSSDGMPNRPRYSGIIRTCQTILHEEGWRAFYSGIGTNLFRAVPAAMTTML TYEYLRKLIGHMKHEGEMKLRLEEEKNSTGAI ANIA_11436 MSQGLAVFPWSRSGEETLPFWLNASHLERATNPRNRDICLVCFP EYEVPGPWSFRSLADHISFHEGRNLKLGM ANIA_04386 MSGMRVALRTPLRALGDPASVLQYTASPYAPRELATWNSYKPPR YWLFSSLRFNKATFPQHNFRTPFSTKTLYRFETQQRAGGQGRQSQNSQSRNKWIGYGI IGGTIVVGGVVVSDDIRHIYHAAARTGRVVGTLAVCINDYRVTLKQETCTPEERNEAI RACHKRCADRTLHVLERNGSIFIKLGQHLSSMGYLLPLEWTTTFIPLQDKCPVSSVES IEQMFVKDTGKRIDELFTTFEPTPIGAASLAQVHIGTLKETGEKVAVKVQHPALAEWA PLDLALTRFTFSMLKRFFPEYDLEWLSKEMDLSLPQELDFRMEAQNAKRASEYFKKNS NAPLVIPKVLWAQKRILVMEFISGSRPDDLEYLDKNHIDRDEVSAALAHIFNEMIFGD NAPLHCDPHGGNIAIRKNPNRSRHNFDIILYDHGLYRDIPRETRRNYAKLWLSVIEAD EARMREYSRKVAGVTDEQFPLFASAITGRDYTVLTKKNITSLRTEAEKESITGALGEG LLQQLVELLGQVPRIMLLILKTNDLTRSLDENLHTRQGPVRTFLILARYATRTVFEEQ MDTINESGGLLRPSNFWQFLCAWTKFLRVELKLSVYETVLLLRSRLGLL ANIA_04385 MVSRSNEGPEAPHPASRTPGAPAKGRLTRLGSSPSKRDDKAKDD RMGKTSAKDVAELKDYIKLADLPKSELRVIMLEIDLLKNLDHPNIVKYQGFVKSAETL NIILEYCENGSLHSIAKNFGRFPETLVGVYMSQVLHGLLYLHDQGVIHRDIKGANILT TKEGLVKLADFGVASRTTGLSESSVVGTPYWMAPEVIELSGATTASDIWSLGCTVIEL LEGKPPYYNLQPMPALFRIVNDDHPPLPQGASPAVKDFLMQCFQKDPNLRVSARKLLK HPWIVNARRSDSVVPKKSTEYEEAVKSVQEWNEALRSPESNALRRGTRNENQNPPSLR LDTRHTPTKVTLPSPVSRIVADKFSSPSSGEEDNWDDDFATAISPSALQLPHLRPHDN FGGMLSSEKLKAFASLDGTVLKSDESFEESDDPFKGSLLAGEHDPLKTIRPPPSQQAN SGTSQSQNGPYGAHMRRGPPLNTAITPVYGGQMLQNSSSPIRQQRPPLFYKENSVEDY SDLISANEDVLDRKISAFQESDEHKDLADTGRSREVIRYQSSYEQEDTPRIGRQISVK RYRGTVEIQQFAENEHDEDFSDILGVDGVTLDKAESDDGSNKSTLMLNTKLSNNSWLG DLDDEDDPFALLEEGLDETDLEANIARDKHARLRSQVEGLVGSLKTSQDEEVLGDISE QLLAVFCDFPETKNIIISAHGMLPILEILDLCRRRDITLCLLKIVNAIIYDDYEIQEN LCFVGGIPIINEFAAKKYPREIRLEAAAFVQQMYQTSTLTLQMFVSAGGLNVLVEFLE DDYEDERDLVLVGVNGIWSVFELQGSTPKNDFCRILSRSSVLDPLSLVLSRVLDEEGE LAEVVEGRIANIFFIFSQAENHVKEMVSERTVLHSTDDGLGVLKELKRMTPAHQITML KFIKNLSMLSTTLDSLQNSNAIDVLTDLLRSTIKRPHFREVSNQILNTIYNMCRLNKS RQEDAALNGIVPLLQKIVKTERPLKEFALPILCDMAHSGKVGRRELWRNKGLAFYISL LSDPYWQVTALDAIFIWLQEETAKVEEHLLENRYDQPSFTDAIVRCLTLSKANAFENI LEPLQKLLRLSPPIASTLARPDLFSRLGQKLHHSKAAVRLNLLRIISSICDSSEQQGG LLASYGLLDSIRELEHDPAILVRDMAGKLIQSSERNDSYGLCKLKPNVRRGSTSATSP GLLANQSAPVTPQLSRQNQSKGYYDSRETQRRPRSAISGSALALRPGSRDGPTPGIVG GANGSAGASRNRIARGVSNRLSHIELLPNDDDRIPSSITRRSSVLPRRRRLTQFEAER GS ANIA_04384 MIELGLSRISRLVQQTPLSWKAIHIAGTNGKGSISAYLSHLLAS AGVRCGRFTSPHLIDRWDCITIDERVVQESLFRQIEARVKLRDQTLGIGASEFELLAA TAFEIFNHEQVEIGVIEVGMGGRLDATNILNNVLVSVIAKIGLDHQAFLGNTIEEIAR EKAGILKPGVKCVVDGTNCSEAIVAIKDRIAELGLEATIVHPDTPDPQLPSLLDLFRR NDLLTHQRANMSCAAAAVKSVLPQVRPALEPDSLIPQLASVEWPGRLQKLALQPLTGR IEPVLLDGAHNTQSAEVLGEYVERKLRLKGENVTWVIAASHGKDIRPLFHSIIKPGDS VAATSFGPVDGMPWVKAADPNELCACVQDIPSIGEVQSFGKDIPGALDWACTKADGGP LVIAGSLYLVSDVLRLVREANDS ANIA_04383 MGIPMYHEPSSAEATKNNSVKDPCAAARSAIRRQATVRRPSRYG GSAWRGGTLRSPFPPPIIDEVEREASGLPRHSHSPASMPTRSSDPFDLNSSLADTSRR LRMIDDALRHRPSHRLRIPRTSTLSDLNSRSAADANARLESQDHLPLTPRFAPAVAYH RSSTPLVGSDFLRRSPHDGLGDEAPAGSFIPLLRRIGQRSINDTSLTGRGPFIDGLGD RQRSVDLDDDHANDAWETLLTTITPDTNLPSADSSFTSASASGSTGSHNETLRSSATS LESVLNPVPSTVPTFQMTLNPYQESTIPCDYPSSTDSDTESDGEITQQSLFRRYRRRM REVESLRRSQNRQSVINNASSIPTISLAFSDSSADQDLQNMQAILDRLARREYVPDEW WAAAGLSRTIDQRTRAGDDSDSTPGPEGPTRHR ANIA_04382 MESQVARLVDKIWEKFRTTPENARLLIAVSGIPGSGKTELAITM ARRINEKHGAQNGDLIAAAIPMDGYHLTRAQLAQMPDPEYAAARRGAAFTFDGEKFLA LVRALREPLTPKTQTLHAPSFDHAVKDPVDNDIPIAAARRVIFFEGNYLSLNKEPWSS AAKLMDELWFVDVDFDTARQRLVKRHVKAGIAKDEADAEKRADENDLVNGREIVDCRL DVQEIIRSSYDPKWED ANIA_04381 MLFAKSTVFIALFALGKVVSAAGTSTPACLLTVVGPENPGNLKA ICKTNGDEIQTSIRDVCGDDAKDALNYYAGVCKDAGYEVDISSSSTTTSSQTSTKTSD TESSTSGSATSTDGASSTSGSDSDSDSNSGSASASTTDSADTATPTNGGSTDKQVSAA AFAAVVFLGFAATL ANIA_04380 MGFDRVATCCTNSANVLLITDGRKERVQFDKITARVSRLCYGLD PEHVDAAAITQKVISGVYQGVTTVELDNLAAETAAYMTVTHPDYAILAARIAVSNLHK QTKKQFSLVISDLYHYVNPKNKKPAPMISKETYEIVMKHAEELNSAIVYDRDFNYNFF GFKTLERSYLLRLDGKIAERPQHLLMRVAVGIHGNDIERAIETYNLMSQKYFTHASPT LFNAGTPQPQLASCFLVDMKEDSIDGIYDTLKTCAMISKTAGGIGLNVHRIRATGSYI AGTNGSSNGIVPMLRVFNNTARYVDQGGNKRPGAFAIYLEPWHADVFEFLDLRKNHGK EEVRARDLFYALWTPDLFMKRVEANGDWTLFCPNEAPGLADVYGDEFDALYEQYEKEG RGRRTIKAQKLWYAILEAQTETGNPFMLYKDACNKKSNQKNLGTIRSSNLCTEIIEYT APDEVAVCNLASLALPTFVDASRGEYDFGKLHEVVQVLVRNLNKIIDINYYPVPEAKK SNFRHRPIALGVNGLADAFLALRLPFDSAEAKQLNIQIFETIYHAALTASSNLAKEDG PYESYEGSPVSQGILQYDMWERTPTDLWDWDALKAKIAQTGVRNSLLVAPMPTASTSQ ILGFNECFEPYTSNIYSRRVLAGEFQVVNPWLLKDLVDLGLWSDNMKNRIIAEGGSVQ NIPNIPDDIKALYKTVWEISQRRILEMAADRGAYIDQSQSLNIHLKEPTMGKITSMHF AGWKMGLKTGMYYLRTMAASAPIQFTVDQEQLKVADTNVARTSKKRAGGIATTAYSAV PRAPAGQVSGTSQNATNGISREADSSGEVDTSDEEKKEGEPSEADIYSQKVLQCSIEN KEACIMCQG ANIA_04379 MAPLAKSLAIAVTAFAALGAAAPGHAHKHHHKRGEEVTVWKTVT ETVWTTIDVTTTITPGQEAPPSTIVQSTVEEATAPAEAAPEPETTTSTSTSTSAAEPT STAEPVAPTADANVQAAAQPTTTTVSEAPVPTTTTQAPAPIIAVETSSEAPEPVATSS ASSGSSSGSSSGSSGSSGPCSADSPCVGQITFYDTATSASAPSSCGTTNDGSSENVIA LPVGIMTDGDCGRTVTIKYGGKTATGTVVDKCMGCDNTSIDLSRHFFGELASFDAGRV SGVEWWLD ANIA_04378 MEDRRPEVLVVSIVFFSLATIFVALRFVSRIWVVRRLALHDYLM LLAWLIDLGFSTALFYATKKGLGLHDVDIPVTARSALSSANYAFTVLYSSILVFYLTL TQGEKIFRYANYATLFVVNAAGLALTFVNIFQCRPVEDAFAAQLPADAHCTDILTLYL SSSPVNIITDLAILVLPNPILTRMRLPQKQKIILVVTFSFGFFVAVVDVIRIAYLQEA TTDREIALRQIHMQNYGGEDFAWYASLSFMWSVVEVNVSVMCACVPSLKPLVARLVPK LIRDSSGGTQTNPSDPPLPPSGPLQMQVADAIFSDSLDPRLTEIATGPTMATTYTDPE ANTTTHTSTSDPRSMTFFDFVNMKKPANMLKLSNKESIAPNAITTVLFFLWGFAYGFL DILNEQFQQIVRLDSWRSLGLHCVYFGGYLVAPPLVGRPVLKHWGFKSTFITGLCFYA CGSLIFWPSAVLTSYSAFLVSNFITGCGLAILETAANPFISLCGPLENSEVRLNISQG VQAVGSVLSPLLAKKVLFRSVTDVSSLVDVQWTYLAIALFDVLLALAFYYLPIPEASD EDLEELANRRRDDNRTAPFGIPVVWMTLALGIWSQFFYVAGQEVFSTGFGALIATAYA DPPLSEFTYLTIGRAIFAVGRFLAAFLQWFLKPRWILLLSYIGMVVFAALCMHTTGAA AIASAMMVFLFESGTFSIIFAIALRGTGRHTKTAASLLTIAISGGAFLPFARYGAQLA ANSTLDSYSVLVALFAAGALFPVYLNLVPAAKKQVDPVPNEHLRHTRRRSRRQGRAQA ANVLPSEKANPSEGGVLSRQRSVLDDADPLPSVDFSSPNSVASRSRSDSAESDSGADA DSGADADVVDFQCTRTKAVSSTSDEMSRT ANIA_04377 MGRVQIPTVLTALLKHSSIHDKPSPGADVASVKKNAKPRAKCYT LMTDIRVIQDAMLSINSGTTVKTLLEVIHTFCAIADWIQAIIAWHNSQLDADQPAGMM GSPDAMLLFEAVGILLSVLAGTGKGIEVLSAGPHEATKIRIGQALSAYLPLCADMYPP LHNRLDGLQKQFNLYGQPPSKLDVTMMDNVNVNALQFEASVMDGPTIHSRAGLYIYIN AMLVGRPLVDDSILLNYLYNRYAEHYDVLIEEIITASFDVLSNAMYRNESARTMLLFR SFLVNKLPPFFAAMLAVPMVTFPMEECISHALSRIDPNIFPSFSQMFSMQGNAALSDV RQEFLFSCASHKLIPESSIERLLGENPMQTLPVGGSYMKDELKAQISANHERAEQLIS DIESTEGNAGAIVGAITEVMHDLCMQKETMTLKNICNSLSRRPQSLDVMLLFRSPRQI LQPLCALLDSWHWDEDQGESQPVYDEFGSILLLVLTFKYRYDLRPWDLGIASNDSFII KLIEQGSSSQKLDELTEKQNKNLGEWIAALFIAEGISEETMSACSPQEFYMLVSTLFS QSLGACEAGKLEFDTLKGGFEYLLEPFLLPSLVVALTWLGNHIWESEADPTIPLRTLQ SLVSPSSISGEAREIHLTVLNITSRSLEEQLKDVRARHPTRTDIKPILDALDPCLSFQ RTASCHKSELEPWTTHNPGGLLGSIRATFQSLILWSTSPDVSMAPPSYTHRQVITAIR TLGASRVLAALLDELKFQTESNPGTAGIALDIAASIVSAPLSESFAFDQFQTHHDHST SKESEQAPHYPIFNLRDALNTQHELVPKLSEKDLLRAEIIVRLYRRVNTLLAPPAQVA PNLDMNMGMNVNVNMNMNNIIGDMHLDSSGDGASNQNMNMNMETDTRTQAQEQGHNNE QANLNQIMDNAAAAVGMDMDMNMGGGGDGMGVGVGVGELGLGEVDQSIDDVLNAAEMN PEFLDLDMEGMFQ ANIA_04376 MSNLPVEPEFEQAYKELASTLENSTLFEQHPEYRRALQVVSVPE RVIQFRVVWENDKGEVQINRGYRVQFNSALGPYKGGLRFHPSVNLSILKFLGFEQIFK NALTGLNMGGGKGGSDFDPKGKSDSEIRRFCTAFMTELCKHIGADTDVPAGDIGVTGR EVGFLFGQYRRIRNQWEGVLTGKGGSWGGSLIRPEATGYGVVYYVEHMIKHVTGGKES FAGKRVAISGSGNVAQYAALKVIELGGSVVSLSDSKGSLIVKDESASFTPEEIALIAD LKVARKQLSELATSSAFAGKFTYIPDARPWTNIPGKFEVALPSATQNEVSGEEAEHLI KSGVRYIAEGSNMGCTQAAIDIFEAHRNANPGDAIWYAPGKAANAGGVAVSGLEMAQN SARLSWTSEEVDARLKGIMEDCFKNGLETAQKFATPAKGVLPSLVTGSNIAGFTKVAE AMKDQGDWW ANIA_04375 MLRRILPDSFYPPTHSKKQAARATGPPSASPDPDTTAMLGLSEL PQNANGENFDILEWYPHYQNCQRYFLDHAQHSSPVQAVSAYVNILLPFQRQPYPVFSA TARTPSSTDAELTSGSSSTSNTPPPVSLLPYVRRLVATGMDYPGMLHGFFGDDWQSGI GPLHEKERCNYLLAARSGGWAVVKSEYDISPHETIPFLRPLQGPLEEEVVTAYRSWSE WLLLRDWEIGPRAPDGLQDSSSQSSPPPSAQE ANIA_04374 MTHWRVLAAVAAMNWGGFFIYDIPASLSTPLSKHLSLSDHQFAF LVSVLYTVYAIPNTVLPFLTGPAVQRFGERAVLLTITSSIILGQLLFAVAVHTRLELG MIAGRVLIGIGGEVVGAGRLGSVANTAIIPRLIELYDVTSATWIATALSLGGVTLGAS YLLSITKRSYDYSQVGDENNPKFIVPLSFRQYPSSYWLLALICFLSYGCLNTFTNSAQ RFLATRYYHGDQRAAGSALSNIFLLSAHAIFLTGVSTSPTLPLCLLGTADALFSVSFW ASVVRSLLPLSLPTETHPQNTPLLKTEDGRTEQVYVSNTVSDNSESAREGFADERRAG GPAVRRSDAVRTLGLGIMSSMLNTSTAVIPVALAVMENLAGLLGLEAVFLTLALAGFL ATVRLAWI ANIA_04373 MQETILITGATSSLATRIVHLLLTKYSSSPQDPSLDENGHEYTL LLTSQNPSKIKLKLPKLTSNTNISIRIRKLDLSNLSSVHDFATAISTDVQSGKIPRLR SIICNASYWNLRGDGELTDDGHEGTFQVNYIAQTALVLRLLGAFNPGSGGSIVLLTCD IHRHWPLRSVLGRARGLEKYPPGIPADLNKLVEVESQSHPQSEPQSPEQILEELQEKP KIRKNEKVEYMPRGIQRYTNSKMALIMWMYALNRRLEADLALNTITAISVNPGTLLNS RALRANTPFRLKFLSLFLMTTVRPSLSLLCRLPFVGRKFKAKKTMRSCAEAASGVVDF AFGIKPDSNNASSGDMDTGADEDGDVNDDSDSEGGYYTLTLPTRDVDLPDTDCLNEER QEALWRKTLQWAGISNVNTAIQVEI ANIA_04372 MHFLQNSLIAAAMGAALVAAAPAADLDARSSCTFTSASAAKSGA SKCSTVTLKSIQVPAGETLDLTGLKSGATVRETTFGYKEWKGPLISMSGDKITVKQAS GAKINCDGARWWDTKGSNGGKTKPKFFSAHKLNNSKIQGLKIYNTPVQGFSIQSDHLT ISDVTIDNSAGTSKGHNTDAFDIGSSTYITIDGATVYNQDDCIAINSGEHITFTNGYC SGGHGLSIGSVGGRSDNTVKSVTISNSKVVDSQNGVRIKTVYKATGSVTDVTFQDIEL SGITKYGLIVEQDYENGSPTGTPTNGVEVEDITFKKITGSVDSSATRVNILCGSGSCK DWTWSGVDITGGKKSSKCKNVPSGASC ANIA_04371 MDNINSQPHLQDNPISTANIKQGELRSRTRSAQTYMRRSDFHEQ GKSNSSSSSHSSFTLSGCETVGIVGSGMAGLVVAFLLANDSQKRYDVEVLEVQDVLSL DSASFELPRFAEGSVSAAAQAPGPNDSGFNSTSVSGAVKDEIVKDQKEGEDEVEGRRV DLPMRAFAAGYYDNLLKMYKFLGVVFASPKFVYSISFSRNNKNCPSKTERKDEKRGAY FIHSSNNHILPPIRPAGVGATKYVFELLYLLFWYLWFTFACFWIPPKTTMPLTKKGKG KGGIESSARGAKDNHLTPHAHDPTSAGSSETLRAYLSRIGIPSYYTTWFFLPLMSSIT TCTHEELLNFPASDIIGYARQTYRKPHYTLTRGVKQAEMRLSSGLNVKYNHRATKVET LGSGRVRVHFVANAGTNNQRVGMNEYDRVIIATTPDVVGRIFSPLSLAMKAIPTTEVR TVVHRDYSGISKVSAHLRDCGRLQKRGIRSFEPAKPIASSNGSVSASGSSTPVLTAMH MVTETDASGTSRTESVHEHPANVLVTTYALENSISEEQVLHSVRFTRVLRTPASRDIV NSLFSRSKSPVTTQCEEKEEWKGAVSRKGWKNGDGNVYLVGGWCWDGMVLLEGCVVSA MRVARELGVEVPWDGSGSASARGYGFQL ANIA_04370 MEVDISPPGGTRPATPLLGENSDPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHTPQAPSICEQVGMVADDQLALLHDWKLAMTSLAKALDLTVSS LQGRPRDLARELAARFVTLAKQDSPQQISQMPVVAPPQPPRQMEQPNHPPTPEASKSP LNRQTSQPTTWASLTAPRTGQGNWQTIAPEHHMQAKQTAQRRLKQSNKTDHRIFLRLP ASSSLRAIGPHGIRVTLAGKVPDGITQVQVISTGYAITTTEQGKAFLLSEKAASLAGD GYFEIPTEYHQTLAWKQSALLALSLSWPSSQNTQ ANIA_04369 MDQMKAHIAPLKIEKGNNFRPIINRFYSNESQITPPTTPHTTTD DSEMDRSESNRTVFHNYLRAFYPFHPTGDVSPSTVTLPLDQGDIILVHSVHTNGWADG TLLDTGDRGWLPTNYCEAYDQAPMRPLLKALTDFWDIIRGGCGSPLIDFGNQDLVRGL IAGVRFLLEKSDCLTRDSSLVKSHEGLRRNRKALLSDLSSLVRTTKKFQEISSGEPMQ DEVEYMVDQMLLKAFRIVTRGVRFLDVWNEEVGLSRAIAELEQQQVQDIPPTPTSETF ASAEDAASEVGTERNDSRMLNRSRLESRLSSRLESRLENRLESRLESRLDMSRASNRM DALDSQSSRPLSVSTKRISVSHRVSYSGPAAATARNQNLASERLTATYDAFLGVLGSF IGLHLQSRSSTELVITTEQAVRSCRALLTVIEAVCEHDAQGSTPLEQAKDTMYERLSE LVFAARDAFRPANSPDDELVFMPDEGKRLVDAATDCVRAAGNCMAKARLVLEQSGDFE LVANITEEAEDITPSALPEEKSTPQAQRTADVAEQRPQEMARLPPPPLQIPQCNNGSS LSTPGLTDDMTPASFQSRNEAITPATTEQPSSFQSSALSPSFDKLSFPSSPQDASFDD SLRRDSHAKSEVSDSFSRGVTSNASSFTYNNLRDSEMSGVSQTSTRATSPDIGMAPSL KNSVSYSTLAEENEETEANVLEKTYAHELIFKDGNVMGGSLRALVEKLTAHQSTPDAM FVSTFYLTFRLFASPMEFAEALVDRFNYIGETPRAASPVRLRVYNVFKGWLESHWRHD CDNVALDFIIEFSNTLLMQHLPNAAHRLLELTDKVTKLQGPVVPRLVSSMGKTNTAAA QYIHPDTPLPPPLLKKKELDLLSKWKNGEATISILDFDPLELARQFTIKESRIFCAIL PEELLASEWMKKTGSLAVNVRGMSTLSTDLANLVADSILQLEEPKKRAAIIKHWVKIA NKCLELNNYDSLMAIICSLNSSMISRLRRTWEVVSQKTKATLEYLRKIVDVGRNYAVL RQRLQNHVPPCLPFVGTYLTDLTFVDQGNQALRSLPTDDGEMAVINFDKHVKTAKIIS ELQRFQIPYRLTEVPELQAWMQNELVRVRSNGEMSMQTFYRRSLVLEPREVQPPRTTL QSESSSSSLLENAKDKFDFLSWATTSKTKSVTSNA ANIA_04368 MTYQASRIPSAEPETRLSGRARSGVDDGVWTVPLRMWDWTRALW PILACLSASDRENVTIKRILLPLGRLTAVVDMEQSNNGSGGRAPECNRPWAEQLTPIL GPLRYRVHLYYPGLRADHLLLCPCKATRVSNPANHFGSVKYNNIKPWPACHGASFTVR RIQLRKSECLPCIVKVAGSSFDISFTTQGRSREANALHASA ANIA_04367 MDRSRTWYEEEFPLHSEEPLDTEDRVPSQRDPLFHSSDEPVCYS PRPLSPLPASAPGPDSDVLATSFTPPGHRLSHSHTHSRAQSQRQLFPGMSYPNPNSEA GSGGGRGLRRWPTRRVDLIKGRVLSVDYPVPSAILNSVEPQYRDSASSSEEFTHLRYT AATCDPDDFTLRNGYNLRASMYNRYTELLIAITYYNEDKVLTARTLHGVMQNIRDIVN LKKTQFWNKGGPAWQKIVVCLVFDGISPCDKETLDVLATVGIYQDGIMKHDIDGKETT AHIFEYTTQLSITPSLQLVRPQRDDPTNLPPVQMIFCLKQKNSKKINSHRWLFNAFGR ILNPEVCVLIDAGTKPGHKSLLALWEAFYNNKNLGGACGEIHALLGPRWEKLANPLVA AQNFEYKISNILDKPLESMFGYVSVLPGAFSAYRYRAIMGRPLEQYFHGDHTLSKRLG KKGIEGMNIFKKNMFLAEDRILCFELVAKAGQKWTLSYVKASKGETDVPEGTYRPFRA NKILIMLCTAPPEFLSQRRRWLNGSFAASLYSVMHFARIYKSGHNMVRLFFLHVQLLY NVCQLIMTWFSLASYWLTTSVIMDIVGTPSATNKYKGWPFGNEVSPIFNNVVKVLYLV FLMHQFFLALGNRPKGSQFAYILSFLYFSLVQIYILILSFYLVAQAFSGGNIDLDFDH GVGGFFASFFTSTTGLVLVALASTYGTYFIGSILYCDPWHLITSSWAYFIGMPSTINI LNVYAFCNWHDVSWGTKGSDNAAASLPSAQTKKAPGGGDGDAKGTFVEELDKPQADID TEFEFTVRRALAEYKEPEPDKTVSLDDSYKTFRTNLVLTWALSNSLLALLINNAGVST LCLTTTSTVRTAWYFKCLLWATSGLSIFRFAGALYFLAKTGVLCCFVRR ANIA_04366 MLCQVGRFIGSSSSRMDVKIRLFREPPIYTAANEVSGHVILTTA TQVVISAVSIWLSRVSTSRLHSLRVVETHQRQLPQATQCSMSAGTSPASQQRDSTSTG GKTHLLRKLPPSTGASSSPEEIKYILEASIRRNGIIRGCKKTARDICIYPVPTLASSL NNSQAIQTETQRIACRSSAGPKRVWAPTAYEVTAKLLNGPFLVLGRPVPLAVELTGLD SSTDTAPRSRGSVSIPVSLHEFQTMLIETTEVQARGIEETQTQFRIVQTMANLRHPLS SRSDVASNNVNGDTAPVFRSELPAPSGLSYPIVANPVL ANIA_04365 MINDHPIQPLPQDVAAKIRSSTLITHLNGVVLELVKNALDANAQ CIFVTVDYRRGGCVVEDDGEGIAPAEFEPGGGLGKAHHTSRFGTSSSYGRKGCFLASL SALSLLTITSHHYRHASTNTVMFHHAHPIARLFPAPRHHRLKFSDHGTRVTANDLFGN MPVRVKSRALALQKPDQLDHQWDDLKQLLVALMISNDRLQKLSVSETDKERKFTIRPR HDPLAGDLDLTRLSSILSQAGLVDAARMQKWHYVSAAVPQLTIHAALSLVPSPSKKVQ FISLGAEPVFSQSQANILYSEANRLFSHSDFGTTGNVPSSHGSGIEERPRSPAVNKWP MFYIQIGSVGTIGSDEELPESDKSLQRILDIIGLMINEFLKEHKLRPRGGRTQRQAFE EEASVCSQAVGKHYSNSSSELSERQFKLPSSSRPGPGGHFGAWSRVKRSSSHINGSTE VKSVSPCLPTAENTTSASLAVQEHLLLESVADDNLTPRTREMSVDSALQDHDRIPWID SYTGAQDSINSLADSYVSVRPSAALALAKRPKTTGFLLAPVLDMHKRPASAIPELRDT WLGNDNRKRPIFGRSERQINALEPNSECPHAESHNFHGYRGLDNLGLSKYSGKLHKCD LQTARVIGQVDQKFILVEIPDANASTLVLIDQHAADERCRIERLYSGFFNGSEVQTIE VEPIVIAIPPVETSLFRQQAEFFQSWGIEYMIGHASESGKASISVSALPTLIAERCRA EPEQLIGILRAEIWKRTEERPQTFNAKGTDSAEDWVRQIAGCPQGIRDMLNSRACRTA IMFNDVLSVDECRTLVSRLASCVFPFQCAHGRPSMVPLVEYPGTDEGRGSTDEREADS GFTEAFRRWQSTCQ ANIA_04364 MATTDGPAGAHDRNGRRRPFASWMKRLASLKGSNDSSTIRWSNK RHGASKTKNRGIKNNPYPLSGHPQVLQQSTSDRASSHISEDPARPRSRSQSEPSLTYS GYETNIPATSAKSAAPTISTNGETAISEAAYSKAGTTVTVGGGVSTNGGGEGSTFSSP APSVRSLTTTLTTVQSAAPSNIYNTQNHTHYHHHGHSTSGTQQVQFSHQFPPSPATAV PPHLAPHGHSVTYSVATANNILTDNASILTLASSSKRRRRNSLDTNASVRALAPSSVF GGSRESLQLSVLSGVGEASNTSALNAPGVLSRPSLVGLASAERASVYSSSGVTPSGER GSLYAKQSTATGDGASIRSGAHSHARQDSNAASISGVGPQPTQSGRISRRSSGWGEIT GEEDESDDEKNGS ANIA_04363 MQVRGFLFLAYSLTLVGPQAVARSAADNPDLFPRQHQQLSVAEI QRELNSILSPRSIIFGVDDARYVNATKPWNTVATPHIQLVIQPGEEADVSTIVSYCNE NNVPFLARDRAHGGASSLNAFTGIQIDLSPFSEITIDSSGTSARFGGGVYGGQVVSYL WDRGYVTPTGACDCVSVMGPGLGGGHGRLEGLYGMISDNILQLNVVLGNGTAITVNST SHPDLYWAMRGAGHNFGIVTSFESKIYLRGPETWHYHNYVWAGEQLEVLFRALNALHK NGFGSTPVNMAQNWGSFFMNETIDAQNPLIRWYFAYHGSAEAAAPLLAPFNAIPALFE ESGDLPYPEIAATQGLDSESVVCQHGYRIVSATAGLQVYNVTAERRIFESFRRRIGSN RALARGGVIEHDGYSTAGVEAFDPDDSAYPFRSDRHLMLINIGIALDNTDSELQREAW EWAGEVRDMWNEGQPGRKAHAYVNYANGFEPVEEKYGHEAWRLERLRVLKERYDPDNR IRFYNPIIQS ANIA_04362 MKLSILRRSKSTISASSVYGLDHAVLNIPVPPPTMWMNLGYWKP RPNKPDRQQDTTDFPTACAALLDQVLITASLLDENGDANPQPPEKKIRLLDVGIGCGD QSMRILGYKRGTGPGMHRCEAETAEQDGAGDAKDSTSPKKPLFDSYVGITSIPVQAQF AKQRVERLEKENRRAFSLSSSPSPSEKGEDKGLTPSNRAQVFCADAANPSSWSPELKA SLPPAPAQQIEENWLLALDTLYHFSPSRFPLLKYAHSTLQASLMAFDLLLPTPKPSLW TRLLLRILCLLTGTPYTNFLTEDEYTALLVEAGYDLSDISFRDISGDVFSGIAGYINS REEVLKGYGLGGDILKLRN ANIA_04361 MAEYNGRRAPNFSQYLDDLNAIPSPYDVAMQQQQQQDGFNIDND LSLFTNTEFFDFDLNLPPFEPVEENKHNVNQNSDMDFLDILGGEGFGNVNDYAPQMNS INNQSVPVQNAQFHAVPQSQVPGLPNVSAQVNQSVNSRATASPSQSSVAAPSPTNATS LAAPAPGPKRKHTQKTVPVSVEEAARIAADEDKRRRNTAASARFRVKKKMREQALEKT VKDTTEKNAALEARVTALELENQWLKNLITEKNGKSAEEGKKAENDISDMFKKFLAAQ KTEGERSNGNSKIGVGTV ANIA_04360 MPRPKSVRAPTTASLPSNLRIPSTTPSLVKQLGKLPRQSLLDLV FQWLDDRNVDNFPPFLEADEAKNPDDEGLRPYPAERTLNDVRNAYQELQFRKGGKREV IDRILDGDWRHGITLRQIAMIDLRYLDDHPASLRWTALELTRIGISSTEAEESNLADI SACIPRVHASNFLNKLQEQISPLVKAHFYLARSTTHPLTFLRIFVTHSPYQNPRQAPD NLVDSSRVMYVAFPDSCPYVYTSLASTTGSKPTPGAAIATDPRSLQRIVRDAVPKALS LPHQRYVFKSTSLTAKNLQALLSLRGPGRSNKASGAFSIFADAVLEGSPLDPRPSNTV LPEEHQKQTACSQLGHGKENKFTDTNNDNDSDKKTEALSSQPQILSRRTRDPETDQRT SKKRKLTVQSRFGTSGTTLSSAPLDRLDARLLDPPSGTQDAVEERTDSAGPTLSLTFT GTDVISGIRKLAELGIVDAERMPSWMTGEEGVSMAVVRNGRVVNDDG ANIA_04359 MARVASVRTTLSASSTRSSKRTQGYSTRISAKSTRKKKTSANPA SKVKNATETTSGYTTSRAAKRKSQKSEESTETTERRLRRFRHSPPVSYQQRLERAVTQ RMFVVGQTVSGTDVVPELNFDIVGSTGNIYKTTVGKVPTCNCPDARKGNQCKHICYVL VKVLKAPSHLQYQLAFLSSELREIYENSPLRNVKDKAEDHDTDGKRKPVEGDCPICFM EFEPDKEDIVWCRAACGNNIHKACFQKWAASSNNQGVRCVYCRSPWQNQDADGKVDVT LEQLVAQGRVGEDGYINVASQMGLSGERDSSTYYQRWVHRRSYYSGWRDREDYVDYY ANIA_04358 MARHRAHSGADAPKEPHSVSLKDKSSDDTTRVITSVRIVAEMQT PSQVSSLDLEPSDTNANDGLQKGQSLQKIVRFDLKEEGNHILAVSVSYTETMIGNDFQ AASGRVRTFRKLYQFVAQPCLSVRTKSSELAPLEVDNKSLGPYGKTRLLRFALEAQLE NVGDGAVVIKQTCLNPKAPFKAISLNWDLERPDQAETPPPILNPRDVLQVAFLVEQEE GQQEGLEALQKDLRRDGRAVLGQLSIEWRSSMGDKGFLTTGNLLTRKRA ANIA_04357 MDSKGPTNIPHFCHACQRTFVDANALRMHRRSSKAHTTERPVSP TKPIPGTRLMPKVRSISPTDRNGPNTESSSKPQCKLCNRAFKDENALSDHERSQSHKD KYKASHAVLIAGAGSKNPESTNETVQKKPRSRGKKKSSRSTVVSAAEAVNKREKPAFT SMPTSSSTAMVSLSTTMAAPSAQPGDGERKDELALHTIVLPQSKVPVPVPVPLSKSES LVQHNWKESWSGSWTSIPLAERESMLRLLETKCHSRKSLAKEHYWMRVPTQAEIEMTR KCDNCGVTKGQTDATGSSSASSKCRFHPTKKGFLSEVRGRGHGARKARCINCQHYGMS NGCIELLTHDFKQADIKFAQSRPSPAKNANARQAVVLDCEMVGVVGPNNSEVSEVVRL AAVDFLSGEVLVNTFVEPAADQRVINWRTRVSGVNKSLLSEMKKQGQTVQGWEAARDL LWMFVDKQTILIGHSLHNDLAVLGMVHQRIVDSAILTRDAVAGAKEDCARVRKLAKTF FELDIQTGKGHDCLEDTFAAREVVLWCLGNPEKLREWAAGEREVMALKKGRK ANIA_04356 MAQGSSVILALVPLVPGQYKLDARHSVVGNATNLTRDARMCGEE GAGSGETGTGGKTKMIAYSHQIPIPGKLRSLPDASIRMSSSIAVDKNPPRHPSSTATR PIEVTESPFPDWEYGQGVLDGVSRFNFHTEIDPYASTRETVSNYRFLISAITPRPIEF VSTVSASLAKYWEVVENLAPFSYFQLVDHDPPMLILGFSGRPGREKDTFRNLKETGDV ANIA_04355 MASSSDLTLAFIGCGNMGSAILSGILDASKVVSSPFSRILACTK TQSSAEKLDARFRDHGSPLTASFFADKNTAAAEEADVIILGCKPYLVDAMLAEEELAD ALAGKLVISIIAGKTIDVLSEGISQNQTENSPSKKAVIVRAIPNVAASVRESMTVIEL PTPSPSNDQKDLITWIFSQIGQTSFLSSDLFDCGTMLMASIATVSVAVDGILDGCVAE GMKRADASEMTAQCLLGLAKLLREGYHPAVLRESISSPRGCTIQGLLAVERGGVRTGT VQEPRARHKMLDLGIGKTRGFTVRGGRIKKRGAVIDPS ANIA_04354 MKLSLAVALPLALVAVAAPTPLKLKRDDGDSPSADVASKIAYGS LKSAAQMMDLYSVEEDDKDEAKARGNANTNVPDYGNNMPINMTPNTVATFPGADGKPA SGAETKGNQHSAPTGAEKEHAAASPSVSAAATPSSAGPSFTAGKPSAGEGEHVENKQE QGSTPAASPSPSASAPAAPKKQSMLENLPLVGGLGGIVGGLVGFGPLPVLTCLWACLF TCWLRSMGLSVLVRWPVS ANIA_04353 MGKKAASPAYVLGVGMTKFIKPRGKVDYNELGFEAGVKALLDAH INYDDVEQGVACYVYGDSTCGQRVFYQFGLTQIPIYNVNNNCSTGSTGLAMARTLVSH GAADCVMVVGFEKMSPGSLQSVYNDRANPTGLFGMMMAETRGVTNAPGAAQMFGNAGR EYMEKYGAKAEHFAEIARINHEHSKRNPYSQFQDEYTLEQVMKAPMIHEPLTKLQCCP TSDGAAAAIIVSQAFLDARPHLKDQAILIAGQQLATDNTTLYNRSSIDLMGFGMSRAA CRAAVAEAGVNIKNIKVCELHDCFSANEMITIDALELSEPGKAHEMVAKGDITYGGKM VINPSGGLISKGHPLGATGLAQCTELVWHLRGWANNRLVEGTDAALQHNLGLGGAVVV TVYKRADGKVAKPVSSADVAKINGLGYNPAVEAKGFTAEQAKKVLSKTASDAWALADT QEKVIARF ANIA_04352 MTDNKHSEHAPFVPPSQPSPAIMPSGNSWTMDESTRNRLLKKYK TQVASGTSTVCATLAVTPLENVKTRMQTVSDTSGGPKDPVALLLANGLGPFELAKNVV QTSVLVSNRAQASPNAVRDPSLRHKPRLGTIEAIRQIIQRYGFKGLYTGFGLHAMRDT VGSGLYFAVYETVKQVAARELGPDKSPFGAPMIAGAICSTVPWFCTYPLDTRKTRAQS VLLGKSKEVGEASAAVARSSMYKGLSIILIRTGVNNMILLSIFEYIKMRINELDR ANIA_04351 MASNILQIPFRRSHTVSLSTALTQYISTKYDQRPDMFADDLLII DRLRNEAINVQEPHVSGISRLVTYAAQLKWLGGKFPVDVGVEFPWYPAFGFNTSRPVS QDNIRFELANVIFNLAALYSQLAFAVNRTTTDGLKQACNYFCQAAGILAHLRTDIVPD MRSAPPEDMDEMTLRSLEELLLAQAQECFWQKAVMDGLKDASIARLAGQVSDFYGDAC DHAVKSNAISPEWIHHMTAKQHHFAAAAQYRQSLDCLEKRKYGEEVARLRDAVACVNE ALKESRWINRTVLGDLQGLKNRVTEDLKRAEKDNDMIYLNPVPPKSELKLIDRACMVA AKAPSQVTDAISMLGEKGPLGQPLFSKLVPYAVHIAASIYSDRRDRLVNERIIGELEN MTDKLRDLLSSLNLPGSLQALEKPLGLPPSLVAHAEEMRQQDGLNRLRKSLLDIAKVK SNDRAVYTEGVELLAAEKAEDDASRRKFGTDRWTREASEAAAPKLYTTAREIDGYFTS AQSSDNLVEQKLHDSEAVFRVLTGTNRDLEAFVPSSRRATIPPEVEREVSRLRSCISE VNRLESRRKRKAQAVKDKARADDISSALVREAARLEREFPMQAIQASQFEDLFESRLR DYDVDLDMVAQEMHDQDQIVAQVRDANRAFTRAHTGDASTKEREKALQELENGYLKYK EIISNIEVGRKFYNDLAKIVGRFRDDVKAFVHKRRMEASQLEQDISSVAAMASLNISP IRQPPQQTVVSAPVSVSAAASVPAPTHFNPVKPQPQPPSQAIPPQSQPQPQPQPLRTP LTAPQPTRSVPQVTPGMWSPEMGIRFGPGGTTAQQSQQTWDPSKGMKFS ANIA_10548 MSRPTVSIDRLTPRRVTAEPRESMNCKSCRKRKIKCNRLRPSCE ACKVFQCPCIYDAVPKKRGPKTDVLEALLKRVDGLEKRLQDDGTHPLSPASPVKPDLP LPSSTSISHPASHSHAAHSHPPPHPQSHPAAFPLAPPAAYAHGHPHGHSQGHGHPPPG RVPDAMLDAYFARLHGKPFFILDETSTRQKHSSGQLPAPLSMAVYALTLRYTTVNPPP GSLEYARQARRMIDIDQPSIENLQTLLLLCQTFYAYGCGKKAYMTLGNAVSMVLALDL YREPPASHPSLSPERETRRRLFWSVYVLDRFLTCGSKRPCLIADHSILVRLPASHPSG QDPGDIFNPVGPNIPYSSDRRKTASSGAGSGACSSLLVDISRILGVTHRYLAAGGVKG DSHFPWHALSNLSKIRQELDLWAAGTHDLFASIEALFGHPESTLLLLSKLIYHLVHCL LYRPFLPIDLAELRGTGQHQSWQIEATTLCFSHANAIAELVELARHAPRIEWPDLVAY CLTVAGTVHIHGVHYNGRREGEVFASSPDFLNREMSQLDWLGGFWSGVRHHRDLLRTL EVCHAELVRSLAARPVRFAPVFHLEDFLDRYPGLSLGGGPGVDGSHVRLVDEDQIDLD PQLLYASTSVPTAGPTPILPSQPNGIHHTHARHLSTSSASASHSLSFTSSTWPEIPTD PELLNQSPASGSVGPNASTFFSPTLGSTTHYSHLTSHSQPQTYLQSQIQYATFPFEST PVPVPVPVESPSATSQSQPSSATAGPGSSGNGNGNEEKDPFLSLLEQIAENEHNPGGP SELDFFLEGLETDAGAGANAGTGAAAATRSSSSSLLSAVLKESRSLPRQIDTDPTTPT PDLPSPAQKRLLQFVRDVQRHLSQEYKVGYDGLRAAMNTDGGLFGDKLGTGYNARASG YCEQRDMGPLIDIDVDTRRNITGTGTTNSEVEDIFTPEVNSSLATAAASGSGSTRQRR RSSVSGAQKHLYNLLSFVSFLTKESLLLRPGAGERVAVEMIVETLEHPDPGNALKGHE LWFGRAHHSVFGLGSGVVSSSGWRANETTVTAISLWLIIMGEELYARAQASVQTAKSN AKSASLIDLDDGPGSENGEGGEETWPQLSEIVIREWETWTTRLQFLSLRQDLEIQARE QAAEAAAVMRRVYY ANIA_10544 MQTAVHHQPVATTLRADSPVSVASTSLKGTSASAALPFPQALPQ EPSRTVVAVYGPGQDAIVQVVADILGKPWTTETSLSTLGRGSSAVVVGIRATDLPGSL DGCDKSACMLVNLHCVDDGSFPEEGLTELCDYEFLYSLRSPFFRRDLTRFLSLILGQT RPHEDLKTKNRTNFISTTFPDVHAALPNLDILSVGSDAVEIRVDLLVDPDPLPQDQGY PGIPSLRYVGQQLMLLRQHTELPIIFTTRCTKENGKFPMDNPALFYRYLRRAIQWGVE YIDVELWLPEDIRRKLAEVKGNSVIMSAFHDFSGEWKWTSPEAPRIFEESAKYADIVK MIAMVDSVEANYELEYFRSTIKQTHGTYPLLSAVNMGQLGQLSRALNTVFSPITHPLL PMIAAPGQLTAAEINEALHIMGQLPKRDLYAIGSFRATPQSMFMEKCFNELSLPHTLT SMDRGPAGSLERVFSQPSFGGAALHPPLSSTSHLPAISDAARAIGLVDTIVVTSPGSL VGENATWKGIRATLTRDYVPSAYRGRAAIILAGSESEASASIFALRSLGVGAIYTVGF RATGPLAEGLEPFTSIQSVKLVEQPFVIVSALPPEKSLLVQPLLRHYRSTGRASPPST RGKVYLDLTRGERTGDPVSVAERSGWTAYGIEDVNAWTTVEMLRLLVGQNVPFDFVRM ASYSLV ANIA_11435 MRLMATAKSDGDWQQDTRRARGGAFLSPASRLSVVVVSGDAGQV WMERWIRGEPYNQHNTTYNGSVKRAAPSMD ANIA_04349 MPFPTSSSAAETTSASPLADYFWIAGVDGSEILDTFRKLGDEYR IASSTTAPGPAVTDIIEEDADAEDAHDRFDGLLSRSSSVIGPRGSFQRLSFRSEDADQ NANGNANGTHSNRSSMTIKGGGNGSAASPRASQFLGLEEFDFDKALVKFASERETFLS DLSLSAGAITPASRPRSRLRTQKIVSEEPQQSGLLRSSIGSVRRHMSFRDMNSMKRQP SVARQASIRTSRRLSNYNSVIPAPQPLEISPTMHPLKRRFEPVLLDRYPPKGMAEDLK QRANFPDYVPMFAFPNDINIVSSDQRPRSTWHGFVMTSDNGSRLHAVCVIVWIPLNHE AADQLEKCCEEWRKDNMTDEERELAASLGERLAQERAKLSRLLAQLPTVPSGSESREQ LEDEISAVEEKIGLMTDLLRPVRHGAASKIEGLTDGDTGFWIPRAYGILGREENMTSF WKEWLKAVVVPMTDNSVQRVPPASPRMGSWQPLERYVMNLCTEAFAPNTSKTQVELAV RELRLFARKEATNELPGSRNTDLYALFRTLSLANIIILFEYALTESRIIFLSSHTSML YLATRALVDLLFPIPWSGVLIPILPARLIQALEAPCPYIVGIERRYEKVELPSDDFVL VDLDQDIIESTVKPTPLPRHQRRKLHSLLQLAAPHHSRYGVPVGPPAYAIETFPFDAF MSENSSIYTSKAQSTQLSKYVSLNSNAFGQGSVSLTSHPPLIFNAFLHARNEQTASRG YSSKSSDRPGTGSTFKPGSPPSPRDSSPISGHFPPPPATPRNDSGMALQASLREKRSG HFDAASRRSSSFGMDMRQVPRRPSAPFLGHAPNLSVSTLNTDFQSTYAPSVYAQSTVA ASTIVPQPAIQPIHNNDGTSWVEGHCLQLQPWDDKAVCTVCDERADENMYKCVSCKTQ VHNRCAHQICIVCPAAFYPEQVRAAFVRCFASLFYTYKKYLKPASGDKKKAGLTYSFD MDAFCKSLPSEHAEYIGVLSQTQGFNEFISDRERVNPKARDPRITLFDEIVLSKRNRG RSSIFSGRSTTDFLSDTSNHLWRTASAASFAASRPQQSISGDYTRVVSRAPAKLDTSL MKEPRMIHGAPRVSKTANNARRKPLPKLMNGLAISPP ANIA_04348 MAPKLIIFDFDGTLFDSLSAITTSVQLTFNALLPSYTPTPSDIH RLVSRGAPPEITFMALQPQSPVSVDGVDAFDEETWVRTYRQIYSVHGHPLTKPYPGAK EVLLSLREKGIPLAIISNKAVAAVKSALESHGLGGIINEELIIGEPMFASGKRKPHPE GFTEVLLPRLKSVYGTVGYDEGEVLMVGDTITDILFAKNIGAKACWCRFGQGNREECE KESPESGIDELIDVLGVVDEL ANIA_04347 MGKNKGASRALTQEEIWDDFALVQSWDEAVEEYKLYHSIAAKGE NVEDVLREAEAAAEAETGPSMSWAQVEKDDDMADVNAADSVQPAAAPAETQGMQARLQ TQEAAGSEQVKQEQETAAATGPQAQAPTMPYPAFPQTQDEGLKNLMMAWYYAGYYTAL YQEQQQLATVIYRSWQPRLRANQPAPRNQATARNTGPSGMARRHSP ANIA_04346 MAPDKKDKKRKAVAATVDSPAKKTKKVEAKAAEPAETPKSILKK NKTNGAKEDKTSKPKANGDSPRPVKPRKRAADYMSDDESEDEVPVKKADKETKVKPSA KKTKQEDGTAAPVKEKAEKAGKKAKKLEIVPSDSEEEAASAAEESEEEDDQTTALIRG FESSGDEDESGDEGIDPEAPVPKIPDSKKAKRKILKLQKQNKAESSEQPGVVYVGRIP HGFYEHQMRAYFSQFGDITKLRLSRNRHTGKSKHYAFIEFASESVAKIVAATMDNYLM YGHILKCKYVPSEQLHPELWKGANRRFKATPWNRIEQKRLNKGRTRENWTKNIEKEQK RRLAKAEKLKELGYEIELPELKSVDEVPVQKPDEEVKAIEGEQEAKAIEAPVEPQAEL EKSDDTPKKSKKDKKEKKTAVAEAPVESPKPKEAAAASPATKSKKKTKAKTKA ANIA_04345 MSFPLTYPTTRSLTGGDLLAQSLKQLGVTVAFGLHGGHLDAFLM GCESVGIRLIDTRHETAAVQAAEGYAKVSAGIGVAFVTANSGFSNGIPGLASALADRS PILVVTSSPPLRDSENNSLQGIIDQVVASRTLTKFAHRVTNPEDAPRLVSLAVRTATA GAPGPALLDFPIDVLFTPVHENLISWGSITSPRSYAPGPHVEAVKDAVGLLKAATRPA IIIGSGGQGEETTAHLLSLSNSHNIPVFDTQKCMLSSTLSWKCKFYGGSADKLALLPA VQAPHPDLIFLLGARTGMFLGGRSGAIIPFHDKCKLIHVDVDGGEIGRTLPVDLGVIS DVGQFVSSLDDQLRSLPATGNKKTDADWVSKVISIKARPSPWDSDRETTLSGRMHPHH ALKALFTSLSSDPRIQDPILVLDGGECALWAHSLAHILNPRAILKSTGALGFLGNGFG YTLGAAIAAPRTKVINVQGDGSAGFHLMELDTYARLGVEVITVVMNNHCWGMSSNGQQ LVYGDLNPKRPVSTLSAVTEYADVSRGLGNRGFKAQRVEEVLDAAHELLEREGPACLE LIVDSKPIHPVTEMMVGKTEDPDLVVVPYYDNIPRAYYKV ANIA_04344 MTKTCKRCTAGQPRCIRVPSRCLIQLVEEIDAGRNSVTLKDGRR VTTRGWHTMFEAMHGTYLDYCLNIRQKYSEEVIRQSYQKLGVEPYIGWKLESFSLDHE SEDEYKVTSHVRNSKTDQLLVVKCKYIIGADGGQSLIRRLANVPIEGERTTTEMLAKY GNRLTEEDAKQEAVKSMEPFTLDLESIEWWTLYSINQHVADTYISNNGVVLGGDACHT HSSGAAQGMNTGVHDAVNLAWKLGETYDSECRPAAHRLIELDKAFSAVISGQIPDSYK GRYTAANELFTKLFNETIQFSIGLGIHYNENMINRPSTTGMTSAGWRAPDGLVYPPRL APAGPYVLILAGQPSETQANLAIVVEALGALVTRLPRDMIRFITVVAQNVAHGDRFFS IPRLGYFCFDQDQSAHAAYTISPGRGAMVILRPDVIHVMRCSTSRFGSRLRRFEHAEY IECCKRPNDLWPLWLSVEKQQRKARIPTGLKHRSTSRQIQGLIASQIQKQGTTMRAAQ FYAAGDVRVEDVPVPQASDDRVLIEVEWCGICGSDLNEYMFGPFAIPSLQSGPHPLTN ALLPVTMGHEFTGRIKYVPESISHLKKGQAVVVDPRYYCSSCTPCLHTATNSCEKIGF MGLSGGGGGLSEFVAVRPEAVHVLSEDGSAYMDLAAAALI ANIA_04343 MFPSGSYQVGRIVKQRRVGVACNPCRVHKIRCDGIWRKCGTCLR RRRRETCVYGDDNMVRISSKCAIEMAEVVMSDVASPISRHKSKCQLRISSSLKSRQWA LLLVLLLSQRLQETMKSGELSPGPSAASDTTQIPFIEKNTRSVDIALDLLPPRALADH LVDCYFTKIHVLYPFVHKKAFLSRISSSGRLATRKNLLLRLNIVFAHGCQFSEMMCVE RQTTSEAFFHRCKPALDVDYLERGDLALVQVVLFIAHYLQGSQTPNRCLHAIGTAFRL AQGLGLHATVGDEHRSFAQKQMRRRVWHGCRMLDLVEWFVATLKLHELLRKIHNILYE DEAEHHCRGSADKKAQSVRQVQFITQIELDLDEFRVNVPKPLSWEDMTPDLPDPSLRE KCLLKVDTFTAGLVLILAEFHNGL ANIA_04342 MISLIWTIFILHIAIFLVNTIGAATIDNLLWLLYLKLPTSLYQT AQEQTKLKREVVQLKRDMNNTSSQDEFAKWAKLRRRHDKALSEYEALSMLPLSFSSLF YQKLSSQKGSFDWFVKIARWLSTTGLKIFIQFRYSKTPVFELPGGWLPYPVEWVLAFP RAPQGSVSVQVWNSVCATAVTVIAEIITGLALQVKGSAQAVPATAKKA ANIA_04341 MTAQCPQQPNAFQELFRIFANRDGRVLEFEILPPAFGPILEDGC SIGITKKCLVQAFVVARRIFLNSLMAKSSSQYTDEAASTPVLEGEGGEADQRLTIASE IILLFDCEHLTACNWRKRRLSALFTSDLETLIHALDTELSLMTTYLCSPLHRHTKSPT LWQHRQWAQTHLVRLRKPDFKGVEDLFQAELSVVLRAGELHPRNYYAFTYLRQIHRIL AESGGLKSEEWRVQFGQSIIRPTLDWCLVHPADISGLMFLLYLLDGVPITALRLDTVG KVAQFALDIGWEGESIWTFVELATRKFNLLEPLGQSPPYPWAVLRTASLEAMHVEIKA RISWRTWLERARIYWAAEKTPTI ANIA_04340 MTRGNQRDRDRERQRKKAESEKKANTLSGTAFARKKEEDAAKMR AKQAAADAKKAAAALAAAGKKK ANIA_04339 MSVARRAGPIKSRSPFVLTPKSFLVFLPLTGLPKLQNGLQGLLP CLFSSDTFGILETTIVRRRAPSAANSAPTVTNGSRQGASGAPLDKPAYPHSAPETGYL RPDTFLRTLVPGKSPKIDIVAIHGLNPKNKERHAERTWELNGKIWLRDFLPSQLPQAR IMIYGYNSNVSIQSSSAGVREQAQNLLNRLWLERQSWWYSGQGAFFGTPHRGSQLAKL GETVAKAVRAFLRTPNNTFINALKENDLYANELSANFSQLLEDYKYINFYETLPLRSL GIIVEKKSATLGLPDSREITVALLGDHESICRFASEEDDNYKHVSGLITRFAAIAMRE CEEASLFGELGTESTLAGLSLVDQLGSRTCMIPFPRNQAFVGRASILEQVKSQILPIG TTSRLVLFGLGGVGTRKSHIAIEFAHQIYTELQASVFWVSASSIDRFREGYSAIFDEH ISDSDVKCDKAVRVKEWLEKEHDEWVLIIDNADETSLFEPSKHGKQSESQSILEFIPE SQRGVILVTTRNRAAGVKFTKGAAKALIEVKPMTSEEAKLLIKSNVTEQILEEDELDR LSEILGHLPLAIVQAAAFMQENSMSVSEYIELYNDSEETSMDLLCEPFETLGRDTGVP NAVATTLIVSLDQIKERDPKAVEILQLIPFLDRNEVPKSLVQHRIKRPLELTKALGTL KAFSLIVPTNGKGDFSFHRLVQLVLRKWLILESLYEEKSVHAMELLDELYPDATFENW GTCAAYLPHAQSVLSLVSEAHDESRKKRIHLQEGIAYYLWSQGYYQEAEKLDALIVEE KKREFGPEHPETLESMTGLAATYHDQARWAEAEQLDSFVLEARRKMLGSSDRLTLTTM ANLATTYVSQDRMQEAESLRREVYETSKSEFGEEDEDTIIAMTNLGTLYIDLGKVEEA AGLIQRALAWRTKSQGPEHKDTLVCSSTLAKVYKAQGKLEEAEELALQCLTVSEAVLG PQHPETWIRKTIVADIYLERGKLDMAEEQFVQMIEKMEQEKAPRYDILEKILRLAGVY RAKGDEKMVVKLEGEALDGSIQELGPDHPFTMRCLYFVAVTHKQYGRDAEAIRLMTLA THREEKVLGPYHDSTLESFHMLREWCGDDVAIQKLLEIDERGESKPSGWRGRSTVGES ANIA_04338 MASLKESLAGPGYVILNAVRVMNIIVFLDIIAAHVVMLVKINLL TSFFFFEAVAHAIAIGVSSTVFLLVSELPFFRSYFDRHWPSLGEDSGFLSLAFAMLIL GIGALGDLNTPATSQEELGLTFWRIVISAGILALVVSVLNVAANFAFADRDIGVSARH VRVYGAVAPQKVASRTGSQRSFQLSYKREETLPSYNSSSPVRRVSTASISRFPVKISP PLNPMPMPMSVPTNSNYAPSSKYSRDSSGVTIPDLAHHPAMQQSAHV ANIA_04337 MAPTKPYFGFTGGWLTFWVSLACATDMTLFGYDQGVFSERLGRK NAILLGCTIMAIGTVLKASSYNLAQMFVGRSVLERFVPDICIGNGISAATAPVWQTET AQAKWRGKLVILYYQSPKWLLFHGRDDEALEALSCIEAVPPDDPYIKIQYDEFKYSIA YERRTRCHGETSYATKQTTQRLSVDS ANIA_04336 MEILQKKPKNIAIHTSPVHDLRVVDCEIPRLAPDGCLIHVRATG ICGSDVHFWKHGRIGPMVVTGDNGLGHESAGVVLQVGDAVTRFKPGKYHACPDVVFFS TPPHHGTLRRYHAHPEAWLHRLPDHVSFEEGALLEPLTVALAGIDRSGLRLADPLVIC GAGPIGLVTLLAANAAGAAPIVITDIDSNRLAKAKELVPRVQPVLVQKQESPQELAGR IVQRLGQEARLVLECTGVESSVHAGIYATRFGGTVFVIRVGKDFQNIPFMHMSAKEID LRFQYRYHDIYPKAISLVNAGLVDLKPLVSHRYKLEDGLEAFATASNTAAKAIKLGTS SREPYSGICPKDEVVPTVLTKPGTRFLRDCTTHIALHGSSPSSNVYGKPGIECLRRSA EHTREQQWTLQFDGCSSLASSGSGERLGQARPEPV ANIA_04335 MPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIVK KGRDRGYRPEVSLRVQLEFVEDRKRSGRPVEITEATQNTVITSVTADQAGREKSSEIL AYEAGISHSSVLRILHSHGFVIAKPSWKPGLTEAARLRRLEFCLAHQHWTLEDWKRVI FTDETGIILGHRRGAIRVWRTVKDSHTRNCVRRRWKACSDFMVWGCFSYDKKGPLHIY KPETAAMRKQADIEIEAMNRELEPLCREEWELATGLSRVHLRPNRGRVPKWNWNKKNE DSAPAHCHRIQQHVYKAEDVQKILDWPGNSPDLNAIEPCWAWMKKRTTSRGAPRDKKT GEAEWRQAWADLPQETIQHWIERLIRHIQIVIELEGGNEYKEGREDRDTRSWAGRRIK GRLSPRVDLALQPIEAPE ANIA_10550 MGQPETDDTQLPVSHQSHQPLKTKRFTRSQVACDWCHFNHARCD QTFPCSRCLNKGTRCEFTRGRRKRGRLPKVGTPGTARIEGINSSHTVSSASEGRGASV TQSLQTPEDPRPAPAHVLNHQDQMHAHDVVILSPGMEYLSSGSIVWPMQEAEKSPSAV GSLSPTRGAVSPCAGTAALTAGGSSAPLDYTNFAGLADLDAFILANLAAEPPIATLEP YSSLQYPVLQPLIPFIRAELTPELACGLLELYFTSAFSTHMHPVCHSIPCYVLRKASF LSRTNYRPSSPALLASMLWVASSDDHALASPLTTPYCRKKISRLLGSLTLDLMRSSTH TPFDKNGHAAAGGTAGSPASPDAFRDFALYLPTVSGGVQGFGYSVGSLDDVITCIHVA SVLSLNDQNAFDLRWWQAAFTLARELQLNREIEPGPSIDSQGACFPHSPAASTPKPLD CVCRRSYGSTVLITEEQREERRRVWWLLYMMDRHLALCHNRPLMLLDSESKGLLLPLD EEAWWAGEIHSNSPDFNGPQCVMSGTGSLRRVFSDSTCHDPSLFGFFLPLMTILGQLL DINQARNHPMLGLGVLGEKTWETRLHEVLGRLDQYEASLYGFVARCGDRKSPSLADDD TAHCLHVQTRFWLAKTVKAYASYYIDLLHILQNGKWDPRSLAADHTLWASSLNLASAV PQALRAAESVRQVLHFDPNLSFMPTFFSAQLLQGGFYFLVLLEQLQDQAGEPFLSACE TMLRAAESCTVTLNNGYLKGFCLVMRSTVAQARGRPITQYEVRQRWSAIAALHAWSG ANIA_10543 MESVFRSRHILRKTFACDECKRRKIRCSGDENCLNCLRDAKACR YSSPSHQLSKLQRRVQDCERLINEMEQAWATYLPSVDLQGALRSIRQQDGSASVTSKK IKHQHELTHSTEQPPTSVAEHSNAEDYEFDESQDFDNSTDGMGFLTIDPHKAGYTGPQ SGVAALKFLQSLPLYIPLGSFIPASSLDDEDICDSGPPRKQSEVARYLDAYFTFYHPA YPILHEGTFRARVSGAFAGDSNGTKMDIVFFKEARKHLSMDVLEKGSLSYVQAIVLMA NYLQKRNKPNAAFILVGIGFSMALAIGLHREFGMPSTSPFTMEVRRRVWWTLFIFVSG VQLILGRPAVSLVGVNVRLPANVDDHDLAVDMEELPESSTGPTITSCLIAQVKLAKIA NAIQVELLTHHLPTPAKAQDLEQRISSWHNDLPAHFNTEVYLEPKFDIPRRVVLWRSY HLRIVINRPFLFQNITSKSELNTSSGPIASCLAAADMCVTSICGFLESTDNRQRGLTW YATCWLLTASFVQATCYVYGPTHPLAESWRSHIQRAVDCLGSLGSSHDMALRARAVLQ KVLEHGHERTSPYSFDPFLLSQTGPFRPPWSLAAWGDNPASYSPYGQGFGGSFRYTQG SLGAEFLDAAGGLMIQNLFGGSEGPQNNSWTPG ANIA_04333 MGEINIPWSALPLEADNKTNIPSIQSIALILAPIIVPRIINSIR YIRVQLSSRPNPRPLPPVASRALNVLFCSILVFLTLSFPSNPFAPSPSIFTLTRSRIT TSLETVFSRLARLRPGGVLTDADVLLRSKLVSKEARRIYLRFGERALTECRFCRLDNP NTYALFYYPANAMIPHLLHMAVVGIATSTSFAGREAGRWRNKFTIAGLILAALDTYVV FMYDPVKSGSDAVRDGIEVPTALFQRMAVLRPLVLACFDVVLAGFIYVSATNRWFFTP PNQADEVDKIVAASLASLTGASSKLHAVSVTRNAVVRDRVLKDRDDAYWQTVVAMDGQ GSSGAPLDVWEEEEVVRAMSRAMAGQGGVDLAKLGVSAAEYVNGVTAGLEQESL ANIA_04332 MAKDTTLPAQSVTELNACVTTSSHRPVKDTTFREWVVSNQIGIS LTTLSMLLAVHHLYPSLSPYTTPFFQLSYYQPSQGVYIQGWDDIYFVISSVFAFTAIR AIAMEWVFWPLARWSGLKRKASIRLAEQGWMWLYYAVFWTVGMYIWSQSDYWMDFKAI WAHWPARGVSGLMKWYLLAQLAFWVQQIFVINIEERRKDHYQMLTHHFITSCLLTSAY VYGFYNVSNVVLNLMDIVDLLLPTAKILKYLKFEMSCNIAFGVFMVVWAISRHIMYPL LCWSIFKDVPAVMPWGCYSGATGELISTNGYPDRVMHLFSPFLNIDGPICMNRTIKWI FLSSLLALQVLSIIWFSMVIRVAIGVLRTGNAEDTRSDSEEKDEEEVDPKSAANGNAI AAEVSSAEWRRNGPSSTRSRRSDRKALLGRIGCDTRT ANIA_04331 MAGDIVGDDAFKPAVDTNIAGMSRSATSTPQSGAATPKVQQPKP QALASKSGPSAILVSTRQKGNPILNHIKFQPWEYADIPADYVIGATTCALFLSLKYHR LHPEYIYSRIKQLAGKYLLRVLLIIVDIPNHEDPLKELSKTSIINNLTLILCWSAPEA AHYLELFKSCEHSQPTAIRTQQAQSYKDSLVEFVTAPRSINKSDAASLISTFGSLQNA INAQPEQISAVPGWGEKKVRAWCNAVREEFRVEKAKRATAPKRTVRDLAALSTNDDGA ASSATPEAPRGTEDDSLFVEQNERSSPQTKAQGEDMDEGIAAALARLRENGG ANIA_04330 MSESNSPEKPTEASGAITESSSSKNNVQEDGATQPRRELFIRSL PASATNESLAEHFSQSYVIKHAVVVVDPKTKQSKGYGFVTFADVEDAKAALEELNGST FEGKKIKVEYAQPRHRVVDETVGKSVPSAEALERKKQREQQKADTQPPKLIVRNLPWS IKTPEDLAAHFRSFGKVKYVTLPKKGSQLAGFGFVVLRGKKNAEKALEAVNGKEVDGR TLAVDWAVEKEVWENLQKEEEHAEPDVKEESSDVDMEDGGVGLDNGELDEDMSEDDDE EDDEVSDEEDEDEDEEEEEEEEEEEKEDERNASTIFIRNLPFTCDDESLYDHFTQFGP LRYARIVVDPETERPRGTGFVCFWKPEHAQACVQGAPKQQDPLAAEKEKGKKGTIIKQ SVLQNENADPTGRYTLDGRVLQISRAVSKSRATQLREEGVSKRLVRDTDKRRLYLLNE GTISPNSTLYKSLSPSEIKMREDSFKQRQNFIRKNPSLHFSLTRLSIRNIPRHVTSKD LKQLAREAIVGFAKDVKEGIRQPLSREEMDRASEEMREAEKLRKKKGVGVVRQAKIVF EGRDGSKVSEDSGAGRSRGYGFIEYYTHRHALMGLRWLNGHAISPPKNVSEELKDKKK RLIVEFAIENAQVVKRRLEQQERVRNRKKDQKDDEGSPRKRDTKKNDKKNEKNGRDSD SKGKKRKRSESNDGGQDTEEQNKIAKRNRIIAKKRMQRKSRK ANIA_04329 MTGTDSGRFAPKVPVQLDPPKDDLITPEELAKCDGTDPSRPTYV AIKGIVFDVSRNSAYGPEGQYKVFAGKDASRALACSSLKPEDCKPEWYDLPDKDKKVL EEWFTFFSKRYNIVGKVKDAKNY ANIA_04328 MSIPDPTARTPSSVNYVSTAISSLTKRTGNHGESSEKVSAIDNR EESIEEGSKPVYDHTHRKLKPRHVQLIGIGGTIGTALYVQIGQTLAEGGPGSLFIAYT LWVLNLLGLAEMVTYLPISSPFIRFAGRYVDDAFGVAAGYNFFVFEAAMVPMEVTACS SITGPTRSLWQQYSLSYLFFLRASSAAKPAFFVLTSLRFLNVFAVKWYGESEFWLASS KVLLSVGLILFTFITMLGGNPLNDRFGFRYWNEPGSFAEHYKEGNLGRWLGFLACLIN ASFTIAGPDYISMAAGESVDPRRNLPRAYNGMFYRLTAFFVLGALCVGILVPYNDKTM ADAFDNEEPGAAASPYVIAMDRLGIPVLPHIVNAMILLAVFSAGNSYVYCGSRTLYGL ALDGKAPRVFTRCTKSGVPIYCVATVLLIGLISFLQVSNSASVVLNWIISLVTASQLI NFSVITFTYTRFRKALIAQGISRDTLPYKSLGQPYVAYIALVSTIIMAFVGGYEVFLP GNWDVPTFLFSYTMIGVFPVIYVGWKIIHRTSVRKPEEVDLFTGVDEIEEYTRNYRPE QASNPVSRFGDWLFG ANIA_10547 MRMSRATTLLKLLLGLRTATSTSTSTTTSTTLIAQSPASYLFAQ CHATNLYSHYNLGKPSTYFYTTMSASDSNLGQPQDQPSETSEKKPILALPSASPDNDT TQLDVNGDGVKLDHLGPLVVNSDGTLSRIANWAQMTEIERRNTLRVLGKRNRERREKL MEEGQGQEQG ANIA_10542 MKPQKWLMCSNPQVRPQWPSRVLARSSHLRRFNSSAPPYYYQPL QTLKDVDIEIFRDRFFIPELPVLLPRRSFRDLPAYERWFHHTATKPQLNIEYLADHGG DALVPLELTQSERSISISEDPGLSFRKFHAPLSLFLQWIREAQSSSQLQSRLYLAQCQ LLDLPQILRDDFPTPTIVAKAGKGDVYDTNIWMGYPPTYTPLHRDPNPNLFVQLAGRK TVRLLGPRDGQAVFSHVRGKLGRSGSREAAAFRGEEMMQGRERGLLEQAIWDDAGKGQ SGQCTEGFEAELDAGDGLFIPKGWWHSIKGMGESVTASVNWWFR ANIA_10541 MDSGRAQVDASLSQASPDEQLDGPAGDSAKRKAEQPANGTQTRS KRNRYISIACNECKRRKIKCNGQTPCYRCGHLKLECPEFRSMKEQIASLQDQVTSLFS SISELRAQRSTFDTPAFDNFSRDGSQVFTSMRPPTGKPRFKHARFHGPTSSAFNFDVA RSSLQHMGIAPVEETMPDDITTGHATPAASPPHIPALTQPMHPSKDPIWAIKKDEALR LCRVYEEEIGIMYPLVDIDHVVQQVNLLYTFMEAAIRTGLMHRGLAGTDGLEDDSTNI IKLIFATILVVEGGGQSQLGQRLYLSVKPAIESKIWDTLDVKTIQLLGLMATYHFHTD EDALAYRIIGVASRMCLEMGLHRRDALAKNFPDEAQWPDIVRIFWSIYSLDRRWSLGT GLPFSMHDEDIDQMEPDPSLQYLRCMITYNRIISKVWYSGLGSDGATDIRRDEIGYLD YQVLQWYKQIPDSLKFYPAESPRQGEAASRGLRRLRILLYLRMNQLRILIYRPVLHSA ASIAEDKGHAQTVVDVAKDTVRILTRLNQTSDIYRTQQITFNYFLVAALAVLFLAVCH APNEFNRQVRDEFYLALDLVNGFSTKSYVSKRLWKTIKGLRQIGERLGVLERPYGPDA NDPHSTAAVAMAGLAGHPMEDLSVYGALNGVGELGNSPLNGLQMSHELTTLFEAVGGF GNFMGTTAADSINGFIGHEGELQNTGEGLSGVLGNEGEFARVIRDLF ANIA_10546 MSSSDGAPESWISSFCQLMGHEFFAEVSEDFIEDDFNLTGLQSQ VPMYKEALEMILDVEPEEDEEEEEEEEEEEDEDEILGDEKPPGYRRERRHTRVASDLS MIETSAELLYGLIHQRYITSRPGIQQMLEKYELQHFGVCPRVHCNGCKVLPVGRSDTP GQETVKLFCPSCQDLYTPPNSRFHSVDGAFFGTTFGCLFFMTFPDLEIGPRFDAPLSN ISPPRSASLPSTATTTTTTTTTSAGASNQVTSDTPRPVYPVELNGVRTVNFCPGLGEG RVYESRIYGFRVSERSRAGPRMKWLRMKPADITELDEMSRFKALQNYDDDGDADMGED NAQNANSAIAQRKKAPMRRRRYNPDQMNINGVDG ANIA_04325 MILLRAIHCSPLGWLTRRLLWSTAVQHWYRPTAAQLLENPQSLL MFTDNQIGIWRAIDPNHLWTGEEYPTIHEAEAQSGTGQSYHYASDWSSPHPMGWLWAM KAYSGNIPSNRQSPDFGREWEPVRKIIPVKAAYKNTDGMPAKRQLFDLRFSSSRWDSS DYTSQQVTSHRVSPGLGSSVARPGF ANIA_04324 MHRSNGYSYSTSSRNHGTSSAFSPNANPNEDWTKISDLAERRRI QNRIAQRNYRKKLKRRLEDLEKRAASASESPERTLEKPEPPVRMTAKSRAKHARATKS TSDVHAPASTDRVSYDSYSAQEDRGSMFSYQSTRQLSTSPPPILSYPPYSSLDHYSHS SYGSHLPSYHSYSDVAYHNDYPPPVPSLFPLSMHGAGSAAKKYSSYGDDDIISPFNMS YASMAGIDLSPPQHHHHAENSNVPVHTHTLPSIRATYPQFQSRRS ANIA_04323 MKSLHQLARPRALPSFAAPRAQSARSNRLWQRCFSATRAASEGA QLDPSKLTITKTSTPKELLPAKDLVFGKNFTDHMFTVEWTAKDGWLAPQIVPYQKLQL DPSACVFHYAFECFEGMKAYKDSKGQIRLFRPDKNMQRLNKSSARIALPTVDGEALTK LIGELVKLDSRFIPDARGYSLYLRPTMIGTQSTLGVGPPGSALLFVIASPVGPYYPTG FKAISLEATDYAVRAWPGGVGDKKLGANYAPCIVPQLEAASRGFQQNLWLFGEEEYVT EVGTMNLFIALKNKETGKKELVTANLDGTILEGVTRDSVLALARERLVPKGWQVSERK IRMAEVAEAADEGRLLEVFGAGTAAIVSPVRTISYRGRLVNCGLKETEEAGEIASQMK NWIEGIQYGDEEHPWSYVL ANIA_04322 MFSSALKSLSSNITANYQVSPHPALVCGPWKIHDGKKKSTGTAA SIFIFDKKVLEPRSGSLGGRSGASIKKLQEEVVERLKREAGNLARLRHPSILQVLEPV EETRNGGLMFATERITASLAGLLQEKDSQENSGRLGPASSRYIVEEHDGSRRRRDVEI DELEIQKGLLQTAKGIEFLHESAGLVHGNLNPEAIFINAKSDWKISGLGFAGPADTSN SKSTLPPLALSEVLYQDPRLPPSVQLNLDYTSPDFALDSNVNPSADLFSLGLIIIALY NSPHASPLKSHGSLDAYKRLLTSPSTTPSQSNNFLCSGSIPKDILTHVLPRLITRRPA QRLTAREFQQSQYFDNILVSTIRFLESLPAKNPNEKAQFMRGLQRVLPEFPVSVMEKK LLGALLDELKDRELLSLILQNVFAILKRIPNARRALPEKVIPQLKEIFPAGKGASQER DSKKDAGLMVVLENMTVIAENCPGKEFKDDILPLIRLGFDSPTHTLVDAAIRCLPVIL PVLDFSTVKNEVFPPIASTFSRTNSLAVKVRCLQAFTVLCGGSVDNKDDTSDDLSGIV EMNKPQHTKSSILDKYTIQEKLVPSLKAIKTKEPAVMMAALGVFQQVQKVADSDFLAL EVLPVLWSFSLGPLLNLSQFSQFMALIKSISSKVEREQMRKLQELSSGDSSGFQNGPA SASRNSGSLAQSETESTRDNFERLVLGRGIADSNNQGNDLWCGLVSDTSAAQASPVSQ SNSTTLPWPSATGFAGRQPSITARSVTPDTKLSSFPSLQPTGVQSSSGTPSFPALQPS VNPWATVNTHSHQIQPSGASPSIASLMSLNSSSPSLPRTDMQTTPKYSAFSIPPPPST QNGAASANSQLPFAGSIRQQSPFLSNGVLQTQQGTQKQGLDKYQSLI ANIA_10540 MDANVKQHYLADSPPTVVRLEVKHHFDNLKDKNLRKYAHYISRA AFEGTRITLRQVSPESEPIYDLILALYRACDGKWPELAQKTNVSDENLRFFLEYAAQF LGNSGNYKGFGDSKFIPRLPLDAFEALASATPETKAAFQKANTTGGGIYETKEQSLMH LGYPESGHMTTYYPDSPSITKDEITAIGDFFEQKGMPLENTRLRMTASGDFELLIASG VSSPPSRDRDLGDVDSFDLDGKLKGKKVHLVFGDHREEMAKIAHSVKQAGLYAANDTQ KKMLDAYALSFGAGSIEAIKESQRLWVKDQKPVLETNLGFVETYRDPHGVRGEWEGFV ALVNLERTRAFGKLVDSAESMIPKLPWGNEFEKDKFLSPDFTSLEVLSFQSSGIPAGI NLPNYDDIRQNLGFKNVSLGNVLSAKAPNEPIPFIPEADQDVYRNCRDPAFEVQVGIH ELLGHGTGKLLQETAPGEYNFDVSNPPISPVTGKPVSSWYKPGQTWSSVFGAIASSYE ECRAECVAMVLSCDFNILRIFGFGDGKENISNEAGDVLFAAYLQMARAGLVALEFWDP KTKKWGQAHMQARYSILRTFLDAGDDFVKLAYTKDDLSDLEIKLDRSKILSHGRPAVE KYLQKLHVYKSTADVEAGKALYDDITSVDEWWGTKVRDIVLKNKIPRKIFVQANTILE GDEVILKEYEPTLEGIIQSFAERSV ANIA_10545 MAAAGGASSIITQVQQTGGPPINTLGGTRIQSLRLIISNLSNIA NGYFGTRFTLSRDELLTLPEFVLLSLFPNGLLPDGHMNGFHESDVYPVDYDPASLQYM LDFFRSVAQSIPSSASASPDLEVAPDSMQGSTRDMLQDRAGIIVLREDLDFYVIPPRS DIDHGEMMEVKRSAAKALLRQDGIFSGLRKSDEPGSTEQHLIEMLTAGGFDRDDHWGH RAPEPNKAVICSLALAKLRTDIRGDLASNNVGMAQKLLLFWRKPARRCWWEGVELDNV EGVEGKIKIWIRRVWTLEMVSFVVSQLGPRLLTTP ANIA_04320 MANMSPSRGYITTYPPRVRQYANALFTPVIPQTQVGPTPRTTKR GTAAINYAEDGFDDDDFDDSEGPRRPTGLRSLRREESSFDKAALAEKLGKEAHAPVEV QGIFRDWMIKKMIRPAYPGMLLTQRLTRRCLRTGDLIPYLRFGSRTRLCGIFMKRFLP LKSLLLDLSMTWTFPTSMHDPGDRYPDPPAIRGICGRRATSVVSKYTTKISRSTSRFI ARRIQYSRASPYCDTGQPRHTGDSDERAPCKRQTSYIRTSSSGPSYILLGWPRNSQRL LLKLKKEVCESGGLISGLGSYGTEIDNQAANGAEAGWRYDPEGLGDEWEPKVETLSKE EIEKREGDRERQIRRLRRETARFSSTAGITPDFARHGGGFFDVDSETPLGRGERNKRK RRFRSLSPSGRGGTPGGRGTPDTGSGAGYGGGGGNDKIGGAATAWYGDQRYGLYATAQ LAQEYANPPMVHLYNC ANIA_04319 MAIKKSRPPPSYTATVAAQDIKPYDDDDDDDDDDDDKYDDNDHH SHGNTTEHYKTTPTTPSFPVTSTAPTTRAVVIRLDSSINISGDGNTVAIASGQGQNQA QVQTQTQQEASNPKSKVANTTASIIAALQQSGILALRPDSGSNFAPTTVQVPANNEPE WKKYKKC ANIA_04318 MSDALCGPSNALQNFQKHASVDRTLQQDRLISRQSPSQGFRSKN SNEGILDSEFAAFESNSPVGAPLPNLQHEGHFVAPAPHMPMPHAAEAPNWAADFQNLH ISGPSRAINYQPGPSAAPMSNASQQGWHGEFLRQQQQQHRPALQENQALGQRFQQSFT PNYTMNNSMAVYAPGPETVHVSRTEEFDESAFDAAFEQAKADMASQFEESLVETNVTE SNANNEDQKEEAPAQQIGHETIRIGSDTISQVDKDDPQAAANDADELARTAGHLLNSV SHETNQKFRESNFLALMRRIRDHEVQLEGDEFRETAQSLHPGGPYYPEGKRQQNLEEK RLWRPIGENNAHVASNHSNNTSERLPSSAKSVRRFDTPTAKPKESSELKSDHDSMYAS WNHGDRWA ANIA_04317 MAAAQVISNSGHDDMIHDAGLDYYGRRLATCSSDKTIKIFEIEG DTHKLVETLKGHEGPVWCVEWAHPKFGTILASSSYDGKVLIWREQHQSSTAPIGSGAW TKVFDFSLHTASVNMISWAPHETGCLLACASSDGHVSVLEFRDNSWTHQIFHAHGMGV NSISWAPAASPGSLVSSNPGIGQQRRFVTGGSDNLLKIWDYNPETKTYNATQTLEGHS DWVRDVAWSPSILSKSYIASASQDKTVRVWTADASNPGQWTSQVLEFDNVLWRVSWSP SGNILAVSGGDNKVSLWKENLRGQWEKVKDIEE ANIA_11434 MSSELLDSPYATQQMRRLKSTSPTAIDAFDKAPPRCQTTTADSM PLFGIDMFLQLKGLNTQA ANIA_04316 MNSREDTELSAAHAPLLAQEPVLRQHDEDQSKHSSGRFIWYLTF SAGISGLLFGYDTGVISSTLVSIDSDLSNRPLTTLDKSLITSCTSLFALIASPLAGIL ADRLGRKRVILVADVLFTVGSFIQAATGEVWGMIIGRSIVGFAVGSASLVTPLYISEL APSDARGRLVTILSLFITGGQVVAYIIGWLFSYVGGGWRWMVGLGMLPAVFQLIIVVA LPKTPRWLVQAGYEDKAQRILSEVHQDDQTAKQTLRDIQQEVAEENSSNKSAGFKQRS HDLFKIAGNRRALTIAVMLQALQQLCGFNSLMYFSATIFSLLSFSSPTLTSLSVALTN FLFTLLAFVYIDRIGRRRILLYSIPVMIISLFVCAVTFSFVELPKDTSEAQARQAATD SSVIPLLILLCLTVYTAAYAFGLGNVPWQQSELFPLNVRSLGSALATATNWGSNFVVG LTFLPMMELLSPSLVFALYGVVCIAGWFGVRTFYPEMSGLNLEDVKALLADSWGVRAS LIGVRNRSA ANIA_04315 MEAELQSQIPGLDHVITEYSVGYLTHASRAYVEDANAPSPVAEA ADMVTELLVSASGDFSAQNEEAIRNLVEKFISSLSASDGVDAERRQMPFAAKKLDQAI NVGSQRNMSSTLGLAGGNVDLESVGTRKMESRVDRKKLEKAERKIRAKQEKKQMKTVQ YEASRLLNEPDATMSYEEFFMAVNPLQLGSDSQAKSKDIKLEGIDISVGGHRILSEAS LTLAYGRRYGLVGQNGIGKSTLLRALSRREVAIPSHISILHVEQEITGDDTPALQAVL DADVWRKRLLADQEKISKQLAAIEAERSSMADTSTDAARLDHEREGLDITLNDIHSKL AEMESDKAESRAASILAGLGFSPERQQYATRTFSGGWRMRLALARALFCEPDLLLLDE PSNMLDVPSITFLSNYLQGYPSTVLVVSHDRAFLNEVATDIVHQHSERLDYYKGANFD SFYATKEERKKQAKREYEKQMAERAHLQAFIDKFRYNAAKSSEAQSRIKKLERMPVLT PPESDYVVHFKFPEVEKLSPPIVQMTEVAFGYTKDKLLLKNVDLDVQLDSRIGIVGPN GAGKTTVLKLLTGQLEPTSGLMSQHPRLRIGYFAQHHVDALDLTTSAVSFMAKTYPGK TDEEYRRHLGAFGITGMTGLQRMELLSGGQKSRVAFACLSLTNPHILVLDEPSNHLDI EGMDALSEALQKFEGGVVMVSHDVTMLRNVCTSLWVCDKGTVQKFDGTVDAYKKKISA QADEAGVAAAH ANIA_04314 MYQRTVGIAATGFALGRVERTPPQEPANTQSAPLLDSDTVPSFD AGLSRTFATTETQSPVNPSFPETRENAASRKDQVEGENIPRKTSFAGIRLRRRGQTLT IPPIQTKENLPSPSHVRRPSSSWLRRLSFQPDKRFSLQSPDTPSFPEPASPAFPRPSS QRRVPNKLVKRPKSQHSNASPFFAHTISSPTSSALRRPVTSYQRSETFSHKATHSLSF EPSLALGPLAEPPCHNATSENDPWQPYLVPKPDTSSERLDRRFSTSTKPPETAVRRIL PQSDAAPALILATSIIKKDGAVKAVPEGPATQPVEFRNPFEQAPFDLKTHLAETNACQ SPSEEVRPKSPGESAGPLDIRVLRNGSFTGPKRRAASTPLPEQSNVEGAIWVSPRAPE RRNITDPNVFRRPSTNPANGGLSSLYTSGIMGPQSRILSSYRKEYRDIGQSASADGSA LSSLYSSIRPRSKRHSIAASDPASTVIGSDDTRVFTSGEEDETDFMSDTAFDSIRTHF TTDSFCFQSPRIETIFDRKIPPGNTIDPSAGSNDMSPSSVLLSAPIKSLSSEEERRTI VTSFTPATQIIKNAHEADSEVSFPSDLSDDDDSKSMVASLPGDKTIRPVRPHVGALSL VTSGGQYQRDIHGSGGVTGESHETLPKMNIFDWSEHPRPDREGSGPDGRPRTVHGKHG PGMRGSRATGRKPPSTLHYRSQSVPVAREPAMPNESRQSSGKFGTWGLGSKGVSEDWD SDFEFEDKDKDENAMSENINPNKNVSRRSVIVPQAIMERQASLHGQYGQVQELTLLVE ELKRLRHQASFLDIVRGPSNELWKEAEGIVDLATLDDDDHNESPPRSPSSLTFSFDES EGESSQINDPWKRVSGDSWRASLSENSSLRPTTSPGPEQTVFSTKANSVLDLIYQQRL TQNPTSIDTHLPRSKKLPFDTQSLRDLVVRAGVVTRALKEVVRKAEGVANGSEENTHP SHPPFSRIFETPSHDDISNFETSCIS ANIA_04313 MHTTAMGENDDSFPNDQISDSDSQIDRTDSYSSSDTSSSDDDVE CMSRMQTAQTQPGYGLERHPTALSRIATQRSQHSATVGSLRPRQSRKPLPEFGAGKPY PPPLPDKEEYVVEFVGPDDPLHPQNWPTKKNIFSSANSVVSAKFNVSTEVGTLGMSLY VLGFAFGPTLFSPLSELFGRRLPILIGIFGFTVFQFGVATAENLQTVIICRFFGGFFG ACPIAVVAAVFSDIYDNRHRGLAITIFTMMVFTGPLFAPFIGGFIVDSYLGWRWTEYL AGILGATAFVLDLFFVHETYPPIVLIRKAEELRRRTKNWGIHAKQEEVEIDLGELIAK NFSRPVRILFSEPVLLLLSIYMAFLYGLLYLFMTAYPIVFQRIHGFNKGVGGLPYFGL ILGEFLGGFFIIAMQPWYNRKLSANGDIPIPEWRLPPAIIGSMAFAAGLFWFGWTGYT GNTHWIVPTVSGILTGFGLLCIFLQCLNYIIDTYLVFAASALAANSILRSFAGAGFPL FAPYMVRQTLSGKFNAIGVNWTGTLLGCVAAVLMPIPLLFYLYGHRIRSKSRFAAEYT TVAKANTTATEN ANIA_04312 MHRHQQHQHRHGKYLGARFAPVEPALMPRNRPPYLLMPEAPTLV KREPMPTTDSGRVETCSPGDNSARCEKNTSTASNTTLPVVLGAVIPIVCAIIVLIFLH RRNVKKLRNEDANDKHKSLDFGMDLAPSGGRSGMQEKGSHHMKGISLDIGPSPYMLPP SIRGSKDSLNSLPRTILADDDKYRHAHTYFSTDAQSIRSQRRVHDDAASVAGSTRRGA FGDEMNQGLLGNAQRISRSSPPLYNPPEPTAGRAQPQVQDAGFELSLPRSPSPVHVSG LTSINESTTETGREANVPRRISSPLNCPPEHQFDLPLQDTPATHAGPDHNPSHDKPIA MPRISLPSSDVDSDYGDQRTSGPAVPAVNVQTAGIGSTPHEESPDQVPKPAMPEEPSQ SSNLNLDPRRDTRRLTMGLRPLPPEDPSDNPEQRANRIRSFYKEYFDESKAGQRETYY GDYGADYYPHDDDYVYDPVTGEYYDAVPAPYAEPMTRRAMTPPPRAPPRFQGAAHHMA SGSIGGYSDRFQPPGPRAFSSASNRFPGPRAPRRPAPPPAPLNVLPSPHLLKDDSIMT AIDFAPGSSFRDQRDGRPETPLGGVRPYSPTVRAHTPLVSVFDDLAPMPSPHALRKSG AFGNLDFAPPPRFKTQDTASDAGSIRSTRTGVSATHLQNIRNGAYHVSRLPPETVGTK DDLISNLRPKWDMRQ ANIA_04311 MSQSVPNPAPSTTVDTEPSPTTTSDTDSTETSQTSTSTSTESEP SSTAETSTSTTESPTSTSTSETTEPTVTSTSSETSSAPTSTTSSSTTTTTTDDPTTTT TETTSTTTEPTSSDTVEPTATTTEITTGTTTTTITITGTPTGPTTTGSGDASSTIDSS SAASATETGGSDSGLSAGGTIAVAVVVPVVSVAILVLVGLWLWRRHKAKKVAEEERRK EVEEYGFNPNGDPTLPAVIGGSADDNAGYRGWGTTSAGRKASTNISSSAGVGLAMSEA SSQPGYHHTATPSDGTIQYEGHGPLGETEPYGILGAAPVTAATSNQRHTDINRGPSNA SSAYSAGNHSDISEESNMSANHPGGPYYDYADVQQQYGGYNDPYGAQPVIRDVQARRN TQIQNPSVFPRQGNAGIAQNF ANIA_04310 MLPKHRLHSVRTRRSFFSDWRPRSVPLSRTAAQKPDIRRQFSQR LKTLVDKKRVAQSRTVTKPKAPANLVALLEGFQQFDGDLNKLQQFVEINETAMSKILK KSRMKELYLHRAVEVQPCFNRDVLRDLSDRATTARIELEAWAEGENIQFDTTRPTDRT TTFQGFGSEEEDLDLQVLQSANSGNLYALREWADKLQKSGDSRERATRTFLAAISEYS DDVLAVLLETGLVDIFAEDDINERNCLHEAAISGREFVLKAGLEAGVQVSRTDVYGRI PLHYACIHGRVGMVQQLLATDPSTVDIMDHDNFTPLIHSIVKNQLDCAEQLLRNNARI DPVSESDHIPLNLACQHGSLPIVKMLLERQARLLPDAEGLYPQHMVARASQSPDILLL LKRHGADLNQRDKLYQWTPLFHAASEGCVNCLRTLLELGVDADVKDEKGLAAVYYAAW EGHLECMLLLWSHHTESQPSQRPLDILNGLRLHEPSSTIAWQEHTPAEAQDMETVDGI PDLSLPPPIIPLRRYGHNFLDKKVFVQILFDPGSSISFDQAGRYPAARLTISSKLSEL IPRTVMLPIQEDARMISFHVDTLQTFTVDFEIFPTFGSKVIAKTVALPTVFGAEESSA GSCCLPLFDPRLRAIGQLRFWFQVIKPYHGDPLEITHFATYWKATSTGESDHSGLVTG SSLSGDHVQLFVQLTRDKVPVVHPQYMVKHYGIDIPICHLTFSEFQAVGGEKNVNRPE LLQFLQAHAADDLALTHRVLAASFLSLREVFENLPVDVNVNISVLYPSAAEEQALKMT SMTDVNTFADAILTVVFDHARVARDKNPEFMRSVVFTSYNANICVALNWKQPNYPVLL CNDLGQIRDLARNAGTHPDVDSSGRASMSIKESARVAQSNNFMGLICRSSLLNVVPAL VATIKELGLVLVADTSDEAEQPETQALSAAGSMGVAELAYRMPEGVNGLMKANGILRF NDMIDM ANIA_04309 MSLRFPLRPWALRMLNSEVASFPLSNPTSRMFRRPISLAPAQRQ LFSIRKQLPSLRTLQQFQLQSTASRGQIRRTFRTYRPRFNNNKSVGPGYTYQAPNATP SLSQRLKTLSREYGWSALWIYLFLSALDFPFCFAAVKLLGADKIGHYEHVIVESVKGA VNKVWPGAFKESEEQSEDEDFGAQAGGKKKGPVEEASLWTQLALAYAIHKSFIFVRVP LTAAITPKVVKQLRKWGWDVVKGKPKAK ANIA_04308 MPPRLSRRLVQLPFSNGAVIPQSHAQSAVFVRAFGIRSLNPPKH SKFNDSPELLKSSPAAALERKANTLPPRTGAIAIKKGMTAIFDPETGKRISCTVLQLD RVQVIAHKTVDVHGYYAVQMGAGWKHPNNVTKSLLGHFSEQGVSPKRHVYEFRVKDED GLLPVGQLVNADWFHEGQYVDARSNTKGKGFAGVMKRHGFGGQDRSHGVSLTHRSLGS AGPSQGGGSRVYPGKKMAGNMGNEQNTVQNLKVLKVDAENGLVLVNGSVSGPKGCVVR IQDAIKKPWPVNPESAQSSK ANIA_04307 MILQATRSRIRFPRLPSTTNRLSPRRSLIPAPAANSGPITERRA DRQLPNINSEGRRWLRTLPVFAVVVGAAMLGIFNYQKSSSSVVSSTLYALRTSPRARE ILGDEIYFAQKIPWISGEMNQLHGRIDISFWVKGTKSQGKMRFKSIRPDRMSFFRTEE WSLETTDGTVIQLLENNHDPFRQE ANIA_04306 MPAQRPRAAFEPISPALDAAELIKSSPNFEEVVRIHCDAIDENG LENFEKLVLLHVIVRGLPLVVEGFDKKLDNAIFSERWLRSHYSAKREDARDLTAKKAV PLTIGHYLKNMSILANQFNKFNHKNPDLQRLYLKDIDCPKIWHDFLKSIIPPFLFYLN NLAEPEFFESTGPKSAPFSKKRKVSQEQVGKAGDLMSCLPENMRAENLMCYIGHEGTY TPAHQEMCASLGQNLMVEASDGSIENGKATKPGSSLWFMTSSKDRNSVSEYWMTMLGH DINLEDHFAQINAWKAAPFTTYIVEQRPGDFILIPPLAAHQVWNRGTRTMKVAWNRTT VETLERALNEALPHARVVCRDEQYKNKAIVFYSLKQYSTRLNSVDANRSPARVQQLQN EFEQLFSLFNQILLSESFSTATPHEKNVEYIPFDSNITCAYCRGNIFNRFLTCPSCAT TATGVELPYDICMECYVMGRSCACISELKWVEQFKWEQLLRMHEFWRQQVLRFDPELD KKYRQFRVEKEEMGKKSLAHICQEELKRRPWVDISKPKPIMEELVDGISELEDDTRPA RRRKKSKSKGPKCHICKYVEPEWKLASCSNPKCSLRYCYGSLFRAFNMLPQEVLETSK WECPRCQKFCSCGGCRKNPQMTPFEPTCTSLGHDTSQVADVRSIEALVDFGHSNLGWL KKASSDEISRLSKHQEEADVKRNQALEITQYRSRSTLT ANIA_04305 MDVATSGSVATIKLPNIAEALGRHPNVSIRIIVTKSAEKFLIGQ SPEQPPLERLRQLPGVDGIYRDEDEWKKPWVRGEPILHIELRKWAHLLLIAPLSANTM AKMIVGIADNLLLSVIRAWDTAGLVDFTIKTQKPLVFVAPAMNTAMWGHPVTKKQLTI LRDEWGWSNSNKDGWVYLLHPIEKSLACGDTGNGAMMDWRDIVEAVEDYTGLKTKSAD IRED ANIA_04304 MFSRTAISFTLRRSLFRPQLSPQFPNQLPSVLQARLLSTETKAA IDKAVASAPVVLFMKGTPETPQCGFSRASIQILGLQGVDPKKFVAFNVLEDPELRQGI KEYSDWPTIPQLYLNKEFIGGCDILMSMHQNGELSKLLEEKGVLVAE ANIA_04303 MTASPEETIPRRGEWPVDPQDDVPIAEGRVWVDGCFDFSHHGHA GAMLQARRLGDELLVGVHSDEAILENKGPTVMSLEERIAAVEACRWATKCIPHAPYVT SLPWVSHYGCKYVVHGDDITSDSNGNDCYRFVKAAGRFKVVKRTPGISTTDLVGRMLL CTKGHFVKSVKGMLSGKEGSGNEEERAQYALYLQERLKDYATDETGLQPGSQVWVWEG SNAAKLEASLDESGSFDKLVSGKPPRPGQRIVYVDGGFDLFSSGHIEFLRQVLAIEES DGRQRGWYDQEQREQRVKTHGEDFGPAYVVAGVHDDDVINHWKGLNYPIMNIFERGLC VLQCQYVHAVIFSAPFSPSQPYLETMPLGVPDVVYHGPTTFIPLTYDPYAAPKRMGIF RETTDHAFQHVNAGEIVERILKSREAYEARQRAKLQKAVIEDQAKSREAA ANIA_04302 MSAGRGQGSFGKTIDMLPSTFAPTLSRRDTNKSIGHEAGAGSIP PPLISGGGSLGPQSAAAIYQHIQDMATKRISTLDYLRKAHEGRIYWFNTVHYSRADMG RMPYFDARKLTRRAINYLLLGLSIPAIIDVSTTSGEYLRALNALLIEFEAFQQVHPPE GSSSSTLARARIPQMFKRATHGGTKARRASSATEIGLPMQHSDPSDLKNMAGSAASSV SAAAASVSIPNSEASELLPGEEYSYLLTPSLPFEPDYFETFVTLCDVLIDCYTRLVTL ISTPAVCTVALGELFTKADAKIRKIIVAGMLYFVVLS ANIA_04301 MLPSTINKEKGHSYLYETISTISNSAIAPSLSPTTNKPKMVELR KRKTTAAPPEQSAKRTKTKVPATTLKPSGNTTALTCESILRVGDVVNLNGFGGDFETN DGRKTTLKILVDESKAGVVLFTYPKASTPGCTKQACLFRDGFDKLTSTGLAIYGLSAD SPKANTNFKSRQNLPYSLLCDTASTLISAIGFKKSPRGTIRGVCVIDKAGKILLLQPG GPDATVEAVQQLVLSMPKEVQGSIAET ANIA_04300 MARNVVNAAKSASNVVSIKHKYSVQSTGLWERLRRILAIDPERS TGVPLNSQFRLPTPGALPPLSYDDPVTVPAGDIADNPYWKRDVRRNYPRVSTVNQADA VGLLTVGSQAAPKEEVLQIGEAGEKQLISLKQHGEERGLAGLFQKDKNGIRGVLGPNG LPPTPCNLNSSSKYQIDDDHGYPNVYPCRTFA ANIA_04299 MATLHYLPPVKPSAIAAGTIFTHTASLGILAPVFGDTYHRAQAA NSKEEFVRSKEAAGAAAAWGSSLVGSAVQTYGVAALINATGTLSYKGAAYLGSLIFFA SSAPSFVSQIFTEKRPLDTVAVGAVARVFETVGLSLFLTWWGTRTNPFD ANIA_10539 MEDIHPGSATSDDPLDISESLVPAREIKQAGQSYVSFDGLLQRP LLLKEDLKEGCGGQLWPAGMVLAKYMLRQHRFSLADKTILELGAGGGLVGLAVASGCE VGPPQIYITDQVPMLPLMKSNIALNNLSRKVKAAVLDWGTTLSDEIPRRPDVILAADC VYFEPAFPLLISTLRDLLGPDSVCYFCFKKRRRADLRFLKLAKRAFQVTEIDDDPEAG TYKRENINLYTIRSKPGTGHHCIDGRDTA ANIA_04298 MSATQDRHGPGTSNLAPLPLNSALLSPWDFLRPTKKLRTEVLDT AKIFLDSLASSVCDSQNTRQRNSKKRKRPDDDHHAASILRLRQLYVDGFTSDQVWEQA LRIFNSADQEIQRDYTVCANNRQPGSSEESPSEDGINSLRDASDEPEDILEDFSDDNE QGGERDGEAEDSSQSDLEESEDGVHQTEEDPYDEEDENLGTYIEDRFGLNDGFFSIDD FNKQTELFEQRDARGDVEDESDEEDINWHANPLDARGPSTLSQKQEKAVGVDDDLSGS DDDASEEEGPTFDNMAELDSEDEDVTAAAGAGFSNTSDIMYADFFAPPPRKASAKKSR PLPKTQPRITDNDIDRAMADVRRDLFDDDEASVQDSDNEPGVGRTHQSTHEKQRARIA DEIRRLEAANVAKKEWMVSGEARAAERPVNSLIEEDLDFERVGKPVPVVTTELSESIE ELIKRRIIAKEFDEVIRRRPGLLDRQLTQKARVEIEDSKPQQGLAELYETDHIRTTDS NYVDPKHQKLLKEHTEITNLWKEISSQLDTLSNWHYKPKAPQASINVVTDVATITMED AQPTAGGAIRASAALAPQEIYAPSETTRATGEIVMRDGVPVTKEEMTREDKARFRRRQ KKMAQASANSNQPRSRKALEKQQIVSDLKKAGVKLIGKEGDMMNINGSKIVGTVDRNG AHAIKL ANIA_04297 MFSLSGRAFVRTLHVRPNRGSFAPQYQRVELLSTSAIKAATALE RQGNAGQSLTASGQVRREVPLPSQEKKEGAMQYVLTTLDQVANWARQSSLWPMTFGLA CCAVEMMHLSTPRYDQDRLGIIFRASPRQSDVMIVAGTLTNKMAPALRQVYDQMPDPR WVISMGSCANGGGYYHYSYSVVRGCDRIVPVDVYVPGCPPTSEALMYGIFQLQKKMRH TRITRMWYRR ANIA_04295 MKVFSSLCTFDYSWEEVSTANWRKYCPWNDKSTHVVGVDTLSRG VDPETGILRTERLITCNQSVPQWVSSLFGGSPTSHVYEVSYVDPISKKVTMCSTNLTW ANVLNVRETVIYQPSVTNPSSKTEFQQEAKITALCGGWQKIKNKVEEASVERFRENAK RGREGFEAVLEMSRRVFSEQRALESSVA ANIA_04294 MFTHLNWGLVSRHSKRINAKPNRKYQRRCISHYNNHQPLRTALF FPGHGVQRVGMTRPWIDRFPSVAGNFLEEMDSILGFRLSHVIADGPNSELNKTENSQP AIMATSVLILRILETEFGFDTKSRVDVTLGHSLGEFSALVAGGYIHFSDALRLVRRRA EIMSQCTQQAAVRSGERYGMVALVCEPEHMDDLLSTIHEFLSPSLSDTHDNSSSDNHK EVAVANINSRNQIVLSGSIERIKTLLIQLRQFSGHDPRAVRLKSESPFHSPIMTPAAE YMRSALEKITVNFPADMPCVSNVSNLPFTSAHRLKELLSRQCVDTVRWWDSIRYLDQE RGVKRWIGIGPGKVGRNLVGKEVGRVMAKGGGVWAICDPRDVNETLIALEDTDKDI ANIA_04293 MFVPKAGSATTLRNPRRRQRTSSGESIKAPSAKRQRSILSQDDN PDTGIPTYGAPNHRSSIPTATTEVDSTPAPGGENQKNIPIRTFNATEKRKSDVLGPII LYLNCHVYLTKSETSVQKIADVLSPPAMGMGLLSLNSRPSFGRIPPWSHRPYLPMDAS DVSPLGVILSTATSSTPGLMILMPHNGRIIYWETVSCAASLSLPRQKQTGLQGYVPGM LSGECATDIVNAEPSGVIVTFSTGRVAHITLRDSQGKPAVTVNFLKNPHSTGGIGFLD GIKNVFGAGYWRKKVAAVRAGESFQRGQRDIIIATSAGLVEVWDTHWNNGSILKNQYD VKEDLLAATRKDEASDYEVKIWDLAVVTSSCEDSSNPWEISVLVGLFSGVTIQGVFVT RLRLTDGARVLSTNPISLHTFPTDSLHLEPRLFIPKPHETAFIVIGQSIILLSLTDAE GTSSSQLLIDNGQPRTFHDSINFRSGQAYEILGSGFEDQSEEYANPSCLLMIREFGVV RITALPRQETAETARISAQHKLEQAVFYGTMLGNPLNLSSKGDLDFPVPEIEQATLAI CQGLLRSTSKFIPSAAISIDQNLKLRSKALDDLSRILLDQNKIIDRRIWWELLWGAEK LAAQRAIWRLEASARKRNSSGATFLAHVLGLMNEKFKTKLDDTDDPIRQWFLFDSYRM EHIVPWIFHAIKPQKGNTSKQMRRMSEQILEAGELSLAVLETAFRYRDEHASQFGIGD GYLEEGVLTTNYEGLPEFWTSQSISYSEIGHLLDLELDSCRAIQQTSTSSDGGDQQIS AKIARNSARHLRIMGQMYSERIRWLSAQEDQKLIDEASATKQSHIKQRRWQLFKLAGI GQLNEAIELAESFQDMSALVELIIELQDQNKVVFTNVDTPDGIELDRKISLYFEKFGD AWADAFFGRQISMGQPGILFSAKKFQSFVTRFLHKHQPYARLSWINDVIGEADYECAA KTLEHLALEQEQDVWGHRVKLALAKLSHLARWEKDAPSDTRALQNDIKRLEGLAEMGA VQEVIYSYVSPVLRDAIDQKAEIDLAIDHFAKSTAQKLPSLHELLKEALTGVVTRKML SLDQLVDVLTLIDPSQDPDIGQNDFSGDEFHLALRVIRLGHNAQGDPNYSLALQKLVW RRCIIRDKWDATGGTAEQMGSETESPLTATALSRTLALCLNDRTDPSKPPLYRPTRPQ DVLLNDSDSALISSRFRPEQRARIIRDLERENSTLLRYIEKSELECWFKSLLSSAEKE RTSDDALQTPCSMDAESSLSQTNRKERLSWL ANIA_04291 MAIPRPSTPPEAPLEVTEISERSQSSRWLSRDDRIRILTLRDAG FTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKLSEEDMDNIITFISSSQRTRR LSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPPLSDDTKRASIPESGLPEEQE KS ANIA_04290 MVLEAIRYKDGKLSIIDQLQLPFTERYIEIRTSEEGWQAIKNMQ VRGAPAIAIVAALSLASELHELSIRNKISTTAEDVTAFIRERLGHLVSSRPTAVNLSD AARKLEVIVSERSQTPGSTAQDIVNVFIQAAEGMLAKDVEDNTRIGENGAKWISMNAL PTDHDKAVVLTHCNTGSLATAGYGTALGVIRSLMANNTLQHAYCTETRPYNQGSRLTA FELVHDKIPATLITDSMAAALLSRPETRVNAIVVGADRVAANGDTANKIGTYGLAVLA RYHGVKFLVAAPLTTIDLATKSGGDIVIEERPPSEVTRVKGSREDDTTGDVRLETISI AAEGINVWNPAFDVTPSELIDGIITEKGVAEKDTDGRFHLEELFD ANIA_08870 MAVGKNKRLSKGKKGIKKRTVDPFTRKDEYSVKAPSTFANRDVG KTLVNRTSGLKNANDSLKGRIFEVSLADLQGDEDHAFRKVKLRVDEIQGKNCLTNFHG LDFTTDKLRSLVRKWQSLIEANVTVKTTDDYLLRLFAIAFTKRRPNQIKKTTYARSSQ IRAIRKKMTEIMQREASSCSLAQLTSKLIPEVIGREIEKATQGIYPLQHVHIRKVKLL KSPKFDLGALLNLHGESTTDDKGQKVEREFKEQVLESV ANIA_11131 MGKRKKSSRQPQQPKKKEPLPSTFACLFCNHENSIVVKLDKKLG LGHLSCKVCGQRFQTGINYLSAAVDVYSDWVDACDAVAKDTASKYEADEYATRPSPRT YSPEGRGIGVAEPASDLGED ANIA_08869 MSVMSGPAEPIPSPAQEVGPVYRSDRGKPTATVSKSISHENVHV LPQTPQLIALLTMIRDQNTGRADFIFYSNRIIRLLVEEGLNHLPVVENSVTTPVGRSY LGVKFEGKICGVSIMRAGEAMEQGLRDCCRSVRIGKILIQRDEETCMPKLFYEKLPTD ISNRWVLLLDPMFATGGSATLAVETLKAKGVPEERILFLNLIASPSGVADFAQRFPKL RVVTAFIDQGLDEKKYIIPGLGDFGDRYYTL ANIA_11130 MSADRKRPVTYGKSPSHRPEPILRGLGDTSTRSPKSRTSPSDGF TAFSSPESSIRSPQHSPLSENSTSSSPSKRENERSVMQRKRRKLTNEETCRDRTQSQI NSEASADINLCGNEDILLEISHRDDNQSSSSYWRSVPRQKHKSEPIGREHEGDNAQSV SQKDDPTCTRKRLVDSLGTTGNDGAVIIPISDAVPKSAHSSQVNVSNEAELVSTRPGS TRESVKIVTRDPGRLQNLTGPKPPISRSSRFTYSRQRSFLNDPLSLTDSEPLGIGSSY YFDTEKELRSAHVSRIPPAEDDTHDMKPVRSIHELRQAGDNARFREVVDSLFEDIEDA HTTSSGRCRGLAELCAKLLDSEFVYRFSEQGFDERLVNCTPKSLDIVSASLVLSAYKL IIIGGHASCIFSEAVWAKILELLPQFLDMDADLNTLAREPSIGLSRTAQASVRGIRSH LLPVIGAPSPYLSPQLLAVDCTESSLKVLRQSSHTICSIPASLLNRLVDFLIAKASAN MNGHTLANETHFLLALFSILENYSVISEPFDRDHRLCFQRLSQLHGLLFLDHYDRSRQ VSMSYVRVILNLTNREPTLCASFASQELVSGLAKIVVGNFSNVSNRSLVQEGDSLNEV ILALGTLINLSEKTEQARAILVHADGSAVPIFHQLLEQFSSSINAMDQVRNMLFLIIL V ANIA_08868 MPSAPKQRKIAIVGSRSVGKSSLTVRFVEHHFVESYYPTIENTF SQIIKYNGQDYATEIVDTAGQDEYSILNSKHFIGIHGYIIAYSVASRQSFDMVRVIRD KILNHLGADYVPLVVVGNKSDLKPEQRQVSLDEGRQLGEEFRCAFTEASARLDFNVAR AFELMIGEIEKSQNPSQPTGGNKCALM ANIA_08867 MLDLADFITDRGGDPNKIKESQRRRSAPEAAVDEVITLYEEARK ARYEVSQINSKLNGLLREIGKKKKNKEDATSLLEEKAKLESQRKEAESVALQKEDLRD KKIRTIGNYVHDSVPVSNNEDDNSVIRTWFPEGFVAEKPNCLSHHEVLTRLDGYDPER GVKVVGHRGYCLTGYGLFLNLALVNYGLEFLWKRGYKPNQPPHFMLREMMAKTAQLEQ FDEELYKVTESEDKSTDKYLIATSEQPLSALHDSEWLQDKDLPIRYAGYSTCYRKEAG AHGKDAWGIFRVHQFEKIEQFVLTKPEDSWKAFDEMISTSEEFYKSLGLPYQVVSIVS GALNNAAAKKYDLEAWFPFQQEYKELVSCSNCTDYQARALGIRYGPKKTTDAKKSYVH ALNATLCATERTLCCVLENYQTEDGFIVPEPLRKYIPGAPEFLPYTKELPKDSTSTKA RSKPTTKSASGADEVSRNLKDMQL ANIA_08866 MAASARDIKTGKDTLSLNVSQSQSFSTSPNASFQSAPSSHSGSA FATRLGPIINAKHLKPFATEDIKVLLLENVNQTGRDILSKQGYQVEFLKSSLPEDELI EKIRDVHVIGIRSKTKLTERVLKEARNLIVIGCFCIGTNQVDLQYAAEHGIAVFNSPF SNSRSVAELVICEIIALARQLGDRSNEMHNGTWNKVSNKCWEIRGKTLGIIGYGHIGS QLSVLAEAMGMSVIFYDVVNLMELGTARQVSTLDDLLSEADFITCHVPELPETKNMLG PRQFELMKDGSYLINASRGTVVDIPALIHAMRSGKIAGAALDVYPNEPAGNGDYFNNE LNSWGTDLRSLKNLILTPHIGGSTEEAQRAIGVEVAEALVRYVNEGSTLGAVNLPEVT LRSLTMDEPDHARVIYIHHNVPGVLRKVNEILGDHNVDKQMTDSRGDVAYLMADISNV DNATIKDLYERLESLSSRIMTRILY ANIA_08865 MGIASLERDTKGGTRFTGCTSIREFEFLGKLGEGTFGEVYKARA KRDGSIVALKKILMHNERDGFPITALREIKLLKMLSHTNIMQLREMAVERSKGEGRKK PSMYMVFPYMEHDLSGLLENPEVHFSEAQIKCYMIQLLEGLKYLHGNCILHRDMKAAN LLISNQGILQIADFGLARPFDEAPPQPGKGAGEAKRDYTTLVVTRWYRPPELLLQLRR YTSAIDMWGVGCVFGEMFKGKPILAGNSDLNQAQLIFSLVGTPTEENMPGWSSLPGCE GVKHFGNRPGNLAEVFKDQGPMAISLLTELLKLDWRKRVNAIDALKHPYFSTPPLPAR PGDLPSFEDSHELDRRRFRGQRAAMPPAPAGGSVGMSRNGGWSTNSGSRTGAETRNPR ISSAARSQGNQLRDAWSNEPQQAWQRRGNEELKDPNHSFSSRHRDGGLPPKPPAPIQH SWASGHSDKTGRDRGYGARYGGPEGSVDSYVPNYGGSDRNRDRDQGTAISDRRGSYYD KSHQTSKLDYSREIPSRRRSRSPNYRERVDRGPYRR ANIA_11137 MAANHPIHIHPARPFYRFAATALGASMWFFLMYRAKKDGPALLG WKHPWDH ANIA_08864 MEHSYTASDAQSPVQAAIFMPQRRDSLRSSYSVVTDVGMARSEI FDGPISESIPSSVASFSRRRSRRNSTITFSQHHEQEDAIEWPRGRSMDLEDQVDDTIS PDIDDNGSTKFSYRSRQSAYRRSSIEDPLLPRRSLSFGSSNNEWKSKDRIKQEIHIAS EDSTLVITGFRTATTGAVLYFFLCTVSLGFAFLIFRWLPRWKIKLLGKYSSLSQCQWA VIEDQWGQLSICEVRDQAYERPLSTIFADSYRYTYDEERNPTVEHLRYLDYRYLRFFY HPLQDKFLLISGWKDPSWTNAKTMRVGLNADERDSREQIFGKNAINIQQKSLFQLLID EAFHPFYIFQLASLVLWTLDTYYYYAGCIFFISVFSIGTTIIETTSTTRRLKQMSHFE CDIRVLRNGFWRSVPSQELVPGDVFEFSDPSLNQVPCDCILLSGDCIVNESMLTGESV PVSKTPLTDDALDHLDLSAPSVHPNVAKHFLFNGTKIIRARRPQRVDDDEAIALAIVM RTGFLTTKGALIRSMLFPKPSGFKFYRDSFRYISVMGIIAVLGFVASFVNFVRLGIRQ LSWGLIIVRALDLITIVVPPALPATLTIGTNFALSRLKGKKIFCISPQRVNVGGKLDV ICFDKTGTLTEDGLDVLGIRVMTQHQRLSELIPNASPKFITPSTHMACSETYDVIIRI MATCHSLRAVDDELLGDPLDVKMFQFTGWSFQEGRDQTPDHRNLEHETIVPSIARPPN YTHVNCDALDAMNLRRASVIVRQFGDSGATIFVKGAPESVKDICSPESLPSDFEEILS QYTHSGYRVIACAARYERRLSWMRIQKMTRTDAESNLEFIGFIIFENKLKPKSADTIS ELKQANIRNIMCTGDNILTAISVARECGILGADEPCFIPRFIEGSISCPFALICINSS RSPTVAAPILGPSFDRGEVDLSVPGIACNALNYSLAISGDVFRWVLDYEDEIVAKRML VRGNVFARMSPDEKHELVEKLQSLDYCCGFCGDGANDCGALKAADVGISLSEAEASVA APFTSRQFDVSCVPTLIREGRGSLVTSFCCFKYMSLYSAIQFATVSFLYTNASNLGDF QFLFIDLTLILPIAIFMGWTGPCDILSRKRPTADLVSRKVLTPLLGQIVIVVFVQFLA YKSVQSQPWFRAPNIELGEDNIENSENTVLFLTSSFQYILASIVLSVGRPFRKSMRTN VPFLTVIVADLTFSGYMLFRPSTWIKQVMQLTYLSDAFATWLLGLVLGSFLFAWLAER KLFPQLARIIGRLQVLMRPGHQKQRRQYKTLLEDMSR ANIA_08863 MSEPIRNKKADFPVAPTPQNTPANNAPISSHAQQPGVSSIKEES LDHATAASLFARNPGLVSMIQGKLGSLVGRSSGYIESLPVSVRRRVAGLKGIQKEHAK LEAQFQEEVLELEKKYFAKFTPLYERRATIVNGATEPTETEIEAGKGEEEEIDAKDED LKQGEDEKESAVTGIPEFWLSAMKNQISLAEMITDKDEEALKHLIDIRMEYLDRPGFR LIFEFSENEFFTNKTISKTYFYKEENGYGGDFIYDHAEGTKIDWKPEKDLTVRVESKK QRNKNTKQTRVVKITVPTESFFNFFAPPQPPADDDDTVATDIEERLELDYQLGEDIKE KLIPRAIDWFTGEALQFEELGDDMDPDEFDEFDDEDDEDDDEDEDGRGSDQDVDDSDE EDGTSKPKKEAAECKQS ANIA_08862 MAHNYEVGTRAWQPDATEGWVASEVKEKLVDGDKVRLVFVLENG EPKEIETTQAELQVDNNPNLPPLMNPAMLEASEDLTNLSHLNEPAVLQAIKLRYAQKE IYTYSGIVLIATNPFARVDSLYVPQMVQVYAGKHRASQAPHLFAIAEEAFGDMLRDGK NQTIVVSGESGAGKTVSAKYIMRYFATRESSDQPGKYTTSRADAISETEEQILATNPV MEAFGNAKTTRNDNSSRFGKYIEIMFDDKTNIVGAKIRTYLLERSRLVFQPLKERNYH IFYQLVAGASDTEKQELGLTSVEDFDYLNQGGTPIIDGVDDKTEFIATKKSLGTIGVP ETIQSEIFRVLAALLHLGNVKITATRTDSTLSPSEPSLSRACEILGIDANEFAKWIVK KQLITRGEKITSNLTQQQAIVVRDSVAKFIYSSLFDWLVDKINRGLATDEVLNKFKSF IGVLDIYGFEHFAKNSFEQFCINYANEKLQQEFNQHVFKLEQEEYVREQIDWTFIDFS DNQPCIDLIEAKLGILSLLDEESRLPMGSDEQFVTKLHHNFAADKQKFYKKPRFGKSA FTICHYAVDVTYESDGFIEKNRDTVPDEHMEILRNSSNNFVKEILDTAASVREKDSAA VSSKPVTAPGRKIGVAINRKPTLGGIFKSSLIELMSTINSTDVHYIRCIKPNEAKEAW VFEGPMVLNQLRACGVLETVRISTAGYPTRWTYEEFAIRYYMLCHSSQWTSEIKDMCH AILRKALGDATQQKHDKYQLGLSKIFFRAGMLAFLENLRTSRLNECAIMIQKNLRCKY YRRRYLEARLSVLATQSLVRGFLARQRAAEIRRIKAATTIQRVWRGQKERKRYNQIRD NVILLQSLSKGFLCRRNILNSIHGNAAKTIQRAFRSWRQLRAWRQYRRQVIIVQNLWR GKKARREYKVLREEARDLKQISYKLENKVVELTQYLESLKRENKSLNSQLENYETQLK SWRSRHNALENRSRELQAEANQAGITAARLTALEEEMSILQQNHADGQATIKRLQEEE RISRDSIRSANQELEKLKQLNAEAESERTSLRQQVIDLEEQLEVAKRTLPLQALNGDQ QNGGSVPPPANGLINLVSSKKTKPKRRSAGAERIDTDRFSGAYNPRPVSMAIPSALGR QNYSVAALSSGLDSVEAELETLLSEEDELNEEVAIGLIRNLKIPAPNSTPPPTEKEVL FPAYLINLVTSEMWNNGFVKESERFLANVMQSIQQEVMQHDGDDTISPGAFWLSNVHE MLSFVFLAEDWYEAQKTDNYEYDRLLEIVKHDLESLEFNIYHTWMKLLKKKLYKMIVP AIIESQSLPGFVTNETNRFLGKLLPSNNNPAYSMDNLLSLLNNAYKAMKAFYLEDSII TQTVTELLRLVGVTAFNDLLMRRNFLSWKRGLQINYNITRIEEWCKSHDMPEGTLQLE HLMQATKLLQLKKATLNDIEIIQDICWMLSPNQIQKLLNQYLVADYEQPINGEIMKAV ASRVTEKSDVLLLTPVDMEDSGPYEIAEPRVITALETYTPSWLQTPRLKRLAEIVSAQ AMAQQDKPENTENGVME ANIA_08861 MKTINFITGNKNKLAEVRAIIGNVVDVQNQTVDVPEIQGTIEEI AKEKCRHAANAVGGPVLTEDTALGFHALKGLPGPYIKFFLEALGHEGLNKMLDGFESR GAEAVCTFAFSPGPGSEPILFQGRTEGVIVSPRGPANFGWDPIFEYEGQTYAEMTKEE KNKISHRYKALVKLQQWLVDELS ANIA_08860 MRTSPNIIITGTPGVGKTVHCEQLAQDTGLRHLSINQIAKDRDC IETYDEELKTWVVDEDKLLDAIEDEVLQGGYLIDWHACDLFPKSWIDLVVVLRCPSTS TLYDRLSSRGYHETKLQENLDAEIFGVLIEEAREGFDEEIVVELNSEEDGDVEANCAR IASWIENWKSDQSKNSD ANIA_11632 MNRPRLCFLALGFRHSMTIVGIEVREQGNANILVFDPSFKTPSV IKHAMGANLKTSEPARILKGYRKGVNYLQKYQTFELLEYAPQS ANIA_08859 MNGQLQSNPDILSTLKDIPSASHNGNWVVQKFGGTSVGKFAHNI IDQVVLPSLSHNKVAVVCSARSSSTKAEGTTNRLLRAARDAENSQSKQYLSFVEAVRL EHVDVVESQINSAELRTQLTSAINAECERVLKVLEAAQTLGEISARCVDKVISTGEKL SCRLMAAFLQDRGVDSEYVDLSEIVDFHISGQGLDQEFYDNLAAALGRKVHACENKVP VITGYFGTIPGGLLDQIGRGYTDLCAALVAVGVQAGELQVWKEVDGIFTADPRKVPTA RLLPAITPAEAAELTFYGSEVIHPFTMEQVIRAKIPIRIKNVMNPKGDGTVIFPDSTY ELERTTPGHDPRLFRTRSPSLLQRPKRPTAVTIKHNILVINVHSNKRSLSHGFFAGIF SVLDRWKLSIDLISTSEVHVSMALHSERPLLNGVGRDEYQIIDEDLKGALSDLQRYGT VDIIPGMAILSLVGKQMKNMVGVAGRMFSTLGENNVNIEMISQGASEINISCVIEERD ADRALNIIHTSLFTFLD ANIA_08858 MSPKQPAKRVLGAHSSQDRTTTIKPQPRLEPSPLPLQSTENPPS HHKYVLNPTSTSPNRVSPTKFSRESRTSGCAQARLGYVPITAPAPPTFTTDSPIKKIP LETYSHPTPSSAPMPQSALFTTFSSVRNVKHTTTGDLDVDVPSADTFADFPEPSQLAK HSFKRSLLDAAPLKERTKKPKGEEVTTVQLPEPHELPPIEDDGTKPPYSYATLIGMSI LRAPNRRLTLAQIYRWISDTFSYYKNSDPGWQNSIRHNLSLNKAFIKQERPKDDPGKG NYWAIEPGMETQFIKDKPVRRATMTSMPTLSITPQQEPTYSQGSSATTWAVPPPAQHP VSKSSKHVDLSSDATIPASDPALQEDIGDDTAACLTTNPPRSSPPQPIHSSPPVAPPR FARPATPPTPCHPSIPSDGPRHRKRKSNTMNDSGYFSSLESSAMRSNKASHYLTSDTD IEPPRIKRGRAEEEIARIRSSSRDISPSHSGYLKETGIVVGSSPVRNEYINVLAGPLT PVIKFKKPAKPPPSVSPNTNLRNHRKKIQHMVNSPIKRLGLDEDLPWSPAFNIHDEAY TPHDGLHVSFDVFADPTTEPVSNPAYGSPEKRSAKRARTEAHGPTGNALADITALSAN NRIGGLKPLSPNKSKRLFFSDSPSKLPDSGRFIDSAHDDFFSWHLFDDSPQEVDGVDL LQGFQKIGGSSKDDASKSRSHISQPTFQRGSNTRP ANIA_08857 MPPIRTSRNRKPPPAGFDDIEDTLLEFSNKMKDAENAPHEGKKK HEVLWPIFQITHQRSRYIYDLYYQKEAISKQLYEWLLKNGYADANLIAKWKKQGYEKL CCLRCIQTKETNFNATCICRVPKAQLKEDQIIQCVSCGCRGCASSD ANIA_11136 MEQTLDVSKLSEADQKELHQILQTESQKAAIQQNVHHLADACWK KCITSKVTSSRLEKSEEACAMNCVDRWLDTNNAVLKHLQAMQKQ ANIA_08856 MAPVQKKTGKSKPSDKAGAAAKAVLKGAGAHKTRKIRTSTTFHR PKTLQLSRSPKYPRVSVPHLPRLDAAKIILYPLNTESAMKKIEENNTLVFIVDVKANK RQIKAALKKLYDVETVKVNTLVRPDGLKKAFARLTPDVDALDIAATKLAIV ANIA_08855 MATKKSENRRPALLSRTRPPTVQKKNAALSAKATRTLIRSHHTL LKQRAQALKSGDQDLVRKLEADIRANGGLKSYQIASKLGQSLDRGGDSSRVLVNWISP SLNVLRSTSLKLRVLEIGALSTKNACSQHKHLDVTRIDLDSQEPGILKQDFMERPLPL SNDERFHIISLSLVLNYVPDPVDRGEMLKRCVTFLTKEPPQGSSITIVPRLFLVLPAP CVTNSRYLTQQRLHDILTSIGFSLAEDKQTTKLIYQLWEYDGICQPKQFKKELLNPGK TRNNFAIVIKSGSQ ANIA_08854 MFEDDSEAPGCQRSEAYRTSSTASPLDSGAFPDHQPNESEYLNG RSRQAGGVNSIDNFARSWQRAACFPEVFPRRPSFATVDSDEEWQSSSGNFIRSLGSSH VDLDPALTRPLLSDYGVSSGQRDGISSSCHLEPALGTSYGSVSSRVSESARKHVIEFH RQQQAYSEPAVGHEGEPLLVKQVQHEDGTRESIVVGQSTVPQTVFNSVNVLIGVGLLS LPLAMKHAGWLFGLTFLLFAAIATSYTAKILAKCLDVDRGLVTYADLAYISFGHRARL VSSCTFSGIEHYPMADYIMSIPFLLKGANTQLIALVVAITCIDGFIKPHAPGSLREPA QTHLLPENWGTVPLSFGLIMSPWGGHGVFPNIYRDMRHPHKYGRSLWSTFIDIMLTSI LWQYSLDCAMAVLGWMMFGDTIRDEVTANVLTMNEYPQLLSICIIISVAVIPITKVPL K ANIA_08853 MSTVQQANEAEKNIEIWKIKKLIKRLEAARGNGTSMISLIIPPK DQVSRAAKMLAEEFGTASNIKSRVNRLSVLSAITSTQQRLKLYNKVPPNGLVVYCGEI ITSEGKERKINIDFEPFKPINTSLYLCDNKFHTEALSELLESDQKFGFIIMDGNGALF GTLSGNTREILQKLSVDLPKKHGRGGQSALRFARLREEKRHNYVRKIAELAVQNFITN DKVNVAGIILAGSADFKNDLNQSDMFDQRLQAKVIKVVDVSYGGENGFNQAIELSAET LSNVKFVQEKKLIGKYFEEISQDTGKVCYGVEDTLKALELGACETLIVYENLDVTRWV LKNSEGNEVVVHTTKAQEENKEFFLDKDTGAEMEVVDQSSFLEWLAENYKDFGATLEF VSDKSSEGNQFVKGFGGIGAILRYKVNFEQLADYSDEDEFYDD ANIA_11135 MAPFKVTFLGPAASFSHQAAVETFGRSSELIPCLSFADAIAAVQ RRDADYAIVPFENSTNGSVVQTLDLLVDRNGSYNDVKVCGEYYLTVHHCLLARKGFIS AARRNYSSITKIYTHPQAWGQCEIFLAKYFKGVERQDVSSTSKASETVLKTTSEVNAA IASRFAGEYYGLDILEENIEDTANNTTRFLVLRNVYSTTTIQFQPELARELSKSPAVL ETKKALFSFMVRQDTLGTLADALLIFKDRGLNLTSINTRPSHIQAWKYVFLVESETDQ NQEVPDIMDSLRKVTENCKHLGTWANKLVST ANIA_11129 MAGSMIRYNEIAARYTSKLGMKKATSHFRRSRYWLRNACARRSY TSFPERLHHELTCRQLPLFFDYLQPQPSHLLDLTLKDLFPKLDVFPHSQTLPTIARPS YLPPAHHLVYFPPQVTLSQLLADGTDILHAPGPPFNRRLWAGGRVRLAVNNKLSLNGG RAVCIEGIRDVIVKGRPGDEKIIVKIERQIGTVQENETEKEIRNRIWSDGEDMKADAS IVENRDLVFMRDKTVNQLDEDKAKFSQAPRTIKSPADPIFRYQMKPTRALLFRFSALT FNAHSIHLDRRYTSDVEGYRDLLVHGPLTLTLLLTALRHYLHGRGFSVSDITYKNHAP LYVEEDIAICGKPKSTGDGSWDVWIEGKDGGTSVRGTARVGSVGE ANIA_11134 MDKPLKPRHAMRLKALAAATARHPIQVRRQYLSLKHIHSPTLPT RAVPLTDVPPSFPSIHTSQEPSPVDFGFPHIAMSSST ANIA_08851 MAKEVDYTIKPEATASNINTEDWPLLLKNYDKLMVRTGHFTPIP AGSSPLKRDLKSYINSGVINLDKPSNPSSHEVVAWMKRILRAEKTGHSGTLDPKVTGC LIVCIDRATRLVKSQQGAGKEYVCVIRLHDKIPGGEAQFKRALETLTGALFQRPPLIS AVKRQLRIRTIHESKLYEFDNERHLGVFWVSCEAGTYIRTLCVHLGLLLGVGAHMQEL RRVRSGAMSENEGMVTLHDVLDAQWLYDNQRDESYLRKVIKPLESLLTTYKRIVVKDS AVNAVCYGAKLMIPGLLRFEAGIELGEEVVLMTTKGEAIAIGIAQMSTVELSTCDHGV VAKVKRCIMERDLYPRRWGLGPVALEKKKLKSSGKLDKYGRANEATPAKWKSEYKDYS APDGDSSQQAVDVVAKEEASPKEEPSLEANESKMDIDDAQDDEDKKKRKRHEGETPEE RAERKRKKKEKKEKKERRKSKQEKDDSDDSD ANIA_08850 MSGNQTPEQTLATLDVMISRMQGLKRKMENLQQEEKKIHHQSRK RIQHLNQLYQIPSLTDVKYDQWSRVRLDRLVIDHMLRSGYSESAQRLARAKNIEELVD LNVFVQCQRIAESLRNGETKDALQWCNENKAALKKSQYNLEFELRLQQYIEMIRTRDR AKFVDAMVHARRYLAPYDETQSAEIRRAAGLLAFPPNTRAEPYKSMYASERWVYLSEL FIRTHHELLSLPSRPLMHIALSAGLSALKTPACHSAYTSSSSNSHSTATSVCPICSTE LNELARNLPYANHTKSSVENDPVVLPNGRVYGLHRLLDMSKKLSSLEAGKVRDPTTGE IFNESELKKVYIM ANIA_08849 MARLKRARALHQHQNAHSGPNTSHGTGRKHRKMSSFAKLSTKNN YKNSVTKENNEKNIAPPRKNEKHQQKQHTRPIVPFRRKDRILLVGEGDFSFAHSLATY HRCKHLLATCYDSQETLFAKYPQAEKKIAEIISLKTKNQLKSGRKDNDAANPQSLIGP KVLFSVDAKKLGSATGGARDVRTGFSRQERKEPVWKKHDGNKRSTRSAKATEDTASNK GKGTGKEKGGPWDMICFNFPHVGGLSTDVNRQVRANQELLVAFFKACVPLLAERPEEL GDDAEVDDDWEFETDVEDESEESDGDYDEENGIVKAKDERRTEPGQVLVTLFEGEPYT LWNIRDLARHAGLRVVTSFKFPWACYRGYSHARTLGEIEGKDGARGGWRGEDREARMY VFEVKTDDHPQLGATGKRKKRSTDMDESDSD ANIA_08848 MTDNRKPEDYTIEMDKLGQNKNYQAPPPPPQPRSSTASSISNNA ALSVLAYCGSSILMTVMNKYVLSSDFNLNFFLLCVQSLVCIIAIQLCKACGLITYRDF NLDEARKWFPITLLLIGMIYTGSKALQFLSIPVYTIFKNLTIILIAYGEVLWFGGSVT NLTLFSFGLMVFSSIIAAWADIKHAIESSGDATSKVSTLNAGYIWMLINCLCTSSYVL GMRKRIKLTNFKDFDTMFYNNLLSIPVLIVCSGILEDWSPANVARNFPSADRNGIMFA MILSGLSTVFISYTSAWCVRVTSSTTYSMVGALNKLPIALSGLIFFDAPVTFPSVSAI MVGFVSGIVYAVAKIKQNAKPKVGILPTTNPVSASSQSMRDSLRS ANIA_08847 MPQETPPPIQQETDKHDLSDDDDALFKALEEEDNTGYRAHRLEQ LSAEFATAQKSSSQKTTLVEDSLYPTLKGDQVLLDYTTQTQRCVIHFAHPEFVRCATM DNHIRALAARHQDVRFARVDVRETPFVVEKLKIRILPCVIGFLDGVAVERVLGFEGLG PGGTAGLEGFNTASLEKRLLGKGILSQARFSADDEEESDRDSDEDNDARQGRRGIRTG ITRAYKDDDDSDWD ANIA_08846 MSNPFGSAWRSFWHTMTSYDRHASHDSPYRTGKHVPLSQSRHEP LTSIATSAIESRPDLTSPYEDEQANGISSPSRPYSPGMRSMSSQARRSADHGAEGVPE IQMQSFHDGAPPPPPVSHSWRKIERWLEHNYEELYDNLCEGCTQNDINELEHELDCSL PLEVRESLMSHDGQERPGLPTGIIFGCMLLDCEEIVQEWKNWRTVNEEFLASPSTVNT PLPKATASSSSAPPPPQASNPLWRQELLERQDSQPPGAVQKAYAHPAWIPLARDWGGN CIAIDLAPGPAGKWGQVIIFGRDYDCKYVIARSWAAFLAVFSDDLCGGKAVVDEDSNE LKLLEFKAQNVEPPYLEIMRWRTDQKYGRKQPRRKAPNGLGLSTGSRSGKESPYGSPG PSEERGRSPHRFPNRGSAQSPKMQFGISSPLARVTEEVSSPVHGSAESEVVDEGNKHG TKESQAEDLLEVAPQKASGKENEALEEQPPANKADTERSQKRESTPGSDNEVLGEMKN VAI ANIA_08845 MPTQSPTPLTPKDSRNNRRNQKRNITASAQNVSALATPPSSPPK AVSPREATTDSSSAIIFKKKGNRSAKKLRDISRMSPVNKPGHRHTSSQSNNNIATSHM KETHYAGPTFHASPAPSALPIPSFFSKSFPESDLAPAFEQDSDSFDADGDLENTPSKP RSRPLLSHEQRESTPLDFLFKAAVEARMSGPQQSPDQSPCFRSPQTDSKAMQHRKFNN DNAGIFPLEMGGSDLNQPQIGPSFAPSYKDRMNALQSASSVSPDLHSLDENERKAKTE ALKSLLLNPRPQRPSLTSQSHHDQFNYKRERPILSPMVPHFATPLRTSSGPPVMTSNG DMLDKGSSVSKFGPRQSTHDTHSSSPQRQYLPDSTILANGILASNPGNAPTTPRQAYN LPSRVYPSEMPAPRQHANYYPAFDTKSPAFQPPPANASSPAVDTKKIEDDLRRILKLD VNPSIPSSEIQSSLA ANIA_08844 MADVEMKEASSSKTKAVSKAEGSGDGKKKFEVKKWNAVALWAWD IVVDNCAICRNHIMDLCIECQANQGSSTTEECTVAWGICNHAFHFHCISRWLKTRQVC PLDNKDWEFQKYGR ANIA_11133 MDDFLAPIQDAFEGQIDFSGQRTTELLSTALLTISGLSAFLVGY ILQDIHLTFWTGLAGSLFTGLIVIPPWRFYNRNPEKWLVPSAGGKGS ANIA_08843 MSSKSFVIRTPCSSANIGPGFDVIGLALSLYLELQVTIDSSRTS SQQPLNCVITYDDQSNSSEKISLDPEVNLITRVALYVLRCHDQRAFPVETRVHIVNPI PLGRGLGSSGTAVVAGVMLGNEVGQLGLSKDRLLDYCLMIERHPDNVAASLFGGFVGT YLNELKPEDVARKEIPLSEVLPAPAGGIDTGKRPPEPPLGIGHYRKFHWAKEIKAIAI IPDFVVPTANARNVLPTSYSRADVVFNLQRAALLPAALGSSPPDPDMIYLAMQDKVHQ PYRQTLIPGLTEILRSMTPSTQPGLLGICLSGAGPTILALATERFSEIAELIIARFAS QNISCKWKLLEPAHDGAIVQR ANIA_11138 MSESAKSEKFMDLTRFSTPVPELDDHRFQLDNQHRMEATLDVTL SRQNTAQQGIAEVPQRPDLLQVQDAYRDSGPFLRDFEQAILDDDRSANDVNAVGRRVS VDPTGNARHGRTYSRTHQDIGNRSRESSVSARSSSPPNSVDAFADPRRRERANTLESH GPPDLEAILQRTVSGGTRRPTFSNASVIRPQPGDLDSPEDTCVPHFEEPGRIPVIDYE ELEEFVALNQKTKPSSNQRKHSLSSQDRYSRAFRDLRPSTEIATGVDEKHSSSAEVSF DDSTPSKFNDKIFNQANEKELLDKLKNENEPTRFGFFSSESQSTVHAAELGDLVLPGD SFRDLFQLGPEGGVWWLDVLNPTEAEVSAISRAFSIHPLTTEDILTQESREKVELFKQ YYFVCFRTFYQLDKTSEQFMEPVNFYMVVFRDGVLSFSFTENPHAANVRKRIGKLRDY VSLSSDWICYAMIDDIVDSFGPVIREIEVETEAIEDLVFIARMDDFESFLPRIGNLRK KVMSLMRLLGGKADVIRGFSKRCNEQYSVTPRGDIGLYLGDIQDHVVTMMSNLAHFEK MLSRSHTNYLAQLNVTNLVLGNHANKVLSKVTLIATILVPMNLICGLFGMNVHVPGQD VPGYGWFFGIIGVLAAVVIISGLAARFYKLV ANIA_08842 MQRQNAPAQSRFGARVSVTILLAVLISAFATGTDLGPLTSDYGQ GRLLAKDDTLGGFPYLLGSFSGLGIDREGDRAREPQGLDILNRASDDRRSLGNNRFGE SEILMGELQQWLFVPDSTAGNSSDAQSDNISKRASTAVYVSLTICSAPILNESISNTA QALPQLAVYVSTSDSLQDPGPHHKSDSGQTVYHSSEGYMSATVSATSEVYIAVAAPSN GNFSGSYSYQLAVSTEDFFHNVDDRAALLSFNDSGSETALLTTVSPADEILTEEQRKQ WENNTTVYKLFVNNANSTIGSGLSRSYCALAQHSQASNGHNVEASMSRRKSDDSTFQE QFYVTGLNRSSTYVGILAMGNTTEFGNGIVGGGGKVWKAKKFSTKSDGNCDVIYDLDF CSDVAHSVPSNPSMNMSEVRAKYDNYAANLYRNFNFSLQQIQCNTSNETIYSMAVSCD DCAAAYKSWLCAVTIPRCDDYSSTSNRTAVMVRNAAQPFPNGTEITNQTLRDSPITNR PRNSGLIDTEINPGPYKEVLPNVSFCHNLVRSCPMSLGFSCPSGKYLTYSYDTSAAPS GRISNPLWAVIMVYFTIVMVF ANIA_08841 MSPSQALTRRDSETSLMPPPPPKRIKRPATVLDEDVYTDALSHI IARDYFPGLLESQVKQEYLDALDSKDKEWITNSRKKLRDLRVTPGRSRPPLFSSGCMT PGLRGVGVPGDTPAGWGGETPMTTVSATTAATDTQEEMGPDVSKMGLLEFQAKYTSED NESFNKLLDKQNTKRREKHAWLWSGNKIPTARQIAHRQRETKRIEAQGGNPDRQIAIK TNLDARPAKPDAWKTQAENSLMFLPSSVEDSYETIQQKAEAASRAGPKRVIYRNTRLP EESPSEASKALPPSPSISAIQDAIAGRPRQTETEAEYTGGETPRVNGYAFVDEDEPES RSEFDDLRILGTSDTTPNPFEIKQNRRREDLHHRMVDRVARTKRAEKAAKTTKTPLTD TPRFASSPRLDFGLRTPGSATPGLGGVNKALTPAAQKLLSKMGTPRREMSTSSSSSSV LKNMWTPRSRKQK ANIA_08840 MVKDTPARLVYNFDEYGFQPGKGKSRKVISSKGTPNFAESEKGK NITAIKYIAADSWVIDLFFIFKGDGIFMESWFANKEAVAEEKGLQRQWKKVHDKKPPP ASIQENKVSNELLKAAEENSEVFFLDSQAIPSNIENWQLRCGWKLRCGSPTAGSGVGG LEVTDTSPPPHSRLCAC ANIA_08839 MPLNPHFSVSAPRQMAQDLDRPSTGASSDTPEHSVHMRSRSTAH TQSPKRLSVFSGRSRSNTTTSTPSVTSSRRSQASSMTSNENGPLPLAPEDRTPSTTGV RHDRHESATKSLFLRGSRILRRQGSKVNMVGSLNEEDDAERDKSRFDFGRRKSRSNDN HEHMKRMISDPFDFHHLTHTSPAQFEALGKTRENELVTEFSAIRASQKPVANLKGIRA EDIHMRSPSTEDLSQCLPQHDNNGQSPASPPRSPGAKSISPQVLEYRPLRESRVFENF SRPVARHQRGDVASSPPRASSPRLASSPEIPEPSPRVIDEILDLDSRQQHPGHAFDAD DDLVHSTSPMSHHVEAMMHPNIGHAITAGLDSDGIEVRSTSAMASPTSELDDVPEEDE ATHWHDSPESRHSEYPASLGSQVSPKAIVAPKSRLSIDVAKELSKKFTEALGSPTLPQ YLADRETTSVDDQRTQSTLRRQSSVHKTIHETIYESWDDDIDYCYEHAAESNSDFDWA RSSFELSRGDNVGVSITTSSSAALSPNTSVSSANPRYLSTPFVSTPDLDPSSSQSVPS SRLAITPSSAGCEGEKDGDFFQPVSSSALSGALSKHISPDTLYEDFLAADGESDRHFS YYSQSGFQAIDQPVSPRSSFSPISKYNSQESLILSRAASIVRKHRSSVSTTSVPELVH SLASSRDFSSSDPGLSGEQAELGHDSFRSSNHRQTKSLAREIETQIMSRPDGNGSHEP IKLTGIYPHDRAKSTSEVEAAPTVNNTMRPQLPSKSALRKKGRTTSYSLFPSPTLPSP ATS ANIA_11128 MTSLRNKYSNGIVNGDSLPVTGVLANSRFSDIPSAIDIPASTLD SEVEVSLEGLPDDPTELCTLLENERAAKNFWVIIALAYAKQKQIDHAIDILNKGLASV AQGATKEKLGLLGWVCWLYLLKSREAPRVVSDVGLGTEARTKDYYIQQATGILNEASR LNPSFPPLFLARGVLSLLRASLHPPRPVRPGAVDNSERVESLRQALKQFDESSKAFGG RNAMAILGRARAHYLLGRYAEALEGYQKVLMRMPGLTDPDPRIGIGCCLWQLGFKEQA KAAWERALALNPDSKVANILLAVYYLYDSSRHATTDPAFGSLYKVAMTQYTQKAFKLD KEYPMTCALFGSYFLLRKAYSTVDTLARKSIENTDVMQIASDGWYLLGRKCHYEGDLA KAAEFYHRSDQARGGGDKGYLPAKFGSVQMQVSNKDYDGAKFQLEKIIQQTKNPECMT LLAALYAEEVFAAQKSGMKEDKSAEAKKAITLFEAVRALWKDESKKITPDESVLVYLS RLYEQNAPDKSMQCLTQLEELQLAEISDEERPEGLEDEEMKAALRVNLPPQLLNNMGC FLYQSSQLNMARSMFQAALDSCARSQEREGELDTDALVTTISYNLGRAFEASDMPDEA KKVYEALLERHSDYTEASARLTYLALRRSPTDEGPKKMAKLYETDSTNLEVRALFGWY LSKSKKRAANIAEDHEQRHHKHTLQYFDKHDRYALTGMGNVHLLFARDMRRDTDQEKE KRRKMYERAVEFFDKALQLDPRNAYAAQGIAIALVDDRKDLSTAVQIFSKIRDSLRDA SVYLNLGHVYAELRQYTRSIEHYEAALSKDRARDAQILACLGRVWLLKGKQEMSLAAM KTALDYAQRAHSVAPTQAHLEFNVAFVQNQIASLTYSLPETQRTAQDVQDAADGLRTA VETFGRIAQAKNPPYPAESLEQRANMSKTIIKQLERALQSQKEYEEKNAAKLQQAREA REAEIRRREEEVRKAEEAERERKQRIAEERQRLVEEAQRLALSGQKRNGPAKKRR ANIA_11126 MSESELRQRKPAARLRDDPQPSQDAQPRLKHGIPMQVLRSLLLA TWFNCCCVVILMTQLIGCPLYIINKHYYYAWMASTKRSFGLVITSLTEWGCPTYVRVS GDESIRGQVRIADDGRLKTTFPERLVMISNHQVYTDWIYLWWIAYSNMMHGHIFIILK ESLKYIPIIGQGMTFYGFIFMARKWLSDKPRLQHRLEKLKTQHIGSDSGAPKYDPMWL LIFPEGTNLSINTKRRSDAYGAKNGFPPLKHQVLPRSTGLFFCLQQLRGTVEWVYDCT VGYEGPPKGSYADKYFTLRSTYVQGRPPTSVNMYWRRFAVSDIPLDDQQEFDAWLRAR WTEKDELLDEYFETGRFPSALAGSIEVGHGDAQQLNAAEDGYAEAHVRLGHWTESFGG FYYE ANIA_08837 MLSTIKLRINPIRQLSWKRNFSVTLRRREIRDIDSLPARLIPSY QESNENDLLSLQWPAPPRNVFVVKKDCAPEVTKSLVEFIKYRSRISIHTASTYPSIGI VLEPKVAEEVHSSLSFPVYTAQLDRLPCALHKKVDLSVTLGGDGTILHASSLFATCVN VPPMLSFSMGTLGFLGEWKFSEYKRAFREVYMSGAGVGDRASVLATSQSSNAKDKSEG TTGWSSVRGKSMGLNRGARILMRNRLKVALFNADGHPVEADKCSKHNRGIENDGLYVM NEILLHRGKEPHLAILDVYVGGRFLTEAVADGIIISTPTGSTAYSLSSGGSIVHPLVP AVLLTPICARSLSFRPLVLPASTPITLRLSEKNRGRELEVSIDGVNMTRGMTAGMEAR VWNEEMRHGKNEWQGGVPCVMRRIMGGESQDGEAHDGWVGGLNGLLKFNYPFGE ANIA_08836 MYSADQFMNPGPAPRPPTDRPKLNLSASSGVTTSLNQMSLDSPS TPSANLSLFPNTSSPSLVRTRTDQSGSAVVKEGYRFLILRESRLDFLKNEAGKVVLSI PLSAVTGVSRSEDTRMAFEIVRLANPKDATSKAALITRDVPTKSLTCEVRTDEEIYDW IDKIYERCPGMGGVSNPTNFSHRVHVGFDPRTGAFVGLPPEWEKLLTASAITKEDYKK NPQAVIEVLEFYSDIKMREQNPQYYAGLGSPAGQAKPYGSSTVGGSIAPPRPPPPPPA QRLDSGQSYSSHSASSGHSPSKSGSDRALDQQQQLEKMKQMADLERQRVEEDRRRRDE EQSRLEQDAYNASLPKTRVPLAKQELGGYGSPDPSMNDRYKPSRPAPQAPGSARQNQD PARQLTAQRPAPSPPTAGQRPGDYSSNGASRAPGYDSYNSRYASQDSRTQTSAARTQN NGKTQQAHGPPPSKLPAPVQPVKPLNIANKQAPKKATPDGVRQAEAALTKKADPHKKE VRMSAMSENEVMDRLRSVVSKDNPNESYSKQRKIGQGASGSVYVARVKENATSGVARE LYRQYGPRCQVAIKQMDLRSQPRKELIVNEIIVMKDSQHANIVNFLDSFLQEQSNELW VVMEFMEGGALTDVIDNNRVIQEDQIATICAETCKGLAHLHSQSIIHRDIKSDNVLLD RAGHVKITDFGFCAKLTETKSKRATMVGTPYWMAPEVVKQKEYGPKVDCWSLGIMAIE MIESEPPYLNEEPLKALYLIATNGTPRLKAPEKLSKELKSFLSVCLCVAVDSRATAQE LLEHDFLKTGCSLASLAELLRWKKNTQ ANIA_11127 MQVLLNAFKRHELSEVVDVELSRDEDSVTSLAAAQSNDTSIVTF VGINSSLALQKENKNRHLRSFKIDYPPRRTNSASAEFKSPNGSTPGQTVKLSEESVFR TVAGSKGSTETYQRITRLSPWKGEGATRVAAIATGLASSGEIVFFNANTTKPSEGDVI GRIRLKSDEEAEDIDIAGQDEEKGLFPVAYTNGVDVFTCRISPETRSNAAPDVRCVYS PPANTNGKGPRPKFRALRFLSPTTLLLLQNAPNRKGCELIILDLRPTTSSSQATIIRR YKLRKSINIGLSLDVCNLGTSSSIKQQSIIAVSGSDQSLEILTIDVDSSRQYRAAAGY SQIRRYATIRDVHPFSMTRICFSHFVPPPAPVTPETPPQYIKLASVSLGNTVVVHTFP LSPTPPSSRNPRYVLVRPGESELLTGFSSTLAAVLSIVLVIFLLQAFTEIRGVMPPYL GITDYLPTDIRTKIAVPYNAPLPHFPSSPTPILQTQAFPSTSAAPDEAQSRTLRDIIQ DSEASILISCDPLNSKIIVEPHNPHPSSTTPEPDLDAEVTPESDLTTRPAQQWTDLSV EDRQTWKTYLINAGFLDQQQDEDESILSRVFFRDSCPRRQPSSNDDHDYTSVDSADK ANIA_11125 MTQSPIRFSFLPRRIISCWNPANRRHFSTTPPALRAIIQESSKT KPIMATLTTKTGQVVDRSVLDSMLRRRLFYTPSFEIYGGVSGLYDYGPPGCAVLNNIV ETWRKHFVLEEDMLEVDCTMLTPEEVLKTSGHVDKFADWMCKDPKTGEIFRADHLVEE VLEARLKGDKEARGQKVEVDAEKEAKKKKKAKSVAVKLDDAVVKEYEEILAQIDNFDG PQLEQIIAKYDIRNPVTGGNLLPPVAFNLMFQTSIGPSSNMPGYLRPETAQGQFLNFQ KLLEFNQQAMPFASASIGKSFRNEISPRAGLLRVREFLMAEIEHFVDPEGGKKHARFE EVKNIELTLLNRDVQLSGSTKTERMTVGKAVETGLVDNETLGYFLARIQLFLLKLGVD PTKLRFRQHMANEMAHYACDCWDAELYTSYGWIECVGCADRSAYDLNVHKNKTGAPLV VRETRAEPLKVEEWQIELDKKKFGPRFKKDGKTVEAAIDALSQELREKLALDLEKTGK IEVGYIITIEKRTRVENVREYTPNVIEPSFGIGRILYSLMEHVYYYREGDEARGVLSF PPVIAPTKVLLVPLSSNPAFRPMVQELTTKLRRLGVSSRVDDSSASIGKRYARNDELG TPFGVTVDFQSVKDNTITLRDRDSTKQVRASQEEILQALKSLVEGEETWEDVRKRLPE FTGQEVD ANIA_08834 MVSKKASARPPSGIIGDFKVRNKQKRQLLHIKRKRAKDAARRAQ RFSTKKEEAKNPKLKEERLKRNIPLTLERKRVWDDAGSDVEEPLGLSVDVERIKRLKQ EEDEELNRPLDSGSEDRHSEDNGSDEEDDEDDLDSMLASSDEEDEGDEGKESKKDSRG RKPSAIPSATERATSPSQSTKSTNLNLAPEALAAKFPSLFSPDSQRPPKILITTSLNS TLHHEAEILTQLFPNSVYIRRTAHAHAHKFSIREIAKFASNREYTTLIILQEDSKKPA GLDIVHLPKGPMFHFSISNWVEGKKIPGHGKPTEHWPELILNNFRTPLGLLTAHLFRT LFPPQPDIEGRQVVTLHNQRDYIFVRRHRYVFREKRETEKAVVGADGKEIKGAEGIRT GLQELGPRFTLKLRRVDKGIQRASGQEWEWKGKMEKKRTLFQL ANIA_08833 MDEAALPRSMPDSIEADSAKVTGKRRWVDDEDPNTDSDADSDEI SIKSTKSFEAQNIEYVLEHGRRYCNDTYFMPNDEAEQTRLNITHQMCMIIFDGSLINV PLVKQTARILDIGTGPGDWAIEMSAAYPSATIVATDIGVFDNGLGSTIDLPNVSFQLD DARNEWTYHEPFDLIHLRGLAGAFSDWSSIYRQAFRHLVPGGYIEVSDADPAADTVSF PNSEDSYLNTLTSAMRSAAGTTGYPRDLCHLLPSVLTAAGFVDVRVIERTIPVGTWTN DPREKTLGKMALIALLEGLEAYSLRPLTATGNWTEEGVRDLCAKVKIEILAAEKITAC VKTVTARKPFSRAHLRRNELLEKLKQIDNEMNLDSSLT ANIA_08832 MSQQSIRSLFIASIGNPGRYRNTRHSAGHVLLDAITPLLPNHLP PRYKTWQSPSLMNDSGRKLVRELETFKRLETSTTMNAKPLTLVILHDELEKPLGKIVV RRGGPEKYSLRGHNGLKDIFQWLDKKKMYPHDPALSILRIGVGIGRPSSRNSDEVSKY VLTAMGLKELDAINRAAGYAVDVLAEEAGRTEDGNPLPEPTP ANIA_08831 MEAAANRLQKEAKGYLDSLRAMTASQMRIAETIDAFYGDAGAKD GVSRSYKQAVEDLDAETIKALDGPYRTTVLDPISRFCAYFPDVNECIKKRNNKLLDYD AMRAKVKRLVEKPDKDATKLPRTERELEMAKQAYEQLNEQLFTELPQLIDLRVPYLDP SFEALVKIQLRFCAEAYSRMAQVQQYLDAETRDQYARGDLDNRVEEVLQEIRDLSIAG TV ANIA_08830 MTPPSVSPIPSQVSSAATSQISSDHGERQVTQALAGMNLSALNG DAALPHSVKPPKQTMANRISRMFTGTGRSTPKEPESSRELSDSSSDGGKPTTNGSGKQ SRPTSRPSSKPPSRAPSRQTSTKEVPKSEKNEKNEKTDRKAKPAGKDQKEGSTVQKRF EILPDNSHRHHLKSTRRQEKLTDLLRDMLGGRKKDDHAEDQQLSLMSTWIDQFKSERD KLAADKKGGPNATASLVDKYGKCQEIVGRGAFGIVRISHKVDPKDSKSEQLYAVKEFR RRPQETSKKYQKRLTSEFCISSSLRHPNIIHTLDLLQDAKGDYCEVMEYCAGGDLYTL VLAAGKLEVAEADCLFKQLMRGVEYMHEMGVAHRDLKPENLLLTTHGALKITDFGNGE CFRMAWEKEAHMTAGLCGSAPYIAPEEYVEKEFDPRAVDIWACGVIYMAMRTGRHLWK LARKEEDEFYRRYLEGRKHEDGYAPIETLHRARCRNVVYSILDPNPSRRINSSQILKS EWLREIKLCQAGEEGF ANIA_08829 MSNRAERFAEDDYERENDFSAPVSGEYEDDSYAHETGTQGFSKG IPVQSDDAAYDDPMQPPFSNSNQQLEQDEREAIDKSNIISGKGRSLRHSKPQAPSGYS EGPDEDDLPAEAFNTGRSDMKRIS ANIA_08828 MTVYSFYIFDRHAECIYKRRWLPRPASIVGKSSRPTSDTLTAAN GIAPVPNQSARSTDDDAKLIFGTVFALRNMVRKLGGEDDNFVTYRTSQYKLHYYETLT NIKFVMITDVKSPSMRVALQQIYINLYVEYVVKNPLSPTEHPGGVGVNNELFEQSLEQ FVTRVLA ANIA_08827 MANEGAGSLQQDASPGSSARPEPYPRPSPARYASTPSFESPQRH HRRNPIARRPVKETLNARSEYTLSQDDGTAEHRINQYVIKQEIGRGSFGAVHVAVDQY GNEYAVKEFSKARLRKRAKSQLLRQSRGPKRPADGLNSPFHRQGPGLGDEEMKNALYF IKEEIAIMKKLHHNNLVSLIEVLDDPTQDSLYMVMEMCKKGVVMKVTLEERADPYDDE RCRCWFRDLILGIEYLHAQGIVHRDIKPDNCLITNDDVLKVVDFGVSEMFEKNSDMFT AKSAGSPSFLPPELCVVKHGDVSGKAADIWSMGVTLYCLRYGKLPFEEHSIIELYDAI KNRPIVCDGETDEVFKDLMLRILEKDPAKRIQMDELREHPWVTKNGMDPLLPKSENTA EIVDLPTEEEMNSAITKNFGHVLAVMKAAKKFKSLLGPTRASTPIQSILGQEYETHFV EPPTQMDPEESVSLPSPLPYKKTQSLNTYNRRAWERDDVVKGYHPQRREALPCPAPES GESGSAYSSILNRPTRKDSGSIRSVKIRDDPIDDLQSQSSQIPLSRTSSTTTKRSIEG TRGHARDPLEEDCPFLFIGPSTFTGSAPIDINSTDVSPKPLNSNVPMVGAETDPTEPD YPVVSESPGAADFDIYETAYRQEIERIRADTLPGKEGKGSVPMVYLTRRVDGKDELMK LVRETTMSEPALGIGAKVAVPQDSGFRSAVSIIRTQLEQGRHERQQQQSQELGSLNVS ETSDVETSASTQEGATASTAATGTESLQSDGQRGRLRSLLTRAKLSRSP ANIA_08826 MSHSREKTWTEDEKSVFHEMCQELASQVNTRFGPIHPPRHPAPA QSVPLPDSNNSARKRPLYPTDKPILAPRAIQPRPPAGPASYSSESGASTMLSPGTGDV PGATEPPRKRGRPTKLEAERRKAEAEARGVLYQPQSRQRGSQKAKAPSTPTSPSGVEA GGTVYTQTSNRPPLIPPPGLHYVHPPLRSMPLPGSSDEERMRTMPNQISPALRELPRP QETRQTLPSPHALQLGHRESIPRIEPGDRPYEPLPPERLTFTDSSRRSLVNPPPRPPD EPHTPDKQIPLTTTAEKRT ANIA_08825 MSTHDNADRQSEFVADAVTAMKLEQSENNTDAPILNGGGAAMKP DSKAASPEPLIKDERASSTFMKSRSSSRTPSSRTPLKKEHSDSEDIQEKRGDDASGTE KVGGGISVKMEPGQPPKLARSSSQKVVPRPPQLFLDLPDSTEEAQKTFEVIETCQYAN KYMGYTEHAMECDCAEEWVLVVVLAPSPSFRVPSQNPASSTNRACGEDSDCINRATKI ECMGDCGCGPDCQNQRFQRREYANVAVIKTEKKGYGLRAEEDLRPHQFIFEYVGEVIN EGPFHRRMRQYDAEGIKHFYFMSLSKGEFVDATKKGNLGRFCNHSCNPNCYVDKWVVG EKLRMGIFAERHIQAGEELVFNYNVDRYGADPQPCYCGEPNCTGFIGGKTQTERATKL SNATIEALGIEDADGWDTAVAKRPRKKKMGEEDEEYVDSVQPKSLDESGVTKVMAALM QCKEKWIAVKLLGRIQRCDDERVRNRVVKMHGYQILNSQLAMWKDDFNVVLQILDILD KFPRLTRNKIIDSKIESTIQPLTSCGDERVEQKATVLLQLWSTLEIGYRIPRMKRDPN AATPTVSQFHRRDDISDERQQRPRSRSRSRSIEAPRGPAAQKRGGQGPRNQHHQGPRT FRRRFDPLPQGWFAAESNGRTYYYSARGDTTWTRPTKPAPQPPPPPKESRDKALQSII DGIMNAKEQTPKEKSGTPTTPQPSKPTPEGKDRQEKWRSYSEEKQKKLYENTLYPHIK YVVDKFKHKLPKDDLKRYAKDVAKKLVNSDFKNNRVTDPTKIDDKQQKKVKKFCKEFF DKAVAKHQAHEKRKAEKLAKEGSSDNKLATPVGGQSEGDGTPDVKMSDDEGSTGREGT GSLKRKRDELSVGNTNTPDDTPTSSTKRQRSSTPPPPPPPAMNTNDNNNDNDDMSVRS DEPDADADADEVVLVGNPTPPPPPPPPPQEDMRIPDADAETNGHNFEGYGEMNRSHQA QIGIEGNV ANIA_08824 MAVRAQFENSNEVGVFSRLTNSYALVAIGASENFYSVFEAELQD VIPICHATIAGTRIIGRLTAGNRKGLLVPTTTTDQELQHLRNTLPDDVKIQRIEERLS ALGNVICCNDHVALIHPDLERETEEIIADVLGVEVFRQTIADNVLTGSYMALSNQGGI VHPKTSIRDQDELSSLLQVPLVAGSVNRGSPVVGAGLVVNDWLAVTGLDTTATELSVI ESVFRLGENGPGGIGQGVANKDSIVESFY ANIA_08823 MASYKDQELSQEFGRIEFYSISTSSTPYLASPLPSSLVARLFSS SWKAMAGAKRRLDASHGSVTPSTNNTSILYSKETASNDSPNTRVTRNLRSSRDARAGQ LDNAKTASTTASSDTSNQNISATNNTTPKHGSNRPSRIITLKYSSGKVSSSKEINRAT PATPLTATPASGASTSTRETRNSRARAAAGPPAVPAAQPNSSRTDPAPSAIAAPETPR TKRAKRGSVTEESPRSTRQSTRLRGHAHDTPTENGVTDSKPLDASPLAPGAANTRTRN RNRHNVDAGANVSTRSRPPTSAAKSPPPEDTASEAAEASNLADVETSHTAESASSGGE SPKGTVSSAHRNHEEEHPAADAEEEFKAKSTRSSPIMSRKRKSLDSDEQGGIFTSSSP TKKPKVEAAALDRASESTLQIGDERKWEGSLNQPDDATASKEDEGSRQLTEEADDSTT PDNVAELATAKATRGGRNRGRGRGARNRTSARFGVNRRGRGGTRAARSARTGRQNDRS SDIEFERSPSPSAATQKLRDRQRELDKAFRRVAAAQRLALAVLATQSEKRIARDKNAH KAVPEYEEVSLILKTHLREKQDTLRREYDLKVAQENRIYQANKEAIEERCRASSRYIQ EEHLLASHGEYMTFIEGRRAAEDDEHTETDGSETENDRGRRVPVVREVYRGFNSSFVR DPAGAAAYERAAFGWDDFVQRAKLGDDINPQMKEIRDAGPFAGLSGSEIINMLLEATG TIEMPDDVPAKEHHPPPYADARSTALTALADLAAAEVHRPALQHTPRLAAHRTILPQP SQPQPQPQPQPPPIHHAHPEPRPFLPPPTPRGQPRRLLPAGQQIPPINETLGLPDPFS SRGGPPQLPPPPGSNFQRPPLPGYLTGHHHPSIYYTPPPHRPPPPPPY ANIA_08822 MPTRIPTSSDFPSSLTFTLTPPPQSTPNRPPPNILLLLHGLGDT HTPFTNLASQLSLPETTVLTIRAPSPLPFDLPGFHWGDDINFDSRSGALDMDAGFEKS TKLLLNTVIRDVLVSKCGYRLQEILIWGFGQGGMVGLVLAQTLNEQSESGFERGELGG IISVGAPYPLSLTGKVQNDGTGKSRTPILLVHGRDSEVVTESAVKRTKDVYSFVEVHE YRRRGDTMPRSREEMIPIMRFLGRRLRSWQGVPEGAVELS ANIA_08821 MPLNLRSSFPRSLRRRRGSIASTIPPCSSCATTQQRRNLSTRRE PCSAPRFRTQAHLHKSSPISRLNSLARPGAKLYSTAPTTAHTARHVPLRLREVYESLV QLQSIAPEQVNLSRLQLALRGLETEEPLIRVAVLGLNDVVAARKLVRLLLADPLKERE DWEDMLDSVSENGDLERGLLISELANKRRYGEVSESISNHLVPTISVPSQILKKARLE ILVTSLGAQTDMSGARLTADAFLVPTVTIRTSHTGRHNMVRYPVHRSIVCAQGVDGLL AYSGLIAQSDLRKEAGSIFAAVELEGSGLAINDDRLSFVDTNKAAEALDKFRESVRNA ALYERGWNSSGVQPVIDWLSTARNEEGSLNTSLRSLITSLIDAAEQGVVTEETRRSQE QEEASVPDVVRVSMDQVVSAWAEKSHAELRSALEEGFATRSWHGLAWWKLFWRVDDVG MITSEILEKSYLRRAEKDVIWTAGQLEQAGLREPSEEAFITTEEPTEVAINVDNGSQN VAVSVVEPPEEGEEKVPWPTQIAKSREQLLTTTVPSLQAMAQRLVLFSISTTSLCTAL SVLTSVSLPTASVYETGTIAAVGLIYSLRRQQRKWEVARAFWEEEVRDNGRMALRETE EQLRRTVRDGGKVRFPVTAHEARDAIKQARDALNNVH ANIA_08820 MDRNLARAVADKQPVPEIDFTLHVMEDGTQVSTLERVVKEVQAP ALNKPSDDQFWDPEEPTKPNLQFLKQHFYREGRLTEDQALWIIQAGTQILKSEPNLLE MDAPITVCGDVHGQYYDLMKLFEVGGDPAETRYLFLGDYVDRGYFSIECVLYLWALKI WYPNTLWLLRGNHECRHLTDYFTFKLECKHKYSERIYEACIESFCALPLAAVMNKQFL CIHGGLSPELHTLEDIKSIDRFREPPTHGLMCDILWADPLEDFGQEKTGDYFIHNSVR GCSYFFSYPAACAFLEKNNLLSVIRAHEAQDAGYRMYRKTRTTGFPSVMTIFSAPNYL DVYNNKAAVLKYENNVMNIRQFNCTPHPYWLPNFMDVFTWSLPFVGEKITDMLIAILN TCSKEELEDETPSTISPAEPSPPMPMDTVDTESTEFKRRAIKNKILAIGRLSRVFQVL REESERVTELKTAAGGRLPAGTLMLGAEGIKQAITNFEDARKVDLQNERLPPSHDEVV RRSEEERRIALDRAQHEADNDTGLATVARRISMKIPSTTRR ANIA_09482 MYESYLTLPYTYRSVPSTLPPSVTVDPNNPDAKPRYVISASGEH AATPEDILASCKALEEHLKKTKTDADQAIKQWEESIAARELAEKRRVAPGWLDRDEKL LQPSNATHQSSQSQPSQSLLDSAAPDSAAARLPSMAPRNEGEELDRAFGGLDLK ANIA_09481 MEILSSPPNADSFVSIDTYQSRTPESFHDRTILYYNASQCSLHA LKRDLTSTTELKSLWPSGEVNGSAPGMHESNGEGDAEDESEEAVVIEGLEIWVTSDKF FVYNTSISKGLSIPYRSISLHAIQRLKVPTSSQSEPQDPPVEVEVQGLYMHIAKPASG SSFPQESDEEESLTVTIVPPTPAITPEGEETETQKLYNAVSACANLHPDPVEEEDQDS GAFASGLVFPGAGQEGGLPPPVDGSSGWITADNMHEFFDEEGNWIGEGEGPSFPGMGS GLGPGAGSVRTREEERDEHDGADGDAGVDGEETKWRRTD ANIA_09480 MAIRLGCAGASVFPWTKCPVASIGAPDIVWDSYGGRPRRKQTEK NETDRGEEAWTMVAKVRPTTFYKQTGVSPRTRAYRIGDTDVSQQLGIQVPGGAKMKHR SKFQRYYWADLVCSETDVIDCTLDRIDLFTEGMDYHRIPHAFGRNAGTFLRPLQQQPP SPSLRWLFRHHHHAHVCLSSLSLRLAKHCSSAAYFRTSRARHTPGGRRGIMIALTTAV AIAVTCSVIFMVSAVVITIIWIKIRQERKSLAIIRHPHGPYAHGLSTFPAETFTELSR EEGSALRQYGQLPYGRPTEWGLLASRESLDPSGGDKSPIKLLKKTRSFSLKHSISSKS KREPKNLAKPASLVALEETSEDPQSQVSASKENLIVSAVDGVLELPAETTPRQTPEKE EGQPSTANTIRPVSGGWPLLRQTERPNALFPVFEDHHEGTGTNGTRVRGGSITSQTPG MAPDQPVPPPPCAYPPNRFRLSKNDSIRFSSVSIETADSSILDESRRTSANVDGSLSS PALPPCPTFMPFSANDVGKECDRLSFAANGAPYIFPPSSPARKGQRVDERSPPRRSLT ACGPTRSSERVSPPPRRSESLSARQSLDNTARAYLDLDHIPPLNTRNRNNGLLPQFTQ LQRHSMHASLPRDNDPFYNGTDTLYSFTYHPQTTGRRASSFQPQETPSQIANSHPRLP LTSAMKSSGQRKGHRRQNCVRISIHPPITFGGPAFSPMVEEPEDAEELNNRRSEISDL STSNISRNSSVSAMSTTNRSSFQSNKSDRPSSRIVDVATDKRIDSSGSPVKKKRHTQQ EPAFANAKALPEIFTSLPTTVEKDSLSQTPSPERNPLIWKIPYQASPTSLENPPTPGS PRRSAVMGPRTQPRPARNSYQSLIPSGDTNKPSSPPSIRPTRNNTVKDTRRKQSPSPR RAKSEAKERISPSSHTQNGKRSSHAPTSDISSNTQSKIAGTQVSAIVPIWEDRNKSEV QKTPRRSTVSLVYDPLSLNEKSDISPKRSQADRLARMSSFKNSKRGCTTPARKTVGLG IGAATPGSLYDGDGFLKE ANIA_08819 MSGAPIDATGHFVHGNTEAPAHPSLMAMFSLKGKTAIVTGAAAG IGLAVADGLAEAGANVALWYNSNTKAHERAQEIAARYGVQAKAYQVNITDSEAVKQAL EQSVKDLNGRLDVFIANAGIPWTQGPAVDGQLSHYSDVVDNDLNGTFYCAKYAAYYWR KQKEEGVDLNGNKLQNFTYGSFVATASMSGHIVNIPQLQAAYNAAKSGVIHLCKSLAV EWVKFARANTISPGYIITEISNFVPKETKDIWCDKIPMGREGRAEELKGAYLYLASDA SSYTTGADIVVDGGYCAP ANIA_08818 MKPILERFLQIPLHCFLGSISSNKDNDCCPSSHRCTDLLSNIAP SIFYKDTLARFYDPRYEPAFSNPKILHPDHEAVTANPAPGDGEFRPVSPGLTSYSDVK SERVTGIAYDDYLTFLDNGASGSGISRRESELRAFARYKERYHEYLHEIASVKVGKRR R ANIA_08817 MDRSSDGIAALSVQDATANSPPSATPPTPSYSAHSSSTPLAASP PSSKPQHVSAIMDTDNAAGAEDRSRRATSVLSMDDLEAAQALEGLRTDFGASPRASQQ TIASPDSKSQEPILSLLTSTHPLISSAINGSVAAYTSSKSYSPRFRSGAEFIERNIGS PVASTVHTVGRKTGVEGSLRWALQRHASNSSESTRSKRRKVNGDDPAPADRDLEIGLS TMDANNPPETPDLYLNESLPPYDDHRSPKYEDVDTAGSSRQSTWQSRLMISTSGLGVA MSEESLKSLQYCLTWLRWANGRLGKSIVALQESLQEWESLSRKNGDASADSQASNQNL LSQRIQALKQDVLATLKQVVDIVSKYAGGALPENARNLVRRHLTSLPQRFRIASTAVP APDESGASSEPSSSARRILVLAQEGLDMMSQVSGVVNETLVSAEHWCERLGRKRHDSK NADAKNPEPQTAAPEYPADIKQPVSDASQDTPMTGLEQT ANIA_08816 MSSNDWGMLSHVFLVLSALGEVASFSREPITLHTQAKRFCGPSL GFTLGWIYWLKYMMVIINQITAGVLVLSFWTNLGIGQKAAYITVFLAVILSMNYWSGR FLGRYEVLLSSFKILVVLGLMMLSLVIALGGGPNHKKGFHYWRMPGAFANEEDRSALG VFRAIFRTFPPTTLSYLGTELIGMAVLHTQDSKKAAARAIQQTFYRILAFNLVVVTLL GMAIPYDEDILELSIYTSKRRAMAFVVAVQVAHVTVLPDILNACILIFVVSSASRALC MATRIIRELSLEENAPHFLRRVNKRGVPVYALGGKGAMEESNHSGMVDMRRRHWHSDG DRARNPAGLTSSICPSIEYRLRMERGNARSNNSCNIDGHTVMWAWDPGKQHTDTKHSM KASILTDCIACIYIYVEESERSFVGKALATNAQCGLIERAHSHCFMFPL ANIA_08815 MSRTTVLLIGAAGETGGSIAAGLLEHPTFEIHALIRPRSAQKPA VLALQDKGVHIRKCDLKSSEEELEKALSDIDVVISCVGSAEQQDQIPIANAAKKAGVK RFIPCGFITVAPPGGIMWLRDEKEAVYNHIKQLHLPYTIIDVGWWYQLAYPRLESGKL DYAMTTSNNEIVGDGNTPLALTDLRDIGRYVARIITDDRTLNKMVFAYNTVLTQNEIF GLLEEISGEQITRNYISEELVQNRVLAARQSSETYPFDPVKFIPRYLAEYQLSWGIRG DNNPEYAKYLGYLLAKDLYPEFQPIDFKDYLIEVFQGTAKGIYTDRTISKAQQRMFPR SESTDSLQGRFFPRTESSDSLYMSR ANIA_08814 MAITSRFQSHGGSDITKDNNAATTNHAEEVPTKPVFTSGKDGDV AQALFSSPEELHEEVDPAEARRVLWKIDLMILPYLAVCYAFFYIDKTTLSYAAIFGIN EDLNLHGTQYSWLSSIFYFGFLVWALPTNLMLQRFPIGTKVSRHQYLHVLRIRGVFLI IQAACHNFTTLAVLRALGGAAEACADPAFMLITSMWYTRREQPVRMGLWYTANGFGIA LGGLLGYGIGNIKGALPSWKYEFIVIGALCSAWGIVMFIFLPDSPVNAPGLTQRERRI AVERLRENQTGIENKHLKPQQVLEAFTDYKMYFFFVLGCVCNIPNGGISNFGTIIIKG FGFSTLVTTLMQIPYGVLIALSILACVYLNDRFENRRCVFILLFLIPNLAGAFGLRFV PESEQIGRLICYYLTGPYNAAFVLILSMQTANTAGHTKKVVTNAVLFLGYCTGNIAGP FFYLESQKPTYSLGIWSMIVSHLIEAVLISTLGLLLRWENKKRDRIQSQMEGGLEGRD LGATAFLDLTDRENLNFRYIY ANIA_08813 MDEKQGTSTGSDADTLNSSYEQSREWIRHSNPQGVVSHDSGVNV ERAEQEFAELNREFSNISYQVQRLSKHASRNSKTEIHGKDVERSASSTDSVEPWDLEA ALRGNQAAEVEAGIKSKHIGVIWDKLTVRGIGGSKSFIKTFPDAIVDFINVPGLIMDW TGHSNKGKEFEILKDFRGVLRPGEMVLVLGRPGSGCTTFLKSITNQRFGYTGVDGEVL YGPFDHKTFSKRFRGEAVYNQEDDVHQPTLTVKQTLGFALDTKTPGKRPLGVSKEEFK DKVIRMLLKMFNIEHTANTVVGNQFIRGVSGGEKRRVSIAEMMITSASVLAWDNSTRG LDASTALDFAKSLRIMTNIYKTTTFVSLYQASESIYKQFDKVLVIDSGRQVFFGPASE ARAYFEGLGFKEKPRQTTPDYLTSCTDPFEREYKEGRDPSNVPSTPEALAAAFDNSIY SQNLATEMNEYRQQIHHEKQVYEDFEIANQEAKRKFTSKSSVYLIPYYLQVWALMRRQ FLIKWQDKFALNVSWITSTGVAIILGTVWLNLPKTSAGAFTRGGLLFTSFLFNGFQAF SELASTMMGRALVNKHRQFTFYRPSALFIAQIIVDATFAIARILVFSVIVYFMCGLVR DAGAFFTFVLLIFTGYINMSVIFRTIGCLSPAFDHAMNFVSVLITLFILTSGYLVQWP NAQVWLRWFYYINPFGLGFASLMVNEFKSLNMTCTSESLIPNGDGYTDMNHQVCTLAG GEAGSPIIPGQSYLSTTFNYNREDLWRNFGIMVALIIAFLGMNLYFGEVVRFNAGGKT VTFYQKENAGRKKLNKALDEKRAARQSNDLGGPGADILLTSKPVLTWEDVCYDVPVPS GTRRLLHNIYGYVQPGKLTALMGASGAGKTTLLDVLAARKNIGVISGDILVDGAKPGT SFQRGTSYAEQMDVHEPMQTVREALRFSADLRQSYDVPQSEKYAYVEEIISLLELENL ADAVIGTPETGLSVEERKRVTIGVELAAKPEMLLFLDEPTSGLDSQSAFNIVRFLRKL AAAGQAILCTIHQPNSALFENFDRLLLLKSGGECVYFGDIGEDSSTLLAYFRRNGAEC PPDANPAEWMLDAIGAGSTRHLGNCDWVEFWRASPERERVKQEIAEIKSRRAEEARRN QATKPVEKEYATPLWHQIKTVCKRTNIVFWRSHKYGFTRLFTHFNISLITGLAFLQLD DSRASLQYRIFVLFNVTVIPIIIIQMVEPRYEMSRLVFYREAASKTYKDFAFAVSMVV AEIPYCIMCGIIFFVFLYYIPGFQGASDRAGYQFFMIMITQLFAVTLGQMIQALTPNS MIASQCNPPLMILFSLFCGVMIPKPQMPKFWRVWFYELDPFTRIISGMVTTELHERPV VCTPGEYNRFQAPAGQTCGEYMQSFFDRGGIGYLANNATQNCEYCAYRVGDEYYQAFE MSFDHRWRDLGIYAAFVGSNLIILFMAVRLSDLQALPSGDWLTY ANIA_08812 MTVPMLGRYSYVDSDSQKPTGCPCSPSTLDRFSSIDPACALCGI HVCTSKPAPWHSLRCMSDVNADPTYGIGEPTRVQSFSNALESVGAGRNRLIFVDNGFV GGSIEQVINEVAEPARTSQYEVHVLSDESEMRELCRTSLREGPAEAWNYTIRTDSVLG KGIDVGNSNNDQQVYLLPFQRSIDWAIARTNSSFDASTLPEETLEYPYTSLNEEGRKD RIRTRYMGAIIDVIAVAVFIGMVGVTYQLTGLIAMERELGMSQLIDCMMPDSSPWMSQ AARFCAAHLALDIVYGPGWIIMGAILKAGVYSETSAGITVVYHILCGLALSSFSIFGA SFFRKAQLSGISVVLACLLLGVVAQMAPAKSNGPVVILGLLFPSMNYVYFSIFVARWE RENSAAILTESAPNNPWSLPGVVLWVLLILQIIIYPMLAAVVERMLYGTASKNRHVSS SGTSAALSIRNLNKIYRPGWFYRTIGPLFGSNRQTVHAVNNLSIDVNKGQIMVLLGAN GSGKSTTLDAIAGLTKLSSGDININYGTEEPGFGLCPQKNVLWDNLTVKEHVKIFNRL KSTGKVDTEEQIMHLLRDCDLQKKAKARSKTLSGGQKRKVQLAMMFTGGSPICCVDEV SSGLDPISRRKIWDILLAERGSRTIILTTHFLDEADLLADHIAILSKGVLKAKGSSVE LKNQLGSGYRIHVFNVPGSERAVQKRFSSIHKEVHFDETVYTVQNSAEAAQFVSELEQ EGMMEYRVSGPTIEDVFLKVASELDFEPSRGRVREGERAADHSSEADDENIERRSLHL MTGCRIGIILQAWYLFRKRLTILRRNPLPYLAAFMIPVIAAGLVTLFLQGVAKPGCSG EDSYRTPESTIADQWDNLLLVIGPSDKVQPELLESFVVSMNDQSDAVQSSSNASQFYI VDDYPDFSDYIKANYSKVTPGGVYLGDSSWQPTIAWKGDNGNFPLAALTQNVLDRFAT GMSINIGFDFFDIPSTPDFYNTLQLVVYFGLAMSVYPAFFALYPTVERLRNVRALQFS NGVRALSLWLAYISFDFCLVVASSVLAVIIFRAVTNIWYHIEYLFAVFFLYGLCGTLC AYLVSLFTKSQLAAFAFAAGFQCVMFLIYLIAYMCVLTYASTDKIDSYIDITHYTIAI VSPSGNLLRALFASLNVFSILCRGSEGREIASYPGEIGLYGGPILYLILQSIFLVVLL VWIEGGTPLLSWLRPKSRQRDVEEKELMDSDIAEEITRVSSSKDNLRLLHVSKAFKKF IAVEDVTFGVGAGEVFALLGPNGAGKTTTISLIRGDIQPTRNEGEIFVENISVLKQRA VARSRLGVCPQFDAMDQMTVLEHLVFYARIRGVPDINHNVNEVINAVGLKQFRHRMAA KLSGGNKRKLSLGIALMGNPSVLLLDEPSSGMDAASKRVMWKTLTAVAPGRSIVLTTH SMEEADALAHRAGIMARRMLALGTTDALRLKYGNMYHVHIVHTQAPHTSDEDMEKIRG WVTDNFPGAVIEQKTYHGQLRFSVPAGISPEKERAAHSDDSKGSSYRDIRSTDELRSV SLRSDVSIPVGLGPDTETGPGNFRARSGVSKLFSQLEQNKAALGVEHYSVSQTTLDQV FLTIVGKHHISEQDSG ANIA_11631 MSIKVFSPNVESVEIVQRAEKRKRRARLYYMRHPKHDMRSVENI VSNYLRQKSAVTGQRTSQGKKR ANIA_08811 MAHNAMGRLLRCQTPTTFHQSVNLTQKRSWSARTIPSLAPTHSP ELDQLLNRFRDELFIPHSLNIQQRNLIYRPRNANKLNGHPIIVSIGPKEEPYQLRSID LFSVPTTNDKYRVLTLMHETKNWSNLATFLIGIHKSGMVIRQDRWEWLVRKAGSSNGL GHLLMCAQQSEATKFKLKNVSVVERLFFELHLAGQRIDFTGEGIARIQGLAKSFALLM ETPEHAVRDLERDPKRSALVIGTLLELSAARALSEGGSNASQVEAYARRLLASLKDQN LSAEGQDWLSNDQLLQRIVPCYNGLKLALQLDDIAHNKHISSALKTRANELGMFIAKI KKMTPKDVRQKPTIGLAQAQLLHQN ANIA_08810 MASELSLLQKLDSLLSNVVADWNFYTTLIAGAIVAFAAFSFVTS KEPEIHPFLLARQSTAFPVRQPGESAAHRSLETPHGFPLRSGLNVKDPGAPKWTSGRN GDLRDVWKTAVRGKVDENGAVSGKQGKIFTVLGKQAVEHSLDQITAEINVIGRRLQSG NAKIVAVCLTDSIELLASIFAGAFYGFKVVIIPHNLPAEQLSKLLRKAQADSLIAEAG ALDLTLVAKDNKQLSHVIWVAKMGSRHMDWNDVPKEVEDHLDVAVWHELVDEKKDLAG LDIPEWDPSSKTPTLSTLWSGEFVEYGPEHLVAGISGLIYSLPRTQRFNSDDLVLSID SLSRLYPLCQVFAALFTNASVALNSVAGEKVDFALATVGVSPSVIVASSRTISEYHGK FMKPHSGLLSSIARWFQVRSLDSGNMPSQGFFSRLASVGPTAELSLDRLRLLCISHRI DDDTDARLDHEQLTDLRVLTGARVVYALTGPGVAGAISQTNVFDYRRFEGPSHFGAPL STVELLLSDLSEDTEQGQLTVSGPSVTSGRTTFPVQARIRDDNTFDLC ANIA_11123 MASLFLPSTPKPAATPAPASEQSQTPGKWRHPQLDEIVRRQQAS TFGDQNVRRLVWNGSALLATWTFGNTFKSYAHWIQKTTEIPTYSDMSLLMIQLLFVFN ILVALYPLIRPKDEMPDIPLTPTQRALLGLNPSATSSPAPATSYVTPPKYRVSGSRAG SPASHSGSPLSTSASASSLRFSSSTPFSPSPSPLLHKMVSNNGRENVRRPSFGSSSPL RTSPFKESIALPATPSPAGGKRGSLGVSNKWLYERSRRPSISSGSY ANIA_08809 MGASESKLVFKQGIFRLSEEKEIPPDDPYWARFWELPESTEDVF SLFTPADIRRTRDHALGNFETLLLSITSRLTTLKNHPSFPDPDLAPDRDALNCIRILT RLLPYIYEAEHLEQWEEKFFWTRRKKKTREAQLAADVLFDESQVEEVRDRASPRVNEY EDVKPLAEELIDTLMDLLFYTGFTIPKLPSAKAKVSYSIWQSGVGCNTSMGSTRELED NRCEVLRLLLTITGKAMYMSSSLLPVQGVRAITYITTSQEKQAVLTLLCSLLNTAIKY NPASWRVPYDHVVWKDPRQILMQATTAYLPGSQKSVKWAPEMLVLFWEALQCNKRFRS FIIDSNRSHDFIILCIFYSITYKSDPAKQGVVRMCIFILQTMSVEPTFGKSLNKKFEA QDTLPQSIRIPGFKGSYADFLLILFTSMSAPSFLLANESNHALLASVLESINAILEHK FMIYAILKYRRRFEAVREFTLESGQQEIERQNQRRKSENRDSIASPVLSASDEDPRLS SGARSPLGRIPEENNPFAIGGDDSEDEGDGRHTPPQDSASVQTSRRQSMSSVIDESVP LQLRGMSEKARGKMPAGQPSFSRQNSMTSQISMSAIFPSSSGGFTPTTAWLESWLPEL PLHTILTIISAIMPSIPESAFQSSNGESRTLISNLPSFAEDPLIQSIISDPTPPRVHS FEWSALSMGWYESLLWGFIFSAEMVVGSASGATPGTVGVWNGTSIKLFKVQEAAAQGP TLLAPKGAVDAVGSNLVQRIGNLGLRRSSTQPETQSNSGSPSVREV ANIA_08808 MALFRFLVTAASAMFLAVPTEALEGTSELQSILKNTHRSSEYKY PTDFTRGILPIPVHSHNDYWRDVPFYTGWLFFVLSFIKPFIKKSFPALSLGCISVEAD VWLYNGTLHVGHDESSLTDERTFESLYINPILDVLERQNPKSKFLTAPTHNGVFDTST DQTLYLWVDSKTSGPETFEAVIAALEPLRRKGYLTTVKNNETLIQGPVTVIGTGNTPY DMVGPVADRDYFYDGPLAALNESGNEGITSLISPIASTSFTSAIGELTLGVDSVLSDE QLDTLRSQISNAKEKGIKARYWGAPSWPIRARNILWTTLINEGVGLLNADDLAAAAEY F ANIA_08807 MSKVDVVLGDLLAKVLPTDVKVTIRHISSTPTASTALFAPPPGE EPEPTFCENHFLVASVIPDGKDDGAEIIVFGIEVLIYTTAHLTTIFVSKADSTGHLHL LKAASKSSILRRVANTFLSFLVRVHQRPGVRLLVSLFARSQNQYLFPGSIENLEKHVL DDRGLIKWWCRALDPILREYEPESASQEQDKKHEESSRSSATAYLIVPGCDRFETRGF FPSSAKADDKDRPRWLNAYPLRQICSKPDAPPRSLVPRFPDDPKTRFLIDLDDELPEA AGGESSSGHWRSVKSLDEFWEMMSFRQECSAGRLVGFLWLVINPPGVVNSKPMLSSTA GSAEVRGTTSAAGEGPATDSQLLTSNAPANSDQAQSLEVPKSSEDASITHSVDQTINQ QANNGSAFYWPQAARGHAVLNEDDYKKVINFLLEQDFYNEEVSFASTKAFNDKVATLA DELWVGQHVVGKSTSEEPLVPSQPSEIPATTLFVRKRKKDEGPTSDQAPSGSTESGTA QDTGVNLLQGSLIRTKKKA ANIA_08806 MFVLSTARCTETRANKPTNHRRAEPDLTTSPRLLSSLLFSSLLS PLSTPRTRPSYNANAPSPSRTPMITLQSITDAVGLGSARYGGFQWSVALGWIGLVWYS TVTTVCALGYYKLWKHCLRRPQSSYCATAQNAPHVTVIRPVKGLEPHLYDCLASSFRQ EYPRGKLTVCLCVSSRSDPAYATLEKLVADFPHVDARIYVEEEDPLLQPDHKPMYNLG PNPKIRNMSRAYREAKGDIVWIADCNVWVGKGVCGRMVDKLCGLGSGSSTEYKFVHHL PVAVDVTGVIGVDERRALETSGAARVKDGKSACAPGVLAMGGGRLEELFLSSSHAKMY TAINTVLIAPCIVGKSNMFRRSHLDYLTTTASCQFNPGIDYFSDNICEDHLIGDLLWK NRVREEKEDGKHLGKHALVFGDLAFQPIANMSVQSYIARRVRWLRVRKFIVMLATLVE PGTESILCSLYGAWGVTTVLAEYFQNKILSTWTTFFTFFGFSILTWCLIDWTVYIMLH SGKTVERDENTPSFARPPQGTTRRRFSHWLAAWLGREILAFPIWFWAIWGGMTVTWRD RQFRIGLDTKAHEIGGNSRAGLLEEQASGRENENEATSLITTAVKRQTRGNR ANIA_08805 MPLITLTPDEVDDLIYDVRAGDSAALEEDLTALSQKYSVKPAVI IASAVDSAPEDEGGSGCCLLHYPAANGNLEILTKLKEQLLSATNENILTAAEVKNTVN HRNHSGNTPLHWAALNTHLECVKVLVEAGADISIKNEAGLDAVFLAERADWKAQEATQ TPDPSQGKDQDGDEEMEVEVAGDAAEGGNATQVPVTKARQIVDWLLEHGDPAEAAGDG SAPGNES ANIA_08804 MSTSHTNDAPEGASGSASPSPDTTSPSRDNDPTVTTKAEKQAEE HTSEQKSEDSTPQQEEGEQEEGETRESDAPPLPDEVPPPLPNEAPPGEDDGWEPVWDA NAQAYYFYNRYTGVSQWENPRVPDAAVATAAAPPAVGTEEPAPAEKASAPLGGYNPAI HGDYDPTAPYAQQYERQEEGIHGGAGMGLVNTAGYEAIGSFNRFTGRWQAASLNPEYH NDENKSRRQMNAYFDVDAAANAHDGRSLRAERSAKKLSKKELKMFKDKRREKKEEKRR AWLRD ANIA_08803 MKFSIAAAVVAFAASVAALPPAHDSQFAGNGVGNKGNSNVKFPV PENVTVKQASDKCGDQAQLSCCNKATYAGDTTTVDEGLLSGALSGLIGAGSGAEGLGL FDQCSKLDVAVLIGIQDLVNQKCKQNIACCQNSPSSADGNLIGVGLPCVALGSIL ANIA_08802 MTCTCKSTLPPVSTDLAKGLYHVPPASDNSNTISITQTTTTTTT SIRIVDSDTSSDYDSHSITSADTDTNSVSDYGCGCGFGGDEHNCEDDIEDTNTDSNKI SLPARPLPPVPVHRQSPSTSRRSSEVKTKTRLACIEETIPEEEEEVYLAQQRQEGDAK QHRDTTNRRKSMIELFNLSTSLSTSSSSGAASTSSGPGLSLSFSNLSFRFPMPPICGS GNQQCQTEKLDSAGIENPQRENLEHKRPKSMSALSLSYSASSATGSTSASVSPSQLSS TEKSYEKCLDGVPENRAQVHSPATTTTKKQRRMGTILFPPFAFLSRSSVGSALDQDSK GSAVPNGKSLFF ANIA_08801 MTKNPFGVNLTLLPALVPPDYGAYAQVIIDEGIKIVETAGNNPG PVIRQLKAANITILHKCTTIRHAKSAVKLGVDFLSIDGFECAGHVGEHDITNFILLNR ARQDLGVPFIASGGFADGYGLAAALALGAEGINMGTRFMCTVEAPIHQKVKQAIVDAE ETDTALVMRRWKNTTRLFSNEVTKQALKVEKESKTGEFAEIAPFVSGKRGREVFLNGD VNFGVWTAGQVIGLIHDIPTCAELLSRIEKEADEALNRSRSLYTATPQSKL ANIA_08800 MPLLPLRHLRLLSSLRSPRPVLFSQLSVLARFMATAREQPAWRQ PTAHPEAQSLPSLKVYNSLTKSKTPFIPIDPHGKKVTWYACGPTVYDDAHLGHARNYV STDIIRRIMRDYFKFNVHFVMNITDVDDKIILRARQQHLFTKFVTAHPTVDEEVLDVA KKAYAAYLKKNLPLLSPELPPSDYSKEVEKAYAVILNGGPLPGNEKAGDDEAKIKMHI KTVSSAANVIVEAEKLDKSAQGTFPERFYTDAQDLLLPYLDALEGSLIDADDHSIFTK LTRKYEERFMKDMDDLNVLRPDELTRVTEYGQEIADFVEKIVENKFGYVSADGSVYFD INAFEAAGFPYARLEPWSRSDNKLLAEGEGSLANKTTEKRSKSDFALWKSSKPGEPSW SSSWGRGRPGWHIECSAMASARLGKHMDIHSGGIDLAFPHHDNELAQSEAYWHSHGDQ WVNYFLHMGHLSIQGSKMSKSLKNFTTIREALERKEWTPRSLRIVFLLGGWKDGIEIT DELVSAGNSWEDKLNNFFIRVKDPAARQGPASSTDTTLAEALEAAKSAVHEQLCDSFN TPGMMNSISELITKYNIADKSTLNPKDVEAVARWVTYMVNVLGLNGQTPADSREIGWS GIDVPEEAKPFLYPLSAMRDALRQSARAKTLNAGEISKIVEQEAIPEATTEKTKPYAT VVSNFRTKVSSLQDSGDLGKEVLALCDRLRDVDLFDLGVYLEDRENLPAIVRPVSRDL IQAREEKAAQALQKQREREAKEKEALKKLEKGKLSHLEMFRTNEYSAWDEEGIPTRDA AGEEIAKSRSKKLRKDWERQKKLHEAWLASQQGSK ANIA_08799 MPSPFLSPSAHSQLDQLALLHLRRDNTIPAILRLHDEENLGYKE GNVTNTRFGSFPHSTLFDKPWGSQIIASKVDTGSRGRAQLKKRKANQLDSASGTGGDE DGTESSKLTREAPVAAASGFLHILCPTPELWTASLPHRTQVVYTPDYSYVLHRLGVRP GSTLIEAGAGSGSFTHAAVRAVFNGYPDITPPPTKRRRRLGKVCSFEFHAQRAGKIRD EIHDHGLDGLVEVTHRDVYQDGFLLNDPDGKPSTSPKANAIFLDLPAPWLALKHLVRN SPSGAESPLDPNTPVHICTFSPCMEQVQRTISALRQNGWLHISMVELQHRRIEVKREK TGLEFEGVRGANVFPRNIDDAVQKMRVVEQRSIRFRDVVGQVEQDQDQQDESTAQRRQ SQTQTPSELPTFIPPTHYKDIPPYAQGRLVHRSEPDLKTHTSYLVFAVLPREWSEEDE RKCVEKWPSQTVDEEAAQPAKAKSRRQMKKEAKAAAGGVNKAEEANRYENEG ANIA_08798 MDDLNGLSWSSNSPSDVPKPPPMGSSYLFPAARPQNASGRSTPL SASSRLSNPPSKPATPSGDSFANLVSFGSSNTTRNLPLIEQQKRLQEEKARKEAENRA RLEAQYGGQNAQFWDDLEKGGSNNPFASSTAPSRNNTASPDEDDLLAAFNASAPVDAS THFPVPSPSPSLKVPTSEAQSANKPSTLPPRNDMSFNDDDDPFGLNQLKPKSQPAPLL SQNDDDDFLGLLGKPVSELPPPAPPKDSLRADSPDRASPKPTNGMDRAIAELVDMGFP ADKASQALRMTSSGTDVPAAVSILLTQAHEESRQKSQNRSAPIDRRSDQSAQRPDRDM PSWMQPERSHTPKSRNESRSPSSTDMDPSQIAANLGTSFLKTANSLWKTGSKKMQQVV NELNSDHDPSQPKWMREASNSEAVPRGRAQQPARNDLTDEALLLESGGPPRPRRNPTR VDEGPAPRAGSDSRRQESGERRMQQPAFMRQQSTSEPRDVKSRLSRFAAEEQSAQAYV SPARRKRPVAQSSAQQASSPGTDLFNSSVQQSAAKANPPRQPPSTSLPTRPKAPPRVI PQVSQSALATAHAQREKAAEAYKRGDYAVAHEAFTNALTALPDKHPIVIIIRSNRAMT ALKIGEPKIAISDADSILELVGPAKGETESIDLCNGEPHKPMKDFYGKALVRKAEALE QLEKWADAAQIWKLAVENGHGGSTSIQGRNRCEKAAGISKPAPKPTAPSRRPAAPAPK KKTSALDDLQGAPSASKSASSFEAVNRLREANQAAERSDEEKFALTESVDARLAAWKS GKQDNLRALLGSLDTVLWPEANWKKINMSELIMPNKVKIQYMKGIAKVHPDKIPTDAT TEQRMIAGAVFGVLNEAWDKFKAENNL ANIA_08797 MGIFKRKDSKNSIQEQKDDRDSFVSVNSARTSNASLRSPGYKGS GLPSSIPELSIAGPPDPALDPAAYLRSIHAVRQRSHIILRKAKRNQLNHFDVDMTKFA ETASYVVSIIKRDYAPDYSSIPPHGRWQHFDVGGRPRVNQLLQSWPSTIDAQERTRRL IDLFVVSVLLDAGAGNKWSYKSKESGKIYSRSEGLAVATLEMFKSGLFSSDPTEPCQV DGAGLKKINVQVLAKAFQHSETNPLAGIEGRAGLLIRLADALNHQDFFGVDARPGNML VLMDGFASIWPPSRTKIDGLSIGDAWPCSALPRSPPANAWESIVPFHKLTQWLCYSIM VPMSKLMKIHFAGAELLTGLPEYRNGGLLIDLGLLTLKPADLERGLAAYRDNAQIKGQ PSMEVVPLFSADDDVVVEWRALTVGFLDDLLEEVNGQLGLLGEDQLTLAQMLEAGTWK MNQGGREIAEVSRPNTKEPPIMIRSDGTVF ANIA_08796 MDGRKKKRKVLLMGKSGSGKSSMRSIIFSNYVAKDVRRLGATID VEHSHVKFMGNLTLNLWDCGGQDAFMETYLASQRGNIFSDVAVLIYVFDIESREVERD LDTYMAIIAALREYSPHAYVFCLVHKLDLIQAEHRQRIYEERSALIRSRTEHFTIDTF GSSIWDQSLYKAWAGIVHKLIPNLSVIERFLHAFAKRIDAEEVILFERSTFLTVTSVS SEIGDLNPIYDRHERLSNIMKAFKHCAARNTHTTPASAGFVVMHTKTPQFNVFLGRFT DNTYIFIVVPPGEAAYNCAVLNTMLAREGFSKAAGAVHGDGFPLPAPDSPDESNSN ANIA_08795 MASAVFFLDLKGKTLLARNYRGDIPMSAVEKFPILLSDAEEESS AVPPCFSHEGINYLYIRHSNLYILALTKKNTNATEILLFLHKIVEVFTEYFKVLEEES IRDNFVIIYELLDEMMDFGYPQTTESKILQEYITQESHKLEVQARPPIAVTNAVSWRS EGIRYRKNEVFLDVVESLNLLVSATGNVLRSEILGAIKMKCYLSGMPELRLGLNDKVM FETTGRASRGKAVEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLNTQVKPL IWVECLVESHSGSRIEYMLKAKAQFKRRSTANNVEILVPVPDDADSPRFRTNIGTVHY APEKSAIVWKIKQFGGGKEFLMRAELGLPSVKGDDELGGGMTGGFGGSMGGTMQGKAK RPINVKFEIPYFTTSGIQVRYLKITEPKLQYPSLPWVRYITQSGDIAVRMPDVQ ANIA_08794 MASLLPIRAFRTASCSACRSLISSGPAQLALPLSRRNYSTPAEQ EPVDYTNKPRWSYTPPRAKAPFSLHFDSKRPDFPVNSDPQVLDQFYIRFLGEGGDKVL SDEVKWLAVTHKSFDQGRRGFNDRLAFLAQSASNSYGQPAPDRYGRKPFEHPALNGLE NLSINTKRFLTDKSKLAEVARQYDLEKVLRWCPRKPTNLEASGVEVVLAHTLYALIGA LSLEKGNLVANKVARERILAPLGFQVTV ANIA_08793 MLSQKVAQQSLRRLAVQQPYAMRWSLMNAASPAAVAMGRNVQKI QTRFAATSTTTSDPNKILVEQRLRRPVSPHLTIYRPQITWYMSALHRVTGIILSGPLY IFATAYLAAPLFGWHLESASLAASFATLPLAAKFSLKTLAALPFTYHSFNGLRHLMWD TGRGITNKQVIQTGWTVVGLSIASALYLAYV ANIA_08792 MPDASSELIDEIGIVKIFRCFSPDIETARICIKVPSTREGLIAC RTLEMAGVRTLATTLFTFVQAALAAEVGCTYIAPYVNQLKVHFESGFVDPQKLLPLCV SAQNYYEAIGVRTQVLPASLTSTDEIFALAGAHHITIALSLLQQLSLPLYPRLCSTLN RRVQVPK ANIA_08791 MPLTQPLWCRVHLSPPTPPSGLVRRSILRARPRLTRPPLARSSP TQFRVLRTSATSPARTASKSPSYSAPLSSNVRARPLPPLSLLTTSSTTTITPSTTLPV MATQTREHGGHGHHHHHHHHHGNAYLTSTNKHDAGVRITRLGLVANLAMAIGKFIGGY VFHSQALIADAYHALTDLVSDFLTLGTVAWSLKPPSERFPNGYGKIESIGALGVSGLL LAGGVFMGLNSGQVLLDQFFPEAAEAISHLDLGHGHSHSHGVDVLGPSIHAAWLAAGS IVVKEWLYHATMKIAVERKSSVLASNAVHHRVDSLTSIVALFTIGGTYLFQDASWLDP VGGLLISLMVIKAGWGNTRTSLLELADTTVDDEIKTAVHKAAAKALSTINEGGLIKIR DVQGMKSGQNYLMDVELAVPGAWSVQQSREIEEKVRSTVGTSLRGVKRVKIRFIPLEQ ESLDFSEEFIPPDVSSQANMEPEDGVEEEAHKEHETRKRR ANIA_08790 MSSRSSSPLKGPLYIGFDLSTQQLKGLVVNSDLKVVYSSIFDFD ADSQGFPIKKGVLTNEAEHEVFAPVALWLQALDSVLDGLKKQGLDFSHVRGISGAGQQ HGSVYWGQDAEKLLNGLDAGKRLQEQLEGAFSHPYSPNWQDSSTQKECDEFDEYLGGA DKLAEATGSKAHHRFTGPQILRFQKKYPDVYKKTSRISLVSSFLASLFLGHIAPLDIS DVCGMNLWNIHKGAYDEDLLKLCAGPHGVEDLKRKLGDVPEDGGIDLGKVHRYYVDRY GFSPECTVIPSTGDNPATILALPLRPSDAMVSLGTSTTFLMSTPSYKADPATHFFNHP TTPGLYMFMLCYKNGGLAREKIRDAINDAKNEKNPSNPWANFDSVALQTPPLGQTSPS DPMKMGLFFPRPEIVPNLRAGQWLFNYDPSTGNLTETLNGEGWNRPADEARAIIESQM LSLRLRSRGLTSSPGGDIPAQPRRVYLVGGGSKNKTIAKIAGEILGGSEGVYKLEIGD NACALGAAYKAVWALERKKDQTFEDLIGARWHEEEFIEKIADGYQKEAFERYGKAVEG FEKMEQRVLEQEGRK ANIA_08789 MGSVVELASREADGSPQTASPQTNEAEQEHPTWKYALVVAAGFS VMFTTCAFIFSYGVYQSLYEEMAEAEGTPFTGSSTALINLVGILAIALMSMGGPFAMH WSKIYSPQAVIIAGGWVFGIAYILSSFGQALWHFALTQGVLLGIGTCLAYVPTMSVAP TWFDQRRGLAMGVIISGSAVGGMVWPPALRAMITHLGFRNALRISGCISLTLVSVAGY ALRWEPKFHEQVRIQTQGLRRRSGWIKAPMVNYRVARSKRFLAQALGCFLQSAGYSTP LFFYAAYASTLGYSPTTAANFITLNNASNFVSRIAIGYGADRYGRINALVVTTLLSAV AVFAFWIPSMFHTPDAGVPKSSADGLFIVFTILYGAFASAYISLFPASLIELFGVQHF TSVNGALYLIRGMGALIGTPLTGMLIPKDALTSSFIYERAGIVVGVLLVAATLACLWV RIEASLGSSWTMESVNMHIRAMRSKRTVLRLGPALWLLSEGLYTQGVTWLVSELRM ANIA_08788 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVMKRSY ASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKL SIQARTPTPPGSPHDNGLSVQEATELEEAHNASFQAIPGPCGPPAEGAQTPKARALPT CMLHTKELDQRGRKKRNICTSKCTVQDSKHDKESVSTRLRHAGVWRVKHGWNPKGEDG HSAQQRRDNQGVDASVSVGTVADGDARHEIRRVVQSNEVSRCRGTVA ANIA_08787 MEEQVANAIEIAGNPTSDSTLKAQAFDYLNQLRTDPSGWQVCLS LFTKDPPQSHFIRHVSLEVVNSAAQAGLIDLRSLGFVRDRLLAYLRQVYGREGSNPDP PNIQNKIAQTITFLFSALYGNGWESFFDDLLSLTHKSPSSTTRDNPLGIIFYLRVINS IHDEIGDVLVSRSRAEQERANALKDLIRVRDMQKIASSWQEILSQWMDGDDLIVEMSL KAVGSWVSWIDIGLVVNQTMLDLLFQQLGRAQKAELREGEDKVRDAAVDVFTEIIGKK MKAEDKIDMIAFLNLDNVVGQISSSPPLYANRFTSKYDTDLAETVAKLVNTTVTDIVR ALEQETVSAQCKEKANGLLQVFLPHILRYFSDEYDEVCSTVIPCVSDLLSYLRKMAKS NPSIASQHSSILLPILKAIIQKMRYDETASWGDDDDQTDEAEFQELRKRLGTLQQIVA AVDERLYMEAVSEVVATTFENMRQSGAQLDWRDLDLALHEMYLFGDSATKSGSLYNKG QPSGPSAERLVEMMLRMVESDIRSFTHPATQLQYMEICVRYSSFFHTHTHLIPGVLES FLQLAHHPMKKVKTRAWYLFQRLVKQLRAYIDNVAQTVVEALGDLLVIQAELPSESSD GDEMSSEDHEGSTDAVFNSQLYLFEAVGIICSIPTIPADKQVLYAQSVLSPVFVDMEK NLGSAKSGDARAVLQIHHDIMALGTLARGFSDWQPGTSSPATQLPAPEVSEAFSQVSE ATLVALESLKASFDIRTASRFAFSRLIGVLGSRILPQLPRWIDGLLTQTSSRDEMALF LRLLDQVIFGFKGEIYNILDALLMPFLQRVFSGIADPTSGTDDEIHLAELKREYLNFL LAVLNNDLGAVIISERNQPMFDTVITTIEHFAKDAEDFTTAKMAFSVLSRMGSAWGGP DIAPAASNGPSTSQVALPGFGQFMITRFSPLCWALPATPSFNAKDAQAKQVLAEAGGL QRTIYSKMGMEYIEYLRDRELPGMGMGADLVEEYVGTLSRLDLRGFRQFFPQFIQRLS A ANIA_08786 MVLVAYLLGTLYLRDGDRWVDLQVQVYQGLRAISARAIAGHDAI FARAERHALQILALEHTLHIDVELAIQKFVLTKAPWLMPFLARVYYSHIVLGVVFFAY TYTFLKRETFQAIRRTLAFENVIAFIIITLWRCTPPRLLPEEYGFVDVLHSNHGGSAW TQNKFQLTIAAMPSLHFGNSMFIALCLIRFSPHWYLRVIAPVWPTLMAFTIVATANHF VLDAVVGACVVLVAYRFNYAMLGLLPVERALFKLLRLEKPPAARLLGSVRHLGLGDSF SSVSPHAVPEFAEWCGDLTWMHYEHNHASLVYALTIEYYRARPSTT ANIA_08785 MTMTGVKEAVKESLVGTTVDEPSLSKQVKANFIKHARKDEATGE LYMTEADFVDAIAPKHEDYHKIKREHYGILFRVADSRRTGRINIHEWATFENLLAKPD AEYEIAFRLFDTDGTGTVKWETFQKLYNENKTKDSIPFDWNSEWAALYTGKKKTRHDM TYPQFAQMLRGLQGERIRQAFHVFDKDGDGYIEPEEFQRIILETSRHKLSDYVLEHLP SLCNISAGTKISYATVRAFQNVMREMDMIDLIIREATDKSEDGKITRSDFLNAAARLT RFSLFTPMEADILFHFAGLDAPSGRLSQKDFSKVIDASWRIPVAAAEQAITTASGAAH KAADAGKSMLHGVLESVHHFALGSLAGAFGAFMVYPIDLVKTRMQNQRSSRVGERLYN NSIDCARKVIRNEGFTGLYSGVIPQLIGVAPEKAIKLTVNDLVRGYFAGKQNGKLKTW QEVLAGGSAGACQVVFTNPLEIVKIRLQVQGEIAKNAGVEGAAPRRSALWIVRNLGLV GLYKGASACLLRDVPFSAIYFPTYSHLKSDFFGESRTHKLGVVQLLTAGAIAGMPAAY LTTPCDVIKTRLQVEARKGEKAYTGLRHAAVTIFRDEGFKAFFKGGPARILRSSPQFG FTLAAYEVLQKWLPMPGSEHDVSPTGQVEPGVGVPVAKEPLPYLRSRNALKLILDLDE NIGRLPIPDKERWPKFMRPAAGAEK ANIA_08784 MNSTRLFLRVRPSATSKTTTPTALLSPAHPRRTPSTHFQACSYG TRLTTEHLPKIAQPSLWTSLIPKSFRNRSPSTSPASSPKTKEWNPASFYIIIFILIGS QAIRMIALKNEYNSYLRSTDAKIRLLKEVIGKIQRGEEVDVEKMLGTGDARVEREWDE VLKEIEREDSLWHQKQKRAAEDKAKEEARQRTARRNERPASFSQLFPASSQTCSPPEQ RDCGGMGTAMARADRKLAEWDSSAQSSLR ANIA_08783 MAVTSFPADSLASMESVKQTVRSTSTCSAATVLSLQTLFRSAGE METTLRRTARGTKATATNATASSRAKTTRTKSTSTSTTRTKTPAQDVSTFTSTSASLA DTRLSNQEKLVLATEVFNSTLKTLSDAVKTVMSISKEKKDASPTKRGTTTKGRVKTSQ PDLTDNENGVSAVAECARLSLSCLRMLRTDAMVDGGLPNLQLEQGACVLAGRLLALGL NDAAYKELRGLKRRIQSYLEELPSGRKRTGRKDAEDGEETAKERMSDLLSFSDIANAR SIHGLLVSFQSNALRLIAAEKRPATVQKLVPSLQLTDESSPANVIMASIDSGALTKDK AAVQLQLLSSTVLSLSASGASTGKERLRPSIVLSLQLLALEIRCMSWKLSGHACEDNK EMWDPLARYIGAFAQATKSIEKAEFAVIYKNIVRLQSAFSKTQNCATRSTDNLSVARI ATILGQLAQDAGCFDEALQLFTESLNPLSSSQCLGMATVRCKIAALHFQAFKSSVKLP GDVSDAVSQATAALSISLKGSSHDLDELLVQAAKLKKLAMGWFGDLISKGQGSQCENV VFPRICEFLSSFVRFLRRYIGRRPENDELNDREIFQKRIDAAQNIVLAAVDSTIAIGK LSVMSQRPAWEETVSTLLDCQRLLATIEPFDEVDVATADSIDQALAGKNARELLPLLK QSAYLLSGCSPSQRATGFAPLKYERLAHTYIEGNMVSEAEVAFRQSITDHIAAGALDK IASSTDGCFPHQMNQDPKRSGFTLGRVLSAYLKVKLRNKRSAVNEIFDDETLPSVQRG HVLEWQLGILTEIHGTSNNDNVFRSVFAEVATRLFKVYPAEQHPVRRLRVLLSGLRFA LEQPGFLDSSLLQRFADEGRKGLDDDDYQDDDDIKSLAVYLKNSVRLTLGLQQGSLGP EELELIVSTWTSILRFCHDLKSLVACVGNVEYFLLQMKAVVDYTEIHGLWKFQLSTLE LVLRVTELHGAGTFSEAIIVLSRLVLQYCRMGFCIKAHSLLSRADGYIANHEVSCLAR LSYELARVGFLLETGDNQKAATVLSTARMIYEKHQATEDLDACSVLTKISWERLVADA AFMSSRLSFAQGSIKDALYFAKLSVRLNCRIWAKVEKLAQKKQEKAVVGDSSELEIVV EGMAKLEVSQTSSTYSQGAPFWPHIGSHHSSLLHLANLSAHHGLFQDAIYYGEQALKI NKSLNANVRLIASQAHLGSHWILGGHISEGQQLLASAKALSDKLGSSIELVSLRLSLA ALHRVEGDYRNEYRTLREAEKLLGGLFESQADSADIPDLEEKMDKLRVRPKSRSTRQP ATTATRRTRSATTSARSTPKPPQSVEATNASNTLLQMKSEILLQQAASLRAQREFEAA STLLSDARKFAVTRNSRISVHLGESEHLLADAIRNFANHAVYCVLPESTISLPSLEPK AASESSSKSATRKTRAPTRGTRTKAQAATEDFSVMLSKAGDCLNGIFDTATQLGSTLD SHSASRLMSRISMLSHVTASPNHILWPHSPANMNEVGRIGAFARERAAIRIDKRLADY CDPLLWPRSELESEGVSPDFTKEYVDILPDNWNVLSLSLSADRAEFVVSRLHRGCSPF LLRLPLRRGNSEDEEEQFTFEDGRDEMKELIRLANESAHAAKLQVDRQMKKEWWKNRE ALDRRMENLLQNIENVWFGGFRGIFSPIPLCEKSLARFASAFENILENHLPSRRKGSR AQGPKLTLHPNVLELFVGVKGLDDQEDPEDTLMDLLYFVVDILQFQGERNAYDEVDFD MMVVETLDAVRAYHEAAKDQATQRPNNTVLVLDKSLHLFPWESLPCLQGLPVCRVPSL ECLRDRVLHLRSGKQSALSIDRRNGTYILNPTGDLKTTQETFEKDLSSLKGWTGMVNR QPTEDEFKDSLQSKSLFLYFGHGSGAQYIRGRTVKRLDRCAVAFLMGCSSGTLTEAGE YEPYGTPMNYLQAGSPALVATLWDVTDKDIDRFAKATFEHWGLIGNGHRGNEGIGEAG VALDAAVSQSRGACVLKYLNGAAPVVYGVPGVFLH ANIA_08782 MSVTTKATIASFGGKLLKLSHAAKSTNCEMAFNLYLPPQAYKTP SQKLPLLIYLSGLTCTGDNCSEKGFFQHGASKKGIAVLYPDTSPRGLNIPGENDTWDF GTGAGFYVNATKEPYNKGYNMYTYITEELPATVFEAFPQIDSSRVSITGHSMGGHGAL TLFLRNPGKYKSVSAFAPISNPINCAWGQKAFGGYLGEENKEKWKEHDATELVKGWAG KNVDILIDVGTGDNFYKQGQLLPENLEKAAKEAGVTGINVRYQPDYDHSYYTMATFSD DHVEHAAKYLFA ANIA_08781 MYRTKPKLSVSIAATQRPSLSLSIKSPGPLQQVHSPRSPHPSSP APSKRFSTLQVPTSTPSYVNTCSAKSILKKPTATGPSGAANKKIQFRGSPTVHCITPI ENTDEYYGSYRKLSKDERRWMVRD ANIA_08780 MLHLRAVSAFTAGRRNIWRNARLTSDSRRRGLLTLAIETSCDDT SVAIVHKNDKSGAAKIHFLENITPDLTAYQGIHPVRALESHQQNVAKLVNKALSHLPY SSAESQNDPTKIVSLGDGNRQKPDFISVTRGPGMRSNLFAGLDTAKGLAVAWQVPFVG VHHMQAHLLTPRLVSALALSPGSSPNNTDRQNEKGELQPAFPFLSILASGGHTLLVNS SSLTDHRILATTTDVALGEALDKAAREILPSSLLSTSKNTMYGKLLEQYAFPNGRADY ADYVAPKSRGDEIAVSKVVSKYGWSLTTPYAQTRELAFSFAFLATAVNHTLAKARKRA GETGLSDEERVFLAREVMRVTFEHLASRTIIALESLCQWVPLVPNNPNDKRQKPLPSS VPVSTLVVSGGVAANKFLMHVLRTWLDGRGFGHVGVVAPPISLCTDNAAMVGWAGIEM FEAGWRSAFEARALRKWGLEEGDESDGGEDGGQGILGVGGWQREGKE ANIA_08779 MTVPLRAPGDPRENKTPRLTFTDRGGTFTDVWASLPGQPDVVLK LLSVDPANYADAPTEGIRRVLSLYYGQEIPRGVPLPKGELESIRMGTTVATNALLERK GTKHAFLVTKGFRDLLEIGYQSRPRLFDLNIVKPEVLYSESSSGDMIRILKPLDETTV RQTLRELRAQGFDTLAVCFTHSHVFPHHENRVERLALDEGFKHVSLSSGVAAKMIKMV PRGSSSSADAYLTPEIKTYLTGFAKGFEGGNLDGVCCDFMQSDGGLVSHDRFSGLRGI LSGPAGGVVGFARTSYDASSGTPVVGFDMGGTSTDVSRYGGTFEHVFESNTAGITIQS PQLDINTVAAGGGSILFWRSGLFVVGPESASSHPGPACYRKGGPLTVTDANLFLGRLI PDLFPKIFGETEDQPLDVDIVKKKFVALTAEINRDTGKALTPEEVACGFLNVANEAMC RPIRALTEGKGYDIASHNLAVFGGAGGQHACDIARTLKISTIVIHRFSSILSAYGKTP HRFYPTILPPELTKIGMALADVVEEAQEPVNEIYNERSRQELEERLSKLRGRVGKQLL DQGIAADDISYEMYLNMRYQGTETSIMVLRPDNGDFAAEFRRTHLREFSFCFPDKKPI YVDDVRVRGIGASERKDWEGDQLGQQLRETTFRPPAKGYLGRLLTNQVYFPKLGYQDT PVYLVQNLPAGLSIDGPAIIVDQTQTLVVAPNTVAKVLRSHIVIEVLSTASAVTEQPT TVDHIQLSVFGHRFMSIAEQMGRALQKTAVSLNIKERLDFSCALFGPEGDLVANAPHV PVHLGSMSYAVKHQHELHKGKLVPGDVLVTNHPEAGGTHLPDITVITPVFENTGSKVA FYVASRGHHTDIGGLGGTSMPPNSTELWQEGAAIRSFKLIHGGDFDEKGITEILLSSG EYTGCTGSRHIQDNLSDLKAQVAANHKGVTLVQALIGEYTLPTVQLYMGAIQSNAELA VRSYLTTVRERLGPHLTATDQMDNGTLIRLSVSISPDGSATFDFTGTGVEMLSNINAP PAITHSAIIYTLRLLIGTDIPLNQGCLAPINTIIPKGSFLNPSSGPAVCAGNTQTSQR VVDVILRAFRAAAASNGCMNCLGFFGGDSDGTEPGSKLEGFSYAFGETICGGSGATST QNGASGVHCHMTNTRITDPESLEKRYPVILREFAIRRGTGGKGMHDGGDGVVRDIECR APLSFSVITERRSVPPYGMEGGAEGERGANYWVKRVKGGEGNRAEAADQWRWVNMGAK NMVRMQPGDRCVIHTPGGGGWGVPGLSNGNSKEDVPRVQQQYPRASGSVIAYAAAQEA SN ANIA_12082 MADLAKTLAVETPDTEKQDVSTAMDEAAQYLAHSRGFEPLSQEE EKQMIRKMDWILLPMTQLFMTATLGAVDKVAISTAAIYGLKDDLHLVGQQYSWAGSIL SIGVRLTLGCPFALLLQLAKKSCLEAIIVPSISLIIAGFYTKSEQPPRNALVFAAASS IINGFLSWAVGHIPSSAPLAIWQYLFLITGSVSTLWSIFVFVFLPDSPMNAFFLNERE RYHAVQRLAENKTGITNRQWKWDQALEAIIDPKTWILFFFNISINIPNGGLTTFSGII INNLGFSAVNTSLLNMPTGVMSTLSAFAFSWIAAKWSNRRCLVTMIASCVPAIGAIIV YTLPRSNIGGQMVGIYLLYTYFGPYVVGISMAQANTAGSTKKTVQYSVLYIGYAVGNL IGPQTFRANQAPAYTGGFVSMLVCYCVCVALMAGYWSIAVVLNRRRVNAVETPERDGD LQEAFADMTDFQQKGFKYTT ANIA_08778 MPRADRKNTRRRTGCFRCKEKHIQCTEEYPRCHRCESLNLQCVR GLRLTFREDAIQRGLSFGREGVWTRRPLRAQKQTQKALFEAVSLQPYLNRWVFLNVTT SDFDPNSTECLALPSDALELVAPSPLPSTYHPLHALPETDSYLLDYFIRGISPSCSLS TSHNPYVSLVIPLCFISDTLRHALLAVAANQLCLLGCNQFRQKACQHKDNALRGLRRE ISTGLQDEGTVATVLMLCFQDISDGCSPSWITHLRGGLHLIKYKGSPSSPSLWNFFRM YFVAHDIMSRTASDDWDNKWHGDDSAQLWSEHDDLEEIDVLMGCSRSLMTLIHKTSVL AATRAKILKNRPLTPSEVEDHARITVNLHDSLFTLNQRLPSHSGDRTDLEAIAHIKRL AAILYLTERLGPVKEAQAILGGNNHSDSYRSSPGGTQTYSKHHLITSIISSMSSLSNT NTATLLWPLFVLGNAGLENEEHRRFVLDMLLGIQRARNLGSVRRTIEAVKHAFGTKGL GLVVHGANKMLAQGTQTEWGNARFRFISLA ANIA_08777 MPQSWEELAADKRARLAKTIPDEWKVQTLPAEDSVIDFPKKSGI LSEAELKITEASAADLVSKLAAGELTSVEVTLAFCKRAAIAQQLTNCAHEFFPDAALA QARELDEYYAKHKRPVGPLHGLPISLKDQLRVKGYETSMGYISWLNKYDEGDSVLTTM LRKAGAVFYVKTSVPQTLMVCETVNNIIGRTVNPRNKNWSCGGSSGGEGAIVGIRGGV IGVGTDIGGSIRVPAAFNFLYGLRPSHGRLPYAKMANSMEGQETVHSVVGPITHSVED LRLFTKSVLGQEPWKYDSKVIPMPWRQSESDIIASKIKNGGLNIGYYNFDGNVLPHPP ILRGVETTVAALAKAGHTVTPWTPYKHDFGHDLISHIYAADGSADVMRDISASGEPAI PNIKDLLNPNIKAVNMNELWDTHLQKWNYQMEYLEKWREAEEKAGKELDAIIAPITPT AAVRHDQFRYYGYASVINLLDFTSVVVPVTFADKNIDKKNESFKAVSELDALVQEEYD PEAYHGAPVAVQVIGRRLSEERTLAIAEEVGKLLGNVVTP ANIA_08776 MAETSDIRSNGYPLPTELLQEILLFADFQSFFSASWTCKDWRNA ALSSYVLRHQLNTVPTVPTLAEADIEQATPRELRILFHRVCRQNLMGIRSNVSLSNTE EKTVRPMSAIAVQSRHGCQYAQLRGMTFILKTSTSASHEVQLSPTIFPPSDAVRQLIG YNHGGLFCTRPFARIQAALSPCGELVAVALGQKVHIYLLGESMDMRNVEGTIGDNVLE SIQRIEFVGNLLLRLEVDGPEGLSVRYLGYGECRCRGISPIVGITAGGAQRLEYWKTG LRQVYLDSRVIEQGLGDGTSVRGVRLFDMQSRRNDNRSCSCQDEKHFFGLFRWPSSEN SYAAGSIYKNGTVHITQRIPSRRPSWVRGQPEAGTAESFVPSNPALRWDRFDPDNLPL AHCYDPFLAICDDGKILVICEPPHGSAKGAVYVCSGEMAYSNPERIESPAPWPFVLSH FDLGPLDQGVYSLHISRNTYTGGYVIDAHTEHQRLQWQLQWT ANIA_08775 MTYHSTGVHDPAGAAAAGIKGKKKSFHSVQISIYKTREVAPTQS YPQSGKAGKAGTHAMKPLTCALISLFAACVCNGELLPTLPFPLPICTSTTTLTLGGTY TVTATSTVTSISISQTRTTIYTPTSVTCLSTATTLAAYPSLPGLDADTGASILKVRAA SPQITLPPILPIGCPTVTVIPPTVSNAPCTRLEGGFALTYATISSPSHRLRQASLGGR ELKSTVRTSTITTTKSGIATVTTTPISTQIVTETWIQPTPTNYNGICLPLPLLRNSPL PALSHANHLSTGLNYYQYLNGYNYNTDTTGLGGGGYSTSHWNGNLSYYTSGLARNINF ESPNWPTGPAVCQLPGQAAQTDCSQWTVVFQGFLFASIAGNYTVHSPILAESANWQDN TGFWWGGEKAYTDYTDGNVDGAAAPSCGVDTVKRVRVGAGS ANIA_08774 MAVRSCDEIKPSCSRCILTMQKCVYAAPAATTQSSGPASNTQRN QLSLSSLLPTTPTSPGGRLSPSPLPFEAPQLPFSPPGQQRQQQLLLPTRQTEIDSSDT GLYHHYLQHTSRNLTANRQDHHAIQICLPTLALRSRTVYHSMLALSAACMCCDLIYRE PPPEVTTVSEILMTGYRHYNLASERLRELISRPSAANAEPLLAAPPLLVPFVTSSQQV NHWISNRTAGGVEQVRKRLSSTPRDVIVISRGISATVRALETSTSPYSQLATPEALDS LDEYGTVAVSPCISTSVPPSHSHPMYPIIKSTSQPAFAKLQDRISLALVYDPGNRSLA TCADAFKVLSTLRTGVFPQDQSSPPLLLPPSMTQMPTLPQVAPWLVSFTARPSTPSPT DHMTRPLLSFLVHAPQAYLDFVLPLLDQRLEGPAPSETGSADKAQAGLELNVEQALAL DIYAHWSVLMFLVSEESWWIGKLPDITLAGLVNRFGDNFVRKHWPDDGGRVDGEGEGE GIGEWWPGSMLRIHREIGRYRS ANIA_11122 MVSLRAVRAHNASLKSLGANLVAVFVGGTSGISLSTALSLARHT VSPTIYLIGQSRQAAESAVRSIRALNPSAEAIFLLSDISLMKNVDQICKQIESRGRYV NIVFMTPGYLTLRGRDETTEGLDRKFALHYYARMRFVNRLLPLLESAADKIPSASLKC GHHASLMTNFYLEEMARRYKNTSFVHAYPSGVNTGILRGLPGGQIARSFLSVLLKPFM VPLEESGERHLFAATSRKFPCAARGKGTDGDQEDVAPGSGGRPGSGCYWVNWDGEVFP EHASIKQKRAEGAVERVVEHTEEVLRRVCENSSPQ ANIA_11114 MSPVLKKYKAAAVNAEPGWFDLEESVRRTIHWINEAGRNRCKLI AFPELWIPGYPYWMWKVNYQESLPLLKKYRENSLLSDSEEMRRIREAARANKIYVSLG YSEVDLASLYTTQVLISPAGNILNHRRKIRATHVERLVFGDGTGDTTESVVQTEIGRV GHLNCWENMNPFMKSYAASLGEQVHVAAWPLYPGKETLKYPDPYTNVAEANCDALANE LPQLVTPAYAIETGTYTLAPWQTITEEGIKLNTPPGKPLEDPNIYNGHGRIFAPDGRN LVPHPAKDFQGLLYVDIDLDEIHLTKSLADFGGHYMRPDLIRLLVDGNRKDLVVSEDR INGGIKYTSTMDRVGLTKPLEAPKPTDQKE ANIA_08772 MLLVSEPSVWDLYVTHTYETVVDKRTYRLRKESYIKSLEREILH LRTAKSDLTGETRKLRAEVRRLRQVIEQHGIVLPFTPPSLDSDITSPRQENSPQGAMA TLCIDRDHLNNKRLAVFDDALTYGTSPGSVQTATEGVHLPDGSFTGQSEVVAAMNFIL SLEAPCLDHVRAALGAPSAQSEMGHGHALTLTASVFRLYSDTSLLPEEDELLHVSKQT LSRLLELSVQVTTAEELTPTQVWAFLHQSPAFADPKTRQEKIMNVAQELARYGAVIPR DVVTRVVSQVIPPQGSWQQYRKLLLSLASALSDLHV ANIA_11121 MTQSDFGAGAYGDRHCSAGGPDLLLLSSLRTLLLAHRSASYHRS KTTMLSLLLLSIASISTASSITWKNCTVANFPGLANLGVDSYIDQIGNASHLDCGELQ VPLDWSRPHGENITLGMARYRATLPGKRLGSIIYNPGGPGGPGSISALAQAVGIPYYT NGTKDYYDVIGLDPRGIGLSTRVKCDPDLYNKRVSLFPTTEEEFHALVEKNRALGESC RNLTGELFYHVDTTSAARDLEAVRIALGDEKLNWIGLSYGTQLGGAYAELYPESVGRM VLDGNLDHSQSETGALQTEVSTYEDVLNQFFKWCNTTATDDECPLKGQDLPQIFDDLV AAANESPIEAPGCAGDQSACRSTVTGQDILINAQPYLVYERAQSKRSSANWPTLAQYL NNTIAGDATGFSSSLATSERDLSYPDIAIGCLDWRHDSTSLSDILYKLQLSSYLAPHT KGASQSYRYQVSCIGWPAPLVNPPHKLNQTSMAKAPPILMVNAFHDPETSYVWANNLL EQIPSGVLLTRDGNGHTSYSLGGEASVLIDAFLVNGTLPRRNTVVGS ANIA_11120 MAGRHEHEHAPANAHELSRVEDASYESGLSSSDSDSIDTQPRRR QGSTASTLQTRSSSRTRPAEISRIYSGVHLDDHSVYQGEDDHDSLGEESNEKDANPEV EVDNGIVTEKDRDLEANRQGATAEIEKSRTGRSAQSSDPKLVTWNGPDDPENPKNWPM KKKWAIVVTVSCFTFISPVSSSMVAPALTTLARDLGITDAIESQLTLSIFVLAYAFGP LFLGPLSEIYGRMMVLQLANLFYLVFNIACGVAKTKAQMIVFRFFAGLGGSAPLAIGG GVLSDCFRPEERGKSVAIYSLAPLLGPAVGPIAGGFIAENTTWRWVFYATTIADGVIQ IAGLILLKETYAPKILRTRAIRLRKETGDLSYQTEVERQKKTLSETIRSALIRPFRLL FTQPIVQAIAVYLAYTYGIMYLVLSTFPSLWTGEQYYNESIGIGGLNYISLGIGFWLG SQICAPLNDRIYRSLKARNNGVGKPEFRVPLLCVPAFLTPAGLFIYGWTGQYQTHWIA PNIGAAIFGMGTIVTFQCAQTYMIDSYTRFAASALAASAFLRSICGFAFPLFAPYMYD ALHYGWGNSLLGFISLGLGIPAPVFLWKFGALLRKKSTYAAG ANIA_11119 MGRTVDQEVHAAFVEFRAKEDDKCLSVQCIYCQQIRAKNTSRQK QHLLECPGLRGAQAQPQTQPQATANGITAPNGYPPNPNPAGATAPGAAPGHGALSTPG AGMIPNGVGSHTTPMQTPLQNLAGRPSLPATGPVAGPSTGAPQPQPPRTTPKAKPKTS TSSLPAPPLDDVHAAFVEFRAKEEDKCLSVQCIYCQQVRAKNTSRQRQHLLECPTYLS VMKDSIPANNLLHTFPEGEVARSLQLPVPTLELDFRLSLKVNPKVGVGPSIWGLRDWV TFVGGQWAGRWGKGVVVPGGQDSQVTVRDSTSLRANFLLQTADDPPAFIVVKTNGWLT GAKDVLDKLNDPQLADGINANSYKYRVNLSMETGDDRYTFLNNLMWVASGCRRGQEII LDAFRVN ANIA_11113 MVLGRLSAATLSFFPALLSILPFFLSHFLKHARQYWPTSSLNVL ETRYSEARKQVFVAVPTTLVFLELVGALVLVLTSPEHGVATVWLVATLGARSISRRLN RRTIQNVDVPKACNVIIDPAAPMALRLQSNLLYGISRVYSQQCGYTLLDVQAMHDKMR QTLRSVPAGGLDPSAGKARPEQLVLPYDPSFLPENNLPGLGLDLSKLNRLLETESSQQ SNVSIPRTPDLSQSALSNSSVLGLNIPSDENILRDMGGFSSEADVASSAKGGLDFGRV LTSSLHDEGGVLLQPDFEFDENGNIVELGGRTQNEVRTRPPLLADEDIEMAITNEPAR PVRPAVFCDGDEAPREPVEIETHGATAPQRQRGPKFLASDLQTALRNAELAAMNEDYM HNVALAARQKRQNRVSTQAKRNAAYWVFRLGIGSVGAGVGSSRVIHPLHFFSGDELYE TLVSHKRPLEDEPEAEGRRVRAREDDEQGRMDIVDDTNLWNEDVELGRHQSPPLYDDN SSQMPWNITASVQSSRHGASVANIFGGLGSVSDLSSRGLPESVASFGRAPSVGPSGIG RSRNRLTSASPLAGRGFPYDLDNLSIPGHYDDDLDKLEGFDLGNYLDENVMGDDNSNA DVAGPSHRSQELQNSLTESVMDQEGLNFLGFMAAKIQSLKPAEGASATEITFSTLLPY QDTSATVATQGLMHILALATKGFLKVRQDEYQDQSNADDGVRYEFGEIFVSLAEV ANIA_08770 MLVGRMFSLRTAVRRASTTKSFRALSAPHTVAFARLCSPTARAS LRASAVPLLQSRHYSRASDTQLSSTRSTVVQLLSNIGSKREVQQYLSHFTSVSSQQFA VIKVGGAIITEHLETLSSALAFLNHVGLYPIVVHGAGPQLNRMLEAAGVEPQFEDGIR VTDGKTLALARKLFLEENLKLVEELERMGVRARPLTAGVFQADYLDKEKYNLVGKING VNKKPIESAIEAGCLPILTSMAETPDGQVLNVNADVAAGELARALQPLKIVYLAEKGG LFNGDTGEKISSINLDEEYDHLMTQWWVRHGTRLKIKEMKELLNDLPRTSSVAIIHPA DLQKELFTDSGAGTLIRRGNKVHTKTSLSEFEDLAQLKEVLVRDREGLDARATVDRYV EGLKERDFKAYFDEPMEALAVVLPQAKDALTSAAHLATFTITKSGWLTNVADNVFAAI KKDFPKLVWTVKEDDENLTWFFDKADGSLSRDGEVLFWYGVESSDEVKLLVQEFTQHG RQMFGDINLESRLQRAAHAAANIGKPLGAAAGQKRTFSTASNALRSARFGRPSVPVQT VRTYATTNPNPPLGEKNNSNTKPSKVALIGARGYTGQALINLINAHPHLDLRHVSSRE LAGKKLQGYDKREIIYENLSPEDVKRMSSNGDVDCWVMALPNGVCKPFVDAVDQGAKD GNVIIDLSADYRFDENWTYGLPELVSRSKIAQATRIANPGCYATGTQVAIAPIVPHLG GQPTVFGVSGYSGAGTKPSPKNDVQNLTNNIIPYSLTDHIHEREISSQLGTPIAFMPH VAVWFQGIHLTINIPLKETMSSRDIRNIYQDRYAGEKLVKIVGEPPVVKNIAGRHGVE VGGFAVHSKENRVVVCATIDNLLKGAATQCLQNMNLALGYSEYEGIPLE ANIA_08769 MSEQPYDPYIPSGSNAASGSTAQNGDNRTREIDRKIQETVDTMR SNIFKVSERGERLDSLQDKTDNLAVSAQGFRRGANRVRKQMWWKDMKMRVCLIICIIL LLVVIIVPAVVTTTR ANIA_08768 MSATVNLELASLEERRRLSGEPEQACAANADIPVARAKQKWNDP SINKWRIAAAFASFTVAGASDGVYGIREDFELSTTVVSLIFMTPFAGYTMASIAVNKI HMTFGQRGIATIGPLCHLIPFIVMAFFPRFPVLLVAYAFVGLANGLLDAGWNAWVGDM VSASTLMGLMHACYGLGATISPAISTAMIDHGLKWSRFYLTLVGGSALELITCVPLFW PENAERFRINNPRVSGSTRDSRTTEAIKNRVTWILAFFLFAYMGVEVSVGGWIVDFMM QVRDGGAVASGLVPTGFWAGITIGRIVLAFANEAFGERLAVIIYLVLAIALELVFWLV PHFVVSAVAVSLIGFFTGPLFPAAVTVAAKLLPKHLHTPSIGIVSALGGSGGAILPFV AGAIAQPHGVSSLQPFALALLVTITGLWLLLPRQPRHSHDN ANIA_08767 MASEGAPPTPSKLEVALFLAATQGYDTIVKLLLSTPGVNLNCTD ENNRTPIALAADEGHENVVQLLLENGSVGLNSQDSKNGLTALCSAAKKGHTGVVRRLL ESGADVNIPDSKGQTPLSWAVENGHQAVVQLLLGHGSNPNTPDPGGQTPLSCAVSKGN QEIVKLLLSSSDLECNTPHPNGLTPLCWAVNEGQEEIVQLLLDRSDVDPNKPDTDGYA PLSRAVEKNSLAMVQSLLKRDDVDPNVLSPEEETPLSRAVDKEHEEIVKLLIGRPDLD PNTADSTGQTPLFSAVEMAHHVMVQIILAHSNINPDIPDANEQTPLSCAVEREEPEIV KMLLRAGANPSVVDRNGRMPLSRAAEKENPEMTRLLLRARADPDAADITGRNPLSYAV ESGHLEIVRFLIKAKANPDLADQDGRLPLSFAVEKGDEEIVHMLLKARANPDLADNSG RVPLSLAAENGNHEIVQLLLKAKAKPDMRDKKGRTPLLWAADKGHKDVAWVLLATEKV DVNSTDEYGCTPLWWAARHGHLPVVRLLVRKGADIEVQPRITDRSKFGNPLFQAGRKG HLEVVRYLLKKGADVNATNGENETSLLLALLNDRTKHGREVIGLILQKGADVNAADKS GQTPLDIATKQNDLELMNVLMEHGAEIDSVTEEGATPLHQAIINEREDIAEVLLEHGA DPEAQDSHGDAPLHFAAASGRRKMAELLLDKGVDIDITNYTGDTPLHKAASNGHRKMV EFLLSRGATLEIRNDYRQTPLHKAVGAKHHILRLLVNRDADVLAKDMYGKTALHLAAE AGLKEDVHFLMGHGAATDGRDGNGRTAQDLARVEGHDDVAELFNKMALVLAEQSGSD ANIA_08766 MSRAGTWLKMLGVGIVICVGGPALVEKLRPTDAELIQRYNPELR ERSMREGDRRAQEFDDYVTKLKEWSKSDKSIWFAAKEMEEKRLAEQQAIQNQTKEQAR IQREEMRKELMGEK ANIA_08765 MAYPQQRAPPVRNYGPPRGAAPLRDPAYDPGYQDYGYDSQYPGP SDGGYNNPGYGYPDQATPRSHGPPRGAPRPPRGGYPPNARPPPRDYDGRRDRRPPPPQ DRMRPPRNGPMSPDSMGFDNPFPSMPPGNRRGPRGIEGDMAAMSLNGPAPPRPHTSNS NRRPGDMRPPRPVSPGRGRPHPSGYPPRSASAGRPRNGPPIDPRGPPPMPHINRSATM PTPGGGLYPGQSGYQDPRESTYGGLLDSYYTSAPDDPDMPNFDAMPDFDNGKGTIDEA LPGLEQPKPKPDSPAESKPPQGQYKAFNPAMHTPPETGTPSGANQFADAGFQFDLPGE PNSAGPSHNGMGHYEPYEDHLQSQYPPQQAGYVEPEVLDPQQNPDALPHHPMPYRPGH DSGGPPPPVRQYNGAMNSQPQSAPPQGAPEGPAPPEPVTHAELERLQQQARGNPSDHK LQLTLAQKLVEASIVLVEASRLDPKSKAKAREKYNIDAHKIVKKLVSAGYPDAQFYMA DCYGQGLLGLQNDAKEAFSLYHSAAKQNHAQAAYRVAVCCEIGHEEGGGTKRDPFKAV QWYKRAASLGDPPAMYKMGMILLKGLLGQARNPREGISWLKRAAERADEENPHALHEL ALLYASATENDIVIRDEAYASQLLHQASELGYKFSQFRLGQAYEYGQLGCPVDARQSI MLYSAAAAQGEHQSELALSGWYLTGAEGILQQSDTEAYLWARKAAASGLAKAEYAMGY FTETGIGVTAHLEDAKRWYWRAAAQGFPKARERLEELKSGGARMQKTRLSRSAVNQQK SNDGDCVLM ANIA_08764 MYDVEHPAYKELGKIGKEITTKVKPRAVVVFSAHWQGGDDTVQV NTAEMTELIYDHYYKEKYPNVGSKEIANKVLDALQQAGIKAEGVKRGLDHGVWASFKC EDPMQHYRLGEAVSKLREENILIIVSGMAVHNLRDFRFTFNDARPLPYTVSFDEALKD AATKPPAERPQALVDLLKRGDARQAHPYFDHLLPIHVGAGAAGEDRGERLWTLKEGSM SWAQYRFGEVANASAL ANIA_08763 MSSKYVFTKGLKELRFLLCQTSEQSAATRLFINRAYPTMKKHNP HTPILIREAAGTLPRVYARYALGKEKVESLNGLSDQQIEEKVKQLVKESS ANIA_08762 MKWALVIAGISDFQRPAEKLSLTQNGALMATGAIWTRWCLIIKP KNYLLAAVNFFLGCVGVVQVTRIFNYRRTLDGSSKAAVKDLEHEIVDEAKAVAHEAAV VVKKST ANIA_08761 MKLSHLLTSAVSVLSLGLTVEGHFSRSRNDAVGPKRPFKPLPYS HPRKKVCHVRSHGDGRDDSAFILSALKSCNNGGKVVFAEEKEYTIGTALDLTFLKHVD LEILGRIQFTNDTDYWQANSFKHTFQNATTFFQLGGEDVNVYGGGTLDGNGQIWYDLY AEDPLILRPILFGVIGLHGGTIGPLKLRYSPQWYQLVANSSDVLFDGIDISGYSKSEN EAKNTDGWDTYRSKNIVIQNSVINNGDDCVSFKPNSTEILVQNLYCNGSHGISVGSLG QYIGEVDIVKNVLVYNISMYNASDMARIKVWPGVASAMSEDLQGGGGLGSVSNITYED MYIENVDWAIEITQCYGQKNMTLCNEYPSNLTISDVYISNMYGTTSSARDPNIGTIVC SSPDVCSNIYVENIDVVSPSGTNDFICTNVNESLLQVNCTSG ANIA_08760 MPLGILEDAKLESVPGTAPLNELGNNNAYAGIDPALLKHDESGE IVLVPQPSDSPNDPYNWPRWKKELFTITFGWGCGCTGAVGPLLGAAFVPLAEQFGVSL NTFVSGVQGGTIAAIAVGSLVFNCIAVKYGKRPVYLITTIGMMVACFWGAAAKSFASL VASRVLIGLCMGPFEALVPASIGDVWFVHERGLRTAIFNLGVLGGINLATPIAGQVIE YGDYQICLYGMGGAFALALIMVFFWMPETAYVRTDALSIDTGHDLTTLEGKTSTKHLE AAVDADTAAAPTSADEPRISYIRELLPYSGYVNHISFWNTLIRPVYLMASPAVVWAVI LFTTCISWLVLISLTISQIFSAPPYSFSVGAVGATNVSSFVASLIGTLVAGPLVDGVA RRLSKMNKGIFEPEFRLPIMITYLLFTATGFFAWGASLSNLDPWPIPVIVCLGLINLG VQLGTTGVVTYVVDCHREKASEAFATMNFVKNLFSFGLTFYVNGWIDTQGVRDVFYTI GGITIGVTLLTVPMYVFGKRARSWVHRHRIAERL ANIA_08759 MSPRRSIIVTGQFPRITSEPLHTIPVNSITRQFAPQPNTHIAIF DINATTGAAVVEQLRSEFPSSSFSFERVDVSSWESQAAAFENVVAQQGRVDVVFANAG ITEKGSLMPEKDGKPTKPELATINVNFIGVLYSVKLALHYISKNEAVNGSKGSVICTA SNAGLYPFPMAPLYSATKHGVIGLVRSLARPLEREQIQINGFAPAVIETNIAPSSDLF KTMILTPMSTAQRAAQQFVSDPSLTGKIAELHGEQVTFAEPPAYVDEDTGRNIENFWS LGYA ANIA_11118 MDSERMSYSVGTLARSDSMSLITDSTMNVISLLDCAFYFYQNYP CRLSHTELQWEFPCYDSVFASEHPFAAPRFQVTRGITIRDAFSEMFEEAGGRDIPSPT ASSGALATLTVLDMFVLIHAPLMRSMHNSGINQPVAAPSLGKKRQAAAQEDSTLAPIR MALMRWRDHWLTLRNTVSSCEWASMGFYKNGFNFWLVSQLLITKKESVDVVMKMEVRC EDKLEKLKVLLKDDNEL ANIA_11112 MKPTKHFCCTICQRGFTRIDHLKRHHLRHTGLKPYSCIFCSESF ARCDNLRDHYADCAQRGDRGIPETGQRGRRRHACQSCTSMKLRCDGQTPCGSCVKRNL ECNKARKTSIGSPGASSGTFDSASCGFELISVDTPSAKQEDHERPSERGSIKFLLNGG TDSFTEDFLLPPRSDRTRGLEYHHQKEEAESSMLAFKSEGEHTEFAPAFVDLDSNSLS FFQDTFLDFFNGPFGETHKPTGDTYSGGMMDYTPIPTSNHDPNLAFSGQQPFETERPY TTAMIQAILSRAWSVPLDAKVHEEISTNVTFLLTTGRIQKFAALYFKYWHANCPMIHA PTFNPEYVALPLLTSVVFMGAMYTKDERERYAAKRLLDLAELYVFSSDIFSSEYEVAA TICGNRQAEGEAHGWLQFQTLQAGFLMVVAQYWAGGPVSSSRAMEVRFSEVVKV ANIA_08757 MKRLSRVFGRGSSEPKVEQVVSVQTNGASEPNHVAKDAISKPAV AHIAVNEPWIVEERSIDAYRPMRVVVIGSGISGIISSIRLRQRIGKLDLCVYEKNADI GGTWLENRYPGCACDIPAHTYQATFEPNKEWSTFYAAAPEIHKYWKHVSAKYGCEKYI KFKHRVVSATWDNDRSKWTLQVKNLDSGEVIEDQCDVVVSASGALNEWKWPSIPGLHD FKGKLMHSANWDESYDYSGKRVAVIGNGSSGIQIVPGMLPEVTHLDHYIRGRTWLSPT FAREQVDKRSAELENCSPEQIGATAFFTENMKRRLRKKPELINDLLPTFAPACRRLTP GPGYLEALTDDKVDVISNPIVKIVEDGIVTEDGQHHPTDVIVCATGFDTTFTPRFPIV GKDGVSLAKRWEATPENYLSLAVDGFPNYYICLGPNAALGEGNLLLLIEKEIDYITYC LAKMQRDNIRAMSVRKEAVERFTKHCDQYFSRTVFGMECRSWYKGGSLNGRVTALWPG SSLHAMKALAHPRWEDYTYDYVNDNPNGWLGDGWAEDERNKIIDVDYLDDDQVDFPTS VIVKQELNGGTNGMTKGVAH ANIA_08756 MPTFTEFSASSRELRVLPSFAPPLPRLSPAFTKPSPSSSALSSQ SEQSEQEKYEVVIVGAGPAGLMLNLLLARYGLSDDSLLCVDAKPSTLRSGQADGLQPR TLEVLKSLGVADEILNDGCHMEEVAFWNPTGKTEGDVIERTSIVPDVSVPARFQHEVT IHQGRIERILETDLLRYSKRGVQRNTRLVDVKLDEADGEFPIVAVIEVGNGDGEREKR TIRSKYLVGADGAHSIVRRCMGLSLEGESLDHIWGVVDLVVDTDFPDIRRRTAIHSPA GSVMVIPRERIATGDYLTRLYVQVPEIEIEAGDDRRAKDAAKARRAGVTLESIFQQAA EAFKPYYIRPKEDGSVDWWAAYQIGQRVSGQFTVKDSKGINRVFIAGDACHTHSPKAG QGMNVSMMDSYNLAWKLIYSIHGLTPGALDSAKPDPVLDTYHLERHTIAQQLIDFDRA FSSMFSGKIGSGDGIGQALTHEQFLEVFSTGNGFTSGCGIEYPANITVEKFTDKSTRR NPIKGTDYLSGILCPGRRLLNVKVKRFADGNRRDLHDGRFRILVLTSSDLLDPTGTSA KTLNTLSKQTIPSFPASTIEQVVIHPRLPTSNDSSNGPNSTRTNTSKNNAYPYDTFTW RDLPAALKERSEMRFYSGCALDDVYAAYGVAEGEGAIAVVRPDGYVGVVAALDDVKAV EGYLGGVLRGV ANIA_08755 MLRSIPRRVPRRLPIFTTTATAGGPSRLAQRAFTCGYLRMSPSS LPPVQPPVSSTLPSDSYQLLSTADKAGDAEDALYEQQIKDVEAWWNSPRFEGIKRPYS AADVVSKRGSLQQTYPSSLMARKLFNLLNERAAENKPVHTMGAIDPVQMTQQAPNQEV LYVSGWACSSVLTTTNEVSPDFGDYPYNTVPNQVQRLFKAQQLHDRKHWDARRKMTPE QRKSTPYIDYMRPIIADGDTGHGGLTAVLKLAKLFAENGAAAVHFEDQMHGGKKCGHL AGKVLVPIGEHINRLVATRFQWDMMGVENLVIARTDSESGKLLSSAIDVRDHEFILGV TEESEPLAETLQAMEREGAAPSEIDAFELDWVKRHKLVTFDEAVDAHLEAEGAPQAAR DAYKKRVKENPDLSITRRRELANDYTKTPVVWSCDIPRTREGFYHYRAGFPAATKRAK EFGPYADLLWVETGDPNVEKAAKLAGEVRAALPGKKLVYNLSPSFNWMGQGFDEASLK SFIWDLAQHGFVLQLISLAGLHSGATITAELSRAFKDEGMLAYVRLIQAREKELGVDV LTHQKWSGAPYMDGIVGAIQSGSSSSKSMGEGNTEKGEFNPLVF ANIA_11124 MILSGAQVINRQLVHNLRYVAQQQQPCGVDLTLRQVSRWTSPAA IDFNNTKRQGARTSVLPFNSSQQAITLQPGNYLIDFNETVRVPRNCMASIYPRSSLWR SGVGITAGVVDAGYEGALGGLMEVRIRVG ANIA_08754 MCGISAVLSLSEGSICPQALASQMNESLQLIKHRGPDARGQWLS SDYRVGLGHARLSVIDLNPAGNQPFHDPEGTVHAVVNGEIYDHKKYRAKLAHQYEFRS NSDCEIVTALYRHYGISFLNKLRGEFALVLWDAKRKLFLAARDRYGIKSLYYTVVNNR LLVATEIKSFLPFGWQPEWSIENLLRKGWLWDTEMYFKGVHRLEPGQYMVSQNYKFPV VKTYWDMDYPDKRTPFPQTEEQIVLELRKRMLESVRLRLRADVGVGFYLSGGLDSSAI AGMAKYLIQQGEPLGNDSSGDISKMSCYTIRFGEGSGVDESGIKDTFVPEVSDRRLTK SELYPVTLTEEDIASRFEDTVWYTETPIPDVNGMGRVAVGELAHSHGKKVILTGEGSD EHFGGYPDMLSKMFVEPDLSWHPPPFKMPNSSKVFEAGREAVPAAVESLASTKRMLNN SSIFARVDSFYMFPLASATVESEEPDPETAFAESLGAQTLSNISENWHPLNSASYQWT KSILANYILRYIGDGADMVYQIETRPPFLDHHVTEYANRIPPSLKIRYDPVKNDLREK YILREAMRPFITDEVMNRTKRAYLGPSNYREDGPLHRVVKSLLTEDNVNKLGFVDWDR LQEHIVKGFRDGDPRYFRSSLFVAQLVVLSQRFGVKVATTTDALPVTSEILDRTQNND NMTTVQDRSRL ANIA_08753 MEAWLVRTQQVRGPSETPAQCPSYARWIGISTIRNSLQLSALRR LSASPYQSDDEINSAGFANHYCYITSAHDYTSRPSTIVIASFIYRSVPTRHRSPRLDS PFARYLVGMEKIRIPSPSAILNPLAALSSGGSTGSDSTQNAAPVQSRVTTTTNKPKQS KSRNGCVTCKAKRLKCDESKPTCLQCKKRNVDCGGYKKDFKWRSFGESDFPGRGSVLK EKKGGSSPPTRSTVIRNRELVENQFSGKGTVGGSQPQRSSPRDISSGRSSHSPGKTDL GLSLDHILGYSVSGSFSDDFFASPSSEITPEEQSSWFPTIPLTPSTDQENTPSHTLLE ASPETDEFSFEALLEGDGEEIEEIVRQPDRRTRVRNLGLPGSYVPQQTEPTIMPSGPL AGPALSIASPELLVLHFDRFTCGILSIKDGARENPWRTLVWPLAKDTPALYHAVFALA AFHGSKDNPSLRVFGVDHMRMSITCMVQNIQSMRADAALATSLALAFADTWDQHTRSC IQHLRGAKALVAQVVGLARQGGIQETDFRRVRFLYNTWLYMDVLARLTSREELGKLET EVPAFPCPERTVHEIDPLMGSAATLFPLIDQAARLIQRVRRTESNSISLISQAIELKQ AVEQWEPPEWFEPPEDPTSEVQHSIQTAHAYRWATLLYLQQAVPEMPSEPPQDLAKRI LVLLATVPPSSRTTIIQMFPLLVAGCEAEQEEDRDWVLKRWSAIQTRLMLGSIDRCIE VVREVWARRDASAAQKKQQQQQQQQQLRGSGFSRNSVGKASDQDNVFYTGRGPVVSSR RSSAVSPLENIEFERTVRGKCHWAHVMQEWGWEDELFTPLAAWLSGELDSPSFWHAPS RGV ANIA_08752 MPTAMVYHAKAQSTFKPPLIANENAFLGDIDSSDSYNPEKPISA GFYRLEKGTPLIYEYTYDEMKIILEGEFEISDETGQTVTAGAGDVLHFPKGSKITFTT PSYGLAFYTGQRAKGAA ANIA_08751 MTLNLDSKDHSNFRLPHFPPPLHTRSGNEAFDRPSTPRDDGFTS PISTPLGSPSKSRMPPGALDLPSVFDNALKLQPSSPTKNAHNNFNHPMFTLPNQSGET FNESVIRPASPSRRPKNENTLPQSAPLTKDLGRNPAAAAISRQEQYHTRDLESVQRRQ VPMRGLTPEELEKLQNPRVKRLVNVTQLYFLDYYFDLLSYVHNRQTRYSQFCAANPEP PATPIEEYEPALSKYLGRERAHLRKRRTRLRQGDFQILTQVGQGGYGQVYLASKKDTK EVCALKVMSKKLLFKLDEIRHILTERDILTAAKSEWLVKLLYAFQDETQIYFAMEFVP GGDFRTLLNNTGVLHNRHARFYIAEMFCCIDALHALGYIHRDLKPENFLIDSTGHVKL TDFGLAAGMLNPRKIESMRVKLEEVGNTPVPFGRPMEQRTMAERRQGYRSLRERQVDY AKSIVGSPDYMAPEVLKGEEYDFTVDYWSLGCMLFEALAGYPPFAGSTVDETWQNLKN WQKVLRRPVYEDPNYFLSKRTWNFITTLVASREHRFKNISEIHAHEYFSEVDFSRLRE QRPPFVPQLDSETDAGYFDDFENEADMAKYKEVHDKQRALEEMAERDEKMAKGLFVGF TFRHRKPNIDGTGRLSPRKPIPTEDFGTIF ANIA_08750 MVFRFPKSLDPITLFHSPSSPASNTAYKILKSASAAASAADTPV GRGEFQLEVTTAPPTTDQLRNILEYVTGDPSGAGKEGGARYPVSEIIQGAKNAEEAVK RFKEDSGRFMRPVTVDWTNGQAVVGDDESQILKMVRQAEGN ANIA_08749 MGSTTVAFERLRSVGKHPLRFYMNVAITANYTIPETFTLSLKEY IYKAIETLINQHPILSAIPIGEDTDKPYFARLPEIDLAQPISFQKRVKGLTLDKHDSE LQTLLQTQHDTGFAAPLPYWRLIVLTDGESERRFTAVFVYHHGLGDVLLDSSLWSGGP FQLPLSTQVRFLVLSAAQTLALVKVCREHSTTVTCTVETAIARSIFPHIPGKYTRVVG SIPITQRPWLPDTITDESMGVYVQEMPETFARETVTQDTFPWDEAQRARRTITKELAL ESKNTTVGLFKYVKDYRKDLCESKIGKPRPVTFELSSLGVIKTEDCEDTSIPQMGGVI FTQSASVIGAAMEFSLVTGADGCLALGISWQPGVVEEDTVQVVLDTLEKELHHLSG ANIA_08748 MSRAPFIVPALKKHTATVIMAHGLGDSGAGWVSLAHNWRRRGLF EEVTFIFPNAPMIPITVNFGMSMPGWYDITKLGRDLDFQEAVKNQDEAGILKSRDYFN SLIKEQMDQGIKPSRIVLGGFSQGGAMSLFSGITGQEKLGGVFGLSCYMLLSDRIKNY IPENFPNKKTPFFLAHGTEDDIVPHEFGKRSAEMAKELGLEDVTFNSYKYLSHSADPV EIEDLEKFLDRVIPAENGGSL ANIA_11117 MRFLCLHGASTSGEIFEIQAGGLVQALESQGHEFHFINGRLNSD CEPELKGIVPPPFYSHYPRDVCPGTDLAAAIQYTLRTMEREGPFDAVMGFSQGAALAY SLLDHHVHTKGPDAPPLFKAAVFICAGIPYELDGKGPVSLPEGEYRVRIPTAHFVGRQ DPLYEQGLKLFGLCEPGKAEVYDHGGKHMIPFDAGNNDRMVEIIKRAIERAGKE ANIA_11115 MEYHSVPLEERAKDDKGNTLPWGYVYKDEARNPRRPPEETGPFG KRRNARYEQSRSRTRTGTPAKKENQNVAEFSRLFAQQQEQEKSRNALPKSASSANLDN ARKQTEKVATECILYGYRNKDSEWKVIDKYERVSRGMICEDYPRIDPKTNVGYSQLLS GGDVVIRANLSADANRKSKRYAGGFHWIKVTFDSTEAADRAVFYSPQEIDGHLVFCEI YHGQGPAEDVPIPVGSTQANRFMSSNKRTLSTSHSTAFLQNKDKGRPSRATTLPRSFA VNNLASIADEEDFSFSQDTTSTASSATATGIEQSLPSTASTSTATATLHQRHTPQEAT AAPVSEFMTHVPTVRRTKLRPISEALPPAPTVTERVLRSIPILSWFTGDIVGDGPQLR EDGTFDYDKSNVYWRFWYMIDMVLGTDICGLREES ANIA_11111 MSGLSSSGSLIGFSIIIVFVSISNFYLDGAFSIIRDYITAPHKG PSTTSPYSSSWNTWFNPSHRTSNYNPSVPESDGWNLYYHLGGYGPWIEKLDGPTQDIK PPAGCHVDQVHMMARHGERYPTKNAGSRHLDLLERIKEANVTLNGSLSFLNNWDYFTS TPEEDFDQLTRTGPYSGMLGAFTTGTRLLTRYHHLLSSQSNFTFWASDCQRVIQTAQY FALGLLGLNWGDIGRAKLEIIPETFDRRADTLTPGDTCLRYLEDTENGHDKGMNMLAL FQQSYAPAIAERLLFEQGNSALRALTNMDIFSMQEMCGFETLVRGSSPWCDVFSREDW ENFEYARDLVHYYRAGPGNPYAGAMGWLWLNATAGLLQEGPKAGPLFFSFVHDGDIAP FLEALDILKDPKYDPELPATYRADDRVWRTSSVLPMGGRIILERITCSSIDSDRKPDE GTFIRVNINDKIVPLPYCKTGPGLSCPLDEFNGHVGRRNLEVGNFGEVCGLDGDVGRI TFLKQQKSY ANIA_11116 MDVEQQRLGNKEASVPEMDSNSDMWAIDEKAEKKLIRKMDLYIL PFVVLLYLFSFLDRVNIGNARLYGLEEDLGLVGDQYQVAVSILFVTYCLFEVPSNLVI KKLTPSRYIAAIAIIWGVIATLTGITQNYGGLIACRLLLGVVEAGLFPGLMTYLTLFY SKHEIALRTGYLFSSAALAGACGGLLAYAIGFMDGVSGLKGWRWIMIIEGIPTVIIGI ATWFFLADDPETAYYLNAEERALVVKCRSRHFGHTASAQKFHWADVKEGALDWRIYAF CIAQFGVDTMLYGYSTFLPTIIKGLGDWSVPEVQALTIPCYALGAVAYLIVAWFSDRT QRRGLFICVFAAISVVGYGILISDSSSGVHYFGALLVALGLYVAVGLPLAWLPTTLPR YAKRTFATGLQLTFGNVSGVMSPFLYKTEEGPRYVRGNAVTLALVGFAGIIYGIMWAY YLWKNKRREQGFEDEKAAGKTDEEIEEMGDMSPRYRYAV ANIA_08744 MSQNYGEYQQEIYGQGALMGLKPNVSTDARLLEEQARKALSDIA YNYVAGGAGEKATMDSNRLAFRQWKLIPKMLRKMDKQDISVNLFGQDYPTPLIMAPVG VQGLFHPDKETGLAEVCAETGVPYTLSTASTSSIEEVANASGDGKRWFQLYWPGDDDI TLSLVKRAKENGYSVLVVTLDTWSLSWRPADLDNAYIPFIRGIGNQVGFSDPVFRAKF EKESGSKVEDDIVGASRAWISKVLSTTPHVWDEVSFLRKHWDGPLVLKGIQHVEDAKL ALEAGCDGIVVSNHGGRQVDGAIASLEVLPEIVDAVGDKLTVLFDSGIRTGADIIKAL CLGAKGVLVGRPVIYGLSIDGKNGAKAVIKGLQADLWQSMSLSGICTVAECTRDKIRK IQYPGDTKAML ANIA_08743 MPRILGFGSRSKQNDIEATGSDSHEGASYTPTISGTSLLPGPVA SLVSFVAQSTSLSLRVGTYFGGVALDGARITTLTGLELSRTVIEGILTRAGRDIAVRS SGSYGKAEAESILERSLAALHTTITSASFFAAASFQFSSTTLSSASNMSQALLSTLDA ILGSTESSRAIAAIITLIRKEFREQSAESGSEKVGVGDLLVGTVGFAMLQRWGRKNTE RHIRLNGGLETVWDVVILDNGIRADVLDMQQIQPVNNRPEIMRNRSRRSSFVTPGNAE DEFDVVERPETAIDDSKPPSVIIPDVQHHISDEEIRMYILKQLPRGCRASIKTDTVTT QTITVNVYDDDGEIVAPPGTMVVEERFYNHTDDGGQGSSVGQAPKHTIVFRTAFNRSQ SADVRPPSRNLVGLREPNNDHSDDHHHVLSDDSAFEVGPEDTCHISDRVSKSQGQDNQ QSVSVFSEVHDPGTPHPSPRETPQSARRVSGTFGRGSLTKFAQRVKTGTSEKKDQSKR SSLRLPFQQSNPHLTPSVSTQRSKPRGAATERKPSAQAYPDKPTRQLKAGKSVVPSMS ETAHLTANRGVPRTLSQNHRSQHSSSTNKRDGRTAGPRDDYYWVHESSQESYVTRTDT YSPSRELRPSSPTAASSYVRSSSSLSLTRSESETTVNLRPDKRPNSAHRRSKSYSASI YSLATAGSDTSLILAHRARKSAYDDASTIQALNRDGLVPGIFPKRHFVRNIRRFCRFA SATYGASALQVMGLPRQPRGPNRDNPHSQEHDDFSHHTGLPASAILLSSYVDPAGGSN AAGETESGFPLVHYLFLDHESKAVVLALRGTWGFEDVLTDMTCEYDDLVWQGKNWKVH KGMHASAKHLLMGGGRRVMITIRAALEEFPDYGVVLCGHSLGGGVAALLATMISEPTH EASLVSFTTASRSDRKLILPNARFTDDSHPAYYLPPGRPIHVYAYGPPAAMSPFLRRA TRGLVTTVVNGQDVVPCLSLGILHDLHTTALEFKGDTSEAKSNVRLRVWENLRQSIVN KFYVHEAPMLLNAGDGLGEDAWAWKTLKSLRESMCAPKLVPPGEVFVVETMRVLQRSA FTSDVGEDGSLRLGKPATRVQLKFIRDVESRFGELRFGSGMFSDHNPARYEASLVALT RGILDD ANIA_11630 MSILNSPQKVKKLVLTGAVTAVTITGTLYGAGLKTQQEVSQQTQ KAREITLDERIASLQSTRQVLVGKKELVEKQMRDLDARIEERKQKGIDNKRV ANIA_08742 MPSMSNSAVPQRRRREQDDDSDDLASASESSPVPTPASNRSHSK RARIAAKYDASEDASDPEFEGNGENENAEDSSESASSTQDDGQTETQSHNYNPAGLLS TVQNGMRGQEGFKPGAIVRIKVKDFVTYTAAEFFPGPKLNMVIGPNGTGKSTLVCAIC LGLGWGPVHLGRAKDIGEFVKHGCREATIEIELARGPKHSRNPVVTRIIKREGNKSSF MLNGKQSFAIQVDNLCQFLPQDKVSEFAALTPVELLHSTQRAAAGAEMVELHENLKRL RAEQKKLQSNNQSDKDLLANLENRQEMQRADFERVRQRAQIARRIELLQTVRPLVLYR HLVEQGKALKEERNVSQRELEVLEAQLKPVMRSSEQKKEYCMQLEAVVKHKQRALERA DRMATDLNRKVEQYEQNMKELDAEIEAEKKSAVKSRQEGAKIAQTIKTLTRQLQDNPV EFDADWYNEQIRDKRREIREIENRAKEINERKRQLHEKFNETGDRLKQAEHQLRSLDS RAGQQEKKLQDVSSDSYKAYRWLLNNQDKFEQEVFGPPIVTCSIKDSKYADQVESLLQ KTDFTSFTVQNRRDFRTLQRYLINELRLHDISIRTSSTPLENLRSSLPDHELRDLGFH GWARDFLDGPEPVVAMLVSEKLLHQTPVSLRDISDQTFATLEQGSISSWVAGKQNYTI NRRREYGPGAISTRVRQVRPARVWTSQPVDASAKAELQRRIQELRSEVEEIKERIESD RATMAQLKRDNDTAHAERDKLERDKNDKQTAYTHFRAIPEKIRHQEAKKEAQDASIAK IRASIIKIRHKQDKLSVEKAEAVLQYANAVESLRELHEELIKLSLWKIESFSDWEVLK LRNSEYEERLNAKRDEVKQLSEQVKQKALECRRAEQEAKKLSVKAREQPDLMEVAQEV SSNNLTPEALEGEIDSEQARLELTHGGPSNVVQEYEERARQINKLRKKLTEFDEKLKQ FNDAIAEVRGKWEPKLDAIVKSVSDAFSDSFARIGCAGQVSLDKPGDEPGPDGQPSGN DFDLWSIQVHVKFREHENLSLLDSHRQSGGERAVSTIFYLMALQSLSASPFRVVDEIN QGMDPRNERMVHGRLVEIACAPSSDGGGGQYFLITPKLLSGLVYKPGMRVLCIVSGEH MPEDHNLIDLGAAVRNKRALSARSGTGGAVSPAVRSVAA ANIA_08741 MDLANLISQPGPEPALTAKSRYSPPAFEPGSFYAASTSFTRTQA PLSPPVEDRSSRCSLPSISALLDSADGASTQAPKRQRLSSPMHREPLDKNPSAGAAPI RLPPTPPLRPGSGFHSAGHSPSSSISSISMIKSEYPAPPSAPVSLPGLPSPTDRSSIS SQGSAPQHQHGPYASPAPSVAPSYSSPVEPSPSSAMYYQHQRPASSGTYQAPPPPPQH QPMISPVTPAWQHHHYFPPSSNTPYQQNHDRYICRTCHKAFSRPSSLRIHSHSHTGEK PFRCTHAGCGKAFSVRSNMKRHERGCHTGRAVAMV ANIA_08740 MSLREPDLVSPACRSFVCFDSLRCLEMGFAEILGLNLVHLQPSE WSPAFLGGSRSSVLSPSPGDRMKALLGRGQTRGCARIGSASVLIANQASEVGWQLQLG LGPGLANTPGRESGNDFHPLRPSLGCRSLEKEASAAREPEIIVEWDR ANIA_08739 MGLMRYHRRHHFRVAITVASSCSLARSSYLTLCGIAENGTPIRT NRTNQRWLRDQPTGQLRLTTRRERNTTAKPLTDCSMPAMQLCCALCKAQGHCGARSPP SVPGAEGTSPRWGGEQPLPAYVRLITSNNTTHGFPTFVRTSGYQSVEMAKAFFGTWAV KLQPVLLGHFGEIRSCLVFSGNCGSGPLAGASAAARAFIIAASPTEDTGIAWHGVAWR GAKWLSDRSHGYDVLGLPSEDLVLAQTLRSALRTTTASKSLNITAKVRLADYPQNIPS GHVSGWTTISAGDSGDRKDGARTAISALESGPGRMMYGSNLARKSPVVDPSEAS ANIA_08738 MLSEEDAHTYKVRRHPLVRAANTTEAMGSSLIKRLAHTLENQSA KTAVITSRLIGDLVILAPSFFSWCILAAQQSGITVADRPRNAWSIWVGIFTYQSAAWE RRQWSMLGVNTVATAIRVDGFHAISNFISGQGLPRHSEHPDLASPKSSLDQLLDESII LALDVSPLICSKRQIATATVLKVCGGVGLIGAKFCELETVEPYGNDSDIASHLPMVGE TKSYSNRFSQFT ANIA_08737 MADAVIAGQRVEAPVTWKAYLMCVFAAFGGIFFGYDSGYINGVM GMDYFIQEFTGKVKSETPAAQFVISSSNKSLITSILSAGTFFGAIIAGDLADWYGRRI TIINGCGVFMAGVAFQIASTTVPMLVVGRLIAGVGVGFVSAIIILYMSEISPRRFRGA IVSGYQFCITIGLMLASCVNYGTENRNDSGSYRIPIALQLLWAIILGIGLFVLPESPR YYVRKNNLAEAAKTLARVRGQPPESEYITQELAEIVANNEYEMQVIPQGGYFATWLNC FRGGLRSPNSNLRRVILGTSLQMMQQWTGVNFVFYFGTTFFQNLGTIDDPFLISMITT IVNVFSTPISFYTMEKLGRRPLLLWGALGMVVCQFIVAIAGTVDGDNSKTVSAQISFI CIYIFFFASTWGPGAWVVIGEIFPLPIRSRGVALSTASNWLWNCIIAVITPYMVDIDK GNLKSRVFFIWGSLCACAFVYVYFLIPETKGLTLEQVDKMMEETTPRTSAKWKPHSTF ATEMGMTEKNASTTVESAA ANIA_08736 MKYTAHLTRTSQTCPSYGVAGAARSYYYHLYFLYFLYFLYFLYF LYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFLYFL YFLYFLYFLYFLYFYYYLLLLLLLLVILVVIRRSILVLKSYLGTGAMRTTASPAVNLV LPQFTHPAGAWASGRLTLPLRY ANIA_08735 MPDPHERFECHHPGCTSSYLRKEHLHRHQAQHTGRVTSPCPFCS RTFARRDTLRRHVRRDHANSQSQLDSARAIRACQVCRGAKLRCRGGFPCTRCRAKGVQ CVFEHPAAKFGVEDEPEPGPGPNADADLDATASQSNPSRHQGNAQADPQNRQGQTDGE SPSLGPGLVVAQSADRDKTQHWVDLYFTRFHPHWPILHRATFDVAHEPPFLVQTVVMV GLWSNWARTYPSRDEAGEGCELETGDGNPTSQWPIATYQGILIYLIFSLVLYGTDHAS FELSLTFRMSPSDHSLLSSVVKTCLENNIFHYPRMLQRYVGVEDITCIWVGVEEIKRL GLALYRVCCMCAGETGREASVRPDGDHGRLLRLCDLDFPPPDEEYLWGAGSNEELSRL LRHRNGTPEQHQTDDGREGSLITGDQKSWISSHILCQCSSTIPVKGSRFAT ANIA_08734 MATFAASSLEACSSEIAETFIVPLAKPKSYWRFWPTLFIQSDVQ CTEQAVRMMIDAHSPDPTVSPVSRFSWVTFSALTLISSRIWSLFVSMNQSARHAVKLA SVTDQYYR ANIA_08733 MSLQTRSLGSGPDAPQVPCMGLGFGSLSGFYGPAGSPESRLSLL DNAYAAGLRFWDLADIYGDAEDLVSEWVKRSDPAKRDDVFIATKFGLQRQADGMHRFR SDPDYVKEACERSLKRLGVNTIDLYYCHRVDGVTPVERTVEAMVDLKKQGKIRHLGLS DISASTLRRAHAVHPIAALQVEYSLFTLDIESSESDVLQTARELGVTVIAFSPIGRGI LSGQFTSYTSIPEGDLRRIYPKYAESNFPAILKLVKGLESVASAHSQRAERSVKPAQI ALAWLLAQGNDVIPIPGTKSAARIAEDVAAAAIDLTEGELERIRALAEEAAMGISGTR YPAAVMATMCADTPPLE ANIA_08732 MAPVKKPRPAFSCLTCRTRKVRCGRERPECANCRRMNKVCEYQS TSKVSDNQSRHDQIHTDRSNVSRLDVVSRLPSRVRPVNDSLLGLMPFRKKATVDPLPP HINFLTIASVFERIPDRHACDGFVQTFITSVYPLYPLIDLPDFQSWYAVFWQWCHEAG DRRSTIIPNTLLEDVTMNCVLFAVLYAGAAASAHTSQESVTTRNLESAVSAILTSCDH LRRPTVNTVAASLIIDPFMSKDLGSLEYGLWVSSTVRLAQSIGLHRQEHVTPDRDIDF GSALEARLERRIWAHIVWLDVQHSLLTGLPLAVTATAGSRFGSLPTPISGAGPDTLAG DTAILFQARSEIARIQHRLIDTVHNASASTGLISEEIYRGDYHEQRGRFLGSEVGDVD SPAHGNPDPQSFLTIPVTANTFLRSEYRHRSRSRPVVESYNSPLHPIPPNLLIYRIVF RICATPLVLRALCGTDSVCFSVGIILVPLAWLYRCIFWIE ANIA_11105 MGLFQPKVMPLPHGIDLTGKTAVVTGATAGLGLETARQILRLNV STLVLAVRNTEKAQSCVADLFRDADIQARLTRPDIHVLECNMEQYSSVKSFSAKLKES IPRVDILILNAGIHSFVYEKTSDGHEKALQVNYLSNVLLLAELLPFLESTAEQTGSAV RITWLGSRTYYLSNSLEKSDILTYGGGILQYMDSEKASASAGMNQYSDRKLLCALFVY ELASRLNRDKVTLNLVCPGMVKTDLGSNGPLWIRTLIEIVKILRARPVEVGGWLVLNA AVVAGKESHGSLIGDKEVTEPTKFIKSSAGQELQKRLWKETVEEMATLTELLSAFV ANIA_11108 MVGPSLLQLPWVALAYARPKHRQDPNWTYRQAFTNSLLKAFLRN WVALHLKWRLSLKPRSESDRFIQIPPANPALYTGIVIDEKIRPETIGATWYPAPYPSP DSSQTALPEGQHVVLHLHGGSYILGDGRTSSCNFLATTLLEHTPSSYILCPQYRLAGN RNGNFPAQLQDTIASYAYLIHTIGIPASQIIISGDSAGADLALALLRYTIEFDNLSIL PAPKCCWLWSPWCDVPPAVDPGRWNHSANYRTDYIPGSFPARGAKLFLKNVDVTKYVE RYVSPVLHPFAVPSPVLIITGDREVLFEDHKKLSQGLKELAHKDEQIELFVTRGVPHD VLMIAWIMGFQKEARESAIKAGEFVSRLSN ANIA_08730 MTRLPTIIAALYALYCLPLISAWTFVWRNASDISTVEEGTGGQT CKEINHAKGNYFEFDPEDETVRIYMYAIKNCSEKADESSEKKLAQNSTGPIRGFAVIS LSGAETSTSGELTPFPGEDWFKSEPNSPIITAMGQRLVAEGCGMYKEGPGPQWSDADR QSYQCWQEKLGYTNADADGWPGKTSWDQLKVPLADESGADSSTSTSTMQTPTSTSTST STATSTPVPDTSASSESSLSGGAIAGIVVGSVVGVGLIGAILYLARRVKKPSAPASAE EEQELKREPEAGGGGIALSNHDDAGAGVGAAAAVAGDSKVAFMDNKLHKPPVETEAIP AKIFELAGDAGTVDMRQSRGIHEMSDSQRISELAMIPSIYSVCLPGHSWR ANIA_08729 MDISSEDRRNAADLQHITQETLFWPSRRLIMKKIGLIAGVSMHG WPETISKSLGLTKTFLLVTACRTCYTDDEVANTLLEMMWLTDKTLQMRPLHEYNLRFV NAISGYCQGLNPFDQYSSVVSLIRSRVSSRRDMSILFEQCSPKSLATVLVELFAAMQD ADITRITLEGTRGGCFLVAALQWLLEGELQCFFGDSLLFGEAGARVVIRLVERTDHAG RPYWHIGRWKEENELKPLIEVRDRSSIN ANIA_08728 MAISWQAQIFLSFLGGGIGCLAVFGIPCRSMQFLWHAQPNHSRS FGMYVIDPAGNPTEEESYILQVPLRELKQGIDDEEILARFSKGFFAGWVFGPERWIAP FVQGIIDHQVFSNAREAPGDLCSRPDASDPDISRPNAMSQQRLPPLGACLFGLYYLVD TSVCSPKYRTSIYPGSAQRPRPERSFVEYAGRTQGRSLAASHRFELVRDCPVANGEAK ITIIYSHVRSNPRTGGRVYSRPMTAMHVCYAHLLFAEGVREVLASRGSA ANIA_08727 MATAGGLPPFQRLSPDNHGPIITLISVCLLIVAVIFVLAKSGSA IYFKQRRTAGSTPIWAALVVVLQKAVDNGLGKHEAGLSESQIQRLSKYSYAAQLLLII VLSLSKLSTALLVWKLTPSRSLRQYCTTAAVVIAGWTIFALFSISFQCQMPETWRYTP ERCAGEGALLYPIAVFNIVTEIILVILPFVMMQNVQMASQKKVKILSSFSSRLCVVGL AIAHLALLPSFVHSDDIPSCVPTLYHIFAGLHSGLITTQIQLPDGVELSRTGTGKFAR SKTSAYISQTSSAGGSGSRSRGRSKERGSGRSSRGLRRDSSLFDPRAANAAVVTEISA TNVGNETQSGGTMIRRSSSSEGTESTRRLTQEVMGKQQGVMRTVDITVEVEHQCEKGH DIQ ANIA_08726 MQEEMEMKGGSAVRLGPAENGPGAGSVAYRQKQQLERYLSFFSS LAFSATLLASWESAGGSLQAGLLNGGPAAIVYGIIISTLGNLAIACSLAELASVHPTA GAQYHWSYHLAPRFRRFISFFQGWVTVFSWSALVCISPYFIGSQIQGMVALAHPEYEV VRWRSTLLMWAVALIPIVINIFARRVLGAIEVAAGIMHIVFLPVTIAVFVILAPRNPN EFVWNTFVSLGGWKNPGVAYSVGLLGVITPLSGVDGVIHMAEEVKNAKTVVPRSMIYG TLINGILAFGYLIAILYCMGDYMEALQSPTGYPIITIAYQATGSKAATFVLMAMGMLP GWIALFNGLASVTRLAWAFARDNGLPFSDFFARVDPRFKIPIRALFLVASCIVVLSFI QIGSSTAFNAILSLSTLGLYISYLIPLWLLVYKRLTSPTDIPKGTFSLGKLGLPMNLL AILFATYFVIFLPFPPLLPVTGENMQYAGPVLGFVMLFAIGDWIVRGRHRWEGPTVSL RAYDRE ANIA_08725 MILNFPTLRYKFVVRELLLNARTTVCNISRARIPEQNRGAPELV AVNDLGQRLCDTIPAFSLKSSGNRSSLILQLEHDGKNDTVSHSHQNNVLWKMILQS ANIA_08724 MAKDKERSINPAAAQRKLEKQKSLKKSKAEALARRNEKLARRNP ERIQRQINELKEMEQSGQSLRPREKQILEALERDLRAVLKAREALGDKAPKFASSQHQ RGDDHPRERRDGGVLGKRRRDNHGRFGDQDSDSSETDEEVRRIPMPRDTPPPIPREYQ RRKEANAGGQARGPHPLPAKPLVTESKTVYEAKPEIRDLRKEAVSKFIPAAVRVKKES IRGQGKLLEPEELDRLEKAGYNAGPAETTTTADEQSRLLEEERRFDQELKSVQIEEVE DEEA ANIA_08723 MSVASIPDTSLGLTSSEIQILRQQQQIALQGAHTGNGASRGRGT GRTSNSSSRAASAASSHGRLLLDPMSLRALSHQLDGLQQQIQNRLEHLEEQMQLSIQN SYDRAGNVIRNADAEIARTRSILSSIDDLENELAKIGHIREIVRAYRGRIEGLDQRLD QAARRRR ANIA_08722 MSHEEDLIDYSDEELQTTDAAATTATPAANGGQAKKDGELTVTG GRADKKGSYVGIHSTGFRDFLLKGELLRAITDCGFEHPSEVQQVCIPTAILNVDVLCQ AKSGLGKTAVFVLTTLHQLEPVPGECSVLVMCHTRELAYQIKNEYARFSKYLPDVKTA VFYGGTPIQKDIEVLSNKDTFPNIIVGTPGRLNALVRDKKLSLRNVKAFVLDECDKML DQIDMRRDVQEIFRATPTDKQVMMFSATLSQDVRPICKKFMRNPLEVYVDDDTKLTLH GLQQYYIKLSEAEKNRKLNELLDSLEFNQVIIFVKSTIRANELDKLLRECNFPSIAVH SGVSPGRTYQALQRVQGIQQAYLCRHRRVRTRYRY ANIA_08721 MARALALSRSVQVCKSRLFTVASKSAITKRCLSNPIQYRSVSLF ATTYAPSKDLNSRLHSEHIGDTALYLLLNPGLTSSVLFRADILAQSGKCPTLAALEAQ KQQETEEASTATAMTMAQNVIEEEKEVKEPVVEIPAREVPGFELHKTLIRRLIPRNQQ LDMPVDQTCHLYSHAGAVEQIEKEKELGIPHSRAPKERFMVIYTPHVSSKEELPYYHP LVRSMAFVYEFGYTGEFEGPENSIPRIAENPKGTMSIHFLPYENDVDSISARLERSLT KLIEVQIRTTKGRLDPCRPSTSSPYALIKDNVIPRNRVQDTYSRLKNKYAANLNERWI ESTEPSKHVFEDLSIAAFLIELWRDLYGAVPGDEREQQKQQSSTSKVGSGQFPGFVDI ACGNGVLVYILISEGYSGWGFDARRRKTWSIFPTDVQERLKEEIYIPKPFMDVLAAQN QGPIQNKNQDASMQSPLSEPQSGQSNGPNSGTSTSTSLSNLPKDTFIISNHADELTLW TPILSTLLNPANPPPFLAIPCCSHSLSGARHRFRPQSARPSATQNPQKQQGENGTHSQ DEEGSSEDMKERKGEEKEKNPETGDLEQMRKDKLAAQNPH ANIA_08720 MAPRCFIIRHGETEWSLNGRHTGITDLPLTENGEKRIKATGKAL VGNDRLIAPKKLVHVYVSPRTRAQRTLELLEIGCRERLPWTEKRKAESDEPIRTEAKV EITEAIREWDYGDYEGLTSKQIRERRAEQGEGSWDIWRDGCPGGESPEDVMKRLDALI AEIREKHHKPCFEGNKESGDVLIVAHGHILRAFAMRWTGRPLTETALILEAGGVGTLS YEHHNIEEPAIILGGGFVVEN ANIA_08719 MSSTHNYTHSYSNSQYHSQSYSARHSMVPSGSSSSMSAAKSRQY AQLESKLAELNANLANTKSLLEITAVQARDMRFLGGYVGALFMGAANVLGEEGVKKAG QAEQAQQREGSEDR ANIA_08718 MAPPPRLRILSVGSNGISAFLSWRLQATTSCDVTLVWKANFEAV SQYGVTMKSKTFGTERFKPRHVVRSPEDAASRENAFDYVILCVKALPDVYDLAAVIES VVTPQHTCILVNTSNTLGVESHLEQRFPTNVVLSLVSGVEITQTGPSEFEHINSSDIW VGATSSNSGIPAEIQNDMAQALAMTLASGQVNCKVSSNIRQEQFERMIGPIAFHPASV IFETPNPKQLLEKMGVRQLVSDLIQELVDLATAHGCSFPSDFSQKTIDKMTSIDTPST MYQDFQHRRPMELETYLGSPIKLATQSGVKVPRIETLYALLHHVNTTNLNKPRDATPP AVAQPPPRVSSVPPPRNGPMRPPSSRVTSGMMPPRRGPPSRPPSAQPHPSGGRIPREP SLEGLEEFSHLMLYEDAPDGVIPQPATNGYHDMSPGGPPGPPPSAADIALRERELALR QRELMLREQEMNMRRGPRRPPPPRSVLDEEDEDDYFDPMDNIPIPHIDPDSVDMMSIT SRRTKKAPSAREFRKNPEANMNSGRSSFGRYFGGRKRASDRIMQEIPGLHDSLMDNPM MAYSSNRYGSVDRNQMQQGSRANSLTASRMGDLPHPGYPGSRRNSQSPGTPFGGPGPR MGRPGTAQDGFMPPNGHMNGPLNGPMNGPMNGPMNGHLHGPPNGPPNGHMNGGHPSPP GPMRTPAPRHTPGQGNAVGPQQVEQQYGR ANIA_08717 MAPPKRLSDVQRKALRDWVHSQSRRPTQKACIAWFQAHYNHRLS QSTVSDILSPQYHYLDSECNPSSATRKGIGQWQDLEAILYEWHHTLDCKGAYISGDIL IEKARQIWSSLSQYRDQPPPAFSSGWLHRFKQRYNIKQRTYHGEAGSVPEDVEEEMKA IRTIAGQYNEDDIYNMDETGLFWRMPPSQSLSSVNRPGIRKDKTRISMICCVNASGTD RLPIWVIGKAHKPRALRNINISAIGIRWQWNKNAWMNQIIMREWLLEFYQHIGQRSIL LTMDNLPAHLSGLELAPPPPNIRICWLPKNSTSRYQPLDQGIIQNLKIYYRKQWLRYM LSHYERDLDPLESVTILDCIRWLVRSWHHDVLSSTILACFYKSTLVPDPIQLPVEAPD LKPLYEKVQQSGNLSDCMDISFFLNPAEESQEPTSSSNGMSSEVLLEQLITEASGSTD IYSDDLDDDTAEPAPLPKPQDALDAVRLLISYMEGQDASKAPILRSLERLERDLEGEI ITARAQGTLDSWLSNA ANIA_08716 MSFKPPRIAIVGGGPAGLTLGRLLYKNHIPFTIYELRQKPSKAD LAKPSGMLDLHEESGLAALRACGLYETFLTLTGECSQAQRVADKDGNIIYADGGELSQ RPEISRHALTNMLTEHLPPDSIRYGHKLLSVSASTKELDFGPHGKQPFDLLIGADGAW SRVRRALTDVKPFYTGMQSITGTIYNIRSKYPHLSSLVGDGSFSALGLRHGVMAQRGA ADSARIYTFLSIPDEHFASTSGLNKLDLQGAKERLLTDDQLLGSFGKSIKELVSASCD EESNMNTDINKLPIKPLYTLPIDSAFSHDTNPAVGITVIGDAAHLMPPWAGEGVNLAM WDSLLLSKAIIRAFRDSNGQSASFLATLDPLLQTFELEMFSRTREKAEETEGNGKMLF GEDGALAFKRFFEGFYGERNGSS ANIA_11107 MLSPSPLKPSVPSASLLRFLRAQSESVLFSTNQPSACRRISTRL PHSSNPLPLEGHSSRTRLELSPCRVRLFSEVEPLRCRMSRCDTLLSQRLSRSPQSRNF SSTRSRPILRRFWDFRRKKQAAEPRRGPSLLDDTENLSLARALAAKASNELRLRCTEF DINGNVTLMNGEFKKSELIAKYGLLPRDLRKIDSSTLPHIFVRPSTILINLLHLRVLI KADRVLVFDAYGSTDSYMQSLFVYDLEGKLRQKQAQSTGAGSLPYEFRALEAVLISVT TGLEEEFNGVREPVVRVLRALEEDIDRDKLRHLLIYSKKLGTFEQKARLVRDAIDDLL EADDDLASMYLTERANGFQREEHDHQEVEMLLESYHKVCDEIVQASGNLVTSIRNTEE VVKAILDANRNSLMLLDLKFSIGTLGLATGTLFSALYGMNLKNFIEESDLGFGAVSVT CFAISALVCVYGLAKLRKLQRVRMWGEAGVGGTPIIPLHSSRASAVPGHRANWRADSI EPVWGSLPGEGRAERMKRLKDSSAAAAARSAASNAASTRAASLRRAIRFPSDSAVKGK ENQKDAAAAVGADADTGVQTQSGGSTAA ANIA_11104 MPSILSDADKETVKRSVPKPANKIHAVAVARLFVAYPDPHKWTY TGLQGAVVLANDLVGRTFWLKLVDVSPAGRGVIWDQEIYENFQYNQDRTFFHTFELDD CPAGLSFADEKEAKTFIKKMSEREKNASKETRQTPFASTRGQGPTPVANGKSHGVGRS IFGSLLGRSSAPTHITPAELPPAPTIQVAPPPPAPAATPPRKELPFDTSDPSWKGLLN ELEAMGFTEDQIADNADFIKSYIEQKKASEGESATPPADDRKGKAPPPPPPSVPPPKT SAVSPQHTGSSSGSKRGAPPPPPPSRKTPAKTEDDQAPTPPRDPSPPRPRFRAPPPIA DAGKYAHTAGQAPPARPRAASGATPGPPPPPRPPKAPVDDAPPRFGVPPPFQGERKVS APPAPPSRSPAGPPPPPPRTASPATPPQLPPKVPAFSSGPPPPPPRSPASQPPPPPPV PGASRPVPPPTASVPPPPPPPARPTPTVTGPPPPPPPPPASSGPPMPPPPPPPAPGSS APPPPPPPPPGAGAPPPPPPPPGAGAPPPPPPPPGAGAPPPPPPPGAGAPPPPPGGAA PPLPQPSGGRNDLMAAIRASGGGGLRKVKDSEKKDRSAAMVPGAASESAAATPSTGGG PQGGLAGALQDALAKRKQKVSGSDDEKDDDDDW ANIA_08714 MPVLPDPKIPTSGSNSDSSTSQDQNNKATAQDFLSKGPQIPDNM PPKASREEIEARMKELNK ANIA_08713 MPPERQNVPVKLSLPLQFQQDIFTELRGEDELVILARGLGLLRL ITNLLHFYDAAGNNLVLLVGANDRENEWIGEGIPGGALCNKQNASCKGFKGHKYRQGY SADATDCSREKIYAEGGILSVTSRILVVDLLSKLLDPERVTGLVVLHADKIVATSTEA FIIRIYRNANKSGFLKAFSDSPEPFTTGFAPLANSLRNLFLRKASLWPRFHVTVAESL EGHRKAEVIELEVPMSDKMREIQNAVLECVELCIGELKKANTGLDMADWTLDSALHRS FDISIRRQLDPMWHRVSFRTKQIVSDLSDLRAILHALLTYDAVSFVKYLDTIVTAHSP PPGSTRHNYSPWLFLDAAHVLFQTAKSRVYEGKIGNELSRSSMTSLPTTLRPVLEEQP KWDVLAEILEEIEMDAYHNPASTGESNNTVLIMCTDQRTCCQLREYLGTMNTKVEDER QEVADGSEDKQEKKRSGEVMLRRKLREYVNWKRSLVNVNKNLTAKPVNEEPRTGSGRD SPRPTTQQGRAPPNKRRRVRGGAASVAAPRQPNSSVQADTEPSEQMSVLLDVIQPTEV EESLKEEIIIDDLEDMGDIYELYDMDDLVMVHPFDGDMDEHILEEVRPRYIIMYEPDP AFIRRVEVYRSSHVGRDVRVYFMYYGGSVEEQRYLSAVRREKDAFTKLIKEKSNMAVT LTHDKSAEDPQEQFLRTVNTRIAGGGRLTATASPPRVVVDVREFRSALPSLLHGNNMV IVPCQITVGDYILTPDICVERKSVRDLISSLRNGRLYNQAETMTQHYKSPLLLIEFDE NKSFTFDAFTSATTPGTTFLTDFGFSSSGTVTTSLSSSSALINPSAPKSAQHLLVLLT LAFPRLKIIWSSSPYQTAEIFAELKKNAPEPDPVRAVQIGLDVNISDDLGSGDLMTAS GIEHRTFNLLPQEMLRAVPGVSPQVLERLILETGNISEIANMSVEELDPFMGREAARQ VVGFFRKSVFDGD ANIA_08712 MPKNKGKGGKNRRRGKNENDNEKRELVFKEEGQEYAQVVKMLGN GRLEALCFDGEKRLAHIRGKLRKKVWINQGDIILLSLRDYQDEKGDVIMKYTADEARS LKAYGELPEHAKINETDTYGHEGFEDNVEFDEDRDSEDEKEVDIDEL ANIA_08711 MKTIGPKNLYTARFSPNQIISPIAHRYLTARVNPITPKIQYLCD NRDRNTLWWRVSVSALVSYKRVVRSWVARRARAAFKQELKERGFDAEGRRLDSSTPRS AAAHGFSGNMTGTLNIDLEPAMISATFPMIREEMKFTMNALIQEQQRKQASQQQPRKQ ARKAIRSPTDASVPEQPREQTPFQRPRKQMSRKESAQSAGGKVYRNSIERGGGTRH ANIA_08710 MLVSLTVGKVDAGVAVLLTEDNRLIEFPSVLLPQNITSGSIVDI TVSRNNAAEAANAAAFQALQKRILNTYGIKTPSPPVLRLRNATQTSLVLEWDPIDLAT ASLKTLSLYRNGSKAGSIPRPLETRSTKISGLAIHSEYTFHLVLRTTAGTYQSEKLTC RTHKMTDLSGITVTTGVLHPQRKEALAEALDRIGGKLIDTVRIDTTHFVCTEGRGPLW EKAVEMNIPVVVPEWVDACEAEGTIVSVRGYYLNADPKARQLGPIHGSTQHQRTTSSI ASPSRQSQSQSLSLPANQSERDQNTSEPPPTPFPGANMSGQPKAEDDDRVSSENSESP PPPPPKDEEPGAKELQTPAPPSESTEANGASESEASLPGEDGKEEYNESPHEQHGEQE HEEDEEDLSSPKGSDKDKTKDNTGESDFNEVPL ANIA_08709 MTVLNVSPVPPDEIFALNRAYIDDDFPQKVNLGVGVYRTNEGQP WPLPVVEEAEKQLAAENSVFRHEYTAIEGDRAFLPLARDLMFGFDGQATSDAKQEAAK ARIGTVQTVAGTGANHLGALFLATHMKPRNVWLSNPSWANHLTIWELAGVPRKTYPYY SAATRSFDFDGMISTLESEAQEGDVILLHACAHNPTGLDPNKEQWKAIIDLCERKRIF PFFDSAYQGFASGSVDEDAWAVRYVLNEKPQMEMCVAQSFSKNFGLYGQRVGAFHYVL NEGAEDLRDTVVNNLCHLIRGEYSMGPTAGCNIVKKVLTSPELTAKWHQDLKVMSSRI ISMREALYNELVRLKTPGTWKHIVEQNGMFSYTGLTPSQVYALKDKFHIYLLKSGRAS ISGLSPKNVNYVARAIDEVVRNVN ANIA_08708 MQYFGEQSRLIATQQSLPTVGKLALRCKSTIHVKRHQVTVESVQ YVDEAFSLYGQLRGDWLPSRFRKPSLCEFVGGVVKEMGSSVKSSDLEMRGCATAIPLL PPTLRHSFSNSINHRNIRQRPALRLRIKRPSQQSFYDKRINRCQSSGLAAHR ANIA_08707 MASRTAVRSMSSLTHATAKASNTSALARAATLAARSPASFTSRR ALSSNSRPVTQFPRLQSFQSFSSKRSFSSTVAMASETRTESDAFGEIQVPADKYWGAQ TQRSLGNFDINQPQDRMPDAVVKAFGILKGAAATVNMKFGLDPKIGEAIKQAAAEVAE GKLLDHFPLVVWQTGSGTQSNMNSNEVISNRAIEILGGKMGSKKPVHPNDHVNMSASS NDSFPTAMHIAAVLELENTLLPALTSLRNALQKKVDSFQNIIKIGRTHLQDATPLTLG QEFSGYVAQLDRNIERVQASLPHLRYLAQGGTAVGTGLNTFKGFDEAIAAEVSKMTGT EFKTAPNKFEVLAAHDAIVEASGSLNTLAGSLFKIAQDIRYLGSGPRCGLGELILPEN EPGSSIMPGKVNPTQCESLTMVCSQVMGNHVAATIGGMNGQFELNVFKPLMIRNLLHS VRILADGMKSFEKNLVVGLEANESRISTLLHESLMLVTCLNPVIGYDMASKVAKNAHK KGITLKESAMELKALSEEDFDKYVRPELMLSPKEKK ANIA_08706 MTVSTSHSQDTPLFSTSLISPAVSSALPEGYKIRPVQRSDFSRG YLDVLRVLTTVGDIDEAAWNKRYDWISARNDEYYLLVIVDGQDKIVATGSLIVERKFI HSLGMVGHIEDIAVEKGQQGKKLGLRVIQALDFVAEKVGCYKTILDCSEANEGFYLKC GFKRAGLEMAHYY ANIA_08705 MGKVHGSLARAGKVKSATPKVEPQEKKKLPKGRAMKRLKYTRRF VNVTMTGGKRKMNPNPGN ANIA_08704 MRTYDDSFSGQKIYPGKGKLYVRGDSKIFRFQNGKSESLFLQRK NPRRIAWTVLYRRQHKKGISEEVAKKRTRRVVKSQRAIVGASLDVIKERRSQRPEARA AARQQAIKDAKEKKAAAESKKKAEKAKNAAAGAKGAAQRIQSKQGAKGSAPKVAAKSR ANIA_08703 MANKSKKKKSHGPKGLSSNNVKPLQVSDSEKLRKNNTKAAVTSF HVEDTDRAGLPSPRLPISESSQCLKQHKPTQADSPNENSIRRRIRTLTLGSTKTPSGL RHSWEFKSSSAQSSHIWSNSFRDSVSTQESNSPQDSPASVLTIIQNPKEKGRIDRTSS SSSILKYIRSPTDYMMIDLDYCEAQAAMNSSRPNTSAPKIGKSSFDELLAYVRRVTRT EIRTIVVDELKAPCRPNEARIWDHRNLWCVDCQEVDQKAADENLNKEVIKQANHLARI IEYLSIHAKDVSTFSQCTLPDGCGRYVCPNCCGVCPNEVCRDIQCKECKPNVWETCDW HN ANIA_08702 MAGLPKRIVKETERLLSDPVPGINAVPHDDNLRYFDVSIHGPTS SPYEGGIFRLELFLPEDYPMVPPKIRFLTKIYHPNIDRLGRICLDVLKSNWSPALQIR TILLSIQALLGAPNPDDPLANDVAQRWKEDEAAAIKTAKEWTITHAMT ANIA_08701 MSDSNEPKPLASAFDSPTFGEDSSFHVDQPVGSMSISPCGRDVV LASKEGLHIIDLDSPYSPPRYLPHHTPWEVADVQWSPFAARDYWVVSTSNQKALVWNL AMRSYQNSIEHVLHAHTRAITDINFSAHHPDILATCAVDSFVHCWDLRATSRPAISFS DWFAGATQVKWNRQNPNVIASSHDRYLRIWDKRMGAYPIRSIVAHDTKIYGVDWNRVR PGALATCSLDKTIKFWDYTVEDDVPEKVIKTPFPVWRARNTPFGWGVLAMPQRGNSDL HLYSRRAVEGADPDNHLAPVHSFPGHKGQVKEFLWRARGDVVDGIDHREFQLVSWGTD KELRLHRVDPDVLQRVGYEKGKSFISNLNITRKGAVYRSFRDENVGLELEEDGTLSPG FATPVPPSSRGAGINTISIPYAPAWIQGGNADSRIGMKSRTGLRTDTNPIAWMRGVKI SGWDIETLGDEITHVGEKFTKVAFESVDIRRRKATISLHGPWGADGASLFIRVDIKFP PDYPKDAVPTFSVQRTTAVSDQLASKIIAELQTISETYLSYKRGCLEGVVRYLLGESS LEESIAWILGETAETIKSPTNGHDGGDESSDEDEVGLSQSQDLAMSSELIRPVNANVM VPRARECGAVWGNNGRLVCFFPTKKDKSAYSLENLGFKEMTRLSRSDNLFERFGRFET SSPGPRITGTIASTDDGASEYSDDSEAETSSSSGSSGILSGLQHRFPTPQTWRSVGSL GMYRPRSTDNSQRSTVGGMTSGSSDTPQNVITIHDLSGILPAKRELAIAYRICGEVVD VCAHNAAVAFDHGYYELARIWGLVKLILQQTKSLRSPPVMNSMSRSLRIQRKDSAIDL SFDALHPDKTSQNTTNVVEWGNHPFGGNLLIPALFAHFEQVGDIQMAAMLSCVLYEPN LERSPSKGELPPEQADHFFFPLDVYNNTTLDANKSQAVTPLNSTPKDSHATPGAQSSG RSSFELWRVGTTPPYSAGTTPPLLSRTSGLGIERRAVTQHASLAASPDQQAQPRSSSG FGSALASSLTRSFNTFAPSSASPPAGDLLRRKHAQVESPRTAVASGTWTPSITTPRPA STNNDHLTPAVISSLQTHSDTESERLTPKNAKPTKKVRVTLKNKGAFDSDNATQALFL DPKKDSLYRSYRMAYAHLLSVWGLPIQRSEILKIGDLKQPNHAPTREGGPSSPEDSLS LPRPLRTTSPEISADKDGKGLGIQRHCIGCGAPQLSIFAIQTTVDAALAARKSKTKGD ATSITCLKCKPTRRLPLKLPCAICHEVVEGMLTPCLGCGHVCCFSCYRDWLSVASTDP TQQHSDDSDQEPNSQFCPSGCGCKCADHGMTDIVASSPLAWSRASSPVHEGDSSNPAS AYRSQNRREASRSIDGLVNPDHGHGHAEDDLEQWGASPFASLARGLGGGLSRGLRGKE DRRKPGKAPGSSTFVPKRSSMNQVLS ANIA_11110 MVYHILLLISEYTSDIAQSTEALYLDDATLCTTSTQVTSSKSFA NLNDEEKRLARNISSGADEDRTEDIFAVTTQQTVFYPQGGGQPSDTGIIAISFDGKIL HFGRFAKVPLIKQPYFTAHQPVTLHIDAAKRNYHSRLHTAGHVLGLAMRLLSTTLGER KKVKANHFPREACLEFEGLLYNEHKPLIQAKVDEITDMDLDVRISWWSEEEVERRRDE LDMVEGREVGGMGRVRVAEIGDLDANPCGGTHVVSTKRTGRIVIRKISRQKGISRVSY EVPVSLE ANIA_11103 MAASPTDSGQFQVEEKSSSQTCDNIKPNTSHQEHAETYADETGL SQDEQESTKMTFRRFMGFTAMAFLWTGSQIPVYLFGGIPPIIYGDIGGVDRWVWFVLG NLLALAGVCPFVGSLSDLIGRRYVALIGASLVTVGMIICSTAHAMNTFIAGMAIAGAG AGVNELTALAATAEMAPTRQRGKYVAVLIFTIAPFAPSVLWAQLIADAGSWRHVGAFC AAWSGLGLIITAIFYFPPPRVNSEGLTRAEIIGRIDFVGGSLSIVGLILFMAGMQWGG YQYDWDSAHVLAPLILGVVLLIAFAIWEIYGAKFPIFPSRLKQEPRTLILTLVITFIS GANFFSVLMFWPTQAFNVYGHDPVDIGIRSLPIGFGIMGGACIVLWLLSVLRGHNKEL LIASSVLMTAGCGAMAVADVDNMHQLWGILIVAGLGIGGIVVPASIITTIICPDDLIA TISALTLSIRVVGGSIGYTIYYNVFVNKFVPNAEKYIGGVMSMQLNITDVGLITEAIE LTSASLLEGLHEIPGINGSQTAYEAVVLAGQLAYAESYKWVYYASIGFGGVSILAACF LGNIANYMDDHVAVVIH ANIA_11106 MFGARQVVHARLRHAAAQRRPVPRLLPYLSRNVSSVGYQPAPKR RPWLRRFVYAGIFGGIGLGYGHHLCQYLDPPLTPGSPMDESLTNWYNDAVDKLPLMKE LRENPDYVETKVYGNFSKEDKAQRLTSGPLSGASRLAFQRVFWNHKDKTAYNFVYIGH GMEGWPFVVHGGALATVLDEHLARVAIQHFPERTAVTANLDINYLRAATSNGIYVFSA KLDEERSTDRKAYVIGSVRDKDGNVCTVAKGLFVVPRGYKLRKLEGAF ANIA_11102 MASIPVIVKHQGKRYDVELDPNSTGETFKYQLYSLTGVEPERQK ILVKGGQLKNDTLLSTINAKPNQTFMMMGTPSGDQGAGALTRPKEVVKFLEDMTEAEA AKAAGAIPAGLQNLGNTCYLNSTLQTLRSVPELHEELQRYRPSRNISGASNLSNLSDF GLGGLGASMDLTSALRDLFKQMSETQEGFPPILFLNALRNVFPQFAQQDRNGHGYAQQ DAEEAWSQIVSQLRQKLVIKDGEGESATEVSFVEKYMGGRFESVTECDEPAAKEAGEQ PNKTSDVFFKLDCHIDKETNHLHDGILSGLEEKIEKHSPTLNRDAVYTKRSRIARLPK YLTVHFVRFFWKRDAQKKAKIMRKVTFPAELDVLDFCTDELKKQLIPVRDKVRDIRKE ELDIERARKRQKIARQREEDAKTDNASSLEPMQKKKATEERKEEGTNSGKDEDAAMTD VFKTDAEYEAEKQASITAAKKELAQLIDPKLGADSGTNKTGLYELRGVITHQGASADS GHYTSYVKKQGSNSKEERDDKWWWFNDDKVTEVDGSKIETLAGGGESHSALILLYRAV DLPTAE ANIA_08698 MSQSLRPYLQCVRSSLTAALAISNFASQTSERHNVPEIEAASSP ELLLNPLTISRNENEKVLIEPSVNSVRVSIRIKQADEIEHILVHKFERFLTQRAESFF ILRRKPVKGYDISFLITNFHTEAMLKHKLVDFILQFMEEVDKEISEMKLFLNARARFV AESFLTPFD ANIA_11628 MVIITLEIVCGTPLHGPVSPGQLQQAVNKTDSWFRLYSISGPFV CVQSYKE ANIA_08697 MNPNTAAFVPGHSYKQSDGDVVSINGFPDSNTAPYLSSSDITPS VSEFPSMESLRTSLQIHSGPYQDACNLVNRTQITMMPQLSRTPHETELVSRSSQCTEP SPLHHLSNPDLQDVPERSSVLAVYTQSVDKTTNPGYMPIGSLVNLKGPPKWGVVKISN IPYGVTKPEVFQFIGRQARPITEEMGCPIHIIMERSTAKTMDCYVEFQTVPDAFETVE RINRVQSNGRVPRLGGRHVDVEVSDQDALLKDLFPRANCVKWEAGMPKIQPNHDQIAC SGFNGFFTSEEIIMAIRHAELPKRSPFSEKCPQRTYESTISTLYKFPWYAPWTYTVAD RNQLFELANRHIQCLATGIKHKTVGLLEKLLRELLQAGLSCPGFSERQKYTLCMNSQI ESEINKLSVMSKWFPFDTLSNKPDFDEDIHIYYADLISQSVVPDLRNSGLINKFPMDM PYLSSPYGRIWLEWSQNAAKVHWEDAVEYEMKILHDLVLHGWMNDDRSNMLNLNLRRP SGELSFSRGLSDRRNMSLSLYTSDTDESQAQTDSSSRSGVYMPHFRRASESAGSGRIS GLSGPSSTWTHALLLDSSFEARPAYRHHRKTESSPMCLTPTTNNWSPEMTG ANIA_08668 MGASTKRKKEKQKDFQKPKLKVGKAKAKPDNFTDTSFKSKAITL NQQSLTLTAPSANTQFSHHLSLLSSKSDSQRRDSLAHLTTTLTSQPTHLPPPQPVSVI LPSLLPLILDSNASVRANLLKLLRALPQHDVKDHVGTLMPYIRAGMTHLAAEVRVSSV EVLGWLVSIAGDEVVGVAGGWVKTLNCFLSVLGWHVAAKSKWTSLATSTSTSASGSGN GTGSSTSGKASYGKAGAKGRPQVRFLTILADFLDAGIGSSGSQSMDTTADSASESENQ YCTFPITTFASHLIPSNFASPYLTLNLFGTPRDEEGEMYETREDRWRVFVARGFLGAV ERGLETARGEGGEVGRVSALAAKVLRNARDDLEGDGFGDEL ANIA_08667 MSGLTLGGGSGRVRPTQAAAAFPTSHDFADPDRSSVARNANRPS RRFAAPPQLSDDFSLESPTDSAQVHDNLLQDALFPEWKANSPRGVDSPDEMQKKDPLA TQIWKLYSRTKAQLPNQERMENLTWRMMALSLRRQERERAQQQARASSQKSPVPGMSG IAQLRLSDRVSNTPTTTADTVSDAMNLDDFIIPFSPSDHPSPSTTKASEATTGAIPIK ARRDQSASEATPVPASFPHPAQDQRRESEFGYVPRRVRKTSIDERQFFNLQIPSRKRP AESSPHVPPVSTSMLAHDPDFSHAVPEYTLDTSHGLSLQNQMNAQQLANAQNHTSPNM AFALDTFNLGDDPILPSAGPYQQQFTFSPSESPMTSGNPFANLYAQTPIASSLNSTDF FSPPPSGYQSTASTPQPAYDGEHSKYFDMPVDARSQRRVVPAYITQRSSNLSASLQPR YMYNQGGSSQDITQQNAHMGAQSSSMQSPGFSIPQHVDPTQVLNPNEFNGNHAAMFSF GADSDVEDDDGNQFSAGGLAMPAEFGDDSISDMNSNMAWETSYPNSFQSLPAFAAQHR KHVTIGSADMMDTPSEWNQGGSLGRTHESAASVSEVRNRDQDPRRQKIARTSSTPNTA QLLRQSMQNQSSHTSPNTPPESGLNSAAPSRPASPGGTKNGEQNGPTTCTNCFTQTTP LWRRNPEGQPLCNACGLFLKLHGVVRPLSLKTDVIKKRNRNSANSLAVGSSRVSKKSA RKNSVQQVTPTAPTSSRAQSNTTSESPPAMPGSSGRGSGVVPIAAAPPKSSSAATTSP GTNNGCGAVQVAPKRQRRLEKASDVDMAESPSSTSSGGRSKVVPLAPAMPPAAVNPAN HSIAAGQGASQEWEWLTMSL ANIA_08666 MTTAVECMTSITVSQTAVMDPSADKKRNKLGYHRTSVACVHCRR RKIRCLVAADDAQGRCENCIRLRKECQFFPVDQQPPIEKKSRPNSRIETISNDPSTAS SSPPTVSGDQTDAYYHYQHMPLSAGQDVAAFNAAPYANPMAQFAPGGYHQMESGSSVS PLTRYGTGVDATSTPNPMDPSVPWDEFTTLPSDPQLLATMSAAGKPMVSVNMPHQAHV WSQPATPIAAMPPNTQLPGAPTVPSQPQPLSPSSPYTVQPDGSVSVWQMAQTPTRSMT FPAQPNMPAQYPSPGGFAQPMPADLKRRVTSPGQGYPMHPQSPPADLQGTSVPVTYAA QPTGIGYPGWQDMSGVTPVNMVPYPVYTDAQQAQAVYGSPLMGPGAGHGHPGQHQ ANIA_08665 MDKIDQGSFRGLQAELSMMNAVYLLEEAKADKPEPTIVDCSHGF STKDTADLSESVSLGPGRTPPALLGEDTPALAASRTGHRKNAGYTGLTAFLLTTSYFT TSRELKSRGKHARQQKLLDRRSPASRSRAVAFRLWTVTSAFAFRGHWEGAGSVSSRSV LGQSEIRDHLESAQKWSYAVIMHLPVGVSSNSSMGEWG ANIA_08664 MSGVSKACCSIPPIVAKGYQPKGEYKTINGLKTYVTGPSDATKA ILVVYDIFGFFPQTLQGADILATSSKQKYRIFMPDFFEGEPADITWFPPSNEDQKNKL GNFFQTKAAPPKTLSKIPGVVSEANSYAPSGGAFESWSILGYCWGGKITVLSSGSENK TFKAAVQCHPAMLDPNDAKGVNIPMALLASKDEKPDDVSQFGANLKVDHYVETFPTQI HGWMAARSQLEDEQVRKEYERGYQTALDFLAKHA ANIA_08663 MLNFGGLRMPAQLRTKQPTNNGPATRHHWPSTAVDGRRPAVDQP ATRQRCAADGYNEYPQQGSDNGRQSLTDQGRAVSEPRPSPCSSRFFFLLLRLLFRFFA FFAHCPFRVARFPFFTPALARPRPHQTQSSDSDAGLLSYLTARWSAAFAFRLLPSAFC FLLIFLLCSLCSLLLLVPARACSCFALALLFLLLFAPLLCSLLPPLCFAALLFGLLCL LSALSALSAVVHGRSDSLTDRSRHAFVLIALLSSLDRARDLLALDPPDLSGALSGNRL WLGDLAFPPTTLTGVPVSVLPVAATRDAAAANLATLAIPPGMGTGLEVQQPLSARRPG APPLPTFELPAPTFTAAPGKNPAQAHPQAHPLPYSLHHPHLPPPNPTVIASQPEPPTT STAAAATAATTTAATAVTSSPESTSYWATGSYSGSAGTGRQPWTPNLGSSYSNRDPFS PSFNPSLHRNPATSTPGADPIPSAYDMNQLPPFQQQLGGAATAPGPVAHLAHSHPVIN PHPSVPSNDPYMAKSSSAPAYGAVLSSPPGYQYPPAAPSGPPPPLAMHHPPRVASNPP PPPPPPPTHLSYPHQRQPWPSYSLPAMTGPVMSNVHSPGGQMSLMGPPQSGLLPGFNS GLVASMQQMYGGHPPHAMHGMGVGVGVGVGPTNDRPFKCDKCGQSFNRNHDLKRHSRI HLAVKPYPCHSCDKQFSRKDALKRHQLVKGCKDANEQEKSVKVESGEH ANIA_08662 MLPLLAAALLTIGEASARYTANLPAKLTPGPVTADYITASLDQS DLDSPKLSHSNASTFDWWHFDAIALENTNASVAITFSNGGPAGFPLSYPPTNSSLPGN GTGAAEAHLQEEQEDHAIWAHLWITFPDGRKFHHSQRADSARLHGSGDSSVAIWHGVG GWMGSEEGYEVEIGMQQPEKKVNVTGRISMERITPPHSLCSDAANFSTNLALGDQGLG WVGILPDAIAHVDVSVNGEKLMFEGYGYHDKVCCLPLVPITTLAPHIPVHRIPLLIWS SKPFTSSTKSLTRGRAHLGLYSLLWLSYTPSNSSAQIVSSFLSRDGTTVSAGCEAGSV RINPGTEQTEGGPVSGFQIAFPGAQVSVATDMEESESNGGHVRWVGRARGVLEGNDEE GGAIFERFEY ANIA_08661 MAQFEVEAFTLLALAIVAIALRVVARCVIAGPKNFQLDDYMMPL AGVVYGLETGAAYCVGAWWMGLANNAMTDAQRLELSQRPDSEEYRLRVGGSKTQVLGW SLYTTLLWLLKACMAVFYSRLTAGLINMRIRVQIAYAVIGATYIAVICSILFGCHPMH KNWQIYPNPGNSCQPAVSKIDVYVTVTLNVATDMYLLSIPMPILFKARLPLREKLELL VLFSGGIFVMAAGILRCVLIVTAGANGAQQAGSWACRETFVAVIIGNAPMIYPFLRRI AKRAGIYITTYTHRTGQSQSYPLGSADDKMRSAGNGRSIGMSQASKKRRFRHPLSIPD TQWRDDGETAVFDEDGDEMAMLSKKFNQTQGQYGAHKKMSLEGFRRDAGAAEAVVTSG NGSPGSGTKGLGLGSLGSSLESDHGEFSGIKVVRETIVERRDV ANIA_08660 METFDLLIIGAGTGWSGLAALKTYRQIHPSASVCLLESASSVGG VWAKHRLWDGLKSNNMRGTYEYSDFPMDDSYGVKNGEHIPGYVLQRYLQNYAEHFGVM DSVRLNCRVSVVDHNEESAGESESDGDGDVKWTVTYVDSSGEQEGRQETTVTVQARRL ILATGVTSQPYLPPIRGQEAFARPLFHTVEMPKYLESTLQKPENRIAVLGGTKSAWDA VYAAATAGAQVDWIIRDNGHGPCWMAPPYVTPLKKWLEKLVTTRLLTFFSPCIWAETG AEDAMGNRARAFLHGTWLGRKITDSFWKVLGDDVVALNKFDSHPELKKLQPWIAPFWV ASGLSILNYPTNFFDLVTEGKVRVHVDHITHLSEGTVHLAKSPALENVAALVVASGWA PTPDITFSPPTLASRLGFPNAADPLPSSLVEAADAEILRRFPRLTDRPTHNSPEKYEP LAPDAQTESQSLHPYRLTRFLIPASDLAQERSVAFMGVAMTINTPLLAQTQALWISSF FSGKIQLDRIATEKCPQELIALLEKTATPSATTPTTETDSKTPAATTTEPSVESEGKD NGYYNTLYETALHTQFGVHRYPGGLGRRNPDFVFDAIPYVDMLLRDLGLSGQRKAGGF LDRWLQPYGVEDYVGIVGEWLGLITHLSAALLELAMGMIYMMILEALRSPYRYRCSLR VCPCSEESHASGTKQTTSTTPTVMSTILAVVDQNRRGAILSRAAWHCTLRDDCIQIPT TPSLALDLVLMRDRCTGRDTNMHGDDCSLSMPPLLSIQHEKDVAIANGQAMQWLYEVR ANIA_08659 MYGESEVIFSSLKIMLIVGLIIGGIVINAGGGPDGEYIGSQYWT TPGAFDTYVTSDDASRFLAFWKVLLTAAFSYGNIQVAAISGSETGNPQTVIPRAMRKT FVRVFLFYVLSVFIVGLIVPSGERGLSLSTAQRHGRRLSWPLPARASKSRRILSMRSS LVVNITTVVGLIGWVVDEATYLSFYQGLKVQGCCSAYSMKKEGVLLIPGYGFDVFTKG NFTASGFLTSYLNIGIFAITLESKLVPLSDIDFQSELDAIEQEKTSGEYVVKSEMWPW WKRVIRWF ANIA_08658 MMTDNIVASLPLDELRSIMRSLLTIHPSVTPVFEEQTRNYLDET TSKYSKIANAASTHRGSLCTYRTAYAEWLGVDYATRLCRYCSDSDSEDLAHAYRDKGL TVEDTKSLWDLQRALPSCREVWEGRGGQFPSLVRIAETFTLDADVQLPRIFTGLLQIS SLAWGSASRANIFEQFSRYVSRGFTAFNMADYYGDAEIIFGRYRSSSAYADSIFAATK YCVFHPITLSEEAMRASYEDDQYIMALQYLQQDPRAQLLGLCNFDTKHMRRVIESGVK IVSNQVQVRTDTRYTSIEASAADNDQFSLIDSRPIVKMAGFCSEHNIKLLTYGTLCGG LLAEKWLDQAPPDLYSEKITPSQRKYYASIRTWGAWPLFQELLRVLKVTAYKHSVTIS KVVTRWVLDFPYVGAVIVGCRMGVSEQSAENLASLGWCLDEEDRQMIEGVMQRSQRKA MFESLGDCVGEYR ANIA_08657 MLTIVNHASSPTVWTPDATERLSIGRAQLRPSSQSSLAGAVLFD TRSAVSVAMADRLTVHLLISPDLACSNAGRVKQERASTVIKGCSGCPEALSDPHQSST TQRKKRLSPVGVTLSPGLFSACAAIPTKSIPSPESLTDPAYLRQAGRDAFTTKEMDKS QRIVVIGAGVFGLSTALDLAQNGFTNVTVLDRSMPPVPDGSSNDISRVIRFDYGDKVY AQLGKEAYDLWNTPEYADSFHQGPCVWTAQKKGGLAGGSDFIRKTKAILDDLGLEWHD LKHVDALKERFPAVAGRPIGEDFEGFYNNAAGWADAGVAMTGLRDRCIEAGVAFVTGP HGHVSWLEHEKAKDGDGGSSITAVRTIDGGRITGDKFILATGAWTANLIPSWNSMVAT AQIVGFVRLTPQEVEALKDLPIIFNLSTGFFSFPPHEKTGYLKVACHSFGYTLSTQSA NKLVSSPPGRAIPARANFIPQEGMERLQAGLREILPEIAKRGFEKTCLCWYNETPTGD FIFDYHPEYKNLFIATGGTGHAFKFLPVIGKYIASAFQRTLSPELTQKWRFHTEYRNQ PREKLFTGSNSRNGGDGSRGGPNRREFTDEERDQLLSLTDALATRGARI ANIA_08656 MSSLLNYLGLRESSSSSPSSKTNATRALPASWYTSQEMFELERR AIFSRKWLMTTHKLRLPNAGDWLRYEVAGFQFVLVRDRQGQINAFHNICRHRAFPVVT EDQGSSRIFACKYHGWSYGLNGKLAKAPGYQDLDGFDKSKNGLLPIHVHIDRYGFIWV NLDAKEEPEIAWEDDFDGIDQQPRMDYINWDDYVFDHTWEQGGDFNWKILADNYNECY HCATTHPDIPAVADLSTYSVDTKDGSIIHDAHSKPEQVAAGLQVAATYYFPNASMNVT PNFFFTQRFVPISPTKTSMQYEVYRNKNASDADFDLVNQMYKRIMSEDKYLCAYAQKN LNAGVFVNGELHPTMEKGPLYFQKVVRDLVVEHFEREEKEKNEIWPARQILPKESDVS EKDMQFCSSLSCQSGANAKEGAGGCCSGLGAQPAAAVAW ANIA_08655 MMPPEGSGTRRRLRSNHACINCRRKKTRCPGEKPACSCCVRLNQ QCSYAAVGGSSGPDKDRLAELEEKVNQILRGAAQHEQPTIEEAPDFASTTHGDVGYMQ DNAYPSIFGLDGASPQASSLAVAKAIDLYLECCHRQPVWCLNIKELGNIESHPEELIC SILALTSRFTRDAAQGQRYADSAKSLIMLRIANGTVELATIESLCLLAYSAFIGRKKK RKREGKKTGEEEKKEKETRLTGQTDGNLQLGRFHLGMGFQLCRAAMLDTEAAYMNQQD RHAEQKKRLFWSLQLLEQSYGRQTGLLSIPTSKWRPPYLSSCDPRASDNDSMPRPPPI PRDTVGCASPDDTGIWSMSIHFGWVWSKVRAYVSHCSSNRVTEPWRHESMYAVVLSDL NEIENSTPLCHRYDHVQFYRRTAEELAVNKSYWVPWVKLQFMYHAILTVLNHPFLYIM ASQHNPNLAIPNSFWRRSSELVLLHATWIVRLIDMVSEKNVRLTDPFFAHVAGIAATV QLYYCCADDPRLKYKSRADFAKCSDFLRGFTGFSRACEVLSQKLDALTRIASGTEIID LDDWVPSKIHLNIPLMWDILQFNVSTDFETEGRGLLHPSLAPTTMTPSPNDISNLDII VTASPEVSVNTADGGQAVPMPLYRSPTTATAESLRNTSLRDRDRDRERDPLVAPVDSL MLNTPWLWADSSQFSGNMDEVEYHDSMPVVGDVEGSAWWNLGNL ANIA_08654 MPSTTYNLPGHRYRLHTQFTIPMRSSSRHRYQGTRARYRDDNPR SAVLTGHLVLNLRCHGTVRGNRFGSGSAPYRSNQLSQLEPYRSCSTVVGSETVSREDR IHMQMTMTFPTPQQRIIIIGAGIVGANLADELLAQGWLAANITVLEQGPLSLPGGSTS HAPGLVFQTSPSKTMTRFAQYTVQKLQRIEKDGQNCFNQLVDARLVDGDECRRLYPLL NKESDVVLGGLHIKTDGLALAARATGILIERTRGAGVKYREHTAVTGILQENGKVKGV RTSSGEDLYADNVVSCAGFWGVEIGRMAGVGIPLLPLAHQYAKTSPIGELSNRDANSR MNGLNATLPILRHQDQDLYYREHGDRVGIGYYGHKPMPVDARALGATPKHVDEKNMPS RLEFTSEDFAPAWEETKKLLPALRNAQVDDGFNGVFSFTPDGGPLVGQAPNLDGFFVA EAVWVTHSAGVARAMAEILTRGWSSIDVSECELSRFERVQLNRSYVEETSQQNFVEIY DIIHPLQPRESPRNLRVSPFYAQQMQLGAVFMELGGWERPFWYGANAHLLQSLPEHWR PVERDAWSARFYSPIAAVEAWKTRNAVAMYDMSSFHRFIVSGPGAVALLQGLGTVDVD VRPGTIVYALLLNENGGIYSDVFVTRLGSFTYQIGANTATDLAYLARKARYQTQKSPA DWVHVEDVTGGTCAIGLWGPRAADVLHSLPLPRETDISNKSLPYMRAKAVTIASIPVT LFRKSYVGEYGWEIQTSAEHGARLWEAIFNAGKRHGLIAAGRAAFNALRIEKGYRTYG VDMTTEHDPYEAGLGSAIANLKLEGTSKKAEFVGKAALRARGKKALTRRLTCLTVDDG MSMVMGKEPVYLSGNAVGYVTNAAFGYTIRKPVVYAWVPAGLNEGVGVEIGYFGKKIK ATVVGEPVVDPEGKRLAGPAEEENKMKSPLRSLL ANIA_08653 MVLGYFSWATAFSLLASTAGAAIVKGQTVAVGSNTYYVPPNIVT TLSLSGKWSGQEDGLVPLTVFRSDARNLSIAAVKDLVDIYERTDDVFSTGFLENVYFT YNGSHKNPVLDVSLPSLWPTRFFGHAAPYNPQTSVNSTSDIPPGPYFLDPVTGNIYEA YLLYSDVMGSFTQGLIATGNNTYDVLPASLQGYDSLTIGVPSRLYYTKTPEKPLAGVR LGVKDIYDIRGVKTGCGNRAYYDLYPVSNTTGPAIQSLIDAGAVVVGKMKTSQFANGE TATADWVDYHSPFNARGDGYQDPSSSSSGPGSGIGAYPWLDLAVGSDTGGSIRNPSQV NGCFGNRPSFDLVSLDNVMPMSPLLDTAGFLTRDAKLWKTASEVLYAPSGLKSYTKYP KSIKTIDFPTEPSTEANKILLSFLHKLSRFLGNATVSPLDYDRLWEQTKPSTVSSDTT LTSLLNLTYAILITKQQFPLLGAKLYEDHAAANDGRRPFIDPVPLSRWNWGLGYADEQ LEAEINNKETFKSWWNSTVQVFDEETCADSLVLYVGTAATPTYRNVYREKPTGYGANA NVNSIPGIPLGFSVSRISNMAGVPDMVVPVGQARYNSTITMREEYLPVAVDIIAPHGC DLMVFNLVNELVEKGIVKEPVAGSTMYGDETIY ANIA_08652 MAPLGYRPETLRRRPRPFATKDNDYYCGGGSSSSIYYPYHPLSS DYGNPQRLRAEYHCPACRSTTTFRMLLRQKTMYLYRQVLRAASFEAALASAQALLLAQ CMLIAIEGPDAPFSEATSVMLLSLGQRLYQQAPTQLRSRLSPRRAWLFAESVRRTIIV AFVLRGAYSLKKRNYSARTPFIDSLPFDMRTALWDASAESWTDAEGDSADSIVSLHQY SGMLESGLIHGISPFGGLILAACRGKAIEEVAYPSLPLARSAPNSAVSVGRSLFAALL PSILTDQAYVIRTLAPIGVSLTYQESLRLVYVFARLGAYDMSQGWFILIWQMRTAQPC APKGINSRPL ANIA_11096 MKGRNALSIYPHCSSPILCTNFVSSWAFKKVLIVGATSGIGRAI ATRPIEKGIPVVISGRREENLQEFVRQHGSDKVKSKVFDVTKLDKLKIPVFASEVLIE NPDIECDFVNSGVQRPFDFSKPDTVDLPMFDQELVTNYASAMALVPMMRCPNYGASKA ALHHFILALRTQLRDGLVVEIYPPPVQTELHDTKHQRSQERTSNWDAAG ANIA_11094 MSTQKAVVITSPKQGGLVTDRPIPALRDDYILVKNVAVALNPTD WKHIAYLAPPGVLVGCDYAGIVEEVGKNVKKPFKKGDRIAGFIHGSNQVQPEDGAFAE YVVAKGDIQMHIPDKMRFEEAATLGVGIMTVGQALYQSLKLALPTEPTKTPEPILIYG GSTATGALAIQFAKLSGYTVLTTCSPHNFDLVKSLGADAVFDYKDANAPAKIREYTND KLRLVLDTISLEPSAKFCDGALSTSGGEYSALLPVSVDRANVNSRATLAYTAIGEEFQ FGDKTTPAKPEDKEFAARFATITESLLQDGKIKVHRPKVGKNGLQGVLEGLELLKEDK VSGEKLVYRVEETP ANIA_08650 MVPKRDPGVVAIMLLATLGLYLVSLGEEVPDPLEDRFVFRVLTF LHVQMSESSEATGWQQVRHYKDHLGHPVVLEQYIEEGYDPYSSHLVPIQIYSTVLLDT DHKSLRAYLLQGFYNDAVLPLFEIYSFCPPDAFACIEHNRREIAYRKSQHRLKVPNPP PLIPRFFNACSDRPIGRCLLLRSHSYRLGYVGDWDLYIEAGSAPDDLAFNRSFSRFQA DVVEEQRRADGDDELGLAGFELSIERIQCQIDVSQVIVADIFPNAQRPELEYALDTDE GVLANAELPPDVEAEIREQLEKQSTEINYAVESTFRIMDDDAGVVTVTNTSEGDDADL QYLVYAPFLSHWRNVPHSSIPLLKATARLFHHSTSWSSIRPAHSHLIQTLIAPFPVGA RHTITVAGSSSEIHRIYPQYLTDTVGAAERMLTNPCRILAVVLDRPNFVEEAGVYFYM SEYTSSVDIPPEMEPQPDDTEVWRVVGMKEVSGLLGMVGVGKGRAE ANIA_08649 MRTHDDFMRDVDTTANPLHNDTAEEDTRRKKTRIVHPSTQICRD WMIVSGNVHYARDKASFVPSSYTPISAMLKSNIFSPHDEMLVAGIGDVHLTVPRGIND PNVHTLVLENVLHIPEALCNGFNPLLIGSSMSCHAEYWEGCDRWGNGMWYGTRFCGVG RLVLAPGDGQAESDLIEGREYTLSLYVSPEEKRMIIEQAQRLRSQEQTNGQALGMDGM QMIGSQI ANIA_08648 MEVDDSPPGGARPGTPLLGENSEPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHLPQAPSICEQVSMVADDQLVLLNDWKLAMTSLAKALDLTVSS LQGRPRDLARGLAARFVSLAKQDSPQQIPLMTAAAPPQPSRQMEQPNQPPTPEACEGP LKRRTSQPTTWASLTAPRAGQGNWQTIAPEHRTQAKQPAQRKLKQPNKTDHRIFLRLP ASSSLRAIGPHGIRVTLAGKVPDGIAQVQVISTGYAITTTEQGKVFLLSEKAASLAGD GYFEIPTEYHQVIVPRIPKQLWSLDGWIDTTITDISNEAERITGIKPLMAKLSKHPVE RDSITAVIAFPKRLQHPLQLFGLSGLSRPTRPKQRPLQCTRCHRFHDTRACRSSERCI SCGSSKQEHNCRRGGAAHDLLLSFEADIILVQEPWTNTAKHLTKTHPRYQLFSPPTRW TARPRTLTYVRRDLPAHSLPEPISPDITTIYTAGLTIINVYRPPNDPVAPAGAGSTPS TLSTLLGYAPPENTILAGDFNTRHPFWQPDTESHAVTPGATGLLDWLDAHELELRLEP GTPTRGPNTLDLVFSNLPLRALVEDHLKTPSDHATIGIILEQEEPPPIYKLGSTNWEK ARALASPPDPTLPIDLLAKQLVQTSQLAIQGASRYNTRRLPRTPWWTPELTDILHQTR QQQNPDYKQLRKAIVRAKAEYWKQRIEQATAPIDAFKLAKWIQHPDQLAAPPLNIQGA QVTTPQGKADAFLNHLLEKGALLPNQTEEGPPNKPLGSLHLPTKEHCWAALCAPPPSA PGEDGLATTAWRELWPVLGDTITQLYYRCMEEGCFPLSLKSAKVIMLPKPGKRGYTQL NAWRPISLLSTLGKGLERLLAQQIAVRAIQADVLAPCHFGALPGRSAIDLVQVLVHRV EEAFQQGKDASLLLLDVKGAFDAVIHQRLLSHLRLQGWHKGLLQLLKDWLTGRSVSVH IKEGTATAPIKGGLPQGSPLSPILFLLYAARIVSTLEGSFCYADDMGILLTGNTLEES SQQLVEAYKQITALGTETGLPFSIEKTEIQHFSRKQQQHLPTVTLPGIGEITPSLYTR WLGVLLDTKLTFKAHINLVFSRGKRLAQHLKRLSNTQRGCPVASMRAAVIQCVLPTAL YGAEVFYTGKRQKGVVNSLLSLFRTAALAIIPAYKTTPTAALLREADLPDPEALLNSI LRRAAVRYMSLDTKHPIAQIAAETTAGRPKTRLKRILQLLLSPLPERAIIELPLPPLC MLPTDNKGYSPAPLQISVYSDGSRTSQGAGYGYAIYFGPILVSKGHGPAGPRTEVYDA EIMGAVEGLRAALGQPCVGYSTQLVILLDNLAAASLLASYRPTPHRHGLSETFSQLAA QWMESPSILTMQRKPLQVRWIPGHSGIAGNELADKLAKLGSSIYSPDIPPSPAYLRRE AKQWLRTETYTAYANKAPETYKALNIRPHTKESRSREHKLPRWVLGRLVAARTGHGDF TAYHQRFDHTDYLESCTCGKAKTPVHFFFCPYTRKRWKDRWRCIKDGPSKTIDWLLST AAGAEEFSRIVQESSFFKDICPNWARRSA ANIA_11626 MDVNNLTMHNFTFTGGDDCIAIKPRSYNINISDVICNGVNGIAI GSLGQYLEDSSVKNVTISHARVPSTRYGTYIKTWMGELVPQPDSYESDYKPQGG ANIA_08647 MPPSIDAYTPLEVVEFVSRAGSVKGHMRLDKIFLSSLSAGCLLA LACGTTLSTNASPWFTENAPGLIRTISALVFPYGLVLIILTGADLCTGSFMFTTVAAL HRRLSWPKMLLHWFITFWGNLCGSLFVVAIIFGYGEVFSADPFRSAVISFATKKQVTP EFHSIFLRGIGCNWLVCLACFLGLQGRDMASKIIGIWLPIYAFVSLGFDHVVANMTFI PLAIWLDAPGISVGLYIWKGIIPTLLGNIVGGGLFVGTYYWYMYLLQTNPVTLTGLRK TKAGESEGTVTPRQDDVEANAGTVQGVEGSKMGG ANIA_08646 MSTQITSRRVFRRTDDDTPGTPRVQLLTEALPVLSPTSVLIQVY AVALNYRDANIANGRNPWPVTPHGIPCNDAAGGIIARGKNVKSISVGGRVAPIIDTEN ITGREPTRSWLAADEHNVLADYIVFDECKHSSQIPPLLTVNYATHPEWHEEVLKLTDG RRPGRRRWWEQFARQEYEMNPSRQDNQPSGVSE ANIA_08645 MTEPFPSSSVCSLSSSRRLPNGQQPRKLRDSCIHCANSKVKCNK EKPICGRCVRRRLPCEYKVSRRTGRTSRSIAQLLGSGSTGRTTETLTISPAIHPANTG GNATSSVLPSNPVTSGPSQTPLLTPITPIPTSEPSPDHCIPQTPDVWRSFLSPSAFNP DVGDLSSLISMPPDVGNLFGSVMGSPLFDTCDIDSLPAQAIGESVSVTEHPLFPTPAL SDPKSEDTSETTSNHVKSCLISVLDVFRDVFADTPTPCKKADRQQSSSRAPTIESVVS DNREAIDTLSAVMDCPCSHDGYILSIVSLAVLKVMGRYIAAARGQIPATEDTRGWGQE VSMHSDRSRQFLPFDEQLPGSPGPVGSYSIEGRNQNRMAAQLVLSELHRVQRLVNVLA SRLENVRLRSCLSPASTFGPNSTDSIIENPLLAARRILPLSGSTFSKMEDDLRKRLRA VSSETIEILRRA ANIA_08644 MEVEHSTPPTPTEGVQKKRRRTEAQLARKRQADRINHKAKREQQ KRQMENLETQVTNLQQAVQSLTDQVRVLNERLRDQSRYFSCFDLAETPSPVGTAGNSS TSLPQPRPLPNAQDMLLLPADKLPMLVPAPVLGEPDNNVPVDCRCGVDHRSYYECLEY STFSILLRAHQGLNTSLSNPTRLPRTPSLIHVFRPASTDNPVIQILGLVFSQVRPANV RTLFACYVVMYRFLRWRLCPDEETQRDVPTWLYPTEIQKTIPHPVCIDFLPWPGLRDR LIHKAQQIQDPRHSVMMYMRSIHFRWPGDQEFIYTNENGELMPTPSFEAGLYAYENWQ VSREWAATFPKLKKYVNVGDDEGVLVYIMGQNN ANIA_08643 MTSQTTFYQPDPSLDSAIAPESLFLGQEFSPEFLPLSLLEKDGH HHELPLEHMQFSPHQPAMVSVRAMQGESTNANEDMMRPRYRTRALRPAPRDTRKDSTD DFNQPGFLNSYGPMPSFSSFSSTSTTFNPAEQDPEFRFSPHSDISSNKSSSPLRWQTG DAEKRAKHLERNRAAASKSRQKKKRETDQLRTRFQEVSRRKSTLEIEIKELHSQLLSL KDQILMHSRCDDEAIHLYLGRMVKQATKHDSISSASSGTSASSREQDDEGDRSFGQRH GSECISPRQTVTSLHTQGPPHHHNLNHSRTSLNPAGMDLSNAHQGPMRMGDGSGLPCG VEKPIMNQMFSQHDPNNFDLQISIS ANIA_08642 MALVLQTATFAHQYLNSFLYSQPRKSADALKLGVLSSAQINAAA IIHPVETHPHITLYGIASRNQSTSQSQAKKYHFKQAYGSYQALLDDPEIDVVYISTPN GQHFEWTTKAINAGKHVLCEKPFMSNGEEARKVVELAKEKGVVVEEAFHWQFHPAAHR FRALLESGEYGRVLRTKALMTASPGVPEGDIRWQYDLAGGSAMDMTYALSFTRYALET SHPKHIHSVAMKPYNHDPRVDEAMYAYLTFEASSNVGGGDVQSQIYTDMARSWTLGII PRFWEYPSIEVETEKAIIYFYNAMMPHLYHYISVKEKLTGRVSTYRQYAGGPVWGSHE VSVGGKGGKSGWSTYRWQLEAFVEKVRGGNPPVWVGNEESVVQMEVIDQLYKAAGMPV RGEKTGSQSGDREKRG ANIA_08641 MSFTRTPLRLSRAIKTTRPQVTRRTYASEPAPQQGGNNSTWMII TAALGIPAAYYLFAGRGDTHPTPSEKDQPASRKAPAGGNSMSSKQEGLSNKDTSNPYI NEPGKSITGEGETESAKFKGTVSPQRPQN ANIA_08640 MADERINQMRGYKATLNNPRVSNEAKEHAQSVLDNELGGDQPHE DILTAQGARNKSPNRVAGGYKAAMHNDSISKQGKKQAQEKLGEMPQE ANIA_08639 MADKKRQLLIISNRLPLSLQKVDGKYESTLSSGGLVTALSGVSK STNVRWFGWPGSNIEDPEERKTANEALAENNAVGIFLDEALAHSHYNVFSNGIAWPIL HYQSGVDFNEDAWKSYKKVNEIFADSVAESASDGDLIWIHDYHLLLLPAYLRDRLEKQ GKKCPIGFTLHTPFPAEDFWRALPVQKELLAGVLACDLIGFHTDEYKRNFIECCSRGL DVSVKDDSIVYQGHTARTGTFVVGVDPAKFTDGLQTTEVKNRIKELEDEYKHKTVILG VDRLDYTKGLVQKLQGYDYFLRQHPELKNKVRLIQVAIPSREDVKEYQELERELSMLV GKINGEHSTPDGTPIIYLHHSVPFTDLTALYRIADICLITSRRDGMNLVAAEYVACQK DRFGVLVLSELAGAASFMSKGSITFNPSSAQQLADAVYKAATMSPEEKKQGYLQLEEF ITNNTRYAPHLPTSSAQQLWYTDQ ANIA_08638 MSIRFLNPTRPLRQLSITAPRYITPLQQRFISQSPIAMTRIIDA IKQDHREIEDYYNKILSATTEKEKIQWQNQFTWELARHSIGEELVVYPVFEKNLPDGR AMADKDRHEHNTVKEKLKQFQNMKPSDPNFEPTLKSLMSTLSEHIQEEESQDLPKLED AISSEESEKLSKSFGRTKMFVPSRSHPSAPDKPPFETVVGLLTAPIDHISDLFRSWPH TKGMPNPSTK ANIA_08637 MATSITAGLQKAQQAVQDTATKNKKIVDISHDTVNVHTDQEQRT DFGVAITDPDHWLRVTNETHSGPSLLEDHIARERIHRFDHERIPERVVHARGTGAYGN FTLKESIEDLTYAGVLTDTSRNTPVFVRFSTVQGSRGSADTVRDVRGFAVKFYTDEGN WDIVGNNIPVFFIQDAIKFPDFVHAVKPEPHNEVPQAQTAHNNFWDFVYLHPEATHMF MWAMSDRAIPRSYRMMQGFGVNTFSLVNKEGKRHFVKFHWIPHLGVHSLVWDEALKLA GQDPDFHRKDLMEAIDNKAYPKWDFAIQAIPEEDQDKFEFDIFDATKVWPEEQVPLRV VGELELNRNIDEFFPETEQVAFCTSHIVPGIDFSDDPLLQGRNFSYQDTQISRLGVNW EEIPINRPVCPFLNHNRDGAKRHRITKGTVNYWPNRFEANPPASDKGFKSHPAPITGR KRRDLTPKFKEYHNQAQLFYNSLSEVEKVHVKKAFSFELDHCDDPIVYERLAGQRLAE IDLPLAQAVAEMVGAPIPTKALRDNHGKTSVRLSQFDFTPKAPGIISRRIAIIIGDGY DKIAFNGMKAAILAAQALPFVIGTKRSAIYAQGEDKNSSKGVIPDHMYDGMRSTMFDA TFIPGGSHIETLQKNGQIRYWIAETFGHLKALGAMGEAAQLVKEVLGNVMGVQIAGAD SAEPVEWYGVVTARGPESAESLSEGFKVLKDAGDFTSKFFYQISQHRNWQRELDGLAS TVAF ANIA_08636 MSNSQPAAPFPGPDPKAATGDLNPGRKHKTTACQECKKKKLKCR GDPPCQNCVANNIECLVNELADQRRKLPQKRKLESLEQANDILTRLLKAIRNSENKKI VQLMNLIRSNPTLPELQEYLTENFTRSEIEKSPELSKVQQHLEREANVVEQGEAVPRP PRRVLDVRRLADNPVYRVPAKPWTTVTDDDDLVSHLISLYFTWTNPFLCWIDKDVFIG EMQKGDLRSRYCTPFLVNAILSEASYTSDYAEVHAVPNDPMSKGDQFYEEARRCLEEE ETEGTTPKPPATGAVRANWSRLVMMGKDRLGWMYLDLACRAAEEYAALNPARSPEDES IRLEERVVNMALWGSFSMAATSAASLMKHIHTSPPARPRLPMNHKDPSDVWYPYPRGT DPLPGHYMCVFDRWCDLNCIAFSISRAFYSAEDKVPASETASLVDDVYRQLKGWYANL PPCLEAETAVVPHIIGLHFFYHTTVTHVFWFLQFYHLSKGEHEEAASARNTTLDSARC IANLIILHRQRWGIERMAPCTIQWVTTALYALLGALDSAENRAAFTELCTTARAFSRR YPFAKGVMRMLQLTARQMQVTLPEDTDALFSSFAAETWSEKDREGLSSFYPHWGTVIR NGPIRQEDVVLDRFLQKWDKLTISDSTQDTTPEKHEPVPGS ANIA_08635 MSDTHAAVGLPATEAYVRLHLLNGGSMTAEYHKLHAGDAAEEFR LYNWAFFVQHTAQGRNVIWDVGMSSNSDDFPPVIGHGVIKEAKVLDPAESLTAQIQRR SGIMADQIDTVLLSHAHFDHCRPISRLFPNAIAYFGPGTFDFCSPGHFADPASPWDGR FFDPARATERCETLIGPWTPFGPFEHAIDFFGDGSFWVIQAPGHMPGNLCACARIESG EWVLLGSDCCHSRGLLEGAKEIATFPLPDGGTGCLHTDVAAAKDTLARLRVLQSQLGV HIALANDASWMEKEDDEVLMSLLDEKFQEDMRRALPLQEPV ANIA_08634 MRVILTGVTGQIGQELLTQCLANPSITSIIALTRRDLSTTHPKL RTYKMTESDFISYSNPDLVQDLRSASACLWTIGLTPSKARRADDELKRRVSLDYLSAA ATAFTKDLQPNSARKFRFIFVSGAVVERDQKKALWYWGDFRRLRGESENILIRHEEKN PDVFEVHVMRPGPVPTMAGTLHDRLLGLATSLRTDILAKAMIELALHGSVDSERIYGN SRIVELGRK ANIA_08633 MRGVSRAARGWRRNPPSYTPGQPEALQPTFPATRAQPSRNRHAS QPQALRATTHSPKPDNYGNSDHLNSDPVQEIKGLRDIIKFQQRFLHSVEPPATKVDIK DVAHAVTRAVEDSTNAVLSKISISSNELEMKLEELMRLQESINETNDRTNVLFISGEE DKIDNPSFQSGTASAFPKSRGDFRAAAVQLAQVEAPAFPLPYGDAMNFNPEYVTQGRR GGARLAERFVKDVRKYIDNIDPAAGRRADIRIRVYANVVGLSKAYRHAGIIPAGQDLA DFVRGFNSGHENCDFVDVGPGPELADKKIIDNGYFPLLSLHLQKKSTRLALVEGPPFA SRLRQIAKEFPTTSFPRVFMSASLPPLPDADNSVTNPAAESAASNEAQPGAAEVKTLE ASCGVDDESDTVTA ANIA_08632 MPITKSMVQKAKRDRAAADAQAAAQITKPSRKRQREAYNAVERE EKGPFQTLIRETRSQTRKRRAQESGVGSSRQATPPKRQQLGQGSKASRRRTAPRSSGP ASRTKGSLSYAEPDPRQSAPSTSLQAVRSTTHNHGESIRTVFPEKPAPSDKSNNATSR GDQKQKDNCNPSVYPAHHDENQSRVLAGQTISAMTSQQPVEDRFDISAATSQIVSLFP QFYGQGVPTASSFGLSVTRPSTPILTGCGTETNNATTSEMAESSKYGPDSESESTEPT LIQRSAVSEATNTQLGAASDTTVTPAAYCRRRKTTWKDRARLRKEAQKKAKDELKANR GYGVGTKTTAFLHWIPIPSDRSYLQKIESALWRRNSMKGERGKGRGYRDAWWWHFANH YKIQAENVSCELDYSELMRASAEASEFSNKPGLMDMGVPTCDRCFFMGRACRTDQINA CINCVKAFQPCTMTERKHPFTRRQFTGQRWINHGYDINFNIIKKRTDDPHKLFCNWED VPLPPPPRAGELVYLRGRSLERPDPDQPKAEPCLPFDRAYQVPDRIYEKYNLHEIDWP WPLPDPFPEGDEEPTGETPEERQRRLATKAEVEEKRVRYNMRQEERRQRTGNEQLPGT REKRVYSIEWQKARQKQMMADYHQRTRHMKESKRYTRGRSRTQSRATASMAETRPDKQ LEQQVEEQLQNELEQQTEHLPQNAQQQTDQRPPQSRMEVAVQHEINIEMADAISQVQA TTNQATERSIMAQPNSAMSSHYSIPIDPTLEKMQFYNSLHPGQFQTNTRCRSGFQTTT YERAQALGNTRRQRLDQGGREKRGQTKMFGCGEQERSAATNEYEATNERTVQAIQPDP NPVAGYGYQVLQRASGANKPPAASTSRITPFTAQASWDNPYLDPPAKDTDAFGYYAIA RVEAAPENLFGYPVIPVSNDSIHRPPKEAQNEWHISDPKADTESPTPAPAYPTFTIRN TQQHNKEHNDGSSDDAKMGGF ANIA_08631 MGIIDFIIDIIRPGNGVDYPKPGDMVTVHYHGYLYDPTRSWNRG RRFDSSIKRGRPFTFQVGMGQVIKGWDIGILRMSLGEKSLLTFGPHYGYGENNVELLA INGKTLQSDSSE ANIA_08630 MPVTKAFNLPSSPELLPLPADSENATTFFISFHASVDPNTGKPW CPDVVAAIPHLEEVFSAPGSPDVAFVEVGQKPEWRDLSNVYRTKWNVNNVPTLVRFEN VNGTVNETGRLVEGDILDRKKLGEFVLKEPGNAGA ANIA_08629 MKIYGKAIVHGAATGEILYSKVPLSFWGGVEQTTGDIIDHHHPL FGENIKDRVLVLPSTRGSCSGSLVLIELLVNRVAPTALVFWDSEAIVTTGVIVARTLL GLSLPVYRVSQGQFEDIENQKYLSVRGPELLTSDEPLPLLEASPPQVPDTESHIYLNE QDKAILNGCYSPAASRAMEILLQYAHLQDARQLIPVSRAHIDACIYTGPASILIAHRF LSQGAHVAIPTTLNSISVDQRRWREVGVDKNLASEANRLANAYVAMGAESTFTCAPYL LDSPPGAGDTIGWAESNAVVFANSVLGARTQKYPDLIDVCIALTGRAPLAGVQITEER APRLCIDVTVQKHEALEDVFYPLLGYAVGTVVAGNIPLITGLESTNPTRSDLKAFSAA FATTASAPMFHISGITPEAKQFDLAGLKRIPLADDNLLSALNGLITAADDSVGLVSLG NPHFSLEEFARFSELCTGRRKADSVQVIITTNRQIYAQACAAGHVGAIETFGAQILTD TCWCMMSESVMDSSVVNLMTNSAKYAHYAPGIVRRGVHFGTLKDCIAAAETGRAKSGL NYWLSAVKSA ANIA_08628 MSFKVFKGSEKGVIESTTKKPALEGDRVLVKVSHSGVCGTDLHY KAADMVLGHEGVGYVEEVGPDVKDLKKGDRVGWGYEHDCCGRCKHCLSGFETFCPERA MYGFADLDQGSFASHAVWREAFLFKLPDGLDNESAAPLMCGGSTVYNALVGAEVTPTD TVGIVGIGGLGHLAIQFAAKMGCYVIVFSGTDSKKEEAMKLGAKEFVATKDAKELKTS RKLNNLIVTTSFLPEWELFLNVLDNRATISPLTVSDKPFTIPNQEMLVKGLRVQGGIV AARQVHREMLQFAAFHGVKPIVMRYPMNEKGINECMKTLEEGKMRYRGVLAAE ANIA_08627 MFPLSQRAQRRLLLLFAAVLLGHFYFAYTHYYGRPHHYSTDPNS GPSYSINGRIPADCPPLPGLENIVLVLKTGVTESQTKLPIHRNTTLRCVPNYIVWSDY DEEVADIPVHDVLYNESSALHNLPDFNLYMRVQEQGRQALTQTDLSGIREESTAFGKS NPGWKLDKWKFVPMISHTLRVFHQPEARWYIFMEADTYILLPSLLSWLSTLDPNQPWY LGNQMQIRDILFAHGGSGFILSRPAIEAANNIILDKRGYWDAITQSEWAGDCVLGILL GQAGVELTWSWPLLQLSPPKEIEFFGLNYGRRMWCFPAVSFHHLDPSEIKDLVRAERG IAGRKGVVEPVLWRDIFYTLARPEMVSEDADGIRRGWDNGAAEEKGKVDSAEACKKLC ESDRFCLRFVRRADGVCAFGRDARIGEEKMGAESGWMTHRIDRVARMLGTCPGVEWG ANIA_08626 MSLLSSRMLRLPREDGSKTARPGPRCFTSAYELEGLTLSDLCSK RFTEGQQKGISDICLYPITTHSKAVLFCRHSPNFSYGWFYIVNDWVNTLPFICFAVGA SEYVDHSNQHRSFTSSPQKMERVNARDEHSSDIDSLSNARTTKTTKKPFNLEQYDSIE ARTNRANISQRPTELSRQRADKEAQCGHQTYDAVNTRVVYNASSKPCSDGQSPVPFPG TGSDRQRNNQRVELGVHVLDLTYTEQSTLGLNRKSVKTSSPLFKFISFIASRQFDQYR QYIFDQHILKSTGSLTFLSRKLMGLLFRNEIAGRGASSLYIAPLECSSYSLGDDAASP KPVTRKPTPAAPTASSPIVTSFSRETVSNKPSNDARSWETTTSQATPTPKPSNAGYKQ IQYKIVGYQGDGLEAPIVSTRPAGRPPSNTIYQIYVGIADETEEESEHYAVVVRTPPH FADPMGDCAWYHCIGWGIEETNHYRRVVDEPQPFKSSYLKRRIGVGIMTEAQRQDFRR AFRQTPPQSSEFFCIHFMRKLVKTGIIQPYQIQQIESEVGEPPAELEWDPDYCDSPDF GPETLDYEGNIPIFEMEDIDRV ANIA_08625 MHADRPIRVSVEEAAGSGIALDHVQISEAHGGGYPANVEGLHHL HCLGDGAFRNDDFIVRKHVSHCLDILRQQLMCTIDVGVLGQVWIHPDHPSPFVDFNTE HVCRNFEDIREWAQRNQLPLPAHGHGADGAQADFLVPPRKDKVLSEIP ANIA_08624 MHLIPVGLLALTAQMGLVLCRPMGDGASESGKVTPEWTFSMPNT YEHSADLRRDRNHDAAMMGPSTHENEKVSDWSLETGMVDTAPTQPNTDAARMISPDGN MKEKAPDWTLGMGADMDSEQTDANSKIMDSKNLNANVKRQTVVPIPSSSVPVSPSASP TASATTSPTPSPTVPSDYGSYGEYGNYGDYASYGDYDGAILNNKERQCNL ANIA_08623 MKIQEDKNLENGESALSSINERRLMMKIDLRLLPMLCVMYMITF LDRVNIGNAAVLGMRDDLDMVEGTKYNAALMIFFIPYILFEIPSNILLHKLKPHVWRA YLANPGDRVYLLGMWYKRSEAQKRYSIFSCSTILAGAFGGLLASAIGKMDGVRGYGGW RWVFILEGLATIVMAVMVYFALPDFPEDCKWLSESEYDHIRDKMGGETGRLNGDVRMG WRDIAGVFKDWKVFIGAFMLFGQVVSGYGTCSLSRKKRFELIFYGDTDIAGYAYFAPT IIHTFGYGEIKTQLYSVPPWAAAFGCTMLVATLSDFFRHRYAFTMIGMLIGIAGYGVL LSIRDTAHHAAQYGALFMITCGCFSASPVFLCWFGMNLGGHTRRSVGTAFQIGFGNIG GIIATYSFLEKDAPLYRNGYIIGLSFACLSATMSTVYFFAVRYENRQRERLMAQGKEV TVQEEERLGDLACTYRYAY ANIA_08622 MVTTTALGLLSLLSLAAPSLASYSVNLNYRSPSLNKDHINLGID TAKITRRSLVKRSEPPYAPSQLSFTHSVASGDPYADSVILWTRVAPSLNASSSEVPVS GDVPLYNHDNEKYAKASAHPICVEYRVFEDEEATSVVDQGRVFTSSDVDYTVKVEATG LEPFTTYWYQFNVCDSEKVSPLGRTKTAPAADQDLKEVKLAVHSCSNYRAFSATGPFC TSGYFNAYGNVARKDNVDYVVHLGDYIYEYENGVPGVDERAVVPPREIFSLYDYRTRL GQYRTDQDLVASHAKFPWIPVWDDHEIANNGYRDGFSGLRNTEDSFLNYGPQVSVDQR KMNAVRAYFEWMPIRQVDMDDGLRIWRSFQMGNLFDLIMIDTRNYDRSITDLSDNSEY IKLIHDDTGRSLMGPRQENWFYRQLSSSKDRGAKWRVIGNQLVFAHIQQKNEDGSLSF SGDAWTAYRANQNRTLKHMYENEIRNNINIAGDTHVNWVSDMIWEGTKPYNNVTGAGA VGVEFAGTAVSSTGLSTVSVADAESVAETYSINPELKWVEGYYRGYFELHLRQDEAEA RYFGCPTVAERNSWELPLANWTVSHSESHLQRPLAGGSVEAGYFVNGNVKHSELAVNT ETGKWEAVSFGKMFIRD ANIA_08621 MTPAAAADNQAQKQKQSWGIKAFHKLVSPPATEKTDATQLTCCR VKGLFTSDFSGEISSGDVEIHTWNGPNDPENPSILTGLPAGTYGSGNDWMAEKFHVQN SPFPNLYWATTSWNMGAAFWPLIFVPLTESSGRMPGYFVAYIILIISLFPSAFAPNFA TLVVTRFFGGGASSVSINIVGGSISDVWHGDKARSLPMSLFGFTSVVGIALGPFIGSA IVQIHKNDPWRWIFYVQIIYNAGLLPIFWLILRETRPDVILKRRAAKIRKETGRPVYA QADINAPSTLRLLQISFKRPTKMLLTEPVVTFFTLWISFAWGILYLFFSSVVQTFGEN YGWDTLATGLVQLAISVGAVIGTVFNPFQDWLYLRSSSRNKEKPSKPIPEARLYTSIP GSLLFAAGLFWYGWASQPDVHWIVPTMGITAAGVGIYSIYMAVVNYLTDAYERYAASA LSAASLGRNSFGAFLPLASPQLFSNLGFGWAGTLLGFIGVALSVVPVVLVLKGPAIRR SSPFMRESMWDTDTEENETGDGLDVKEGDRAEAV ANIA_08620 MRASFIRRITFALDEMQGDEALGRLLALPRQVNHVVVYGPNTGV DADLVQLLADLARGAVVPFLPTGIVPEEALHISRQYESSTVLASQNHLQAAKSIRDYA VSHGFPVVVFPIQIKTSPAIELDSPASALYPSLDIPEAHPGLLLLTSGSTGSPKGVVH PRRLFYELHRSGSSGEVLLNHRPPHWAGAILPLFRQLLAGARIEAIASEPFVLWERLR VGGVTLLMGPPRFWILMMSYYQDHITLKLPLREVEGYLCGAQRLRCARVSGMMPHTAV LRFWRDEIGRPLQVFYNTTELCGGCLPTTPWTKSDEKQLDRCIGGPSRSLTVRLSEGD LGELLVKAAAMFTQTGDPVRRLGDDYCIDGRVSSDCKQTSAPSHRKSPGLLVLTGLSS YAVVKFRGYKVPILGVEMHLPDLPFIAEGCILTATSEDNGGQVAALVRFQADAGTVPQ PDQSCLKFVQESLAPSLPAYMLPTMLRGLQDGEEIPRSISLKALRRKAVEQHFALSDN VKLPLDVECRCVDQDALSRPLRAWDWGSLQSAREV ANIA_08619 MAQQYAKDQPAGFTNRIEKVAIIGGLMVKLRPNKAGGSIGRHLT DQLLKNGKHTITAITRPESTNRIPDGVKLARVDYSSDDDSALVEVLKGQQVLLITMNV MAPRDTVVKIIRAAAKAGVRYIEPNWYGHDAANDALCRDSMLTENRDRAIEEIKKLGV SAYLLLVCNFWYEFSLGGGTDRFGFNFAKRTFTIFDNGDVAINTTTWPQCGRAIASLL SLKELPEDESDTSPTLSQFTNRGTYVSSFRLTQRDMFKSVKRVTGTADSEWKITRELS LVRFKEGQEALKVHDWKAFPKMLYSRMFFPNGDGDYESRLGLDNAVLDLPVEELDEAT KEGIRMGLAGEVPFSH ANIA_08618 MPGKYVESFEQPTSLTFDPSEMVRSHTPLFNDNFTPRYLYRLVA PQSAGITNSSSVVPPMVHGYSKDIFQLPTPKAANLLLKHLLWQRGHEDGCNLMSWTSS LLFALQYALYRHRKDGDDLRHIHLIILDTTLFPAGTFIQDMEIMRAFQAADPRLQKFI EFRESEYYFGEYLTQGRLAIQNRCACTSVQKMIDLGLFGLQPALADKTQWQWWPKRVL FFREQFESGQCVPTTDGDVGTAVEMARQCFGGHWTVPGAIMLLALRPRKKDDAAILQG LKSRFSLAEIRGAGLHRVKIDARRLPEVAQFKELIQSVQRSYSLTEYDSLVGSVQGLS V ANIA_08617 MVFHWASTLTKPRPVILVLSALINANERPQICRTSWEWLQLWIL PKGSHPVRVAPHENDQLSVRTPSQHSEMARSIPDREGKASIKASILRREARYALSSES PRRSPHLQSGPLLWFKATAGGERLRARKVLAGASESTISNVLLWLSVMYFTDHGLRAV EPVSGNLQSRQLSNWAGSLQQ ANIA_08615 MDAYTSLLALAGALISYSLSYVCYTFYWHPLARFPGPPLAALTR FYRAYIDISWKHSFVHHLGELHKKYGDIIRIGPNELHFRSPAAYLEIFNPANRWDKEE RLYHSFGEDRSSFGYLRYAEAKERKDILSRRFSRKAVQDAQKIVEGIVLDLCKTLGQN SEEAVDLFYAFRCMSVDVITYLCFANSVNAVHAPKYESPLLLAMDASMTVFPAFKHFG FYKEMIMNCPPKLSKILSPATAGLVDLQTLLKAQIEDLTSDPSQLEKLPHNTTIYHEL LRPEAYRTKTQPSKGSLYEESQALMFGGADTTGMTLMHGCFYILQEKSRGIYERLKTE LVEAWPSLDGAAPTWEELEKLPYLTAVIKESLRMSPGVASPLPRVVPKSGAVITKTHI PGGTIVSQSSHFVHTNPDIFEDPHSFIPERWLGEKGKSLDKWLLAFSRGPRSCLGQQL AWAELYLTYAHVFRKFDLQIDPSSPNELKWKDTFLAHYLGPHLKAKLTPVIS ANIA_08614 MSIPKVSASDIKVVKSGDTYQVEGFYARRPRESFEIIKIYHPSN LPGKQIVVGRVLMDPRAATPPHTHSGAAIVAVVTEGTVLNQMNEDNPILTSKGEVFYE SPGCHHVLSENNTQEKASFIVVLIVDDEVVKDGYESLVVLDAEKQDGN ANIA_11100 MRMPMKTSGNARSTLERRALLKTTKSTFLALSHITGDEAKQLGG PNLLTAAMDTSHFADESSESTLYDRPGDMFSYNMQMGHQTLQVSLDGTNSSLTPTDIF APILANLRYIAQLYPGPRINITGAVVALPLPYGYSGMNTIASIHATHNALTKARLSAS QITDHRPDLYTGLWVLPSLQRRVAGWFNKTARIANSNNVDEAALWGAALMSIYIAEDD CVVEIIPGAQSLTSFGKQTFMASCINGDLKHDTTTMKVHLRNISAIDYHAKFELGDQY VFDPTPDDIYLAEFNLNTACGSRKSSTPQQSKFRPSFTSSLLESLEMEYEIDLRRLVG PSNDQKPVEAFDRALFSASDQLQWKTNWLSVSSWQTAGQLKCSTIPALRRFALFALLA FDRPSTRT ANIA_11093 MTEKASSTTRRVSLACVPCRSKHMRCDATTPVCARCRTEGLQCV YMKSRRGGRRTKVQAQQSSSTTSASTPFYADPSAQLYGPPQQSLPSTTGMRPAAPTSD DEQERSNSEPTSASLSLNSDPLSDSSINEQFLAQYYSFFHSAHPCVLPQWALRRRLAH NGRDLQALVSVIQFIGSVYAKSSFSAPLKLEAEAAVSSIGTNSPITGFDVQAVLLLSI AIYWANEPQKALGLLDRAIAMALELGMQLQGYAYANGSSDPLLEECWRRTWWQIYMTD AHIAGSTSTFPFRTSNVEMNCDLPCDEAEYESGVCFSLVLPEAQISTDYDMREFLDDD ERVFSSFAELVGLTRGLDLALAARQSMTIANAPAICANTDATVTAWRSLLHPSKKDLV RADGTVDELLFKANMIIQTYSPCFNSLFLLRGCNTPECQLHTAKVLRAIDAFDDLLTL PTNIATHTPFIICMISNLVIAHLAACRFHYQGQALKLARERIRLSMGALKVLGEYWSM GQRTYREVGIVAREILGLKDASKRQQHQETPARPATVDFTSTRAITMSDPPSEDQPEP QSTLLPEAEEEFPDISGCLDQPSLAELQLLDTNFDFCGLFDMNAGVGANVNVSTMAVV ANIA_08612 MPSGTSTLGWSLANWGTLPATWTSSSCTPSSIIYYAEDDDPDIP ELFESCPSTTFDSCLPEPTDSDLIDDFLSNQRNVPYWSPGVNCPSGWEAVGSAARPSD GDVTSSGIFTVGAIPTGNWDIPDNDYIQLGFHDAFGALLDPSETAVACCPSSMTAGRN GICYSTLPDRDIRTVCIADFSNADGNLEFISTSWVWNGVTRTANIAVPTLTIPRTPTR TSSRTIDADETGDMVAATMQVPIYFVRRPGDGGGNGNGNGDGNSSDLDNNGSNGSGTS DADTVNETNAARGLHMQKGYTKDWGHLGGMLGVLAASLLAGAVLVLPW ANIA_08611 MRSQRPGSHLNYESYTVALICPLEVDLTAARCMLDEEHGRVPGN NIDPNSYLLGTLSGHNVVLTSLLEGSQGPNAAALVAAHLLRTFTAVELRLLVGCAGGV PSRNTDIRLGDVVVSTPTETSGGVVQYDMVRETVAGSQRKGLICPPPAPWRSIITKMK SDHRLRANRIDEFTSRMMHKHPELKAYWRPPPERDVLFAADYNHTVAEAPCTHCDSNK YVARVRRTQPMKSEIFYGLIASGNRVIKNGLKRDILAQEAGGAICFDMEAAGLMNDFQ CVVVRGIANYCDSHKNDEWNGYAAAAAAAVAKEMLTYILPVEPVMRMDTLRLRDRRLG FSGELRTYN ANIA_08610 MASQSTLRPSLSRDASLDRKDKRLPAESGLQVDLEKTPTTTSVK REPSTRTVHPETDLDRGIVGWDGQDDPANPMNFAPSRKWTLLGLVSAVTFISPLASSI FSPALEDLALDMRITNETLLSFTVSIYLLGYTFGPMLLAPLSEIYGRRIVLSCANWFF VVWQIGCALAPNLASLIIFRLLAGVGGSGCITLGAGVIADLFPVQQRGLATALWSLGP LMGPVVGPIAGGFVGESIGWRWVFWLLLIAGGALSIAIECFNKETYAPVLLRWKTAKL SKELGRSDLRSVYEAEGQTESPGQVLKLGLKRPIVLLCKSPIVLFVSTYIALLYGLLY LFFTTIPTTFGDQYGFSPGLSGLSYLGIGIPEFIGLAIIGLTSDKILLRLATRNGGKF EPEMRLPAMVFWSCWIPISFFWYGWAAEKKAHWIVPILGMAPFGLGLMGAWMPIQIYI IDCYPR ANIA_08609 MHAKYFTFLALSSMALGQDWLEDAMDALESTTDLPVPTGVSGDV PTLDEWIESQDSSDIPVATGISGSSSSSDSDSDSDSASTKDIPDIPEMPSSIISEIIS AVPSTAYSDLTNPTSLSSIYSEIQDGNYPAWATDLPDDVWDYFESAYGVDARPTGGSG SSSGDDEESQSSGGGDSGSGGDDDGAGMLSPSVFTSVVGAVSVLGLALAL ANIA_08608 MVGTRPRIRDLGYSPGRFRPGPKNSLLDVKGVTVGQITIHKDPD VHTGLTLIFPRGMHGTRQHPCYAASHDLNGMGELRDRMGLQSGALTNTVSIGKVYDAL FSFQFEQADALCEEDIESARRMTIPVVGETFDGLLNDIRRSVIDNTTVYRAIEAAETQ PEILEGNHGGLNMGQQLHNTQESN ANIA_11625 MVLSDQRPEARGMTQTASANLLNLRPLFRPKSDAIKGILKSTVR KPRMSSMAELRAAYAVFLEAWAYVSTSDDDGKTH ANIA_11624 MFQILLGRGGQAAGRRLRTRPSTDGHIPYASLYRQGAAWGAGGV GVGSTLYGVYKTFHSMRAFRPYFGL ANIA_08607 MTFRLSQFTAALRVLAAKAEESRAVLPSLSLRLRTITTTPKPPS PSQAQTGMPSGEINQIEILERTILRPERAESTCSGTDNAVGFSAQAYDPSTTTPESEF ACFEEEIKLRSDGSIDPLFISPANPDYSKVLARELDGRAVERDKLDRLGATGSVRGWV NKGKEVKVRVIGKEGKEVWRRMRMGMGYDGDEYERLLKGLRRLQMR ANIA_08606 MPSRRVQVLLSVDFDAVSGFLGTGASPTNGLADFSSGYFAAQVG VPRLLRLFQRHGISSSVTWFVPGHSMESFPEQTKAIVDSGAEIGCHGYAHEGATQLTE AQERDVIDKCVQLATVLTGKKPLGWRAPLYQLREHTVSILEEHGFLYDTSLTHHDSSP YFLLSKTTPQPIDFSPSVPASSWMHPLPPPAPRTASTLVEIPCNWYMEDMTPMQFLPA AANSHGFVSPETIEKNWKARFEYLYNETIDGAKQDFIFPLILHPDTSGMAHVIGMVDR VIGWLKGWEGVEFVTFGECAKEWKSRQ ANIA_08605 MSNVFFDITANGEPLGRVEFKLFDDVVPKTARNFRELATGQHGF GYKGSPFHRVIPQFMLQGGDFTRQNGTGGKSIYGEKFEDENFTLKHDRPYLLSMANAG RNTNGSQFFITTVKTSWLDGAHVVFGEVVKGQEVVDAVEKLGSQSGATKKKVVISNSG TL ANIA_08604 MSNQPVGAIVELPVSTHPAPTTLTGRSINLVPLKITHADELFPL VNNADSFQTALWDYIPDGPYDDVAHLRADFAAREISKDPVFFAIIDTRPSRPTTGNAI GYIAFMNISPEHRRIEIGHVIFTMALQRTIGATEAVYLLLQHAIEELGYRRVEWKCNA LNEGSRRAALRLGFQFEGVFRQHMVVKGRNRDTAWFSIVREEWPELKKAFDGWLDEGN FDENGAQRRRLEEFRASA ANIA_08603 MFITSAAAFVWANLNPTNYIGSVSFCPERDIPDLAGKVVLVTGG NTGLGKETIRQIIKHNPEQVFLAARSEEKAQNAIRELESTAPNIKITWLPLDLASTKS IHDAAETFRAHASRLDILILNAGVMSLPPGETDLGHEIQLGTNHTGHFLLTKLLLPVL LETAQKPDSDVRVISLSSIGHNLAPDFETILHQDELKKCNTNARYGASKAANIIFAAE LARRYPSLTAVSVHPGIIVTELYAATSASNPIAALAVKLLGLIATKVEQGAWNQLWAA VGAKKGELVNGAYYTPVGIVKQRNRYVVDQKMGRRLWEWTETELKRDHKEGFIERMLQ PHPHHQRRRSSVGEGEIEKPDLAIGLERQSSTERNEQGQNQAESGGQNVLRPQRRNSR LQRLKEFMHSEEELDDAGKTYAKLM ANIA_08602 MLLLALWIGAAIAQDAITSDQWLITLNTSIFWPTSTDYFYGPTT GPSASAVTCNAAWVEYARRSTELRSLGPTATSPSLVSYMTSEGACRTSVSPEAWSNTH SGPLTTLCDGAPRALGPREIVTAYYPGTGPCASSYITNTYTETFYREPSVTPDCTLET TDCVSIWQTYSSISSSWRDSVVTPTPGDTNSPIPPFDCPTPARTYPEENPCSNCHFLP GTATLFYWPVTTAAGDLCLQNGTTVPASGPSTAIVNGETFISPTVYLSFTSIYAWSNR RAHPGSQCGVDHSNTIVSLHPSTLTSMRNHRNAKYPIIGTAYPFNFAEFMPHEIGNYT QSLIPWPQYRGGQQCPIPDGNTCTMIRDDYMPWLMIPEEVRDIDPGWSVCDNDWYIPP VTMVALDKPEITITPAPEASEFRLDAAAAPKEGLAATTPEPTRGW ANIA_08601 MPSSFEKRGGPYASPTAGMGGLPSNNPDTIVCAVLLAIYIGFAV MNMTILQRNNKRKHKFILSGMLFGFCMARITTLVLRIVWANRQHNVRLAIAANVFVNA GVLLVYIINLILAQRILRAKQPHIGWHPIARIGSKLFYYLIPAALIMVITAIVVSSYS LNPGTRADCRDVQLAAITYLLVFTCLPLLHIIPAVLIPRSKQEESFGQGSMLAKIIII TISTCLSILIAGFKAGTLWSPARPISNPAWYHSKASFYVFNFALEIMILSLLTFSRID KRFHIPNGSTKPGDYSRMEKKSSGDASSERTIAAEPKVLP ANIA_11099 MLAPHSPAAGGIRKGTKSCTECRRRKVRCVRIPEDAPTCRQCAE RNTACLAQTSSSRPRQANRLPSRYRIAQLESQVSRLTKAVNSIEVKLGGNPSIQLDQT VTHSPGSDESDAESTASEILIAEEPSHLRSLFQNDWLTVDTNRRDEQLQERRVKASAH LAERVRPALQKLIPTKEQTAEMLVCTYDWLQMVHAMLPQPSVPSSRQEILDRYEEMCG PGVDIIALASWLLTLAITAQQVPQGGESPDSPSKGYHRRIDFARTVSDAVESLVLAHD RLVGTFQGLGMCIHFIRLQMGRGNAQKGWLKLRHLIALAELMGLPKAVQLARIKKPNG PAEEAERNEKMQLWNLICTVDRLSGLLINLPPYTRRYQLRNADELIVNGVVQASVYMN RLMDVSPKIHDLEDLSASEGPTTKLYTSALEIIREARDLASQTPSSWWAIDTTNDLRP DHIVQFMHYCLVMKAHLPVALRQDRTEEYLYSRLACIDACESVAQRYHFIRQKLPSGF FTLRMLDLQAFGAMAALLLLSHTSPFPDHRTFQVDKPRIERVVTQIIELMEEKSKDGV GADFAERGAKTLRALRSLLQQDANNPTPMQELTVNVPLLGNIHIRRNVPAAQNTVPES QQPSTMQQPADWPSQEQMMTSAFNPQLTTSSTYMQGPLVPSHGPSSSQWEPLSWSVED GGENLLDDALMVGTFDQAALWQSMYYNTTFS ANIA_11092 MDEARSKTGSPPSAEPHRQAQSQKTIPNGGLEAWLSVVGVFCVF VNSWGLISTYGAFQEFYQTVLLPDQSPSSISWVGSLQATLVVMVGVVSGPLVDLGYLR PLIISGSFLVVFGMMMTSLATQYYQVILAQGFCVGIGGGIAYIPALVVISMYFTTKRP IAIGCASIGSSVGSVVFPIMFRQLQPKIGFPWTVRCIAFINMFLAVITCTMLCRRPGE KVGARSLIDWKALKDIPFMLLSVSMLLVMLGYWIPLFYVPSYARTVLNTTTSLSFYVV SIINGASAFGRTVPYLLGSRVKPIGILISCVAGSAIAMFTWIAATNIPGFIVWSCYWG FLTGVLVTAPTSIVSHPSLCPDLKMLGTRMGMMWGISSLGSLAGTPIAGALVDLTEAQ FLRAQVFAGCMMVGAVVLQLWPTFVVVRHDGKPGGTQ ANIA_08599 MATETHPSVQPESVSQYALTESQKAHFLQHGYVVIPDCFSREKA LSWTKDVWIRLGYSPTDKSTWTSERINMPHHREEAVRTFAPKAWAAICELCGGEDRIS EEFGSWRDSLIVNLGLPEYEGMKNPDPKELDNWHVDGDFFWHFLDSPEQALLVIPLFS DIAPMGGATMICPEAIPLMARYLYEHPEGVSPYMVPRGEVQSQNPDAEPGLSFYTNLV QKCTDFREMTGKTGDVVLMHPLMLHSASRNILRTPRIITNPPVALKEPFNFDRDDPSK YSLVEKKTLLALGKDRLKGWKITTERERIVPARLKRFHEMKIKEEERLRQAEVGV ANIA_11091 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWLESRLSRQYDCQRAKQENPKVIQAWFNTVRATIEQYGILPDD IYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISASGWALLPTLI FKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHRTRGRYQLLVL DGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSYASLVDQKMRL GISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKLSIQARTPTPP GSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALNQLIKGCQIAM QKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEAHNASFQAIPG PCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_11098 MGNLYAVQIACSSETAGAYIIAMSDTSHAAKPIRVLSCVMCQQR KVRCDRTFPCANCVKAKAQCIPANLLPRRRKRRFPERELLDRLRRYETLLRQNDIEFD PLHPEPGKESDGHQTLGSVEPEDRDLASRDSLSIRPKSSSGAYEAKDIWTAVNQTSPE SDEEGTSSPAVFPQEVVKKVWDRGSEPVDPLFGGQNNPVDLSSQHPEPPQIFRLWQIY LDNVDPLLKVTHTPTLQRRIVEAVANLKGLAPTLEALMFGIYCMAILSLMPDDCEARL GTPKDELLTQYQRSCRQALINCGFLRSDDRECLTALFFYLMSMRPTIGDPRTLATVFA VAIRTAKRMGLHSESRCAKFPPLEAELRRRLWWAMVLFDARIGEMADYRAFTHLTPLW DCKIPTDVSDFDLRPDMKDMTAVQGRSSEALFIVTRYAMADCIRNFSFHLDFICPPLK AVAREIHRNSGREYLELDALEAMMEERYLRFCDPENPLHSLTIWMARGWLVKCRLFEY YSRYPTARQSNAQRDAASLYALTMLDCDTKTLANVGTSRYLWLVHLYFPFPAYVHLLQ DLRRRPLNGLIERSWNSLSENFEARSNLLLRMLPGAQFCALSGIVIEAWETSESALRQ SGRQALQPKIVTFMKSRLAKENINARMENSSTATSFNDHTAAWSNQQSMNFSDPFFTS SENSACDPGTWMPFDIPGQTSFSSILTSGDWSMLNWGM ANIA_08597 MAWPNKNKDMIYRRVGNSGLHVSALGLGGWLTEAGEKADLCHAE VAFKCMKQAYDCGINFFDTAESYANGQSEIVMGQAIKKYGWKRSDIVISTKLNWGLAN GEILINNHGLSRKHIIEGTKASLERLQLEYVDIIYAHRPDRLTPMEETVRAFNFVIEK GWAFYWGTSEWSADEIAEACGIAKSLGLIAPIVEQPLYNMLDREKVEGQYQRLYARFG IGLTTFSPLKMGLLSGKYNNTSAPPPGSRFAESTDKFARGARDTWESEQWAGNVKKIA GLQLALAWCLKNENVASVITGASRPEQILDNVTSLELLPKLTPEVMEELDEYLQNRPA RDPARLD ANIA_08596 MNPSRGAVTKKACDGCKVRKVRCGGGNPCTPCLNARIHCILTLT KARCSVPLSAIAPVLYIYHVRMYPVWPIVDVDDLIANLQQDPENKDHERFALATSIAA ATMAQLRLGGSTSDRSVTADVLAAECLEARRRLDYRSRVNLDNVRTAFFLHVYYENQQ PGGSESVLYLREAITMAQMMYLHREASYPSLAAEEQKIRRRVLWLLFVTERGVCILHK LPVILKTDTAMPETDADDEVLPAFLKLLTLFRLFEQSRMFDIVEDYHLGLEPPVSSSA ATAATFDEMFQDKSPDGFGTLDRVSDVQRADICVTRHWMRILAWKALSHSSTGRQPSS DCFLSPVFPLIVGKDLVSVVYRLPRVALQAHGLGMQLKLYEIATSLADAVTSTAAVPD TSRWDQESRPSNILTRLHSILSALTGGENSTLVDILYGKIAKAHYMHSAPILPAPSNG NNKPNRKSKTARATCTEDSVTATDFSQNESNETGDAIDWPSGLLTTVDQPASDDSTPT RYHGPRGLQPVQL ANIA_08595 MDDIEQSGKSSLYSQAKEDDKSSKALPAVGQENLSSVTPPHESY EGHHRFDPTATWTEEEERRFFGLQLDRGNLSNALTDNFLDDLNLTTDDYNNGTTIQLL CFLAAEFPVQLLIKRFGFRRVLPILMLLWSLVSWTQAWMTDRASFYVTRALIGAFEGG FIPGTILFATYFYKTKELSIRLSFFWSTLNAARIISSLLAAGILEMRGTRGHTGWFWL FLIDGLITFVIGLFALFYLPSSPTRTKSILYPKAWYTERQEVIMINRLLRDDPSKGLT HLHERATLRDVLNAWKDKSMWGLYFIGLIAYIPQSPVQGYLSLTLKRLGFTTFESNML SIPSAVLQIILMLILSKSSEYFGERTFHCVIGEFWSLPLLATLLGLPDHGYNWGRFTV TTMISGYPYFHPIVSSWISENTFDVKKRAITAATYNVIVQIGSVISSQLTKTEIYRSY DSPYYYQGNKVLISICSLALVVFVVQREYLRHLNRLKERKWEAMSPEERIEYQADLAQ REKDGNKRLDFRFKY ANIA_11090 MPTVIQEDSPALFGGNAEARPRISAPLEDSGSLDGYQRQDLTPV IGTEYEGLQIVEILRSPKRDQLIQDLAVTSKSSLVLRTAGVILILVVSTRGVVFLRNQ DVTPQQMREFAERLTQLAGCPESSGLHVHPLTEEGSELGDQISVISSEKQKKGGGLTH QLSDVSRFASAGWHSDISFERVPSDYAMLKIHTLPETGGDTLWASGYEVYDRLSPEMA AFLERLTATHDATFFHDEARRLGNPLRKGIRGSPLNHGEELTAVHPVIRTNPVTGWKS VYVNKGFTKRINGVTKDESDVLLQYLFNLVTQNHDAQVRFKWRKNDMAIWDNRSTWHC ATYDYAETRTGDRVCSLGEAPFLDRRSKSRKQALSEGH ANIA_11095 MFESVSVPRFAVKPHPSQTSSVAQIIDIRSDKKDVELRVSLQQS IHSDDAALPDLLLWDEQGLRYFEDVTYCPSYYLTREEIGLLKKYSLQIAEHIQPGSML VELGSGNLRKTKILLDALEELGRPVDYFALDVSYPELKRTLRPVGAGVYQHVRCYGLL GTYDDGRKWLQHPDLQSRPKTILYLGSTLGNFEKPDAAQFLASFAQPNTSFLLGLDGC KNEKQVLQAYNDPDGINHRFVKNGLVRANHILGHEAFDLDKWDVTGAWDEESGAHNQY YITRADVSLDGVDIPAGHKLLAVRSHKYDADDRKNLCGSAGLKVVDFWASESEYNLLY LAGM ANIA_08593 MAPKILKDGTVLSYNASTQSIKALQRASILIVDDRITAIEENSD DLSAPSRTETIDVSGKIVSPGFVNTHVHVWETVYRSMGPDTTLAEYFGWVSHMSEITQ AAFTPDDIYISSVEGNWSEPVMESGYRAAVDSGARVWWCYDVRHKEGFSSDEQWEAYG ALIKDKSSPRVLPGLALDGLAWTVMNGDGKGADLIREKKEKLGLQALTMHHLGGPWPP MNTSPTTVCADNNIHEANLPIIFSHASFLTDSDKDLMRKHNVFISITPESECLYGQGQ ETGHEVSDQASLGVDTNWNFSGDIVGQARLWLQLVRFRNYTKTLAAGLIPKASPMAVE QAFLLGTRQGGRALRRDDIGVITVGAKADLVIFNGDSPSMLGWSDPIAAVILHASSGD IEHVLVDGEWKKKDFKLVDLPTGASWDELRERFLESSKRIQPHFKTPPPLPEKLFGVA DFGEVENVSTVFKPS ANIA_08592 MAFKTSQVDLLIIGAGPAGLMAACWASRYGMLTRIIDAKEHRTE TGHADGVHSRTLEILDSFGIMDPIMRRGVHEVEMSYWGVNKETSRLECQQRARSQPEG LSRFGQMLLNQGEVEQILIDYIESKGRVKIERQRRADKIYFTDHESHPVTVESTTQGT DRMTQVLSPEDRTDATGNAQVTELIQARYVVGCDGARSLVREQLKVPMDAESTDSMWG VIDIVPITDFPDIRQSCAIHSDQYGSVMTAPREDRLVPFYIQLKGEGDLDRKAMDKTE ESPHALIQMAQRIMQPYSLTYKYCDWSSIYPIKQGLIKQYHVNNRVFLAGDAAHTHSP KAGQGMNVSIQDTYNLLWKLGSVITGVLSPEILETYELERHPVAQELMKMDSKLVQTY EQSNAPISEVCKVRRQFSGFMSGVEVTYGPNVLIASNELGERSQRARNVTIGRRLGTV PVVNQADASTIELARALPSTGAWRLLVFPGDLRRKENVKKLDIFTEAFQSHAECGNSS NVLAAVIQRMVIELILIHKSPRTSVRLLDLPELFHPFDENLGWDYGKVFIDEGSAYAE FGIDEQIGCAVLCRPDQHVAWVGGLDEVSGLDAYFSALST ANIA_08591 MPSSQPASSHITTAPPKAVKPLASKSKPLEPKAPESKPSDSNVP EPVEPEAKAPETKSFKEALVNGAGDGDKQTDSSNSTSPSSFQSDSIRDSSPNTSRSNS SSSSQPTKEETDKDTEKNIKERNKQEQEKDTTTIPIVSKHCAVTSKRLPATNVSIEKS GVARCNVVDGATSDTSIKDFHEYTPMQQHILFWDRDRDGQIYPYDTYRGFRDLGFNIL FSFLAVLIINLNFSYPTRLAHSFLPDPRFRVYVDSIYKAKHGSDSGSFDAEGRFIPQH FEDMFAKYDGDQDGALTFGELFNMMHGNRCAADPFGWGAAFFEWVTTWLLIQKDGKVY KDDLLGVYDGSLFWKIAKARKSPQGWSQGFGLGGDGFLGGVKVI ANIA_08590 MAWVGQGRMGRLNAHDDWVRQHRIEKLASRNLTSLLVVRVDPEQ RPGATRRYGKRGPGDISRANQSRLADRVRECKAQHGRRCHQSGLSPGRETASFGRPFF TCAPRSTACRPPSTLLLLERWITLSSTGTTVSCSSILPASSFPRVRRVMRSNKRPRPA GNNAVFRLVSFPDPSPPAMSEQRAEPSAAPAPPAAPSARTATDPVLPLTPSEPSTPGS KIPIPRVSQLRAYGNRRVKRACIECRKQKTKCNGQTPCSRCIGLGMDCVYMDGKREVT EKRLHDLERQVQAYDRLLQEIQPRVDSQDRDLILRTRAQDPAHVDPTPHGSTHTPSFG IEYIQEDFHKDKGLQAIGFMGGPSEMSWINELYQVLEKDTPFLDSEASNKSQSLTSVC YFLDDEELSLEPNIDPYGRPPRHIADQLLDCYFFTVHPSFPIIAKMPFMQQYEMYYTR TDIQPTKRWLTILNLVFALASKFAQLVSKPWIAEADSPMACFTRARKLNYSESQLLEH PNLQQVQVEGLTAFFLMAIGHINRSWRACGVSVRSAIALGVNLRSESKETSTLSKEIR YRVWWSIYTLENTLSIMTGRPTSAPDKYSTTPLPIPFDEEQFREPLASRLLTDFRMRT DYMLALTSQRRGSLSVWDPPRLGHTGFGQEPRPGPTEISPSNSFYFFYFVELTVIMRR AIDSLYSPGFARRPWLTISASIMDLVQETDEWLSRVPAVFQWRGSHPSPHFERQRWSL AFRFYSLRIALSRPSLCRSERQRSPNEASALSQQRIARICINSACELLDMLPDKPDAL WLVQVSPWWCVLHYLMQSITVLLIEMEFCVRFHADGASHITLHLEKGLDWLLSLGTIS VPSRRAWEVCNSIYNRLFFGPGPRASMLSDLRVFSPEQESRSSTMTSPTAMSPAYEAT EFNEGKAFGEPFPKLPHNMTVHPSIQTPYDDISLYKNTS ANIA_08589 MSVVPDKILSLPKQPFRDPAGIILHLLCDAEETKNANTVVMWHQ SSAATDSYRPSRQSQKRHGFSLHCSKPTKSPNRIWKETRSDGKNDVNMTIHTWTNMTE NREVYALESIHCEQRPFFQSPKAKDVMTVSGTMAGRHLLSVVLESFSVLLTC ANIA_08588 MGILCAGSNHRAFDRLASIGIAPIESVGSVSNVREILEITFWSK KPNHYTGFIQWRMTVTNAKSILIARAHEVDAIDVSGVKTGEAVSRTVLLVQCERFVYQ QCLSLLPPTLTTSHFTRPHHRGNMDPVLIVGAGIVGLTLGQALKQKNIPFVIYERDPH PDSRGQGWAITLHWALQYIEALVPSETLQRIQDAQVDPEVARNDNGNFLFINLATGEP KFKIPPSKRWRVNREKMRRALLAGIEEHVHFDKRVDGVTFTEDGRPQLLFCNGDVEEH VAGKLVVGMEGSRSTVRRFLRPDAYRNVQLPVRFIGVAVDLTDDEVAPLRNMDPLLFQ GCHPETGVYLWFSILESPANNVNGLWRVQINLSWPVKTADDEVPKTDKERLALMKKRA AGFVPFLYETVQRIPDGTPVVEVSLADWECLPWDNRDGKVTLASDAAHAMVMYRGEAA NHGLLDIFHLIEAIATIYAGGDQKVAIDEYEREMRERTAPAVRLSRQACLEAHVWDQL NEGNENKPQQATENYNNRNGHGTAHESACYQPN ANIA_08587 MAKPDPLTYSTETFIAGLHDTKPPVTFDPLAWEALAKERLSADS FGYVWGSAGTRQTDDNNRAAFKKWGIVPSRLVKANFTNLKTTLFGDEYEYPLALAPVG VQRIFHQEGESAAAKAAGEEGVTFILSTATSTSLENVAKANRDGPRWYQLYWPSNEHH DITASLLKRAKENGYKVLVVTLDTYMLGWRPSDLDNGYNPFLRNDNIGVEIGFSDPVF RKRFKEKHGAEVEEDVGKAAQEWAHTIFPGTSHGWEDISFLKEHWDGPIVLKGIQTVA DAKRAIEVGVHGIVVSNHGGRQQDGGVGSLEVLPEIVDAVGQKIEVLFDSGVRCGADI AKALALGAKMVLVGRPYVYGLAISGQEGVRHVIRSLLGDLQLILHLSGVPDISSRKLN REVLRRIL ANIA_08586 MIGWLIKFGHTLGLKGRLTRRQPNMNLSVTVFASVSDLSPTLSR ILPTLHAHRLGISLYDCQFDSESGDRVPIPDQVIDSVVAALIRFKLVCMDLGVQEQNI QVVATEATREAINSAGFFQAIVSSTGLHLRLLRKEDEGRIASGFSDIEGLMMNLGEDS TQIT ANIA_08585 MGGLFGQLFTKLTLPPASAVDGKTILITGGNTGLGREAARHALA LGAATVILGVRTLSKGEEAKADIESSTGNSGRNKVLVWPIDLESFASVQAFAERARNY VAGENGRLDLAIMNAGIASVMWAVTPDGWERHLQINVLSTALLSLKLLPLLRHTAEQH PQDWQRPHLVIVTSDSHESVKFPERTADRIFPVLNDQGQWAKSQRLGGPIERYGVSKL MAILMTEDIARLVPRSTQGDPLVVVNSVAPGFCKSELLTRESVPWFLKVIEALVARSA QEGSKTLLDSATRGIASHGKWLENQAITTPGKLVTDPNEAAYKKKEARHSQSTSEHS ANIA_08584 MSVTHIVVFRFKDGTSDEKIEEVCREVVALKEKCILPRTQKPYI KSYVGGKDHSPEGAQHGMTHAFVAQFESREDRDYYVSKDPVHLELGPRIAPVVETFLC LDFTPGLIL ANIA_08583 MNSSLYVIPVGATILVTGANGYIASHVCNILLSMGYRVRGTLRS PKPWLTAFFDAKYGTGRFESFILPDLTQRGAWQVAVEGVQGIAHVASDMSMKPNPEQV VPQMVQGVQNALKAAMQQPQVKRVVYTSSSTAAYISVPNKEGVRITRETWHDACIDAA WDKDTPENERGYLVYSASKTSAEKEAWNWVQQNQPHFGFNSIVPNTNYGRILCPEIPA TSMTETANLLHGNDSVIRRFPPQWFVDVEDTARLHVVALLSPSAVGKRIFAFAQAFNW TDILTILHELRPDNIHLPPCPKNEGRDLSEIEDAPEAEALMNEFFGTKGWVSLKESLA AGLEGYW ANIA_11089 MNYEKTAAFQVKMPFSHDEHNVLPKTPGLSPTGSSPYDIAQQWT TQVDAQLRSDAPDLSCLLHQDSWWRDMLAFDWDLHTVRGRDKIRDYIISHQPRAHVKR ITLVILPGQEPMAEKPQEGTTWVRAMFDFETYCGSGSGVVYLTCHASEWKAFVVYTAL QEISGFEARIGRKRPEGTVESMPGGIAQGTWVERRLKQVEFAKEEPTVLVLGAGQSGL NISARLQSMGISCLAIDQNKRVGDSWRNRYRTLVAHDHVNVTHLAYLPFPKTWPKYSS KDKLADWFEAYATIMELNIWLESTVQSAEYDEGQQCWTVTIRRKDQQRVVRPSHVIWC GGQFGVEKVPSLPTLSVFRGTVYHSKYHRDAGLLSPSGKKVIVVGTGNSGHDIAQDFH EHGAEVTLLQRGGTYVLGQAGLPLLPENAFVDDELLPLDVVDLLSESLPWPIAFELLR QNTREILTADRDLLDGLEKSGFQLDYGPNRQGIIGLYVERGGGYYIDFGCSQLIIDGK IRLQQCAKGIFGFDEHHLLLGDGSRLEADIVVLATGYHSSLEAVRKVLGPEVARRCNK QVWGLDAAGEFNTVWRPSGHPGLWFMGGNLAQSRVYSRFVALQVAATNAGLVGPRKIV ANIA_11097 MASSSKEDGSKVQYVAEGTPLEDIIGFMKRDGVVIVRQLVSPAD IDQAYEEIRPCLEQRPRWNGSFFPKETQRTPNLIAISPTYTRTQMMNPLFHQLCNHFL TTRSWNWWGDEWSETVSRPYISATSALRVGPGAKAQPLHRDDYVSHNYIVESPMFNYR QQVSITMIVAGCKVTKENGGTQFIPGSHLWHVPTNYLGPEQFVDMFDRPNPPKVTDCT YASMEKGDALVLFSSLYHGGGHNTTTDEHRLVFTTCAIRGYFRQEENQYLAVPMQRVR EYDRDTQKFIGYSMSEPACGHADEMDPIYLVHPEELETAEPIQF ANIA_08581 MHRMLFVCGLFLLSVQGLLIRHDGSFQPDHILRVNSKNYMQACS PRYSVLVNGSFPGPEIRVQEGQTSWIRVYNDMENLNVTMHWHGLTAITAPFSDGTPMA SQWPIPPGHFFDYEVKVEPGYAGTYFYHSHVGFQAVTAAGPLIVESTKPPPYFYDEER IIALSDFFSKTDKEIEHGLMSSQFQWSGETEAVLVNGHSLSPSPMNGTGSCRREVLQV EPGKTYRLRIIGATALSFISFVIESHDVEIIEADGHYTQKLKTHNLQIAGGQRYSVLL KAKDNAALEQLSQRHFIIQITTLARPTTLTTYAILQYPSNAPASLTNVSATPLMPVPS IAYGWLDYQLQPLERDPDFLTSDSVTRRIVIDVHQNFSDHVLWLQSGYDWVETFPETP YLVQIQRGELNVTESLQKAISAGNNFDKDYRIFPAQLGEVLEIVWQNRGAVGNSTVRN RGVETHPFHGHGRHFYDIGGGDGEYNAAENEKRLQETQPVLRDTTVLYGYSREVAPGA PSGWRAWRIRVTNPGVWMMHCHTLQHMIMGMQTVFVFGDQDDMKENMGTYNSGYLTYG GSAYGNETFFPETFCMILHNGVQDAVIVFQRPEEDGDNLAMSEVLDGPLLEKYIELIK QQYGVRFFRKALSGFWTLSAIASGVNVLQVPGLSMKSIMEVFCVDYHHN ANIA_08580 MTATSQTPRWVLKKAVLVYASDFETFCRADLSIVHDQAANQGSI SLSIRADLTNLSSRSQALTLNIPPERVEECTLVRKSRDNLFPAGLFSMLPAPVNNVSA VSTLSLSLGTTGIVLCPSGLESLSPATPGDEKIHSFAKICRSRSLRIHFSGRQFVNNE LDKLQTFSHALQRRSLQTVSFNHARHGVVERDWRVFSLPPDPPPYCQEPVSEQADPPL YCEQAVGKRRRDPRSMSPNGEGRKKVLVPSPQPIGSPTEVNTPSTLSPSPPSIRPTHF TRVSPAPTESKKLKFLEDELRGLSDDQIRELLFRSGHQHLLAIPKGVDHDPQYDYEKA SFPKDKVLERRLQRYVDEVVERRLEQYVDKTIEHRLEQNVDRAMSECRDQIYGVYTIN EAEFREQVDDGNSEVRMTAAECINEIMEQAQKYTLEMEEQAQQYINDIEELGMKVEMS ARKKLKRRFTASAQSLLDSKSSASHGLVTNARRSSI ANIA_08579 MAVQTERDGQVSNEEVRPKIIRAIDEEDSPTDAKYPHYLPVWDH ADNLPPLEPFTHHDPGKNADPSLKDLLIPGVTVKRLTPTTGSEITGIQLSSLTDKAKD QLALFAAQRKVLVFRDQDFADLPIDQAVAFGGYFGRHHVHTTAGVPEGYPEVHVVYMM DTKNGDFATFLAGKNSTVLWHSDVTYDEQPPGMTILYALELPEVGGDTAFANQVEAYK RLSPALRERLHGLKGVHDGVSQAEPYYRAGRLVRREPVIVEHPLVRTHPVTGEKALFV NALTTRIVGMKKEESDMLLGFLMNHIGHCLEHQVRVRWEPKTVVIWDNRTVSLRTLRS STGELVSVGTWHASLPRLSVHLRRPTMADYGGTLCFFKILRRYNTHRNFQTLRVMVGL QFRDSLGLAAGKVPGGRPAWALGLSVTPRAEASGDAA ANIA_07421 MHCSSLEFLLADRYGSGDPSYSYWRIGTDYQALGKAIRNHLVEL RLLLDIFRGILQSFAGQIYNNPVCSLNIVCISGYFARLFSLSLKYLAASLAAGIDRCI KQGIKIHAFAFVNRYYIFSTIYFNGCIGLSNSRRCQLSNIYISTGSIEYLDLSDSAKA CAVLKAVSHLQDFLATTILLSHFFFSDSFLAKGLAIFDSDLFIPVQTSCKSYISQVSV FVGFALAINISQEIAVFNCQFFKALKSAS ANIA_07420 MLLWGLSRASSLLARWVNHNSYLEKITAGPAILDPPWGKAGRQQ VSLHGLIKDCWRLGGHSSGGAGVAPQLALPAMAVGKSGCGAEIWSKDAKLRTYKLCYY AAMSLIREEK ANIA_11561 MTIMHHTPPKELNNINQIQDCRGLEEVSQVMGPWFQVKGVKHRT NPKLLGLTDLKLTKYCCLTGAGLAGN ANIA_07419 MAPPYILSAIAKAILINFIEGGVIGFSPRGSIVRPLALALIVLL AASVHCTIIPWEQHRSLAGILSCNSIFIVAHAPDLFFHSGITYNEHYDWLCKTKGYHP PFTLLQRANWAFAMIRNGRRVGTKWQVVPIHPFDPDRPDYIPTRTTFLVHRLLTIFLW CIVLYFLGGPPFHSAFPSYITKERQELLLDEYNLALSAFLPRFWLSMSFILGLSTLQR SRFDYQIRRIGHL ANIA_07418 MPGTVPTHEDHVDVLIVGAGPAGLMLSTWLSRCGIKTRIVDKRG TKVFNGQADGLQCRTLEIFDSFGFAHRAWRESNHMIEICLWNPDENGRIRRSDRIPDT IPQISRFQQVVLHQGRIERFFLDSMKEHSNLTVERGVLPVSFEIDEAKAADFDDYPIS VTLRTLSDKEATPQQRQQHQKSADGQRAVVDDGLFRSNLVADDTDDLIRAATADSSRD GQVELVKAKFLVGCDGAHSWVRRQAGFKLEGDSTDYIWGVLDIVPITDFPDIRHRCAI HSANAGTIMVIPRENKLVRLYIQLQATSYNKNGEKADRSWITPDIILQSAQRIIHPYK LDYSYCDWWTAYQIGQRVGDHFSLHDRVFLAGDAVHTHSPKAGQGMNVSMQDTYNLGW KLAHVVKGYCDPAILKTYESERRGIAQQLIAFDHRFSRLFSGRPARDIIDEEGVSMEE FKATFEKGNEFASGIAVNYDASLLVAKGSKIAESNDEYKCRVTSKPQLATKIDVGKRM PSFKVLNQADARPWHLQELLKSNGRWRVIVFPGRLTDPRNMQRFQQLGQKLGDPDSFI RQYTPPGQPIDSMIEVLTVHAGPRTEIELLDLPEAFHPNHGDMGWDYWKVYVDDDSYH EGHGQAYANYGIDPSNGASVIIRPDQYVSWVGDMDDYEEMARFFSAFMKQQVAGKPGA ANVRVPFMTTKL ANIA_11560 MDRHPFRPAHLHVISRMLVERRGDARAGLEFENDIKTVKASSAF KVDLELPRFMGILRRRLRLLNLAERAS ANIA_07417 MSGVLGSAVLTGFLRHIRAARSNAPEKHLRFIVTTCTAESKTHL HKQFLQDADRISFVSGGGKANVVAMQSADVVFLAFPSSLASTILSNEHDLASDLANKL VVSLLRDVSTTEIDILIDPQWPDSKTSGGCPPPIIVSAAPYPGEGLRIVRRSSLQFIP KDASNTLHWLFAMVGTLDDKKKT ANIA_11559 MLTDAFQPLQLRALKKLYENWLMDQNLSIYFSSDQDAMILDELV FLEDAGTETTKQDDREKVMYMVDKGA ANIA_07416 MRFAPFLLLASLATASPLLDTNTEVTDIDVVTSLKDLKPVAELV NDSNVLEARGSTPWHNCKCFNGYITDENYSGVYEKTLAYEGNWYWGIPDVPQGYGVYF EGPSKYFLTVEVVGKNSQLLTKNRVGNIIHQLKVARGDKQCGTKPVVCWTKGENEIKA YVKEF ANIA_07415 MSPEVAFRGESARLQRGYTYYMYHLSRCPVINSTATAVDSFRGC KASRGGFGYVAHIRVGISRVVDSELLFVMRAHGFNPFAFECLRDIGLEGAVLERATRG AEYLSPPVPQHVSNAAADRVVICRVLDHTTEQTYTIQTKYLFGADGARSYVARALDFK FITKLSGKRACNVFFRADLDHIVQHNNSRRGSRVAGLHCILQPDRSIFPGVVAHLRVV RPSTEWALVCFGPEGRNPLDGMTVNNSPELVKLLHALIGDGSVDIEILAFHPWTVRES VAEEYRYPRENILLGDAAHRHPPAFGLGLNTAFQDAYTLAWKVAYVSEGLAGPELLGS YIHARMWEALGMSASTGDEGARQLARIAEASPEGAASRLKIHEALGETEVELQSLGIA YNQRYDASEEIAGPTPKNGSSDHGDMRTTARPPLVGNPITTTQISTFPGSRLPHARLD IMTGRKVISTHYLASKASFCVFFGVGGEPWREAVVDIGHSTGSRINAYGIGYALDYAN IYREWQLKLERKGRIY ANIA_07414 MTTKIANCLHHTPSRIHIWNSDNLLIDQRSQEPSLEHHRPRQDC ASGNAAILQNVKAIGKLLGSRSSSMLAWGKDHVPPKRMLSEEQCELSYVNDSPPLNVP ESSNATSRQLPESLMCGNKMEHPSNRIHFSRSNDRLKACTCPSPEKGPLCVAPSVVTT ITSGDVDFAAQKTSLPSKIMEWASGLEKQATNILQGPYTLYVGTFTTSPDLNTSSSDV GLTGDAGVDHIATTSNPKETGMAIEYSSTVMTEVELVPGATEKTIIRSPTVFQTSDND PNSRAPMMCSTEQGDRDRIHVLEAKRDALARRKASIEKAIYELEWKPQQCSPYNAISR EEVKKTTTSLKSELADITKEEHDVGLTLYRALKSHDESSGGSGSTSLWSRI ANIA_07413 MKFSQLILPFSAALSLVGSGVATPTTKPVNPRASRPARNLLAHL VRSAGNGTTLSGQQELKDADWVTDNVGFSPVILGVDLMDYSPSRVEFGAVSTSIEDAI TYATQGGIITICWHWGELSHDWMTDERLTTLLTLGSPSGTYNTTEQPWWSNFYTEATS FNLSAAMNPASRDYKLILRDIDAIAEQLARLKDIPVLFRPLHEAEGGWFWWGATGAEP CKALYRLLFDRLTKKHGLNNLLWVWNSKDPLWYPGNEYVDVVSVDVYADNGDHSSQLE AYQALQGLTGNFSKLIALGEVGNIPDPELMREDGAQWAYWVTWNGDFIRGETKNPMEF KKAVYASELVYTLDEIQGWNL ANIA_07412 MIASIPLVIAALATTAFADLHIQGVCIDTPGSGVEVYNRVATEK ACEAYKKRNTGSNQWDQCPDCVLKSERDLLYYCESQGQHIGGDELNYYCKQNGAGDSV AW ANIA_07411 MKIPLLFLAFLCTQSSLATALPSEATVGLESIGHDKAVLLLHDG TTKTIDKKDLGLYLGAAAHSPPAVPGSFETNDHESTSLRRLTKRSGAEFIIPLPDAEF LGWDIPMSTIVHANQADATAAMAQGQSIANSISVGTSFTATVEKFLQIGTTINYQWTE TATLTGTVTMTIPKNKWGAIVSNPLTFRKSGYVFSGQPGSAHYEYFHADSFTQDTYSY GQNSLSWVKGVVTTCLGDSYPLKRCLGEALSPLISTAISVAKLNNLWEVFFSFSLRAY KVPLSASAEPVPKGPNLYHTGG ANIA_07410 MEAISSQMCAVAFQVFDRYGSVKAKYRSSGAKRNRQFSQDEKGD GGSQDLRYGSNEAFERSWTLHALLSQVLGATSDFEPRREEAGGLENEELSETAIFTEV EKIKLERLRDTLPLHHAALTLGDNELKAFLMTHVDNNIGWDRVTTSEATFLHLTACEL KPPSTQWLLENVPNTDFLKTARDINGYTPPEALQEKLETTRTQEQVGSRVLNIRVYMR RVSGTVSIT ANIA_11558 MLCPTPTPFYVLLVFAVSSSNAEEALGSGSVRAEPTIGL ANIA_07409 MSRALLLVVATMFVSRTLAQEVRCDQNPIGPTFDEAKFNKLVET WHGAFGGGGGSLGQPDGFHVTHQAVCCDGWCLAASNSAGSGRSWNDDDFTGAADRLRE DGGGHSQCETQLCSGIGLDIYCNLEFLNSEYQTTDATCDGEYHGVVEAFGDTCAGAPG YNGPEC ANIA_07408 MAKLALYGTPLVAEALSTLVGGSTSNSEMRMRLWSLQTTRCLHY NKFVVFRVSKMYLTHKTERNVTIRVKLDIKMTITGPQITVIPMRGIVSGLTDGGYVDR IKWAESNRTKALAEGVEYTAPDGSVKTLYANEEIIFSAGSVRSSAILELSGVGNPEIL EDFKIPVKIFLPSVGENVIDQPNTFIAYASNSTFSGMAPYVTYMTASDILRTEAGTVA AEIAAQLKTWADQVAEASDNALSTSALEHLSNPARSYLCPRRALRRDLNYSARNKPIN PNYLMAQWDLILQRKIAQTVARFWKTAPVSSVAGESVQPPGTDLPIDTTEDEWDAWIS RSSQTTTFSVRRQCSLRELGGVVDNNLIVYGTENVRGHLASTLCAIAERAAGIIKNKL GKQ ANIA_07407 MRLTLRSFFVAATSFIFVQLAVAAQGPIVNLGIRYAAAPVGKLR WQPPQAVQPPAHGMGAISAIDQPPVCPQSGAAGTPPSYGFNSGPGDEDCLFLNVYAAA GASDLPVLVWIHGGGYALFGATYDPSAMINTNDNQFIVVEIQYRLGAFGFLSSDEVKK HGRPNAGLLDQRFALEWVQQHISKFGGDPSRVTVGGESAGGGAVMLQALAYGGRESHL FQNIIAASPWSAPIYRYNDPEPTRHYDRFAELAGCGPGALRQGHHNTTFDCLVDAPTE ALQNSSGEVSTSGKIFGGFAFLPVIDGELLTMRPSQQLLHGHVSGQRLLVGNNANDGV PLSDPTIVDRTTFNAHINLTFPHLTAHDKAALNRVYQTETTEPGNSGIRFDTLGDEGP TALNQSQMATGLQQTVFNIFAETSFDCSAQWLAEAYSIGSRKAWKYQYSATPAYHGAD LGAYFSRDTPSSGFNHAFQKIWGNFIIADTPIIPHSEATANMSNATAPADCQGNLAWP TFSLDEPWQMDLNTTGGTLKLHVETEHLKYWIRQGPGIVNVFRLIDAYSWEGGRGARC TWWRAVAGRVPL ANIA_07406 MGRHIEAVDEEDVKTFMLGDYIFSHLYDFAIASTKLSVLALYHR IFPKPVFRRIVILTAVFVVLWLMTMELVLGFQCRPIQRFWDQDVDGDCFNLVAFSYFT NITNLVTDMWILVLPLPTILRLQWIAEANEVRTCTVSAARLSVVVSQGSTDFTCKAIT LLTLLDDPYISGAGVPLGILSVWEPLGGILCANLPLSHKLVLSAFRKVTGRTSSERNH LSPTGPRSWYRLENYLHRKANRNLETNSTEMGGIVVQRNFEQVSSYTGVDLLRQEEET GVPDIRVRVDPKRPNSYIDAYREEKL ANIA_07405 MNKAELQKGLVTTLLPSAESVRTALHRSGAFRLAAPGSTRLLRD EIFPDEWTPIRLHLLTSISQLLEPGTSLTGTALLDSATIGAHYYDSRALEIGAYFSPP HKDSGTLTILFRSHVKNDGLEIANLDSTEEIDSDTVGRDASFIPIRTPSDEALKVIVL RALDFSACLEVSELGLVCTEYVVLAGEITIAADYND ANIA_07404 MNMRRIFDVCCNPSADAQVLPLSETPFRGKISKSPNGYSIDYSR PNPSQHPKPLLLCHRSHPARPLRPSSRNFSGRRRCSVIIRRGRTSPAAVRKDTPLRPF WTRHSEQCPPQLPPTPSAKPTAQSQGQAVAVATELGVVLENSGISSDTPLLLVAHSYG AIVARELLHLYSERVAGMVLVDASTERASEYFNVPDENIIAVMGDLSYARATGLRSET VLSDEEWRVRAKEIIVSSRATAAEIASFYEVCETLKRMEQFRRQALGKRPLSVVRANT ASDYRAVHEKGVPAGNGREEQRAAFRTLLDQWEYIDKQLQEEQLRLSSNTHFFRLEGC GHNVHLARPDVIAQEPFGYSLPSRAYT ANIA_07403 MYVEKLMPTTGVTKPYPIVFIHGQAQTGTNWLNKPDGGEGWASY FLSQGYECYILDQTFRGRSPWFPGNGTVSTYSAELLQQRFTAPQQYNLWPQASLHTQW NGMGVMGDPIFDTYYASTVEFLDSATYQQSTVQAAGAALLDLIGSPVVLLSHSQGGPI GWLIADMRPNLVHSIVSIEPTGPPFQEAVFSNASARPYGLTDIPLTFSPPVTDPANDL VKKIIPSNSSLYSDCVMQASSPSPRQLVNLAHVPVLVVTTESSYHAPYDWCTVQLLQQ AGVSAKHLKLADIGIHGNGHMVFMEKNSLQVAATLGQWIERT ANIA_07402 MPTTILKITLFPLIDSIFSVQLSPVRIAMLTLSKVLPVLALSHA VAAAPQLSARATASLNTWLSTEASFALDGILTNIGANGAYAKTAKAGADYYTWTRDAA LTVKVLVDLFHNGDLSLQTILEEYTNSQAYLQTVSNPSGGLASGGLAEPKFYVDMTAF TGSWGRPQRDGPALRATTLIGFGNWLIDNGYSSYASNNIWPIVRNDLTYVAQYWSKSG YDLWEEVNSMSFFTVAVQHRALVEGSTFAHRVGASCPWCDSQAPQILCYMQNFWTGSY INANTGGGRSGKDANTVLASIHTFDPDAACDDITFQPCSSRALANHKVYTDSFRSVYS LNTGIAQGVAVAAGRYPEDSYYNGNPWFLTTLAAAEQLYDAIYQWQKARSISITSTSL AFFKDIYSSAAVGTYASGSSAFTAIIDAVKTYADGYVSIVKAHAMANGSLSEQFDKTY GTCVSARDLTWSYAALLTASMRRNGVVPPSWDAASANTLPSSCSTGSATGTYSTATVT TWPSTLTSGSASATTTIMATSTATSSSTTTSTTTACTTPSTVAVTFNVIATTTYGENV YIVGSISQLGNWDTGSAVALSASKNTSSNNLWYVDINLPGGTAFEYKYIRKETDGSIV WESDPNRSYTVPSSCGVSTATESDTWRCTLETQSVRN ANIA_07401 MFKLHSFTAALAAGLLTTIVSAAGLHEAALAAGLEYFGTATDNG ELTDIPYVTQLNNTADFGQITPGNTQKWDSTEPSQGTFSFTKGDVIADLADANGQYLR CHTLVWHNQLPSWVTSGSWTNTTLTAALRNHITNVVNHYKGRCIHWDVVNEEYGGAKA AGARAIVQLIKNAGVKIDGVGFQAHFSVGTVPSRSSLASVLQSFTSLGVEVAYTEADV RIQLPTSATTLAQQSTDFQNLAGSCVDTAGCVGFTIWDWTDKYSWVPSTFSGYGAALP WDENFVKKPAYDGLLVGLGGTVTTTTTTTATSTTTSATATSTATSPHWGQCGGIGWTG PTLCASPWTCTYVNDWYSQCL ANIA_11557 MCWFPRALEIGGILKGAGSRRGLSVRGQRSFRAWLCILQVRCWN IPVIRVLKKVDRKRTRAGVGLVEKEDGV ANIA_07400 MCKQPVRDKSWVAPAATIVTGSLALICVLVRVHDCLSRKEFKWA DVCAVLTYIPAIILTKVAIVCFFMQVFPGPKFRMLCYGTIVWCFLFMISTTIAAILAC VPVEKLWTNWMGNNEGVCYDNNAFWWTHSAINIATDLWILGMPIPLLLKLQLKLKKKI YLLLMFSVGTVITVISIVRFSGLLKYSTSANITLCCCMPAILSALRRTFPGVFDSQNQ SLRYNSSPFSSNAIQKMVTHEVTYMPRAANSDDAIELVSRGNGSGPKNSCKVMRVQPS YFCNPLHTKETRLGRRESITSLRGINLATPLGAGLAFPLNGIFAGQRSSPRMEVFGKL SMLRCSRLIKR ANIA_07399 MTADIKTLLLAALGAVAVAWLAQSFGKRSSLPLPPGPKPALLIG NIHQLPKSLQWLHLYHLSKEYGPIMHFSMAGQPLIILSTHQVAHDLLNRRSGRYSDRP RMVMAGELVTKNMHMLLRPYDERYKLHQRMEAPLLVLRSASNYRPLQDLESQQLLFDV LGEWDKFGEKGVDFHHHFERAMASTIYCLNYGYRLQTGYEKELMDGKKVQAEFARTGQ VGAYLVDSFPSLNYLPKFLAPWKKEGEGLYELERQLHVGNLKKGLSNRGWNFTKYMKD SPEAVTMPEEELAFDLGILADAGLDTSTVALDWFIVAWITSGSTWVKKAQQLLDEVVG KDRMPTFEDRPKLAYIDAIASETLRWRPVVVSGVPHFTKVQDEYMGYHIPANSTVLPN AFAISHDESIFGEDVDSFIPERWLAEDPPSEPSIDACGFNTSALKDLPHIGFGWGRRI CTGRFIARNQLFIQMARMLWAFDVDAGVVDEKTGRRHNVDDMDCTEGFVTLPKPFRAV MRPRGEWVRQRILERGTTHGLDHAKILQNAKLGRS ANIA_07398 MDVATILGKRASAAAGATGYPEWEVVFCCARYPRNSLDGNKVGL WRNKHLGHLPRAHRLSCRSCRNCEQRKRSYGLWKAQHGARLGTMTKACDGSKIKSRTH GSGSVQNPPARAGYGYPLIHTGSRFESVPDPLRVLRWPVTGNAQIRVGSFYGQQHFFK LE ANIA_07397 MNEIIANDPNRAAYLNDLCRHLYTLHERTRNLQDPDVSSTAAPA TLEDNPDRAVYLKNLSNDLAEKHERTGDLQALDAAIKKASLAVAATSKNHALHAIRYE ETGELPDLDATITNLNLEVSVSPSDKPDHAGHLKNLSVHLFRRYKRTGDPQDLNAAIT HTSQALSATPESNSNRPGRLNILSSYIARRYERARNLQDLEAAMPNASLAVATNPDDH PDRVGYLSNHSIHLANRYKQTGSPEDLQAAIANTGLAVAATTHNKQPDYAICLYNISK HLFSLFERTGNLQDLETAVTFANLAVGFTLDDHPDRALYLNNLSMHLCIRNLQTGNMQ DLAMALQHFRASANLPNAVPLYRIRSARGAIRMLQKLQQDWRQEAAVLAEEAVQLLPL VCTRYLNCEDQQHVVSQTAGLAADACSIFLQLRQPEKALQILEYGRALILGYLVDSCS DVDRLQEDYPDLAKEYDQLLFILWQRLDSVHAENRYQLLQQKRKVPLELEKCINKIRQ QEGYEQFLLEPSIQDLMSQATEGPIVIINITDFGSHAIIVQDQDICSLHLPEMLQTPK FALDDQLRQFRNVGERGWNLRDIENEPGSFYATHYNDNSLNWLWTHCVKPVIRELNLE VPLSGALSRIWWIGTGVASSLPFHAAGDYGQVTENTMSRAISSYIPTIKSLAYSRSQL AKLTPKNNPTSIYIAAMPTTANEQPLPCVESEVNAIQQACSNICTVTLQKYPTPEAVL NAMEDTDIIHFACHGSSNPFNPSDSHLLLHCGGSTTPAVGILTVQQVANRAFSNARVA YLSACSTSHVTASKFTDEAIHLASVFQLAGFAHVIGSLWPINCRIIAYSCVRGS ANIA_07396 MLTSWGKTGFVLALALGGRAAENVITSDTFFYGESPPVYPSPEG TGAGDWASAYTKARAFVAQLSDDEKIQLTAGVSSNTACSGFIQPIDRLGFPGICMSDA GNGLRGTDYVNGWSSGISVGASWNRDLAHSRGAYMGQEYRKKGVNMILGPVVGPLGRV ALGGRNWEGYAADPYLSGVLVSESVKGLQSQKVATSVKHFIANEQETNRNPTTDSERN VVQSVSSNIDDKTMHELYLWPFQDAVLAGATNLMCSYNRVNNSYACQNSKLLNGVLKT ELGFQGYVVTDWGAQHAGIASANAGLDVVMPRSSTWNSNLTTAIANGTMEASRLDDMI TRLMATWYYLDQDTEFPSPGVGMPSSPSAAHQAVIATSPEAKPILLQSAIESHVLVKN TDGALPLKSPKLISVFGYDAYAPLTYDLGNNFDFSSTRVRSDLYKNGTLYVGGGSGLN SPAYIDAPIDAIKRRAYEDGSSVLWDFTSENPSVDYTSDVCLVFINAYATEGYDRQAL SDTHSDSVVENIAGNCSNTIVVVHNAGIRTAEAWVDHANVTAIIYAHLPGQDIGRALV RLLYGESNFSGRLPYTVAKNSSDYGSLLEPSQPEGKYQYFPQSDFSEGVYIDYRAFDK DGIVPQYAFGYGLSYTTFEYSDLKISKNSDGVPSIYPAKASILPGGNPHLFDELVTVT AKIRNTGNVDGQEVAQLYVGIPDGPVRQLRGFDKVLIESGSSATVTFSLTRRDLSTWD ANAQEWSLQRGTYKIFVGRDSRDLPLEETLVF ANIA_11556 MCRRDSPCGKTKYEHLGQLASLSVTLHKSRLTSVGYILSTAYHL NPCSYYPEVTWCAGPYVPAHDAWKCPACNTPLHATLNPFSAIPES ANIA_07395 MHSRYPQADPGTIIPFAIATILFVIRMVTKAMHLGGGWGSDDYT LMVAYALGIVVFSVNISMIDHGFAKNIWDIYPQENITIAYKVRLAVPAPVDKSSFLAQ RFYGFVLAYKALISLAKISVCLFLLRIFRSSTFRWVGYIMIGINSAIAITWMLLDSFH CIPVHLAWTQWEGVEQGKCINFIAATYANGIVNIIVDVVMVAMPIYEVSKLNLSQRKK IGVAVMFASGLVLTIIGIVRVIVFSQNSSNDNPTYEMEALNRWSVIECQIAIICACLP ATRAMLASFSPGIVGESTGEASAGLQNQYNGPSRSNHSMKVPLQKVNISKTVSYSVDY VGKSPRRSSNGFLQLDDRGSERD ANIA_07394 MACFNYNGDTVDLENAKFCNITVQYTHPGYNDTITVEAWLPASV PAQSFTKFTSTLCYPLLMRVWHNVDPLVCELDFLTNEAIAYYDPRNGVVDGLISNMGG SNYDPYTTVKKTFICDSLNRTIALSQGAALITNAACSLATAVKDEWFNLFVARNISFN TAGLSHEEYEEFFNLITPEYGSYWNANNTDLHAFKNAGGKLRTYDGMSYEWNSHIALS PSRPIQVSSPRVQYMSNKSRVLFPDNHDFWRFFESSGLGHCAGGLGGQPTTVLKALQR WVENGIAQKPCPYPCQIEYIGGNITLAESFRCTQAIWTTRPLRLLWLVFAPST ANIA_07393 MLASLVAAVLATTSCASAALTWSLDKSANPSDDESDAYSRIEAA MEAAVARYARLGDASKSIYVYYVPGVPTAEASYDGTIRFGSDRAYMNERTALHEISHT LGVGQTAAFDQQCADGDWPTALPLLRSWDGADAVINCGGGHFWPYGLNYNDEWSETNG DRNLLTAFIPPYYVSRIPENNCVVR ANIA_07392 MKTTKEISVSLAQDASDMDADERERNADRPGHKQELERHFSIVS LIGLASTTTISWTGLGLSIVTEINAGGPSAIIYGFILVTIMQSFLGASLAEFVSSYPT EGGMYHWIAAIAPRRLSAFLSFVTGWLTVAGLQALYSLYHADVAIQTWQTFIIYQILN ILTASVVLFGNRIIPSLNKFSLFYLQIGWFVVLVTVVACAPTHQSKEFVFRTWINNTG WDNNAICFITGLVNPLYSLGGLDGITHITEEMTNPSRNAPLAIGITLTIAFITGLSYL IALMFSVQDFAALSDTKTGLPLTELFRQVTESVGGAFGLTFILFIALGPCVISSQLSS SRVLWAFARDSAMPFSNTWARVSKRFGIPFNAQLLVAAAIAALGCLYLGSSTAFNSML GAAVTINNVAYLIPISTNMLTSRANMHRGSFHMGKWGWLVNSVTVGWLLFAIIFFSFP YNMPVTVENMNYTCVVVGGIPILILVWWFIGNKQYKDKIARAKEE ANIA_07391 MLAKARWLLPLLGASAVQCAHLNLHAQSLLDESMTLQDAIYDPA ASYLRYIYFPFAAGPHETRSSVWYSVGLLQRNQGSDAEEAFKILRNVIGDQEKNEIVQ WYGDYTKYPEQPTVGTAAYPPVIYNSWDPNWRGFIGTALIIIYEEFQHLLPPDLSDLI LESVYNSTKGDSYRVGGVNEDNLYPAYSNAWLMRTVTSSWTGRHLNDANMTAAGDADA SDFLDLFDRNHTLSEFNGPTYAGVSLYALTIAAKYMGSTNATIGRNAARLIQQIWEYE SIFWNPYMRNFAGPWDRSYGYDMNNYVAIMSLWVWALVGKESAWNTTFPIWTLAHADD FEVAPVIAVLSEFHKALIPDTAISRLTAFSGERTYHGHVYAPPADHEPRNVTTWVSAN LSIGTQSFNQSVVGGFSKDSSSFSPSVVQWLRPDESIGYFNLYPTETALKAEVRPYSL NLTYPLGNASSTFTFVLAANPLGKKRDIRSLADVDGLSIKVGGTVDPIPQISFCGLLG GACDVIHGFEFWNATFSMPANTSDVPRIEFQFELTM ANIA_07390 MSDREIQQALENHYRAPSEKEGNPDYGGTPDAPIIPDTRIERAE REHAAIEKESVRSDGKRELKEYECYEKLGYCWPTWKKWTYLVAVAFVQVSMNFNTSVF PNAVKPLSEAFNISEQHARTAQMAYLVTYSIGCELWAPWSEEFGRWPILQLSMFLINI WQIPCALAPNWGTIVVCRALGGVSTAGGSVTLGLIADLYEKETQQFPLAFIVLSSCIG TSIGGVIGGPIQRFLHWKWFFWIQLIFGGVTQAIIFFMPESRSTIIMDKEAKRMRKSG EDPNVYGPNELKKPRVSLKEAFHIWIRPFHMLLTEPIVFCLSLLSGFSDALIFTFLES FAIVYEQGWGFGILGQAWAVIPINLAYFLAYFSYLPFFWRDRYLRTKIEGDDMPPERR LKWLLWLAPLEPIGLFGFAWTSFGRERGIPWIASMIFSTCVGVANYAIYLSTVDYMVA AYGVYSASATGGNAFARDLLAGISAMYATPMYTNIGDKWHVEYASTVLACLSCLVVIP IYVFYWKGPQIRASSKFAQALEAENKTNQGRRVSRMSSQGWGSV ANIA_07389 MHAIYGSLALLLGLAVSSRASTVRHDHSFQPDHILRVTADIYNE ACSERYSVLVNGSSPGPELRLREGEVSWIRVYNDMTDANTTIHWHGLTAFTAPFSDGS PAASQWPIPPGHFFDYEVKPEPGSAGSYFYHSHVDFQSVTAKGPLIVDSSEPAPYHYD EERTVMISDYFSEPDDEIVDGLVSTNFTWSGETEAILVNGQSRPATNATGACKLAAIS VEAGKTYRLRFIGATALSFVSLAIESHHMHIIEADGHYTKPLETDFLQIGSGQRYSVL LTAKGEDELKALDRRQFYLQITTLERPAVLTSFAVLDYTSDAAPDLTTVPSPPPLPVA TTVQGWLDYELEPAHPNEDFPTLDEVTRRIVIDVHQNVSDRTIWLQNGYDWVESFPKS PYLVDIYQDRLDLDASYHRALTRGNGFDNITRTFPARVGEVLEIVWQNRGTVENGGVD AHPFHAHGRHFYDIGGGDGLYNATANEIRLRGTHPIQRDTSVLYRYRSKTSQLVPSGW RAWRIRVTNPGVWMYHCHVLQHMIMGMQTVFTFGDREDILAKTGQVDDGYLTYGGSAY GNGTWDPMVQDFDV ANIA_07388 MGSNECPYSRQNANIGGGGQNNRDWWPDDLKLNILRQHNSVSNP LDKGFDYTAAFNSLDYFGLKRDLEALMTDSQDWWPADFGHYGGLFIRMAWHSAGTYRV FDGRGGGGQGQQRFAPLNSWPDNVSLDKARRLLWPIKQKYGSKISWADLLILAGNVAL ESMGFKTFGFAGGRSDTWEADQSVFWGGEKEWLGNDVRYLNGELDNPLAASHMGLIYV NPEGPNKNPDPVLAAKDIRITFGRMAMNDEETVALIAGGHTFGKTHGAGPATHLGKEP HGAGIELQGLGWESGFESGTGRHAITSGLEVIWTKTPTKWSNQFFEYLFKYDWELTKS PAGAHQYVAKGVEPFIPDPFDPSIKHPPRMLTTDLSLRYDPEYEKISRRFLENPDQFA DAFARAWFKLTHRDVGPRVLYQGPEVPSEVLIWQDPVPPLDHPVIDNDDIATLKKAIL NSGISHTDLFSTAWASASTFRGSDKRGGANGARIRLSPQKNWKVNSQPWLSESLAALE KIQKQFNDAQSTDKRVSLADLIVLAGAASLEKAARDAGHNVSVSFTPGRTDATQEQTD VDSFNNLEPIADGFRNYGRGTPRVLTEDFLIDKAQLLNLSPPELTVLIGGLRVLNNNY DRSNLGVFTKRPGQLTNDFFVNLLDMGVQWKPADDTNEIFIGSDRKTGQARWKASRAD LVFGSHAELRAISEVYGSSDGEAKFVKDFVAAWEKVSNLDRFDLKQTGLAQRIKPQL ANIA_07387 MPALQSSKLAFIGGGNMASAIIGGLLSQGINKQNITVSEPWEVN RNKIADLGVKTTTSNVVAGGEADLVIIAVKPQVTKAVCEELADAWSKRETLPVVVSIA AGITLGSLQQWLKTSDGRTTHLVRVMPNTPALVGEGASGLFASADVTAEEKELVNALL GSVSKATEWVDKEELIDVVTGLSGSGPAYFFAMVEHLIASATALGLPAEQATRLAAQT CLGAGKMLTESSDSPTQLRKNVTSPNGTTHAALETFNAQHFDQVVDKAVKAATSRAAE LGNTLGK ANIA_07386 MSHSFTTITNRAHELIARSPILSNLHQRSYNRSHLLKIALEATI LALLIPAAYRDYQAYLALGPGGPPYNVVGWLCVKLFFNPLRREMFGTRIYDQKIAGGD DSGFLAEQELPPRKGERPAMAEFAVPQRQINQVPGRAIQEKLMAEYAAFLDRNAHLVD RVPSILERYTDAAHVCGRIQLTPIAREMRREICHVHGTSDHSVHVTLSPADCKRVIDA GWGQRFPLAGSSILRNVTFGRLGILPTEYLFIYAPRNEKEIEVVLRIIKASVQYVTGA RDVR ANIA_07385 MTGALIAHAPGVNEPAQAQFVPDLQGAANDYEPLVRALEIWVTS DLARKQSFDTAIRTAQSYNVPEMQRLESLDDYLQVINDLLRWVPAEAVRPRDLLLHTA TMWFVLDQPSVVQYQGSNRPMIGAYNEPSPGLTWLSRWIVSFSNQFGRCMDTPASAAK LDTFRSNPAYRIDDYIEPHGGWKTFNEFFARQVKPSRRPIEGIADSMVVTSTADFKFV EMHRITAESTATIKGSSWTITQMLAGSPYKERFANGIWLHGRLNVEDYHRVHAPVAGT VLESQTIHGQSYMQIKSPSLGDPTGLTDNELTVINELGRYFCQSRGLVVIDTGFGLVA VVPVSTAILPSVILTVEVGTEMHKGEELGYFHFGGSDVVLIFEDQLNFSNGMEEGKQY QMGTRIGRFELSRE ANIA_07384 MKFPAAVALFSTISAVAAAYVGSPSAYTYTSVPEFEIEVSPNKT IIARGTIEQIREQALAHNPNWDEEYYAPAQKLAEERYQLVPAGADANSTGSTPSLEKR RAEDFDSLRITCGRPWHAVSYEGALKEALRLYGVKGTPQNGPGPGNCGRVGCSYGTAI IWCNDDTETKTLSSFDEIADGAFAIMQKCAHDKHGEGTKAQSTGSTAPDAATLN ANIA_07383 MRLTHCLCMLSLLATATATAYTNRSSMPRTVVTTDLEQDDLASL IRYLLYTNEIDTHGIIYSSSRYHWSGDGNGTRFFLPDREYETTQWTWRWTGTRTVQDI VLKAYAEVWPNLNIHDPFYPSPDELLAMVKIGNIDFEGEMEKDTEGSDFIRELLLDDS DSRTLYLQAWGGTNTIARALKSIEYEYSSSSTWNETRAAVSRKAVILASGFQDNTYVD YIAPNWPALRVEDFSAAYSLWAYNCEKGEGNTLGLPDNNVYFTGNWTKAYVQKGPLGS LYRSWLDGQRMPGDLLDVFGNLAWYAGTKQRCYPLEPYAFLSEGDNVVFNPLINTGLQ DPANPALASWGGRSKQKSSSPDLWVLVDDEKNATGAADSEYTYTRWIAPIQNDFAARI QWTLEANYTRANHAPEVRILNGTYVSAPPGSTIILAGEVSDPDDDTVKTSWWQYLEEG TYEDSVEVTELAGHQASVAIPEDASKGQTISIILQGTDDGEFPLTRYARVFIHVG ANIA_07382 MSSPSDKQFTVAIIGGGIGGLSLAAGLLRRSVPVQIYEAAAEFK EVGLGLTIGPAAHRAMPLIDPKIREIYDALITTHADSPGYERFKETWFEVVRASDDDE LDGRLVLDLKAKPSGQTTVRRADFLDALVRLVPEGIAHFGKRLAALVETGDGVEMRFE DGTAARASVVIGCDGIHSKVKQFVLGADEYAATQPRYSGMYGYRAVLDMETMVEAVGD HRARVSTMYVGDGAYAVTYPIKRAKKVNVGIYPLHSEWDRESWVRPASKEDMHNDFKH MGRLINSLIEWALYEHPHISTYARARVAILGDAAHASTPHQGAGAGQAIEDAHVLAEL LGDARVATADDVAAAFKAYDQVRRPRSQRVVTSSAENAYLLCLRLDGVGSDEEKLKKT LQERLAWLWNEDVHEQAERARRIMLEMIGQ ANIA_10933 MTSPRAFDFTDEVAIVTGAGSRLAGEIGNGRATAILLARQGAKV ALVDYNVDWAQETKRMIDLDGGQSIVIQADVTDEESCKKAVAQTIGAFGTVNILVNIV GVGGAMGDATRLDLAAWDRDFRINVTSMVLMSRYVIPEMRKNGRGAIVNMSSVSGLLG GNPSLLYPTTKGAIIQMTRAMAAQHGPENIRVNCVCPGMVFTPMVRGRGMTDEMRQAR INQNLLKQEGTGWDVGYAILFLCSKEAKWITGLIMPVDGGCSLSTFCKDIMVSKSSAM KQIDMSDIFGR ANIA_10939 MSSSNNTPSCDGWLAVPSGPCCRKGTLHKGTPRGQFVTVAELDT YLSRPRRRQSNGHILLYFPDVWGMFPNGLLVMDAFADAGYLVLGVDYFRGDPVWKHRR NRHDRSNPDFDYEAWKKKHMKFADEAVPRWIDEVKRTYGLPSTKYACVGYCFGAPYVC SELAKNTVNAGAFAHPAFLKNHHFANIKKPLYLSCSEEDHTFDQDSRRTALQILQAGK KTYHLQLFSGVEHGFALRGNMDNAYERECCSL ANIA_07380 MRIEQAAFVEGGPRPDDIEEAKASSVFEENAVGYKEYREGLSIE LTAQDTQNSRVRWKIDLVVLPIFLVTQALQFMDKTALNYANLFGYQQTLGLHGQQFNY LSAMVYAGYFFGQYPCGWLVGRFPAQRVLGLSCLLWGLTVIIMTQCRTFSSALAVRFI MGLFEAAVTPGLTLMTGFWYTRQEIPLRQCIWYSALGWGGIIGSYISFGISKLPMDFS PPRWELLFYILGAATCLWAAVIFFILPDSPSTAFFLTKSERIIAVKRVAANQTGIKNK SFDTRQGLVALTDPKAILIFISVFAAAIPNGVVNSFSTIIIQDMGFSTTKTTQLKSVG DAVLIVALVIGGTITLTVPNSRLLTSTAANILCTVAAACMAYLPREKTWARLACFWLV NTQSVGFTISLVTISSNMAGYTHRAMANALVFTAYCWGNFAGPFVVKPSEAPEYKGAT IGLLAGYAIKAGCHLGLFTYMFLVNRHRDSHYGPADRARSDEAGMQDRTEFENKDFRY VL ANIA_07379 MARRQKVVCSSCRHRKTKCDGASPSCSACVASASPCQYEKAPSL AYVRSLQARIRELEAKVKDSDSSPSAVVLSSVFNGHDGDSISFHAEGNLSYHNQTSAI HEGPPYTSRQLPQAAPQSPASRATQQSTDSIRHSLVANAVAQKNLELLNASAISPQAD VPPEVTAILLQLHWCWLHPSFLFVYRPAFTRDMLQSAHHGQKPKYWSATLFKVLCAHS CRFIRAPEAFWSPEDYTESFSQFSNRLMSEAKALLALETLNPPSVPTIQALLQQSARD IACGRSSAAWLYSGMAFRMAIDLGLHVSPDDLQRHSTSLSAEDVEIRKRLFWSLYAWD KHISLYLGRMPNFTMGAENVSLEFLDDFTEEDLWEPYYGPEPASAERRSYPPTPGHIV SCFTQLCKLCILISRVMLDLYSPQPTSHPSSSLDARAAAFVTINRDLHEWYKALPSFL QIPSDKIPEISPPPHITSLNLMYHTTLILLHRPYVVSGHARDSDAARKSWKVCRSSTT TIYHLLQMYTKTFGFQHITYMNSYCTYTAATTAVYQLETSDGEPRVSDQVVWTELKFL LDILQRTSTTMPGLNRSIDIIRSRIKKILDRQVTKQLDSLFPGSNAPAGGQRYASPGP FRTQTVVGGEDLTTDHALDPTFPHPSSSFSPRRDLLTEDWRILDDWLPAFPGQDVSFG SEVVLDMPENLSPRTRSALMGSNLYPHMQLNFSMPDDPTFDYTPFSGTSSGPRPCDHH SL ANIA_07378 MKIFALLTLAATALAQNAGLNLPGGTNLTAGSDIIVQVTRVNSL TGSTELAVAIGLQTCPKGNCIPADEMLGTAQLYHGDFNPQFHEDYPSPYENFTVTIPA STPKGKAVVAVAHAALVGASNEPLFEVLNSTVTIA ANIA_07377 MANGEPILWSLYVYSPNKGAPVFFTIAYVASAAAHIWQCQYVHF TFEPIGHLLTAVTLFSRYRSWRLLGLYPLCGVLFALGYALREYGAYHYLYDEENSTVL IAFILSQVFIYVCPFVDYSWVTITRTNPLTDLPRPLLELANYHVLGRIFHYVPHLTPL PPNRVTSTFGALMALIELLNALGVSLSSNPSSSTSQQNIGSHLTIAALAMQLAVILSF VVLAGIFHWRLTKEVIPTRKAILPLYTLYMSMSLIFIRCIYRLIEHTGHTTVDINDME VLKSLTPVLRYEWFFYVFEATLMLLNMVLWNVWHPGRYLPANVRVYLGRDGREAVREE GEDTRTVLAKTVSALSFGVLSKRVWRWYLDMLRKRVVPVPGQGQYKKQQLPKSALGSS SIYGVTEIET ANIA_07376 MLRRSHKKSRDGCVECKRRHVKCDEQRPRCLLCTMSSRECSFAS EALTPAEPSSTALRSPRPSPSWDQNEGQGNARAQSIEDPINLQHTELLIHLTSSRATD VFSLGDGFEPYQATVSVVLGIGLTSPYLLYQLLAFSARHLAYLRPDKRAYHLHQATSL QTQALSLFNAGKVQITASNCVAVCLFSVVLGHHLLTDTLTLALSVSDQPPGCRGIGLD SFLNRYIQCLETHRGVYTVAMGGWPLLMETELAPVLSRSRAFTSQEPKGDECQQLQAL IVSSVSLEQEEKEACQQAIRYLQLGFDALSTGENENMRYQMLFLWNVLVPSEFSSLLA KKRAQALVILAYYALLLHHGRHIWQVGEAGQHILGMIEEYLGPEWSPWLEYPRLGMRF G ANIA_07375 MSEEKKSVELSGDIDFIETPPAKASQFETGEDCGIEVTKAAAIP NPPLAVDGPGNESFSNYLLGGTLVGLPAFLTWFFGGGAKTFVFFFLLSVLPVLVAFWT YASTFSPRTNEKVKLPGRPVEHYITFKREEDKAKWHGKNKIPMQTFAEMYLDGLVDFN GDTLDVMEYRHDWANFSFTWDLFKFIVGTFFVDVLFHTKAQDEEQVRPNYDSGNDHYA WFLGPRMIYTSGIISDPEKEETLEEMQDNKMAIVCEKIGLKEGETMLDIGCGWGTLAR FASLNYGAKVTGLTIAENQTAWGNDALRKAGIPEEQSKILCMDYRDAPRTKFDKITQL EMGEHVGIRRLTGFFRQCYDMLKDDGAMYVQLSGLRQAWQYEDFIWGLYLNKYIFRGA DASTPLWYYVKCLEQAGFEVKGIDTVGVHYSGTLWRWYRNWVGNVEAIKAKYGPRWYR IWELFLAWSVIASRQGSATCYQMVVVKNLNSTHRINGVASQFGLAGALAASRAAGKSR LP ANIA_07374 MEFAIEPVLPEDAPRITEIYFSAFTNSLSQRIMPRTKESEAFQT ARFRKSAEEAQSGQGKDMIKIVATEPDQEPVIAGFALWNFYSGTSDSNEHEKEKVEWP SSSDSELCERFFSGVERERQTAIGDQPHYCLYMLAVDPAFARRGLGAKLLKWGLHRAD ERRLITFISASPAGRGLYEKHGCRALNSYEVVPGYHETSMVRPVAGLSRG ANIA_07373 MISTSSLSEELCTVKRQFAMSSTVAPVLPQGAGYGVVVGIGLFF ALLMACVSYLQNRYTQYSTKTSEEFNTASRSVKPGLIANGVVSAWTWAATLLQSSTVA YEYGISGPFWVDAAGSTVQIFMFSVLACKVKQNAPYCHTFLEIVYHRYGQATHLVFVF FALMTNTLVASQLLLGGSAVVTALTGMNVYAAVFLIPLGVCIYVVLGGLRATFLCDYT HTVIVMVIILYFMFDVYTRNELIGSPSQMYDLLKTAAVERPVEGNIEGSYVTLKSNSG LVFGVIELCTGLSTVFLDQGYWQRAIASRPTTAVRAYIMGGLSWFAIPFGFATTLGLA AVALTNNPRFPTYPNNMTSSQISAGLAAPFGATALAGKGGATALLLTLFMAVTSSASS QLIAVSSILTFDIYKTYLKPKATPQQLIFVSHIMICIFGVVMACVACLWNGIGIDLGW LFLVMGLLIGGAVFPAAFTILWKGQTRLGAVCGAIGGLAAGLIAWLVEAKVYYGELTV ATTGANYPTLAGNMASVLTGLILTVGISLLKPDDFNWEKTRAINAPATYNPEPVIESK PPMAIKTTRVDQSEKTADSAVIEDPKALQKTFVMAVVVSAVLSLIMDIIIPIPMFLSH YIFSKGFFTAWVVISFLWVFAAFFLCGILPVVETRRFWGMLFKRMFGKKVVLDAQVQQ GQSSEDDAQRASKDSIA ANIA_10932 MFRNAIRARVSLLPGRLPAALACRWNSSVPAAQNLRINGDRLWN DIHFTAQYSAPSPGGVTRLCADENDKFARDWFRDQVLQLGAEYKVNATGSQFAVFGGE DYTVPPIAMGSHLDTVATGGKFDGPLGVLSGLEVIRSFKEQGIKTRAPLALINWTNEE GARFFPPLGSSTVYAGQTGVEQAHASLSNDGSGITMGDGLRKIGYVGDGPNTFEEFPI SAHFEVHVEQATDLEKAGKPVGWVEGWHGITYYEVTFSGEDGHANTYPMYGRRDALTG AAKLITQLETLAYTKNGYTTVTNIQSGPWGACNIQSKTKVVFCLMHREGEGLEDMGAD IVRSIKGIASLHGLEYKLSRPVHLLPGNFWPEAVDCVRRACGDRGIGSRTGTGHDSTM TRLKCPTAMIFVRGKDGISHCAKEWSDKEDCEEGALVLGKAVLNFDAYLEDHAAKL ANIA_10935 MEGHVFETHPRANPGAVVQGAQYRFTLLSERLIRFEWAEDGQFE DRASTFAIDREFPVPRFRIVDGDELEIITEYFHVSYTKQKFSPESLVFHFSGKSVKYG TPWRFGTPTEFNLGGTARTLDGVDGRCDMGQGVLSKAGYAVLDDSKSMLFDGKGFVAP RQAGDRADWYLFCYGRDYKAAIKAFYAVSGKQPVVPRFVLGNWWSRYYAYHQDEYVAL VDKFLEHSIPLSVAVLDMDWHYVSDERVPHAGWTGYTWNEKLFPNPKKFRRDIHQRQL RITMNDHPHGGIHAHEAAYERMALFLDHDTRDRNPILFDPASPKFMEAYLSILHHRLE LDACDFWWIDWQQGPYSKIPGFDPLWLLNHFQYLESKHYGSVPLIFSRYGGPGSHRYP IGFSGDTVVTWESLAFQPEFTATASNIGYGWWSHDIGGHIRGIRDDELLIRWTQLGVF SPVMRLHSTSSRWNSKEPWLYGDECFRVMARFLRFRHRLVPYLYTQNVSGSFNDEPLV QPMYWSWPNEPNAYEVPNQYFLGSELLVAPIVQPRDKRTNLASVKAWLPPGKRFVDIF CGTVYDGGRMVTLYRPIDKYPVLAPEGSIVTLTSEACPGNGCLNPSGIEALVIVGKDG RARLIEDVVDDDFFKERVAPAPNLRVAWNIEFIQATGKLTARIPAGKLNLNLRFLGMN LKPQDFRLLGNGRDLKNDGIDVRLAQYGNKPCLSVFFSDFVSLQPTTITISLGPDPQL EVVDHTASLEELIRGYQAEVRMKDQLWNAIEEGKGRPLCIISSLLALGYDEAIVGPLV ELITADSRPCPGWMS ANIA_07371 MTQTPPSHSVDDGQRPDNQDTEMPDADSPRGLADRRTDISGRVH HPAHTAVIAATAISEKFAATFWGKPEVKASCAPPPPPHRILRMPDPAQNPTDEYSDTT QPVGNAEVDPSFKDDEAACRVLAEEMRQRIEQLESDLANAPSPDSVRALERSLQAESA QRERLQQELRQKHSELDVLRKHWKQAALELDKARSQSQGFYQVTDNYLIELTTRLRYN IKNFAFQYFDGEMKGQRPRFDKPKIWDKYMQTITPDPLDCEVLMLSERRPSAVQAFIW RFLVGDVFDRFRWAGELGVTLRRMCLELRPGQYQDSMCPIVPDEERKFQMWLASTTAM VLDAGSAPNKSRVFTEKVHRNNDTLTRKMRGVLDHYLVVDDPAYPLELARIVEEAVKF DTEISRQVARVEWVFPAAGNEILFDPEIMRLGTGEMGAKEKQKQLVQLVVCPAMRKRG KSTGDDFGLPSTLLVPMEVSCEAVKRNEASARKATA ANIA_07370 MTFTPSDGILAYRPKIATPSEDDEDDVMVIGIDFGTTYSGVAWA TVADFASDQINLITSWPGTGREEGKAPTELFYEDDQIFWGYEIPDDADPVRWFKLLLV KDEDLSEETRSSEFLLRGRKMLKETGKTAVGLIADYLRLLWAHILESVKKSRGEYVID ALRFHVVITVPAIWKGYARQGMEEAARQAGILSSRPAGETMLSFVPEPEAAALSTLCE PGRRTQPGDVYVICDAGGGTVDLISYEIASVSPIAMHEAVEGTGGLCGGIFIDEAFEH ACKSRLGRRWDHLSKAGIKEILKGEWEHAIKPQFKPQSSKKEYIVSIPAEAFGKNSLD DMSREPFIKKGRIHFSGSHIQDAFTESFAGIDKLVDGQVYKARSQGLDVKGIILVGGL GASPYLYEHLKERHSSAGIIILQSGGMRPRTAICQGAVYKGFLDGGSDNSGTQQNGYG VAAPISVTSSISRTSIGIVYHAPFDPAKHLDEDKAWYPDEGEWRANNQLNWYLRRGDN VSTTDPVRYNFYRLYRKDPGPQFSVELYECEDHDPPTRLEKSVACLLWNPIYSHSGGY PSRTLVRATFTINSPSFEAYAVPAEA ANIA_10938 MAVISIEIISDVICPWCFIGYRTLQKAIALYKKTYPGGSENEFV IEWKPYFIDQVAPETSVLINDRMARRMTRSQIEAAQKRLKRIGSTVGISFKFGGYIGS SRLAHRALHLALDKGGSQMQCTVADTLFQYQFELEKDVSQMHTVVEAAEKAGMDGDLV REYLEGDGGKEEIETAERKARKRGVKGVPCLCIGVGGRVGEQVVDGAGDMEEVFEALV RAQPRGSVKATLHHTPRVYPSSLGDYNLPSTTHVTRSLRSKSPV ANIA_10937 MDSSSTISCGFSSESPSLSRSRSQSFSESLSSIGPDSNPGTKLQ LVERIIEPLAYYSTRRFHPVHLMDTFKDSRYRVIRKLGYGSFSTVWLARDTKLGRYVA LKVGQAEDQSSSAPIEETRIYQLLAKTKFPSHPGRDHYLPLLDQFRYTGPNGTHQALV YEPMSASVTEVKEALFPNGPFPFQMAKAILWQTLLGLDFLLANGVVHGDVQPRNLLFT LEDLKDVPEDQLVQDKDKSITLCVDTELKGGVKGPEYLVPAHSLMQYLNISKPFTVKV SDLGGSFLTSHPPSAPSPPLHLRGPETLFQRCISANQDMWSFGCLIFEFITGFALFDL SDHPVTGITDDMHFIDMYNILGFPKDEALRNQHWPNWRRFFRSNGEPIDHFIRRRDRD FDITGRPTSHTLEELLEEAVGEGLSPEEINITKQLLRGLLEFEVEKRFTTKDVLRHQW FVEQRRDICI ANIA_10931 MLCSFLPIVLLLALRALCKTYDYIIVGGGTSGIPLAVRLAQSHS VAIVEAGTYYEISYPFAKTPGADVLPVGSDPDTSCKADWGFVTTPQKGANGRRVHFAR GKCLGGSPTKEALDTWAEAVDDLSYAFDNIFPYYQRSVAFTPPDHVQRLANATALYNS SAFDPAGGPLQVSFADFVQPFSTWVARGMKAIGLHQTSAFNSGELNGYHYCTSTIRPQ DQSRSTSESSFLPGLSTLNPNIYQKTMAKRILFDENKNAIGVEVNSFGISKTLMASRE VIVSAGVFQSPQLLMVSGIGPREHLEQHNITVVSELPGVGQGMLDHPFFGPSYRVGVE TLTRLANDPKSQVKEYIRWLTKHEGVLTSPVAEFLAWERIPDSLRAGFSEDTRRNLSL FADGWPEVEYMSGAGFLGNISNFYSIQPDDGYEYASILGVLIATTSRGTVTLASNDTS DPPIINPNWLDTESDQQLAVAAFKRIRQAFASEEMRPVVIGEEYYPGPQVQSDEEILD WIRNNMMTLWHPSCTCKMGRADDRMAVVDSQARVFGVNRLRVVDASAFPFLPPGHPQS TCYMLAEKIAEDILEQSGKTEETVRMDLRR ANIA_07368 MYPIQACSRTQSGTVTFTSSTTKLSTHARTLRCLTRLNQLLAPF PAVPTTACEANETLGIKIKRVGFINANGNITDQMDADVELAFEPAAFRPLKRSGFRMH HCCEHDRIDLDSMGLAHLRVKDEGKTKFGGDSGLKIRSFVKSSNQHQYEQRTHYNNYK TPATPRLQPVLDASCSSSNTPSDISTVDLNAHKHGSGTETVTETEKGTSRYENGSQCQ SQSQSQEQGNCMVQSYTTDDPDGHGVYVGVREVEEGNGSYETQHRRQLAHLNNYQPKT SLFTPPLCSCTTKSSTMTTSETNASYYRNADMSTLNQDRLSGVELFLNEELPNSSKDH DAWITGTETPAAIKIMTTAPLFLPTVPPIFRPNPGQILLRNASRLCQQIEGAVESDST RSSLSIGNLGYFEESGYYQYPWKVQDVYVDYVDFRSGNTRANPIATVLVGAGGTDDSI CIAELKAAVGVLLWWYEHSNEVESAGEGETAVLILSYIGSGHGRILQAHHDGWTMVVQ HSSLMTFDMGDYFAESAFVRYTAGRPVAV ANIA_07367 MSKLKVAISQSHTRQTLADTLIALKHTALAAAARGADILLFPEA YLGGYPRTATFGTRFGFREAHGREQFLHYFNGAVDLGDTPAGAGDDWVDKKLPVAKGK QYRGDGTRETLEQIANETGLLIIVGVIERAGGSLYCSALYVDPQRGVLGKRRKVMPTG SERLVWAQGSPSTLKAVTTEIKGVKLTLAAAICWENYMPLLRQSLYSQNVNLYLAPTV DSRETWLPLMRTIALEGRTVVLSASQSGRHKELPSWVTQAPEGEKIDADPEEWTSGGG SCIVGPLGEILAGPIWNVNDDSDPDTALQIVEVDFDDCVRGRLDLDVAGSYSRNDSFT LKVEGLDLSPPAL ANIA_07366 MKSVFSLTALQLLASYVAAHPVKGTVLSTFTDRSFPWHIGVPKP SSSDSHSKRDVTLPSTWDPPSDLVTPLQEVWDHEVETYSDALGFQNYGFDQVIAAGGK INYCVRWDSSQSVTAEQRSQIAQAASRSYNKWIAGLAGFDGWPYDTVEVNVVGWAVTK ESLLEGDTSGIDIYTDTDADGIPQCAEACGRFFHQDGDYSGCAAGEDRHYDNSLWLTD GFEGGTGGDWGQRVGTDYFLQNLETENIHIYLHEIGHTFALDDFYDWTPTGVASFIML SGSATEITEFDYWMLRDWWRNLKDRYDLSSVSSSDSTSSSRSAATSSTPVYVPTTTAA ATSAPAGYVSLPTEVAAVEPSTSTSAVPTAPTIPVATITGAGSESGNENPWSGSGWEQ PSQGHPWWAGNSWWRQRAESRS ANIA_07365 MRQASQLLKKLTRKQADPCLYTYPFSVQSLVVHFAIVLALRAKH RPSRELTQLGYRLVNVDRNENLREWYLKVNPYGRVPTLTYSGLPSPLTDALSIVYWIC DQCPSLLPKAHQTEICRLLSQLHEALDRYGAANPTVDDLLTNHDITPSHRQALEYKRD CQRKQRELVSMNLSDGQSMTAQSIAFLNEIVELREKYSKGGTWIFGDKVGPTVLDAHV VPFVTRLDDIHLDDLVPDQLRKYAQKIRRLPLGKEAMGQRPTVWDASLGPIDEIRL ANIA_07364 MPELSLGRLSALTQTRYVIHLSDGKRLVLFRLPTIDAGGRSRAQ NESGDGWSYYAMEAECPHAGGPMEAAQIDIEDSAYIASCPWHAYDFNVETGESSVGIK ACTFPIDVQEDAVTLTYPSDGVSIEKLEPVSEKIKLKTPCSGTAAASAEPASTLAAAA PYLDEDATLCDWCAHILNTSNPEHKIELTAHLFSTFTEREGTPSPMTIIRGSPASLPP MPPRENLVEVRPSAMPKPGRGGSLKSRIAMLHALANIEQWAIDLAIDICVRFAEFQTS PTAQESARQLPRTFFHDWLKVANDEAKHFSLLRTRLEEMGSYFGALPVHHGLWESATK TAHDLRARISIISLVHEARGLDVNPMTIAKFRNARDDESVQALEIIHNDEITHVTTGH RWLTWICEQEHTDPVEVFRSNVRKYFVGGLKEPFNRDARAMAGMDGRYYDNLVY ANIA_11555 MKAPAITATFLALTFTLLPCVTAQGVTVNSPCTGAGYACLSGNH AIASCDGRRWQLAAECGTQCLRCFMGGVEWDWRTGFAEHSS ANIA_07363 MATQTGHLLLRSLAIFREIADYLQNEEGDDELGKFFGHINAYAV ILIDCLSPLDHSRSRSSDLEPLLHLLLLKLTTFFRCSVLHEYESTLPPSNHFRRLTAL VYYWGSAEEHREKLSHTQQCLDFTSQEQRAEMTLLLRGCVATLLSQSSDEPPVAAEHR ARPKGGDKPSSVYLSAGTVFQALAASSRACSEVHNHNCAARLRLSTHHKQESEQDEFE ALITLSLSCHIWQETRIQAVAPGLPATRKAAVRFALPETSCEKSQNRRRRRLAIIRLC EQIEKLKSKPLMRLNLVVEDGKLWKDQSSRIERPVNQSDTQLSLADIIKYRPASMTEK VKRVLAVLLAYSVLHLHATPWMRSSNFRADDILFFGTSATIPLKPYLQSELNETHYDS AHPIDADELDPDDLPSHPFPDIVMLAILLMEIYLIQPVESLAEQVGMDFEDWELVDDN TRYSIAIAVYDRFKVDFPDNYRQAIDRCLDPNLGFDENDEELSPDGLKMLIYDEIVQP LEEELDQGFGNTIQIEKLDEVAQTMDLSRWGQMRQLKPVSPMSTAPSTPDQSTVSPEG SISISRTSTFWASSERLVSSTGSIMSHRDYTVGWICALPKELAAAQALLDELHPPLPQ DPSDLNNYTLGRMGAHNVVMACLPSGLMGTVSAARVASHMRSTFKWLRFGLMVGIGGG VPGEKDIRLGDVVVGEPNGPFGGVIQYDFGKTVQNGEFRRTGFLNRPPDLLLTAISRL KADHYRNRADLSRHLSDMFERYPHLSRDFSYPGAEYDILFESNYDHAGVRSSCDSCDS SRLLHREPRKSHSPHIHYGLIASGNQVMRDGKTREQLRNDLNVLCFEMEAAGIVDVFP CLVVRGICDYSDSHKNKIWQGYAAATAAAYAKELLGVISGSQINNAERLGSFQP ANIA_07362 MEIVWQDATISQCANICLQSFNDCLAQFHLLSPRQQSAVDDQLG RFSIWVSNIGVFAPTRGSLDYRLREAPDIQRLVRRLLRTLNDYIQQYLSQLDYIHPSS DSTCGSPESMSDSLDPIVGGIAEEITLMHQLSNTIRKASRESQNVRAATSFRILNEDG NDIEHWFLDLFALGIIQRRFPGCNETLQKRLAVAMLIRRKRILYRRSRYWKSSTQVLS ATAKAIPLPSKEDVTNQEQTLVPEKPEPTLTQREGSIASSRAVTATTLNLEHWKKASA PSVVSRAKTIHWSSHEQLDFPPPPLGPIRRRLKDLRERHLAEHEERLKLLTKPLCQYI RCAFNHDLNAILFHGSSSASSMQIDSKSFLEEELERKIENDRIACNNGSMEVVCPYCC CSLSSSIVTNKLKWIDHVKYDLDPYVCLFDECDSPNELYNHSEDWLNHMRHHSLRWRC TAKSHGVIVFHTRDDYEDHMSSKHKSTKSQLKILAERSSRSSGPLFEYCPLCGESTGH SLEEHVASHLRYLALKSLPFPDSYEYDDCSEDLASEFQSAGGRTRSTILEDDDLSQLP SEIGDQNEPVAHGSPAYPPHLSHESLVHLPPERASQQSHLSSIPTIGDSANGMADLET AAALMTDIQSNAATEPDYVLPKAQSPARFYDPLLPNPVLDTELAGSPAAGPGSSEWEF VNTAHSTHHDSSLDPILSTFQAEVSDSISDNNPNTVQAAPIQRPSDRRVLCHACGQII TPQEEESPELNELENINTLADHAQSMSLGAAGVDPGSAPDATGARNSNNIPTTTDDDL FTRAVSKYRDAFLEQHSHLAEDERLRLWAQQLPQFIDGTSNVPNLIPTLSGRKRRGSG FSEPPAERIEMEDTLGFQSAPTIQFIDEANTERTGTMNRVARETYVTNLDAETADCAN TVASPGCEHRDEILKR ANIA_07361 MLRSALAGPTLMELQSERTEIHRKYAEKLIQRGHAYRCFCSPER LDAHARHRSQAGLPPGYDRKCASLLPAHSEERAALGESHVIRLRVEDHPYRYPVYDDL VYGETGHKGPPTKLDLIDRVYDDPILIKSDGYPTYHLANVVDDHLMEITHVIRGTEWM SSTPLHVLLYKCFGWKAPRFAHVPLLVDHSGQKLSKRNADIDLSHFRDQGVFPEPLLN FAALLGWSHKEKNDIFDLKKLEHRFTLKFTRGNTTVAFEKLWFLQSAHVKRYVDGNMP QVNEMISAVAKVVQSTASPEQLSQILQSRPLVDMITPLIKADAKNYTNPKEFVARNST FFTTDISRPPYDRAVIQFVIPFSSLHTAAAAVTLIPKSHWTLETLRENFATYDAASAV PEEVMTVDAEKKFKKELYHYLRWALSAGASGPTVPEIMIILGREETLKRLEEARKLTT EDGAVSPVAARLMKEKGLEPKTGSANKAQTKGHSWTPHPSLPSAS ANIA_07360 MKSEKPTAKYGPDAALANHPDKFPEEERDAASVRFQEVQQAYEI LSDDDKRHLYDTHGMGAFNGSGEPGMNGGPDLDDILAQMFGMGGMGGMPGMGGMPRPR QSPDEEQKYEVSLEELYRGKTVKFASTKNVICSLCKGKGGKEKATAKKCSTCDGHGFK EVLTRMGQFLTKSTQTCTTCNGDGQFFATKDKCKKCKGKKTTEERKILEIYIPRGAKD GDRIILEGEADQVPGQEPGDIIFQLEEEKHPVFTRAGADLKATIDITLAESLTGFSRV VLKHLDGRGIELAHPKPGHSQILSPGQVLKVPGEGMPIKRSDARGDLYLIVNVKFPDE NWKPSPAVLERLKEMLPKPGPQIQADTVDEVEYDPKGNVEEFGSKDPSGGDAWEDDDE EGEGAQCTTQ ANIA_07359 MPTTLSTLQSSLPLPLPVLAIIALFLLRFIWRRFGSGLRGIPGP ALAKCTRLWKLHSVWKGDHHLTEINLHRQHGPLVRIGPRHVSVADPKAIPVIYGLNKG FTKTGFYPIQCISWNKKPQMNLFSTRDEQFHRDQKRPVANAYSMTSLLELEPAVDSCT AIFLSRLRDFAGKKRPVDLGTWLQYYAFDVVGEFTFAKKLGFLEEGRDVDDMMAGIQG ILAYASLIGQVPEAHKVLLGNPLMPILMPSMESWNQVLQFTLKQVNSRTSLARDGELD KGDLEEGKDMLSRWMAIHVADPEKMSTRDVIVHLSTNVFAGSDTTAIALRAIMYFLLK NPDKMEKAVGEIEAADSAGRLSSPISYKESMTHLPYLGAAIKEAMRLHPSVGLILERH VPEGGVTVCGRYIPAGTTVGINAWALHHDEKVFPSPGAFMPERWLESPPAKLKEMEQS FFAFGAGSRTCVGKNISLIEMHKIIPQLLRDFTFRLHRPGDEWKTKNAWFVQQEGLVV DLERRR ANIA_07358 MPCQSKCEGCACGSASSQSASAVNIEDLDKELEALRKRTIELEK VRASAKKVSSLSSTLGAGRKLRSTTWFNSGDAGMTALYIERYLNYGVTREELMAGKPI IGIAQTGSDISPCNRYHIELAKRVREGIRTAGGIAFEFPTHPIQETSRRPTACIDRNL SYLGLVEILHGYPIDGVVLLTGCDKTTPACLMAAATMNIPAICMNVGPMINGYLKNEL AGSGMVVWTGREMHAAGKLDDEGLVDLISRGAPSVGHCNTMGTASTMNALAEALGMAL PGSAAIPAPYRERAQCAYKTGLQIVEMVHADRKPSDIMTREAFENVIAVNTAIGGSTN APIHINAIAKHMGVDISLDDWDQLGFNIPLLLNMQPAGEFLGEEYYRAGGLPAIMAEL LDAGKLHPDILTCNGHTVAENVKGHHSWDRRVIKPYSEPLMKDAGFVHLQGTLFESAI MKTCVISEQFRQHFLENPDDPNAFEGAVVVFDGPEDYHRRLEEAPINDKSILVMRGTG PLGYPGAAEVVNMHPPGHLLRQGIKSLPCIGDGRQSGTSGSPSILNASPEAAAGGNLA LLRDGDRLRVDLNKRRVDILVSRKELDERRAALEAAGGYSIPESQTPWQELFRKETSQ LNEGMVLREAVKYQRLAQRSETLRHNH ANIA_07357 MAPNLFLCLRTVFCPTYWFQRGQRIQGSISREEHWDSPVPGIYK YIPSQGWHLVCRDGSDYDEKVPVPVVYCRILHRYLFEDEVNERCRWHTVTLDGGKTEK LLFFQLDDGYTYVAGWDSKGKFIPGPYQRWYLDPETKTMQKVFFPPSSNVSRVSITPD KLN ANIA_07356 MPREIDLKRRRTRKDYIFHQTYRTRWHDNDMYAHLNNTVYAQLF DSIINHYLITECGMDPFSYTSSTPAKNDINPSGQVAIMVNSYCDYFASVSYPDILDLG LRVNKLGSTSVTYEVGVFKQGEEDVKVVGGYTHVWCERGVMRPAKGGMERGIREALGK LLVKEESKI ANIA_10930 MGAMSRWLTVAGLTLLVTGSHAQLLSRDDIQTTLAPQLSANAGI YLPHEEVFQLATERWSYYHPPNFTVVVEVAEEQDVATTIKYANANNLPFLAVNGGHGS ISSLSNIDHGIQIWMHKLNFVQIAEDGKTATVGGGIKSAELIPALFAQGKHTVHGVCE CVSYLGPALGGGHGTLQGKYGMASDQFVSLRIATADGEIVTVSENEGDRDLWWAMRGA GHNFGIVTSVTSKIYDVPEQGKWAYEQLLFTGNQSEELFAAFNELADIQPPGFMVWTY LVRIPPLHPTEPIYLANFMREALDEIEPELIDPFRKISQRPALAKTVGLYTDIPTWIN TAVNSRGCAAEANKVRFPIGFPRYDPKAQQRLFDVFANGTAGGSPFNTSMILLEQYSA QGVESYPQNSSAFPHRYDDLLISPVIAYLSDSEEEEEAAVEFGDLLRNILLRTSENPD ELHAYVNYASGYEGPRPWYGYEPWRLEKLQEVKRRYDPQGKFSFYAPIPLS ANIA_10936 MSLVPVDTTLKVPPPDPVQEPPKVAITPCEGLPVRYYFEGGLRR VYPYHYTYNTYCKERWRNRELIDIFTSEFRDREPGYYKKALESGNVCVNGKPAGPHTV LKNGEVISHTLHRHEPPVTGNEIGIIHETDDLLVIDKPAGVPVHSTGRYHYNSVMEIL RIQNGGAYVPRPCNRLDRLTSGVMFVGKTAQGADRMTVKLKERTVQKEYVARVKGRFP DGVVVVDQPIMSVSPKVGLNRVRATGKEAKTKFRRLAYYPPPSPTTSTSDEGENARPA TPPPSYVNESEGYSIVHCFPLTGRTHQIRVHLQFLGHPISNDPIYSNRRVFGPDLGKN DSSADLDEEIIDRLMAMGRTEVPDIGPVETPKPKPALSTKPPSEQPSGEQSTSSDKGE DKDQVSYRTHFTTPPLLPPGTSASVVEAIMTKEHEAAVAEYQKRKGERLSGEKCDVCG TELYTDPGVHELGIFLHAVAYSDAHGEWSYRSKMPSWARPPKGVEGPTEVPKWVEEEE GKEVVVGDGVVPDIGVDEGDVAKNEKRKGKQGATALVEGVGMIDISAARQAESEDVAT AAAGTA ANIA_07354 MVLAKKHVPIVKKRTKRFTRHQSDRFKCVPESWRKPKGIDNRVR RRFKSNIPMPSIGYGSNKKTKHMMPSGHKAFLVHNVKDVELLLMHNRTYAAEIASAVS SRKRVDIIAKAKALGVKVTNPRGRVTTEA ANIA_07353 MTIGVAIIGSGIFAREQHLPAIKEAPMFSLKAIYSRSLKSAQGL AEGLEGVDLYSDDSGAGKSYQDLLARQDIGAVILALPIVAQPAYIKEALAAKKHVLSE KPIAKDLATAQDLMAWYNDNANVDKSKTFWGVAENFRFIRKWLKTAEEVQKLGGVKTF RVVVRNKVGTEGKYFSIDTPWRKIPEYQGGFLLDGGIHTVAGVRLILGRGKNSLKSLT AQTSQLQEHLPPIDTVDALLTTASGAAGVFSLSFGSEFKSFVLEFTCEKGVVSLVDDQ LTVNGVATEIPFEGVGVKEEVKAFGESIVNGELNKKLVPDEALADLEVLEMMFRSGED GGRKTLKLQD ANIA_07352 MATTTATAYTSTTLVSVPSTFGTNCPTCGQAPGSQSHPAEPTRR RVKELEGQVQFLNEQAAKMSEKLLEYEAELRRLRAQSPNQGQNQPSSFTSRNGFSISS TSTSSHSPSNSQSQSPLQVQQTQSRLSSLASLLPYRRPSTASSQPQSQSQPPASPGAQ QCQLAPFTQSPPPLSQPRTDTPTPRPSFEETLELQNALNREQSLRKAAETQLTQASTE LEELTAQLFSQANEMVAQERKARARLEERVAVLERRDIEKRNRLERLEKAMERVERIR ALVG ANIA_07351 MAGQLLSDPGSSPCRSCQQQEQNIKTPDIISEPWMVELRSSKAF VTWVVAIAVFTDVFIYGMIIPILPDVLKTRVSIPEDESFGGALFVGSPFFGYLADKTS SRQAPFMVGLLALAGSTVVFWFARTIEALVIARTFQGLSCAVVWTVGMALIVDTMGKD QVRLWDPLLEELYIALRLMMIEPRTANRWVDDAHAESESESGTETERLMSPATATLAS AYESIPRVHEQPRDFESQSRHSTSKSVAEGLTPTRSSMPGILRMMCSVTLLIVLQATL VEAMAWASFDSVLPLYVRSTFSMTPLGIGLCFIPLFLPSFLSALIGTAVDKHGSRPIA ILGFVIDVPAFFLLRLVSSNTLRDQTILYFLLFFAGLAAALKTVSLMVEVTRAIETKE RLCPGIFGEKGGTAQAYGLFNAAWSGGQVAGPLVAGWLVDSWGWDVMVSVFGGISAVT AVGLLVTTATTKREKK ANIA_07350 MAPGKQKAQKMSLGNFLADENFGSWADEMEDMPLPGKSPAPSSF GTDRRPPPASSAGFGGPSFNDRGFAMREPLPLPTEPPYTAHIGNLSFDATSGDISDLF ADCGVTNVRIVEDKLTKAPKGFGYVEFETVDGLKKALDLSGATLQGRSIRVSIAEPPK ERDVKELDWTRRGPLPDVAPPRRVPDRASFGRNLDNLSDAGSEPRRRGGFESDGKVRD FSNWERKGPLSPPPMREGRPRNDDNAGFRTRSPAWGEGRSQDGSRPPRREFQERAPTA AELDNQWRARMKPDGPKQPSNPPSPSPAAAVPAAAPAPATRPKLNLQKRTVTDAAASP SPSTESKSSIFGGARPIDTAAREKEVEQRRQLALRQKKEAEEKAKAEKAERQKAAKEA AKSEKPVSTLDPNGRDQQDTPRGGGNFEILRRAGEDESGMSADQDPEQQAEGGGAAAA EAPKADDKVNGSWRAGPTSTENAGDDEGWSTVSSKPRNNRRGGRNFA ANIA_07349 MKIFHRCCAALTLLANALPALSLPGANSLTIRKDSNKYVTAHFM VGIVENYTVDDWKHDMELAKETGIDAFALNCASIDSYTDKQLAYAYEAAEEVDFKVFI SFDFAYWSNGDTARITSIMQTYADHPGQFQYNGAALVSTFVGDSFDWGPVKRAVDHPI FAVPNLQDPNWAGHATTSIDGAFSWYAWPTDGGNSIIKGPMTTIWDDRFRNNLKDKVY MAPVSPWFSTHFNTKNWVFICEDLPHLRWQQMLEMQPELIEIISWNDYGESHYIGPYS EAHSDDGSAQWTKDFPHDAWRIIAKPYIAAYKAGEREPTVESDQLVYWYRPTPKAVTC SKDPLGPPNGINLLEDSVFVTTLLTEPATLTVGSGSLEFSVDVDAGIVTNSFPMGVGS QAFSVTRDGEEILGGDGGLDVQDRCDYYNFNVYVGSFSA ANIA_07348 MTLFNPTTVLVGFLLLYLASFLIFAIVRIATGISIQRIGYFSLR RIAYVPSEGVQIELRGLGLSLHPPSFAQPTWLSLRLTDLKITLDPSASGKRSSSTTKL YTDEAQSQSEDEPLNQQYRKGEAASARKNKTWATFNRVKERVKRIHRMVHWLRLVDIV AVNTTINFLEAGQIQIGTLSMAVDTRRKMVDRGKVFRRKKGESGEQRPAEWILNVHNV QVAVDGNEPIEVLDNVGVNIHGILHKGLDGLRDASVAFKIGRMHIPYDDLTLLVQRIK QSRKPLVQVDVTKPDEEVTFADYVEELKKPGSTDETIVETVTASKEFAGSLLRGIQEI QVALSFFRLSRSVQSPSPGQSSVYLNVVSHEIGIDLHRMDQTSPAHRMYFQRTDVAHQ ALLAAISLSVSLDDSSGETDNILYIPMATTTIKTTLPSKTLSSYDGFNAEERNTNILF ANLVVTSPALDLEPRHVSRLLGLAQTKASTSRGKKRARGQLISRLLPKANIKLSVHEP VVRFVLPIPDSSSTGEDDYNLLISSISSISVDVESSHSSEGGAHYSLSSIYRVASHKF YYQTPSGIKHNLLTTDNMELKLLLSASPEVCVVVSGSLNNFSGHMVNSEVNRGIQQVV EQFRAQIRPKKKYGLPGEDRKPSILRRLPPWLLRFQFEATGFSLEIAGVDESVSKVTR GVSLQLQSWTADYRAQKTEPTAVSVVRRRTPSHSTIGDESPFRFNPTSPPKQTRPGAA DGRRLAFHARGFEGFVIESEDYLESEPFLSLPRFEIALSTSSDRLGPIFHVNSLIKGV YLQYSLYRYYCLSVALSVLQKAFLPSSQKQPSSPNVAGDTSPPLSPRLAIQRGELITV DIKATVLQVKTFMPADPPMLLQVYGVTAGSHRHSAPFVRAHLVRLHAEAPKLRGVWAR IVSMNNFRLDLRKMKLKQGKSLVEDKSIDVWTDFVRIGVPHHMIMHRIFDNVINTSKA LKQLHHRLKHQIPDFVSARDPEGPKKVPKISFRSKALLFELEDDAFEWKLGCIYRTGL IEQRQRRAREDAFHLKLQKLKESDQRRASSRLRTRSSHRTLRSERVSSDSRRSKSADP KPRNDRAEEKEEKRGRAHRFRYDTEGASSFSNEAKVSSESAWYWLQHYNARSWKAKID AALQFQNSTIREVRNLFEGADDPPEDVQETETVLAIPNRPALMAALISDINLTIDKPT FPSENYPLFLHNIGKGMPMSTKYALLIPMSIQLDMGEARVNLRDYPLDLLHIPGLRPG QSPRLPSWSLRTNFVIAEEYRDYKSSRKVNVELVPSSEMSDGTTSPPFLLDIWRSVSP VKTYSDPVIEINTNLPTSISWGMSYQPVIQDMMKIIEGFTKVEIDPSERVGFWDKIRL SFHSRIRVKWKEDGDVHLRLKGSRDPYVVTGFGCGFVMCWRKDVQWNIHTTDDPKEFM SVTSGEYVLAIPDYSHEARYLAEATAEDLETSSMSSDQKNAAQFKKVIMKLSGDVKWA AGLVFERNVNENERSTEFRPHYDVVLRNPNYINPSEREDYDAYRGFRSNHIHLSVAII APNSKNWNADSEQPTTSYNTVHLTPRFFTHFYSWWSLFSGVMSLPVRQGRLWPGITKT NKKFNRHLATIKYKLLLAPLFVAHIYKHKDPEDYVDDIVTATGIKVRLDSLKLDVHQR REQIKTPSKGRLKQPQASAIRIYRAELDLQAADFRAVSASIEGTGADELEKKRDDIIS SFQQPVPPADLSRFTIPDHNLDWVDMDDFVELDWILPQESNPRTKILPLAFTPRFTYF RQTDQEEVSAGQTGYSSFGHEDTHECVMSDRNQPRRVQLALIQDRLATIEAKIQECTR SIGDLELQMAKDVDQNEQLRVQHREYLRQAASLARRRAFLTAGLHRLEKQLNQSKSTE TYQSESTRADADSDSASDGKEADFDGLYSSPHDDLNSDFNNRFMIHSVQLKWNNSLRN IILRYIHQVSQRRGFVYYMSRRAVKFILDIVEEQSKNQATYSKLFRGSSRRPSDVHDD DDSVEDRIEQLLHDAKRFVSAEEQDPPEQKDPPTVRSDSSESISPEFTAQNSYHLRLI APQIQLQSEKNHKSVILVAAKGMQLQVVSIMDKERVFDDVSGLVQRRFTLNMDGAQFF VATQKNLMTHLQFYAGNKYGNAPGSAWPPWLTLEAMFDFELNPFGFSRIIQKTSASLR YDKYNNLRLKYNDEVAKGQPDELGHPDGQETRMDSISVDFPQFRAICDSAEYYTLYII VLDLLLYSEPLEKVRNERLERIMFTSDFSDLRGAPEMVYKLQSRIRQLEEIKEHFQIH AKYLDKRGWEDRLILEKDIARCEDELFFLMKAITTSQRKVEPTVTGATGLLRWNISAS EIVWHLMKDESEPLVEFQLRNAEYDRTDNTDGSNHNQVSVERLYGLNLLPDAVYPQII VPYLDQARRLEGPDDYMIKIKWHMLEAVAGIPVVDDFEVSLFPLKIQLEHELGQRVFE YMFPNVGSTAFENGGFSPFMIKNVKPLESLDSDDEESGQTSPSSLQHHSDTSGDDPAK GPSPLELRLQPTLSLSENPRLERRPTHLKAFAMTPIHKESGRQQARPASALVKKKSAD SLRVLSRQATSLSANGVNDEKGKKFGLGIMGGKGKGKKGIDDFAQMMARASNYMTLAH VRVHDVVLCVSYKGKGEHNIEDLHDFVFRLPILEYRNKTWSNLDLALRLKKDVIKALI SHAPAILGNKFSHHRPSKQQLRRYRELATSSQLLNNQDTGTSPPENGTSPSMASADSS SGYLSESQSHRSSPLARSNSLGSSMYSGKDQSGLFDSRSASEVDVDARWEQSRRIVNP PARPVTSGSAITRSDTARKIDWSEDGQLSATLAANYSLVGSNLPQNGLHTSHLFRHHP PSMDSLALTSQKFPSHSTLIIFHLYQDTPDGEKPEIRYGTNLAICVSDQVCLSRRGCV DGTWHPSRLNSRCRAPDWTELKWIKLYMGLNLKRKVLTLPFIDCIGTSAAFDARVAPI TSHIDLGSSAMRAQLQFLSVFRWQVLANGDRFGHIVRGLGA ANIA_07347 MVSTGLCVEPLFGVSIRLVTPEAYSGILTDWGFISGLRGNILKH YYEDQATSKQRTYASTISGGVAGGAVTKLMGGRLIPGLVVFSLFGYVGQVSYNAIDKW QLAQANTPSKPFLTRIAESKWVPLKSLTDDEYRGILSEKLLTIEAEIALIDEKIEELQ KAKLDAMAEVSPGQEAR ANIA_07346 MPLTLPCDACSFRRVKCDGKNPCSTCLRREQSCTYLKVRKRRGP KGPRRSTNARVQAMQKNLGIEKSCSMRECSLPASDGTPASPTSSASSDDPLLVRRRIS LSTYYTYIDIFRSQLYTVWPIVSTNALKAKLNDIKNTQAHALAAALCAATLAQLRLPG HSQAQEPFLVTSGDFVRECIRLRADYDAQSSASLDSLLTSLFLHMYYANIDQIPLATF ALRDAITHAHLLSLGNVELFKDSQDQQQLRLRIYWILLVTERTFCMQHDLPITLQTIG DLPIPVDDGDTDPAFLNGFCNLVRLFTRIDGPLLQAPHLSTCPTYSRDKITDIQASLQ TGATRDPIIDEVQRVDIWITLAWLSSLLWQYSASHFMLTSDSSNVFFSPSYPFIVARN FLSLVCGASLDSVRPHGYGMEIKLSQLANSLIDVLVYVPSLSKIYDGSNWGPRHAIVE LERLLDVVAGGRSERLDKLHHRMAQIEFTPAPREALSESDDQESEGPPSDEISEANDL APHLKTVDHWSEDKRGFILQLGKRDGDLSAVFPEFGVEVVSIFPADGDESGRYEGISY DFPADILALGAHMPHIPQ ANIA_07345 MAGTLLSWTPLALALMARALSQLPLTDCPGYRVINVEERPRGLT ADLTLAGTPCNVYGVDIENLRLETDYDTNQRLHVKIYDADENVYQVPDSVFPRPVVND QACADENTPELRFSYAEDPFSFAVSRASNDETLFNTTGHNLIFQSQYVNLRTSLPQNP NLYGLGEHSDPLRLNTINYTRTLWNRDAYTIPAGTNLYGAHPMYIDHRGEAGTHGVFL LNSNGMDIKIDKNSDNIQFLEYNILGGVLDFYFFAGPSPKDVSVQYAEVAGLPAMVPY WGLGFHQCRYGYRDIFEVAAVVHNYSEARIPLETMWTDIDYMDHRKVFTLDRERFPLD TVRALVQYLHQRDQHYIVMVDPAVAHSENGAFTRGLEKDVFMRKQDGTLYQGAVWPGA TVFPDWFHPNTSDYWINEFALFFNAESGVDIDALWIDMNEAANFCDWPCTDPVAYAEE NNLPPEPPAVRPNPSSLPGFPAEFQPVNSNNNNSSRKRETQVVIAARQGFVKVGNDNG NGRRLGLQGRELIDPPYKIANAAGSLSNKTMNTDIFHANGLAEYDTHNLYGTMMSSLS RDAMLYRRPEKRPLVITRSTFAGAGSYVGHWLGDNASTWTKYRISIAQMLAFASIFQI PMVGSDACGFTGNTTEELCSRWATLAAFNPFFRNHNEYGMVSQEFYRWNSVAEAARKA ISIRYSLLDYLYTEFHEQTVTGEPFLLPLFFVYPNDPNVVGIDSQFFYGDAILVSPVI EEGKTEVHAYFPGDLFYDWYTGLPLRGNGEVITLTDIGYTDIPLHVRGGKIVPVRTGS AGMNTTTEVRKSGFRLVIAPGLDGRAAGRLYIDDGESLEQTAMVDVVFTYEDGRVSVD GVFTLQTDLRVEAVTVFGDNVVERTIDLPLSGPGGVEL ANIA_07344 MDTDWNQNRDPERASSAESGSGSSRAIAIDLEQARIATAQEHSL SFTEGLRTYRKAVMWSMLFSLAIIMEGYDTTLLQSFFAFPEFVRKYGSPIYTSTSNAS SSPGPGEEVETHELSAAWQSALTNGAYIGEILGLFITGLVIEKTGYRRLMFASALSLG GFIFILVFAPDLPTLLVGEILCGIPWGVFQTVTTAYAADVCPVLLRGYLTTYVNLCWV LGQFVATGVLRACLHIGPVQDAGQGDEWSYRIPFMIQWVWLPFILVIVWVGPESPWWL VRKGKLSEAKSVLERLSASTLSSSSTPGKALERGQTETTLALMLYTIQMENEYVSQSH ESYLSCFHSTNRRRTEITCLTWAIQALCGSSFMGYSTYFYQQAGLSVSQSFNMSLGQY AFGIVGTLVSWLLMTRFGRRTLYVVGLLLLVIILFSVGFTSLSDTKTASWAIGSLLLL FTFTYDCTIGPVCYSIVSEIPSTQLRGKTIVLARNVYNMFMIVNGIIVPRMLNPTAWD WRGLAGFFWGGITLVLLCWSYFRLPESKGRTFAEMDILFERRVNARRFRKEEVCFHTN N ANIA_07343 MQSCDICRKRKVKCDRRTPCARCRRLRQPCTYTDILRKKGPKFV HSYPRIYSTALTASGSGSESVSTPSLMGPLTTSVCGSSETLPLPSLMSRNVGTDLGVE ASIQIHSSSGIQSSVDSGAGTASEPDEELNLDLDFDFEDVPSGQQLRPPQNSGLDRAF NPDVPLGSLGRTAGLETSLEGILSVYIEKLHPLFPVADLREIQDTLRAGGCGQNSNYE KNYGFDPTQYALLCSLCAVTYAQLTFSSGRGLSSFPATMDQIQGHEHACLKYLQAALE AQRQSDHPQVNHKSRQGQANGGSVKSKARDKILTSFYLFMTYWSLKQMTHAWWYLREC ITLLLSVRMHQEEEHRKLDMREAETSRVLFWGVFVAERTFCIIHDKPVTLRPWINLPR VPSLLDEKEHVTAIPGFVRLVTQFRGLDVDLSGCWTAAGFVTPISRSLSHGTGVTTAT TDASRIAAGYEYDDTGLETEAWIPLQQLDLAITREWLRAEMWKLGTPGHQQSSSPREF VAALVKEGGQWRLEEPLLIGKATLGILQSMEEILQDNWSAIMDEKLYDICECLCDIRP VIQTRQNGVRDVEVEINLDQILRGLLDCLARLRGRSAYLLASRLGD ANIA_07342 MAPSLRDLIDFLLAEIALCGTQDGSGHAPVVDRRFQEKVWQWLT KNPEVSVGKGREGNGLSLEQAERRQHAINNAEEKPLNVFVSLERTWLAITGHEPDDTK VLPMEFALLSIIASRKSSGISQPDLIKLSGQDKRSVPKRTDVLQQKGYIEKRAIQLKG ARTSLCTLRKFVSQDAGKSAAGTSEDGPNAVKMIDFNSFTDTLFGILREHKIISRNDL KRVLGFNDHWHWKVLSRALRKFERIGVVKRVKAMSQYAKTMKKYHPCVMLVREPTAKD IELFHDFSIHMYSDIKQNDEAEFEDDAEGEEATGEAPAVGDIRAMEGEQGVEASGRVL PLWSPDRVIHNQLFEVVDRAGTMGSTNSLSQPPHLRHLALVRDTALQRTITHYIHYSA RNFGKLVEAGESSWEAVEFIPRNNRSNTVSIPPVHAEPQLDAYGLPQDVPASDLVKNG DCSLSDCMWVVKPKDYNISSSDPKAVELDDGTYAIQYGIKGHSVQSPAGRLATPDTTS LLEVERERASSVDANLASTPVPKRPRKIKRESQNFAGMSELEKLKAMGLDESWTEYSV LLIDRQGPGVYITPRGRRKPAGKERGRPPTSRVAVFKSTKLLDLPWFRTDNESLDITR AASQSPEPFTIDPRLSATAVRGAPPRSAEETNVVHGTKRSHQHDSGSESGTLSPKARK LRRTGRISNGFRSSQELVAEDIFADGQASEAHAHEAQTPSRIQGKRKRTLSPESGHQD VIHVRKRGGQSAKLSHDMPTTDGDGPKGPRRKLTTRQASKASKSLLYPQENGEFAANS IKSSPKSSRPVQYSQSARSENTLFVSQSAIETPTGQNGTLESPQLQDGAPLATVSGTV AKAPIIASSPVPSRLQSATPAAFQTETSASNATPTPETSSRRATRSKLVDKGGSVAFL RRRIVMDLVEKAGGAFPMGSELWYPFVTAWMKTKYKEKPDMRTLRNAVKHLVDAGKLR QQTFCGKDSKGVMVTKTIICKAELAPDDPVIKEMQEKILASGARHYFPPGVDVDPNLT KQGTGSKLVRGGEIPVEPGLTVQLHNKPALVQALEKRKGATIQRRLNRRLEIEQMRES MQEVRPSGVIRLLSRPRPDWYIPSSGPGMAPLFTDDPSFGALRKHGGRRHRPSSIQTV DNRQLKRINFSLSLLAPYAMLMSPAQSFNPLNGTFSTDAGLATFSLARAPQSRAKQVL MARATLMLMNPYQTFSPNNGTFSTNAGLAALFMSRSIQRSSHNRSTRKQEAHLPHSLD DQLMQAGRRNIRIPGETDSRSRDFIRDTNAILRWELQNERLLQERSKDVRYINHGIRD SFESAPIEGGIRFHPEEMGFIYEEPAVGTRRQTGSSPAFTLEEHSVFPPPPPRDAMRM RHYNPPPPPRPRRLEKLNEMLASGNESTSPSASRTQLRRNRLPLPFSRSSYQKLMTAI VAVRALAGGSNGNIVDWPLVSCCFPEQDSKVIQDKGKIFLAKNRLQIAKMQYDFQERF VDAYANNEVPQIDYNNLEGYDWNAVIEWANANLDVPKSDRTPDLPATREQFDNIFELR EEPLGSLDELYQTTTAVTLNRKKQLISNIAFAAPLPYEVGRYCSRRRLELSRFEAVKT CVRANVFTPAEVYRAADARDTLSRIDNSLLNNALQSLITERVISQANKGRVVPGRNYD ITDYFIQTLSKKRPIEATDVRRASKFKTETLDPALTQQGVFSVAFNAEDGDILALINL FSEGRIKLAPRDPPRDKFGLTEGGYLTRMMNKDKLRFPVDVYPVAGRYVTSNPVSEKA STIPPPCPPRIPIITSTDDTGTGAGTVSAPEKYPLWLDIHGGFIQVLWDLAVGAIVGT VAVRPGISAKRLASAIKPTMGDWEVLMVLEWLTDVGVVRKSSGCAGPGADADEDTDEA CWFVREWWGGWS ANIA_07341 MDSEANPSTAEAPAAPEGSTSEPPPLPYSLRDRKKSIAFFWFLF VLDCTAQPLGLYFGLWYGTNLSHNLVFTIVTISLGGISVFEYFYRLYNLFRSDSTTRP LNARKSWINFTIVWLILAVELITGTVPEEPYVRLVAMVLPTVMFYFGAVYLSLDILRA CGFRAPFRISSTPKGAVMPTALYVLIEDVVAVDGAGGQMYRYALRTRYLSSPYFRRML VQMNFFWAGGSIIWAAAITAMVFTTPQDAAFVIGWSVPFVWAALWTVITIPWVQSDLR REKEAWRTNGAQGGEPYADDINAPSARTRLESLHFPRFFPQRLVREKRSAQSMSETQS ANIA_07340 MSTPPGPSHTAFTEWAVFNGIKINGIAPARFPGRGLGMIATQAI RENEIMLSVPANLMFTRDSIPESFVSLFPADATNHAILVGFLVHGDSKSQPGLDVWRS VWPSWEDFEKSMPIFWPGWLRASSSDMQPPAQDRNENSRNNPDSKSGLKLALLPPSIS GLRNSMLDPLNTPAPNSQTNSEQSCPYETRYQNLLPRQEKRLYDTYYSIRSVFPETDW KAVAYNWAIINSRSFYYVSPGKNEPSDWNDAIGMVPFADYFNHRDDASCEVTFDRDSY IFRAEKGEEIYMSYGPHSNDFLLVEYGFYLDDNPSDRVYLDDIILPKLTRSEKKELAE RECFGNYEITASGADRNSMAAASIKYMSRQKWREYVDGVSEQGFDASRTAGIIRDWIE AYLQECTTTIASLVELSKSEKEKDTVELILSRWKQIHRLCERAVESMAA ANIA_07339 MAPFSIKRAKKDQPQAGQPKKAAPAKPDQPTYKKRAQLTQMFPP RPTFTEKDITFQKGRVFLVTGGTSGIGFELAKILYARGGTVYITGRTEEKAKEAVQKI QASVGERDGQIDYIVLKLDDLTSIRESADAFMEKESKLDVLWNNAGIAQPPVGVLSKQ GSELQLATNCLGPFLFTQMMLPLLDAAVALNGPAYPGSVRVVWLSDQVVELSSPIEGI VMQELNNPPQDNSRNYLNSKTGAWFLGSEFARRYGPEHGIVSVAMNPGAARTNLLRHA GWTKFLSWPLLHSPKLAALTELYAGLSPDINLENNGCYVIPWGRIHTTVAPHLMNALR SKEAGGTGRAQQFWDFCDDKTKDYH ANIA_07338 MSRSVRRLMKEAAELSSSPSPHFHAAPVSDSNLYDWHFTLAGPP PPSPYAGGIYHGRIVLPPTYPLRPPSFRFLTPSGRFEVNREICLSISGHHEETWQPAW GIRTALLAIRSFMEGDANGQVGGLQGVSDEVRRQWAGTSRGWRCDLCAKSNEELLREW RGYCVEKGVDVEKEEDAEGVPQGLRIGIGTKGKNDGDNSKVADSAGATKLESGTEKLK SDSTSMEESTMGTCEKSMSTSTSTSPPVLDNSCSSSSAIPSSPTTFSASVIRDAPAQS PSPASQAVTQRPRPTPTRPASQAVQVASQDSPWLDRAIFGVLVALIIMIFRRFVNIEE ANIA_10934 MATLAMSTPSSPTWPKRRPKAWAMRCERYCCAAASYFPLAFVYS LTTWAVYVEASVGLKPSSSSWIGMLLQSRNLSTLLTASLCRTTKFDPRRRPLPSPQHF LYNGRLHRSRITSSGGGHPYSALPITELPEYTSYTVNSTGGSRFCKKCQCPKPDRAHH CSTCKRCVLKMDHHCPWLATCVGLRNYKAFLLFLIYTSLFCWVDFGVSAIWIWTEVFN DTRYMDGILPVNVVLLSILGGIIGLVLTGFTAWHISLATRGLTTIECLEKTRYVSPLR KALDRHRYDGLLGTNTGGENQDTFGSRLQNYGNQILDAHANAIPGVTRPEEGEESSDN LTPAQQALSRSYADLERQREHDRYEDYLAELDNEKMPHAFDLGWKRNLLHLFGDRPLH WLVPTPTTTGNGWEWEPSRKFLEAQERVRQQREQVAEQQRQHQRDLYLRNMNNSRAWL GNELPPGWTPDQPLSHSDDVARPATGVSMKTLAPRSPRPRPGEEVYAEDLDKDDFVLE PTRGKGSGNQSSREDDWRDWD ANIA_10929 MAAQSYYNGAYNSPPAYEQHDHTSDQFNRVSPRPSPSPVAYNNA PYYQSDDPHDPNSLRYSQQSIGSDNGAYVAGGRINEHDQYAENIPLKSANPYGNDHPP QPWMQQPTHYAPDPGMMEPQVPMRQKKKGFFQKKIAYVTYILTIAQIIVFIVELVKMG QLTGSPIQTKPQFNPMVGPSAYVQINMGARYTPCMKNVPGVQNATQQVLFPCPNATTT DSDCSLSELCGFDGVPNPHPGGSLDDKPAPDQWFRFIIPMFLHSGFVHIGFNLLVQMT MGADMERMIGWWRYGLVYLSSGIWGFVLGGNYAGQGEASCGCSGALFGILALFVLDLL YGWNDRQNPWVELIIMVLGIAVSFVLGLLPGLDNFSHLGGFTMGLALGLCVMRSPNAL RERIGLARSPYVAMSGGVAAENADPDQNKTSTGSNIGGLGKFNPKGFFAGRKPLWWAW WLVRLGALVAVLIGFILLIVNFYKYPSSNCSWCYRFSCLPVNGWCDQGNLFSR ANIA_07336 MQSFYTPSTTTHISSHGPAAVDELINKYSSTMATTSPSPGIHTF DRAQRNILQRIADRIRLGYYRYEVTYGLYVMTPGEKIVANTFVVVFLGLLIWAMFFYF PGLLFQKFSRLVWLLTGQSGEEMGAALGILDTQPSISQFASQKAALS ANIA_07335 MSSVNQSISMAEEDPLIKALPPATDYLTYLTLLEYQLTPARLPT LHKLLQDEVLTTNIGWDLVKILLPMLPESQECLKDVARLGNPREVILRVSDALMQLHP ADEDEEESDKQLESHHGDVGQGSSGIKAPAGKIPLHVLKFNTLVAMLSTLHSRIQTKS PSRFLATSLQAVLEAYTSMPTNETTIALLEFFRDVSPTKRPPPPPRAPSDSTVLRVAE ASAPDPEAEVMSPSPAGNEESALIRRFLQFGLIELLKSYLLSCSGPMDPGMSWAVRLQ EKLHPESRMPGTGSPTNVYVDNRQLGERDSIIAKITALSQDFGLTDEELLKVVTRDPE TQPPPLDFEEHPKSAEDIPLERHGSLLLLAAQAAVGKLFSGKALQIKVYPDLARIFDN YVGKFRSLDEVAFEQPHVLLDSLLALTVLSLQRPIPAPSDESEFTNFVTLLTACTVRQ TYSTVRRIPAEIINANPSQLARFKVIRKVLEDERYRTIKDRAIDWLKNEILKAAKEAP GPEPNIFLNPHYFSVLFPLLFASPALDLDLSSDLVTSWVRFTQTLSPSIHSALNLYYI LISSAELRRQLQLEKTYAYFRSRFLEPVKAICGAFSADLTQNGGDGKIAGSVGEDMVK VGTARSVNLIQHIVEQVEDAVNEAFVVGDAELKDPSADDIARVDAIRKETAVE ANIA_11554 MQTPSDQPTHIFPLEFAPVSIASPGTGCVVM ANIA_07334 MSSDGTMVRLTTSGRMGIRAGRQLNYVNRFHAYLSRIIIEQPRG LDNDAGLSTMHYAAIGRMSVMQETADACVRGCPSNGSIDGDSKQRSRAVVAMSYNQDH LAYGQYHNQASEGAGEGESARSLVGDTFNMLKSKYKTHHSSQQGQAPGNQNQPPSQSY NPGTGGYGGGQSYPSYNRPGSRPSDSNQISSKPPKDKTSGLFGKLQDVVADLGGEVAQ RLGTAIDPQGYAQYGKPQPQTQHRFGSFAPERHGNDVQWYVDGCSYFYAVSKALESAR ESVWILDWWLSPELYLRRPPAKNEQYRLDRLLQAAAQRGVKVNIIVYKEVTQALTLSS AHTKHHLEALHPNIAVFRHPDHLPDGQGLAASITSSLQNLSLDALKLGQMSVDAMKGV YGIHEGVILYWAHHEKLCIVDGTVAFMGGLDMCYGRWDTHQHALADVHDNPADIVFPG QDYNNARVLDFSDVAHPDQNKLDRTQTSRMGWSDVAVSFHGPAVEDIRRMFVERWNFL YDIKYKSRNDSRFSRLALYGQPGSSSGHPQAQQGSHQQGPHQHGQQQPSQQNSPSVHP GNTQQTYQPAQHQPGHQHYPDTSQTTPQSQPSWSQQQPTYQPYHPGQSYSPSQGASEL PPTSPQPNWNQQQPSYQSSQQQSHHGTPQSPYTGQSFPPPPPGPPPSQGSGQAHTPYF PPPPTQATQENQHSSHRGLMDDYSEDRGDRERASGGRPAGSASNFVPRRFRENFDSLR GELAGQIHQYQDRITSNALGRPQQRGNMSCQIVRSCGKWSNGTPTEHSIQDAYAEIIR HSEHFVYIENQFFITATGDKQKPVENKIGAAIVERILRAARAGQKYKIIVVIPSVPCF AGDLGDEAALGTRAIMEFQYNSINRGGNSIMELIAKEGYNPMEYIRFYNLRNYDRLKY TVPKAAPQSGGFGPEHRPAFDTSAAYQSYSSSHAGPKSGSGQWDTVSSCYMLNGPDLR SIPWDGPTEAEIDAFVTEELYVHSKLTKQVMIADDRIVICGSANINDRSQVGDHDSEI AVIIEDRTPVPSKMNGQQWTASRFASSLRRHLFRKHLGLLPPQDYEHVNDHFDFDSPE SQVVADPLADTLLSLWNTRAHKNTEVYREVFHSVPDDTVRNWNTYKEFYGYYFKNADK QAFGEKIDGPPPTYKYGHVVAEKFPGPDGVKRVKELLSQVKGTLVEMPLMFLCEEDVA ESGLTLNDLTEPLYT ANIA_07333 MSYCSGERTGDLDRRKKALSCRFGDRLSGVKGYNLYYAIETIPG ASTAEVVGDVPANVKNTVMRRFIIGPVAERADWNKERAAMDRDRHISSRVQMDHT ANIA_07332 MASEDGPRKRRRPAQSCEQCRQRKVRCDRNIPCGPCTRARSVLH CSYRDKSPSPSSAGVESIITPRSAQRPVTEAFNQRTSDRPTPIDLGDTNLQSQVDLPL IRHRLQSLGDRLTALEKGSHLPRDDRRLEQALHDLTERTRNIEQQLSATPRQTRRTND NGDITVNDIPRRLNVTAASFRELKADLTNMVKECRALRKSIKSHRAVALDDPVPDLHN TIPSQPVCDELVQCYLRTFEPIYRVLHVPSFWESYRAFWTEPQSSPMSFLMKLVLILA IGTVFYPDSTSGIDNRFTHLKAKWVYSAQWWLTGPSEETTASLDGLQVYCLLLTAHKV CRMGKSSVLSTNSLIDMAMRLGLHIDPAKFPNLSVFECEMRVRLWATIREMALQSALE SGLPCLFAAGFDPRPPLNLDDRDIGPNIDQVPPTKPHTQWTDMSFLSLLQDSVKLRME VVHFIASPGEKSYQQALRLTTDMRQACRKLADFTQSCKKSPSGLGLRPTEFHKRFLDM DFRRYILALHVPFIVQARKDPRFFYSRKASLESAMVIASYADSLNLPAIPADDFSKMI LAGTGSFKGPLGLDIISVLGLEIVTQLEDESSEQSLLGPGEEIAKATRAPIVRILEHI LTQLLQIISLGSPNMKSYNFLAAILAQIRAMESNQCIKRVVYETVKQGLHECYALLRS TMASIPGNAMAGEPASTAVEATLGLPDPNLTQFALQPVDPAFDLDLASLLCFDSIGVP SNPYL ANIA_07331 MSLATLDVQNPIPSLAIAQHIGRNEVATAAIFYGQAKASPEDIE KLSSLLDIDHETLKAQLSGFPDRGRSVEMPPKEPLIYRLYEIVQNYGYAYKAVLNEKF GDGIMSAISFSTKVEKETDEQGNNWAVITLRGKWLPFSRF ANIA_07330 MPACPNPQPKTAASPRNKIKTKAPPRVKCTYLSCNFRFQTDKDM KKHKTASSEHEYCNKCDIEFEMEEHLLLHKIKSNKHIVCPICGIDFDSEGGRDRHIRQ LPMARSDLNREAIPNQPDRITANTNGLVDRHWPRLTETGLENRMSDLMDVSTPTGNGK ENEKLPASKASASVSAAGAGAESKFKSGTLAASALGSPLFVGISNAGVELARIYKDWN PGNFIDVFTGEYVCACGKRCLTKEAFETHVLAESQGARRMQCPNCLKIFKSTAAIITH WESPSLKCDQSEADMYAQIVDEVSGGLIHIAGYNEDGTISGLTRESSGLTHSSSGSWC SAESTYRSQAQPALRAMES ANIA_07329 MSCGSIGSSMCLQRIFDPVNPARLYRPYKKAIPLSLSLVAARYY SSCDIVLPYIIEHTTPAHVSQFSKTPTRLHPSRKMVFSLFKSSKQESSAQNADPKFDP NTLTMIQPGSPNAPTMNANGVVTEQPARQDEMSMHLRGGGGGGFCCGLCAGLACFECC EICC ANIA_07328 MPDQEPAVQTPSQPAPTPQTQAELQLQHTTATIPNRDADFARFK NYAAYTFLFGAPILIALPPRKLDHLTVLLTGAFAASANHITRERTGRSILDRLESRIS RTSLHNPSLPSEKAREIQARIRAEREKRMGQEGVPKEEMEMLKARRDQDRGMLQTLWM GDEEKGWKEKRIQEEREALAAGKGYGDLIREHIWDVWTWGGKDGVQNDKDQRVGVAVG ANIA_07327 MRLTSIPIALLLSPLALATPNLVAESNNSILKRSPSPMRPLVAR QSSAYILARSPQDDDGVVCDSDEKRCGNACVNEDYNCCPDNANGGCPSDEECQRDNGV WGCCPEGEDCRWDDDDDDDDRNIFDRIGDGIDDIGDEIEDGWNDIVNDDDDDAAGMLK PGVGVALMAAVVAAVLPA ANIA_07326 MSPNPYNPPLNPTVDGVDDERESNDNTRGTDFRLSWPQICTQHD SVLSSHLNMLRSLKGQVSSDPDAYRLISSMIDRTQKLVMQFEGVKRHIVPRTTRSSGS GSGSSSGPRPSSDSRSRVDEATVRKRKKRNRISNEIDPVREPQLPVLEVQPAKRKRVD MAMPGADQDVRDVMPVSLETEDISDEVQRRLKIKEEQRRKRDAKPEKRKRDRDSLASN VSTSSLASSKPRKRYKLNEQVAMEYSPVLSRSAPFNKEDLLLPLLSYSPPNTSNPPTS LPSAMAYHNIFRRFYHKDRTPSTSGTAYHSCAQGQGNQSRPVFLNTRNPSASGTASHP HAQGQGTQPCLNSTDNHNHPPSDRSANRHQGASNQFLPYASNPNGIRSSPHPTTVVHP CVKYQEPRKGVSPRAGPIPVKRLTDLDNDTTMTGMPFPYTRDQWRKIQRIKQCREQEE QRRFQEEQNQNIDLDTVMTDAPSLHQPPTRNSRHVVPCRARKVQFDVNA ANIA_07325 MTEAIVMPQKRVLGDASNNPRGVVNSPDTIKKRKIDMQNTVKPS PRAPNGQRKVGSSQQKSQFEEEVLEKLTQDISGLKENNSEKDQQWERPPLGEFDPTKD NICFQQIDAEEGTTMGKPAIRLFGVTEAGQSVLLHVTGFQHYLYIAAPVGFTKEDCDP YRAFLESKIGQFPPVIQSVQITMRENIYGFQGNKKSYYLKITVTEPRHISKLRSALET KAQTVNYKGLWTNADGGILTFDNIQYLLRFMIDTDIQGMSWVEAPAGKYELIAPQDKV SNCQLEARIDYRSLISHAPVGDWAKMAPLRILSFDIECAGRKGIFPEPNHDPVIQIAN VVTRYGESKPFIRNVFVMNTCSLIVNTQILEFQQEEKMLMAWRDFIQKVDPDVIIGYN IANFDFPYLLDRAKHLKCTNFPYWTRLNGMRSEAKDTNFSSKQMGNRDTKATNTNGRL QLDLLQLVQRDHNLRSYTLNSVSYEFLGEQKEDVHHTMITELYNGTPDSRRRLAVYCL KDAYLPQRLMDKLMCLVNYTEMARVTGVPFNFLLSRGQQVKFLSQLYRKALQQQLVIP NMKSTDEQDYEGATVIEPIRDYYDVPIATLDFASLYPSIIQAHNLCYTTLLNKNSVAG MKKDEDYIVTPNGDMFCTPKVRKGLLSQILEELLSARKKAKKELAQETDPFKKAVLNG RQLALKVSANSVYGLTGATVGKLPCLAIASSTTSYGRQMIEKTKQEVEARYTIANGYS HDAKVIYGDTDSVMVKFGVTELEEAMKLGQEASEYVSSKFIKPIKLEFEKVYFPYLLI NKKRYAGLYWTNPKKYDKMDTKGIETVRRDNCLLVQNVIETVLHKILIDRDVEAAQEY VKDTISDLLQNKIDMSKLVITKALTKDSYTAKQAHVELAERMRKRDAGSAPTLGDRVA YVIVKGTAHEVHRHSAPTMGGLMKFTKKTQTCLGCKKPLTGKDVMAGAVCESCRPRLG ELYTKSMNKMSDLEVRFGRLWTQCQRCQGSLHCEVICSSRDCPIFYMRMKAKKDVEDA QKELARFDFDAGAW ANIA_07324 MATQHTYDVVIVGGGIVGSALAYFLSLSNDGKKVAVIERDLSRL NGSTGYAPGFVGQFNESEVLTRLAIDSVGEYLKVPGGFDQVGGLEVATSTAGVEKLRW RLETARERGLKAELISAQRAAEMAHDLVKGDNVSALYFPADGTANPAAITGYFQSEAR ARGVEFVEGDVSEVCRGSGRVKGVMTFSGFIPAERVVLATGIWARNLCDFEVPIPVIS VAHPYMYGKYREPKPYKSPFVRYPEHHVYVRDHGPFFGLGSYDHKPIAEKPNETAVGN WVEEFDTTLHRALRFIPEKTNLAPREKFNGLFSMTPDNMPLVGEIPGTEGLYIAAAVW VTHAAGSAKFLTQMIKGETLDAVVRKALDPSRFRGCDMERLERESLHCYNNIYSTHEA I ANIA_07323 MRAFSVVSVACIVASVAAFQYPDFVPLSKRQAPGTPEYECHANC GGIITASRSDGYCDSSNFKSMLSDCLDCALVYDIWKYYGNSVSSAAENCGLDATPVET TPSSASTATETTTSNETESETASTSTVAGSSSEGASSTEITADSTTVKSTSVIPTATT PVTHTSPSSATSTTPTPSDPEFTGAATFNTPGWLLMGGLAGAFAAVLVQ ANIA_07322 MRRHIALFVGLSTVARSQTHGEEAAKEMGPAAFLWPPDRTWSAA YDNNSPCGSASGVANRTQFPLVNGQLALVIQDESWNVQIAISDRNNPTSNSDFETIVS EARISDVDPGHMCYPVPNPGVDTEEGMNATFQIKYTSDFDTDKNETYYACADITYVPA SKFTYQVPCFNVTVDEFTPTNGTESNSTSSDNDTTDSNGASASGSDGNTSSSRGSSGL SGGAIAGIVVGCVAAAVIAAVLLFGYRRLLQKYRSLRQKTSVRNVDWEAAEAGKPAAD GSSGSSYGLRKLK ANIA_07321 MSMFRSAADISSDSASSSDESDHEVTKSESKPDIRPPVRDAKHK SRSVDEDTMDDSDIKDLLAADAESHSNVMTSALLEFYCLTRAADLLNRQHGSHKRYTR ESPEVQYLGKKMFLYKSKFLSSHGVLAEGVDADQWGPTRQYYRDNLDALGLSALEGLD IGDKKPPLVEGGGDLVLASKTRDMHSRKETAASLRIEGPVGPLDLQQRHGADRIPALE ELRLDPRRIPRPLPLLGSSPTSFPLFDLNPKPSNSSTSRYAVEFSEIRVVGRGSFGEV YHVKNHIDGQDYAIKKIPLSQKRLQQLQCGNENQLENIMKEIRTLARLEHANVVRYYG AWIEQTHYPRIQIPSQEPGKLVYENTQNSKPYQPSGDESFGVVFEYSYEGQQQSLEDY SIGSHGTSTATHTSEKPVARSLEDDVESIPRNFSEPTYSQLSTFGASDGDIFTDGFSN DHSRLQVQRSSRPGHALPAVILHIQMSLHPIPLSSYLSQQHSGDPQTLLRRHCYHLIP SLKLILNIISGVDYLHSKGIIHRDLKPANIFLSCAEERDFKGCISCLSKAGTCSKFCH PRIGDFGLVADISHLNDRSPESESGPSNIPKLNRVVGTEFYCPPFFRGYGISEAEDEV VPGRSNEEYFDYTIDESLDVYALGVILFELVYRISTKMERQMVLTGLTRGVQRTDAIK SIRERPVFPVDFDSKVDQGGMVLPTGETVAESLKKCIKGMLELQPSRRWSCSDVQEHL QRLLNIVLKSMTTSTF ANIA_07320 MNPLAGGEEIVVSFHAFIPTSTLHHQPTTIRTLDCKMGDKKLSP LTHFPTTPYSEPLLPQLAIPNPYYTSTHHALRAWVRNYVDTHIAPYAQEWEEAGEIPP HVYKTHCEHGFAIVHPLTTPEDSANLTLPGGVKREEWDTWCGLIVADELNRLGFVGVI WGLGGGNSIGCPPIARFGTAEQRRRWLPKVAKGEIRFCLGITEPDAGSDVANIRTTAV RQGEYYVVNGSKKWITNGIWADYCTAAVRTGGPGRGGISLLVIPLKAEGVTRRRMHNS GVNASDFNPERLSLACASLRLARVCAEDAFNYATQRSTFGAPLITRQAIQAKIFKFGL LIEPAYAFMEQLVNILEKTKNEPRDDVRIGGMTALLKVMSTRALEKSVREAQQILGGA GYNRAGKGARIEQISRDARVHVVGGGSEEIMMGLALQEEMKALTTRKRALERKKNLVG DIPVRRWFGALQIHMTGTGRPFHVKL ANIA_07319 MACDLHSRTLYSNTHSDKTRNCVVRDKEAKCRDAKAHQVVLQRG ITGKDLPIVPSGVEDSVLKDYVGLCDAPAPLIVRNLGWRENNAGEPERKLLDNTRQLQ AAFAQTHGSLARTPCAPCASRKGSWKTCVTREYLRTGEKKPTNTDCAKCLFDDRQDCC ITLTEASTSSRIRRSSAPSPKTLTHDYELPKQNSMCPFKLEVDYAKDCHHKTELSRWT TAPDENGAVLSFPLGVECWDNLPRLKQACSEMEHHLNIAKVESAIRYLKKT ANIA_07318 MVLLSATFPTSFNAVQDKELTCTVVDPRPDKLILGIHPILPILP GITASNLPIPPSGTTSKTIRFYILYPVLRRLDWRTAHAPTPATPESKSWAGDTADLEA AFNQTRGLIAKRPCAGCSGGRGLWKSCAGRLGKKINGICANCWQAGEFCTNFNKASNL SRMAATLRHCNKLMTNEQVFSFVIGDKMLDSLTHLRRAE ANIA_07317 MADNTTDHASQLEKGMGGLPPTFVHLDRTHTAGGHVNDRTQPGL PVVHRTFANPSPLGLLSFATGLHARGVETPNVILGVLIFFGGLGQFLAAVMEFFTGNT FGATLWATYSAFNFSYAMIYIPGTGILAAYTDAETGALSPEFNQAIAIYLWAWFIVNT LYVVAAVRSSWVIFIDLAILSLGFLLLAVAYMTGDQAVMTAGYSVTMVTAALSSIPLE QKK ANIA_07316 MSAIQTQIQNGAVPNAVSNVCPPGTKFHVLEVGWLECDEAFVTR GGNTSTKSTEGKSFVNKRRQLPMYCILIEHPHEGLILWETGCGKDYPEVWGPAVSDIF ARVRYEPQHELRAAIEATGNKVEDVKKIIIGHLHLDHAGGLDEFMENKEVEVWVHERE LNAAFWSVATGADAGVYLAHYLNLSLNWKTFNDQTMDFCQGITLHHLPGHTDGLIGMQ INMPESGTFFFISDHCHVLENWIDGIPQGWLARDHPAWFRSTQRLKQLQRITKGQVIP GHDETTFLELKKQAKVFT ANIA_07315 MSLASLKRKDLFQQHGLIGDKWVSSSGGGTFTVTNPATLETLAT LPEMNGADTESAITAAHTAFQSFRKTTARQRATWLRKWHALCVENIDDLALILTVENG KTLAEAKGEVLYAASFLEWFAGEAERVHGEVVPASNANQRILTVKQPLGVAACLAPWN FPIAMITRKVGAALAAGCTTVWKPAGETPLSALAQAVLAREAGFPSGTINVITTLNSV AEVGAALCNSKLVRKLSFTGSTRIGKLLASQCSQNLTKLSLELGGNSPFIVFDDAKVE TAVEACILAKFRNSGQTCVTANRIFVQEGIYDRFSAALVEKVKALKVGNGVEEGVIIG PLTHERAVEKAVAHIKDAQEKGASLLLGGSPCQPNNLPGYFLEPTVLGKMSTEALTTR EEVFAPVVALYPFKTEEEVLAKANDCDVGLGSYVITESMPRMWRVAESLEVGMVGINM GTLSAAESPFGGVKESGYGREGGRQGIEEYMTVKSILMNVAA ANIA_07314 MPSSDGASRPPDSEASRAASLAGRSSRTASLGDSSSLISTATPE PNIAPEASFTSSTSHKTSEDQADGITNAQLDDDTLRRSPLSPFSPGPYNAPPAPPSIG EDAGEEEDEEPRIATSFQRDSISASAQKQRQVYLDTGGEDGIYRMHKFSLYETATWFY MVGMDLSDTRFRILKIERTCDTDDLNIIEDEIVYTKRQMSHLLDAIDDGNKSSGGLKL KCSAWAILGFVRFTGPYYMLLATKRSQVAMLGGHYVYKIDGTELISLTTSSSSSRFKP EKNPEEARYIAILHSLDLTRAFYFSYSYDITNTLQNNINRERKLHEDGLPRDFRQDFN TMFIWNHHLLTPAIMSLKNPYQWCLPIIHGYVEQAKMSVYGRLVYITIIARRSRYFAG ARFLKRGANDLGYVANDVETEQIVSEMTTTSFHLAGPSLYANPLYTSYVQHRGSIPLY WTQENSGVSPKPDIELNLVDPFYSAAALHFDNLFARYGAPIYVLNLIKSRERTPRESK LLREFTNAVEYLNQFLPEDKKLIYKPWDMSRAAKSRDQDVIETLEDIAGEIIPKTGFF QNGQSPETGLKVQNGIARTNCIDCLDRTNAAQFVIGKRALGYQLHALGIIEGTTVEYD TDVVNLFTDMWHDHGDNIAIQYGGSHLVNTMATYRKINQWSSHSRDMVESFKRYYNNS FLDAQRQEAYNLFLGNYIFSQGQPMLWDLSTDYYLHHADPRYWANKKKPNYIKWYTEE NLKKREVPVPPVPPKEPLSRYDDYWFEYYRPLAVSSFSKIFSYKMNSTLRYLPFRPTS TDDYNLSPFVPRISHEQLNRERPQRSVKIQEPEPLANPPNPHQPYTAEKRPGIMKPIS SLGTLQNTNAASSHPSMDSSLTNPTPSKAQIAQWTLGQLVSDSLNPSVTAAEAEEYER YINHPLKVPLVVTSEDELTAASIREHEANLDLVEYMNKCNVEEYTLSANAEENLADYA EFLHVSEEGLTVVEADKDKKRYKRYGQWLKGKSLFKQRVET ANIA_07313 MHRRFKILYFALVAKYARAALDIIPGATWTADSTSKHMAPDSCM ISCLPSPQYQPLTLHSEEDGTYYMIGEEKTDGALFQAVNCYSSTNLVEWTFVNQLLTV TEEEGADLGPNRIVERPKVIKNDNTGQYVMWMHIDSTDYADARVGIATGNSVCEDYVY RESFRPLGFQSRDIGLFKDEDGSAYLLSEDREYGTRIIRLTDDYLHVANVTFGWEYFA ESPALIKRGETYFIFGSHLTGWSPNDNIYSTATSLSGPWTDWTEVAPVGSNTFSSQVN YVLPLGTDKAIYMGDRWVSSNLGASTYIWLPLQIDGTTATASLTWYDSWSVDLASGTW NAEEDETVYEGEDGALSGGARLIDCSRCSGSTAAGYIGGAGDGENDGAVTLQVDYATQ STDSARITLNIHYLNGDTDPRYAAVSVNGGEAQTIAFLSTNQLSGSGSSAVHVDLVQG VNTVQISGVEGGGWGPDIDQVAVPL ANIA_10927 MERLRNAANAALQQPALTPIPDLILADLTPIAVSQLWQETSTYS SSDNSEILQNLLIAEASFRKQSPENLSDMDKSAINRFYAWATQDESRQRSTLAVSVIS RLNALLPFEQSECVSSVVIALASFSSDADAWNTHEAFTASTAMLRDFVEASSFWLTIE SILKSRVRPIFAKAKNPAITESGRKNFHPIPLPRFDSSILDPETKPWKLQDAYITTVF AWIVNQYKPTDINTLEAHFPLLVPPTLSLIDDSSHFYKRLGCILLSQFLVPIRESKSD ILRRTNLSSVFEDAIRPLFHSLPTITPEDDSIQLLSEAYPALRSLVQTSYRLSSATNR STSSPRQLTDEEKFISTTTKTLRDHLIPSFHHISSTNPTTSSGSTFASFPHPRLSTFL LEQIAITCAEIGIHTTKYLQEIIPLIYSTLSNPFGTAHPPLLLSALSATRAVILNAYP RLWRWRGEMLGAICSCWIHIFEEEGESKRVDGNKADELGNLKKQLQGAVYLLRYALEH PVHVNTDHGQREAKENIGKEIQMLVEADESLKTCLLAEINSDDPTYFGYNT ANIA_10924 MFAYSCSSCAIRVFTRLTQRTTYFPRSPSFHQPTSHRIPIQLAL FSCYMSRHQLGDFPSPRAKKRRRISTTTAAEAGVATMNPAADGTSQQLPTIQLTPCET TLKDLLLDVAQYIQDCDTANRHGDGTKTVLRFTGGWVRDKLLGVESHDIDVAINNMTG YQFGTMLKEYLDIPENLDKYKGQNGEKELSLHKIEANPEKSKHLETVTTKIFGFDVDL VNLRKEAYDENSRTPQMEFGTAEEDALRRDATINALFYNLNESKVEDLTGRGLDDMRD EIIRTPMEPYQTFKDDPLRVLRLIRFASRLGFRIDKDTENAMQHGDIGAALKLKISRE RVGIEVQKMLQGPDPRGALHIIDRLNLYPIIFANFQDDVTADYSTWSLAYEALHRLCS EDDSGTISRVRSLLVRDPLETYYSWVIVAFAPWSTVPTRVQGTKLIPPRMAETARDSL RADNRTVNILKQTGTHWRNIIDVKTALVEGRMEGTAAEVRQQLGLHIRSWSTDWRFCV LLSLLQEIMQGGNFVKVVQSYDQFLSYIVEQDLQDVCDLKHIVKGDEIMEAFETRKKG PWVSNALKLVIEWQLLHPGVEDKEGALKYLQSKREEIGL ANIA_07311 MTSPGDAAPVKPPPSFSPGVPRVPSKTRYPQRRDSSASLDTERA DSRIASSPTTPLSNTTDLPIRSASPSARSIRSSTPQIGRTSLGSPLDGRADTALDIRS LIIRSFSPVVGVYASPDTDELVRQKGFKGGFWELIRPFGENVPGKLVVRDSVGSSRGW EDYGVRFVDLGDLCRAPNDPSQGYNSSLAQLEAVLEKQLDSADSLPSGPLHPKDLAGL SSTSPLYKLYLRQLLSIASASPHETFRHPVASVIAISSRNPAPLESLRQLYADTNTGP QKVPDWIHPEYLRYYVLVHDEDRDDISASTKLYDQMKRHFGLHCHLLRLRSNQCVVTD DDSVQVPECEWLSPSERLSERPEPLVDLDSDGLLYLFDSDVMAIKGFVRELVAQSIVP FMENRVAVWNDQVASRRRGISGRFMSISRKWAGFGTSSRSSSGSGGASGNYNVSQGFY HYDHSEAILRKMADYAFMLRDWKLAASTYELLRSDYANDKAWKYHAGAYEMCAVSTLL NPLGAGSKIKVESIDQMFDTACYSYLTRCSDAPITLRCLTLAVELLKSRGGSAAESAA KWAMRAMDLGLVESVGQGLLSERISSCYASRAPPNGLRFGGRRRKAGMWSLFAADMWL KLGKPSLASACLEEAERLYADALDSDGVFPMPEMQTFVDNLRLSVKVGYLEARGLDVK EETGSTNPLDDEETSEKLDRRMNRRSLIGNLNPLDTATLAQLQTARDGDNVPSDDFER A ANIA_07310 MSDLLNYILSQDSFRKNRLPSLYSDFSIQAKTNPDGYRVNVAAW EQALTRAARNGYISSHTFLQHSGDGKVQRRKANHLTLHVSEGLLRDLEIPELGRPVAL GAVFEDAVWNRTMVPVHLYKASAASLRKPQWGLIDTTTLSPWNVMVWGLKQIRGVVVG SGTDAPRLQTQDLVLVSNLQEAAEKLVKQVLDASPSRTDLVFSKESFVEAFGTILNEN SELSNTDYDVLLLYLSRDKGAIAYDGKTIRFRPTDDSPREITEQDTAISLIKSLTATM TRQIGSLEKKIAELNATARAALGDKNRVSALAALRSKKLAEHNLQQRLDTLAQLEQTQ LKIEQATDHVEYLKVMESSTGALRGLNAQLGDVSKVEDVVYELREEMSKVDEIGNIMG EAGPQIDETEIDEELEGLEFKERKAKEEQEAEGTRKQLAELDNLGLETKGAIRKAPVG QNVDSALEDSIEKLSQMSVEEGA ANIA_07309 MEPTFDIPDSTDWLDTPLTLLAPFETSLRCQVCKDFFDNPVITS CSHTFCSLCIRRCLSTEGKCPTCRSSDQELKLRRNWVVQELVEGFKNARPSILQLARM AQTGTDDSGDLAAEEPASKKRKIEPNAIVGTDGLPEEGIRTRSQSRGASRQPQATPVQ VIDDGNDEDYMPDGLVPCPVCGRRMKEEAVFRHLDSCTGTAEELKPAAFGSLAPGPRK SFLAATGKPPERLPVINYSLLKDTVLRKKLKDLGIPNWGPRALLQRRHTEWLNLWNAN CDSRTPKPKRELLRELDVWERTQGGNSVTPTDPTNAVMNKDFNTEEWSANYDTDFKAL IANARKKNDAVIRSTIPNASQANSDTPRSAQLVDQPIEASLTPQDVDEKSTMNPQDAI DNRTEVPPVPDPPQALSGIDRAVNSPMKNVTEGDAQAIPISSSASTHKTPH ANIA_07308 MAIADHKKFLAEAVFHERRTVTYRSLGRALRVHSTLAKQMLYEF HLNENSKKPSSVHATYVLTGVPKPVEPVRNGATANGNGDNEDDIMPSSPYISSSLPNP ETESDQVPVSAVLLAREEDLEGNLQPTILQDLNVLTDVSREMLVNHAHEDPLEYGKQW GMVQNTNVMRRTGVRPPPPPSSTVPAKRPAQSEPLQPGKAEIKNEEPPKPLQRQNSAS SAKTNEKIPPAKKEKGSLFSSFAKAKPKLKKEDPATSAASAAESTATSGAEDVVDDAS EDEQEDLFPESRKEGPSETRESRKERDERLRKMMEDDGGLVSNIVHHRILMSADEDEE MIDATEPPTESETIDKPPPKQEDLKVEATVKGGRRRGRRQVMKKVVKKDSEGYLVTVE EPSWESFSEDEPTPPPPKKKPGVSAPKAKPGTKAGQGNIMSFFGKK ANIA_07307 MTLPALVLALSLLSANAREAAALRDEPTILRRACPDYLSYSTAP QPAIECRTFNSSAVEQVIEDVTSRMIDKDLAQLFRNAFPNTLDTTIRWHIDGFTTAIR QSKSKKQNEQWTGPQTFVVTGDINAEWLRDSTNQLANYQTLANRDRRLYNLIQGAINT QAEFVIQSPYCNAFQPPPPSNIPPEAHNQDDQVHPAYEPSVVFECKYELDSLANFLAL TADFHENTGSTDFLTSRWYTALDTLLAVLDAQSQPTFNTEGQFVTNQYTFQRTTTLGT ETLSLAGVGNPLNSGTGLIRSAFRPSDDATIMGFFIPANAQMAVQLNKTAAMLRTAGG HDDLANNLQDRSTRLRRAIQENAIVNHPKFGDVYAFEVDGYGSHVFMDDANVPSLLSL PVLGYLDKDDPVYQNTRKMILSKDGNPYYLTGSAFHGIGGPHIGLENAWPMSLLIQAQ TSDSDIEIRECLNLVKNSSLLGLVHESINVNNIVEYTRPWFAWANSVFAQTVLKIAAE RPAIVFGEGAEPYIP ANIA_10925 MEYLPSLQQEFDELKPSLFELLAEQQLSDLLPPSIRYILAVATH RHPRYLLRVLNSFDEVYALLSLVVERYYLRNFGGSFTENFYSLKRERVLLTKNGEIPR AQLGAPGPVRESLKLRNSDVWKNLLVMVGIPYLKRKLDEGYDIHAAPQASLIMNGGPR YNPSDDLPPHPTIRQRFMHAYKWFLRNVYPSFNAAYYFSILAFNLAYLFDNTKYSSPF LWLIGTRIRRLSSADHQAIAKILEGKPQTPNSRSARSRPGSGLLGLFSPHNLYPQLLT SLRYFLPASIFALKFLEWWHASDFSRQLARKATDTLDIPAPITKGMISPSERKSRPPT KQKEDPESPKSALKTSSPHKRIQPPISASSYLPIFTVPLPPADSDAASSCPVCLNQLT NPTACQTGYVYCYVCIFHWLNGEHQRQIDFMNGDGAGAAWEDDSGDGIDADGDRNETE SAAKTGKSRHGKWESGKGRCPVTGRRVLGGTEGLRRVLI ANIA_10923 MTLLRAPQRFQYASRVFTSTSLSTPRHAYLTYSRVRSLTSTSHH PTKSHPSLSSSTSNTTSVEENDAPKSQCTPQASQHIPWYLQEESSVPAVSEVTLQEKL PELPENPPKILPELLEYIFKDLGLDELKLIDLRPLETPSALGANVIMIIGTARSVKHL NVSADRLCRWLRSEYKLSPYADGLLGRNELKIKLRRKNRRARIASRTGTMFDDKDDGI TTGWICVNAGVVEEHPVEERVEGDFEGFGPLVGGTRVVVQVFTAEKRAEMDLETLWEG RLARAQRERQKHADAAKDDAPEEVRYPNSISPSPSDYKSPNVPRSWISLPHEQRRQFH IRSLRSFARPAHHAVFTPRFMSQNHTPAEHAIVGSELSSPTAMLLQYITTMPDQQLMS ALGDRPDDESSTDFLRLFHHSLLGASPNVLALARLELLCLAHSRGHTGISKESVHRAF MGCCFSASHIPDRLIPTVVDILLTPRTGDNPDGEKWFTDADKELALSALDQLVLRGND FMNLQFFTRLYYLSSLPPGPPGEENDDGLTPAERGAHVVRMIEILDIPFDPIHARTLM VYLFRNGDYDAFMKWWRTLPLKNSPRTREDYEMLFRLHADSGDSRLTRECISTWAPMM SREDPPIWLEGDLVAHLRDCLLLADERTPARAAEGTQSLFAKLWRACERELNKSEVV ANIA_07305 MTTRKHNEFLDIGTSDDEDNDAGYDSEAAEESKGRTVKRRKTQS REDGIESASEDESSGGSEGEESDTEAGGVRLREKKRGQRDEYENDSDDDGNYMNQESQ YLDVTAEVEKAKAKKNQKPLDKLKNKPPKKNKTGVVYFSSLPPYLKPFALKSLLETRG FKPITKVFLTPAVRPPSAPRRRSNKRKTYTDGWVEFASKKTAKICAETLNATIVGGKK GGWYHDDVWNMKYLKGFKWADLMETQERERSEREAKRRIEDARARKEEKVFLQGYEKG KMLEGIQKKNEEKRRKKMADKDGHGDQGKEQAINARRLFKQNEVKLGRDKTSADQTTV EEDTRRVLGKIF ANIA_07304 MSAAATGHAQDDSTSLIPNPTSPNIIGDSQSRNQSASQQMESAS HAQRPTSHNISSGAVVAGPHATTADDPSHRPGQSSRNGGQEKNKRSLDYVLRSGLAGG LAGCATVVAPLDRVKILFQASNPQFAKYTGSWTGLVFAIRDIKRHEGARGLYRGHSAT LLRIFPYASIKFLAYEQFRAIVIPSRDKETPFRRLVSGSLAGITSVFFTYPLELIRVR LAFETKKSSRSSLVDTIRQIYGEQVKPPKELSTGKGTSSVTGTAAAAANTVSSTTRNI VPSSGLANFYRGFTPTLLGMIPYAGVSFLTHDTVGDWLRLPLLAPYTTIPRSSSSGHK KDRQKLQLTAAAELLSGAIAGLVSQTSSYPLEVVRRRMQVGGVVGDGRRLGMAETARI IMMERGFRGFFIGLTIGYLKMIPMTATGFFVYERLKWSLGI ANIA_07303 MVLFKRKPVQYLPRPIIEDDSSEACTLREDGGIKRGEQLVSRSA KGSHIAPGPILDVDEIYEEFKSEFYPGEPVLILLDDNTRLHGVIRDKAKFPEQLYPDG TVRTPAHATYLVKVLDRPDEEALLDNHHITRDRKTFTKQMLRAFIKNNVTRESWNGAP WLVKPSVAEEYRIPTEVPKHLQYGARVAEKKAQKKADQEGFFGFFASKELPELKPAVK GQKAKPSAQDLARSEEAQFLEYKRSLNGNPSFLVGNKSMNGPPRPSKSQEPEKNAPPI PSVVIKAEPPRPPSPPPIKYPIEDLEIPPNREKKKQRPPLKFLKANEFDDPNDEDLLQ DAIEMESVGLLLETWNTLNVYCEVFQLDSFTFDDFLQAMRFSSDEMDCELFVEIHCAV LKKLVNAEKDDNGAIQISLPELPVEESEDSDEDEEMVEDEQDEPEATPEPAVTRMTTR GSLAKAEAEGLKATLNGDHDSSEIKSHRASEMLSEYRWIDRLRKRDFRNGGWEMIMIG LLHQLSVRPRMEKVCNQILEHLAPLDQEPTQDTARAQYAVLDVNLRIRALQIICMLSL ETRAIRNYLEECSNQMTEFRKEKIEYQKARKAAMEEVRRLHQECKALAPEPEKSPSPL PEMEPAEDTKMSGMDGDSQVESEVEGTPQRALRGGVDRVMERKRKLEEERERKEQLAK QPKGSKQYQRLLKKLEEQKAAVKKFEDKIAVVDNDLREADCPRTRCLGKDRFCNRYWW FERNAMPYEGMPDSSTAEAKYANGRLWVQGPDDMERLGFIDVSDDLKKQYQKRFHMSP AERKKHEEGPTRLSGAHEWGYYDEPEAIEKLLDWLDSRGERETKLRKELLLHRDKLVK CMQARHEYLSRTEDDSEDIPTKRVTTRNKTYVADAKHRCLNWRNSTALADNGHLHVDA SRPSKRARKSGEDLKEVKATNRQGKPLTRQGGRYNF ANIA_11553 MTGQVSSTVLRLRGSAKQCSATSRALFKHYFSTSAEKNTDPASI QLVESLHQKNGNLSTRLTDGEVKLIISSYWPAIISTYFSFPGNVDLYAFTP ANIA_07302 MKVPALFFFFSAVATAQTVIESSSFGHGAMVAPNREGIPGWDIG GEGHFPQILSNKVILTPPYPGNTRGYAWSQTPLSQSEWAAEFQFRATGVERAGGNLQL WYAKDGKQKIGSASIYTVGQWDGFALVVDTHSGRGGSIRGFLNDGTTDYKSHSSVDSL AFGHCDYSYRNLGRPSVIRVRSTNAIFEVTVDDKPCFATDKITLPAGNTIGVTAATPE NPDSFEVFKVVLQTATSPVGKAPPVQAQNTNQQPLADQPQGNARQEQGFSNNLNSINA QFVDLGSRIQLVNHATNNIIRELGNQASKSETRQLELLQRVATKEQVQSLEARLQRME QTLLSIQRDLEGKDYRDRFNQLHETLRSSHQSLTENLKDNVVSVITASTPRMGFFIFS MIAFQVFLAVSYIIYKRRRANMPKKFL ANIA_07301 MAELYPSLTQCAIVATAFKILLFPAYKSTDFEVHRNWLAITHSL PVQEWYYEKTSEWTLDYPPFFAVFEWALSQLAQYVDPAMLDVQNLNYDSWQTVYFQRA TVILSELVLFYALNRFIRSDPQPTKHLAHAASLSILLSPGLFIIDHIHFQYNGFLYGI LVLSIVWARKQSKLLYSGIAFAVLLCLKHIYLYLAPAYFIYLLRAYCLDPKSVFRPRF GNAFKLGLSIITVFGLAFGPFVYWGQLLQLKDRLFPFSRGLCHAYWAPNVWAMYSFTD RILIPFAPKLGLPVNQDALTSVTRGLVGDTSFAILPEISKEYTFVLTLLSLILPLIKL WLRPDYYTFVGALTLCGYASFLFGWHVHEKAILLIIIPFSLIALKDRRYFSAFRPIAV AGHVSLFPLLFTAAEFPLKTVYTLLWLILFLFVFDRIAPVPERPRIFLVDRFSLLYIT VSIPLILYTSLVHQLIFGLESSITPSQPLEQRIPSPVTSASHSSLRFWYRMSAKASMT P ANIA_07300 MARNLRSSSHARSNDSRPSTPVPHTANMASPFTESTRPRKQRRT GRSSRVGTDSLRDTPVSSQSQSVQPQESATNGVSGTELNGHFADWIEPEPRAPVPSYL DTPWSNVSNAHNPVLGTMRPLGALPTTADRRKAGLAPSHPGTPSIPAVKEKQPVSEGE KKDDSPPTLLTPAEEQAPELILPRPTIEEDLAAFTILPVPNSIDADVDTLKAAVESAL HLASDANNRLVIKGLLRMWEKCGNDPYVLRILDGVCQETPGSPQRSMFQKAMRTVLGE LEAQEMARTEPGAPPVLGRARSASSVSTLSSAKSLDAETYAPVPVVAATSAPRPKKGK QSKNSTQKKTAPAVDFQRQRAVENPEFSEEAIQAKKSRLRKSLPKIATSESGIRSSLT SNPPSNFSSPGPIAANLDISVSRNTGAHRERSESVASSDAGDNRRLTPSLTDEPAENN DFCRQCEKSGRLLCCDGCVYSYHFSCLNPPLDPANPPEGDWFCPKCSVSKSLNTLLGG MEKTPGRDFALPNKIRDFFAGVRTGDDGKYEEVASLPRINPRAARGSRTGRYDDPFLL RITDAKGKLIVCVKCGQTTNGRRPIIQCDFCPCAFHMDCLDPPLAVPPTQRVGSDRLY HTWMCPNHALHDMCYPVRDEEGYETMKRIRRPKNPRFIDVEVLPENEEEENLEDEEKE GITYRVSEKGIKLDFIERVKRENETAAAKKAAEKMYFEYAKSKVDAITSEAKAFFESQ KPADDTTAAILNSRTDVEREAAANLILFAQSNQVIWQNEQNGQINLLIDQLKANAPKN LPAPEDEISSLRALQKLIEQRISALQPKQKTKNAYSSEVQPTS ANIA_07299 MAENQTQTPEVDYTLNNPDTLTKYKTAAQISHKVLEAVSAQCVE GAKIIELCQQGDKLLEEELAKVYKGKKIQKGIGHPTTVSPNSHVTPYTPLVSETAEAE TTLKAGEIAKIQLGAQIDGFGTIVCDMVVVGASEVTGREADLIHATYYANELLLRLMA PPGLLATGSDEEKKKAAAERPPTQARITQLIEKIAKTYDCTIVENTTSWLFERNEIEA EKKIILSPGSGVKGEGVPDVGEVWGVEVGLSLGSGKVKNLDLRPTLHRRTTTTYQLKR PSSRATLTEIVKKFGQFPFSLRQLDDEKAAKVGVIESVRNGVLRQYEPAGDADNAAVS RYLTTIAITKNGITKLAAPATPDFEKIKSDKKIEDEEILKILELPLSKSTGSKNKNKK KKAKKADGADE ANIA_07298 MKVDAKDIPLRILTHNIRYATTSPFRGERFWEERAPRLLNELRY NTRNQDAFICLQEVLDNQLIDILSGLNSNDHVDSGAAAESSDHTWAYIGVGRDDGYKA GEYSPILYRPSVWELRHWETVWLSETPDVPSKSWDAASIRIVTIGLFTHHVSRHTVLA MNTHLDDQGSRSRFEAAHIILHKIDEYRNGRFGNIISGIFLAGDLNSEDTQEAYAVLT ALESPMVDSATLVDTADYYGNQTTWTGFGYEGEDPKRIDYILLGPAVRGDGPGRGLPW NIEGTDSAQRAQVPRYCRYKQVTI ANIA_07297 MAFPDSSQQGMADAQSDAIQNNSDLLDGAADFDHDVDSSLLADH GIEGSDTIGEGKHNAKAVLAASGVSLPKSVSGQDASNMDTSPGSQNGSANGAAPGKKR SRDGAFIPPSSPGNALPVRVRETPMEKILLDEYVNREFQHSALTAWQNPSQQLLQEKR AERDYYLALRRENHTNPAALYGVGYEGFGNARTDLRNQHPQLLYPAHRRRPGNRKTRE LRVSRKDMKTQSEQLEHLVPIRLDIDWEKVKIRDTFTWNLHDRVVSPDLFAEKLVEDL GLPLESCGPLVRMISQSIQEQICDFYPHVHIEEEALDPHLPYSAYKNDELRIVVKLNI TIGQHTLVDQFEWDINDPHNSPEEFAARMTTDLSLSGEFTTAIAHSIREQSQLFTKSL YIVSHPFDGRPVEDPDLKASFLPSPLTSSFRPFQAAKEFTPYLYELNEAELERTEVSF QRDQRRQKRSVNRRGGPALPDLKDRQRTIRTLLVSSVIPNTAPSLEESNVFKRSGSSR RGRAAVGLREGGDDSDESDSDESSLVGSPAIGPHLAQGTARTRGMRGAATAAHAALRA NLGQSATPEPHNESRVSARRRDYREESPEEPEKLIVTLKISREKFRQFLMNGYKTNSA AVNSTPATQPASQRSTPQVQTPTLNSTPVPSQSHSQARLPSAGRPGPIQHLGAVEAPN PPQPGVPGPPPPSWLVAGLNRLNQANPNDSFEAVMRYTAVDTETMQPVTHSQAQANQK LKFLYLPRIRCHDCPGKLYTPGPATTVDNFEVHLRNRQHKERVEERLAKAAAASNAAT ANIA_07296 MPSRTRQGPSVATEDVEPEEPSGGLRQLKFNEPLSWRVGRSAIP IADLLQRLQTLAQELRKLEQEEIEKESLRKVSQELATAQLLAHKDKGVRAWATCCIVD VLRLCAPDAPFTANQLKDIFTCIVSSIIPALGDPSNPYNAQHIYVLNSLAEVKSIVLM TDLDHPDTLIVPLFISCFDIVAGSAKASTGEPVAKNVEYDMTRLLVTVIDESPVLAPD VVDVIVAQFLRVDPRVLDGPGKKGKKPETQVDEKQETLLLKDYPAAYNMAKAICQACP ERMTSHISQYFNNVIIDASATGTQNGPSKQARRTNLDDSDEEGEDIKELSKAHRLIRE LWRACPDVLQNVIPQLEAELSAESVSLRLLATQTIGDLTSGTGVAGPPPPLPMDPAVY PQVKLDDYARSIPQPNVLLMPFAPKPFSQAHSSAYDSFLSRRLDKSASVRASWATAIG RIILTSAGGSGLSDNEEQTLITHLSSMLRDADERVRLAAVEAVGTFGLSHIVNKLGVS GGVSTQDSLLFILAERVKDRKSQVREHATKVLARAWAVASGDIERSHEQVTPLLKEAP SRILDAYYTNDPEIHVSIDRAMFEILLPLSYPPIKPKLSRSSSSQSQRLKDSQAAEPE SEADVDRIRVRRILTLVGGLDEKAKKVFFAMQKRQVSLRTAVTVYLQACEEYNGGVME KNKDQIKAQLTKIVDALAKTFPDPARTSADLWKFAKIHDRRGYQLIRFAMAAVSDYRT VIKAIKELARRLQSSNNTILHETLTTLLYRCSSIVFNRSHIPAIMSISRSDENGLAAP AHEMLKEISSLNPEVLEAQVQEICKDLEAQAPKATTVSAAGTEEILKACSGFAKKLPS KLPKERKFFQALVDYALHSPSPRAAKHAVLILMAVTDKKNMYAKDLVEKCVSKCTYDS ERFLTKLATLSQLNLLAPREADEESDAIIKISVNQILLTNRSPTPNSGYFWSDQVDDE TAAKEWALKIIVNRLRAKDGSDSDDDFRAHAEPVYDTLNKLIVNSGELSKKKDTPATQ KSRLRLLAANSLLKLCSSHALCEQLLTPQDFNSIALVAQDPLPEVRSGFINQLKKKLV QDTRLGARWYVIPYLLAFEPQVGLKDSTLTWLRSRAAFFSQQTNGKKGEKQTVMEALF SRLLSLLAYHPDYPPADLDESTKLDELTDFARYILFYLSAVANEHNLSLIFHIAQRVK QARDGITKSDEMSRRLHTLSDLAQATIRRFADVYSQQRRFGGGAGGVNLLQTYPGKVG VPSSIFAPMGSHREAQEVADTTFLPEDAEDRLDRIVRATMRTKNGSSQAGAKKRKTDS TQEPSRDANAAKKARKNSESSSRRKSGSSAVGFKMPKRKSTKKTGDDWSSDGEAAGNV ASSSATRRRSNRGSASRRISYADPDSDEDDMEMDELNQARDDEDEGEDQAKDIENGSD LSELSEADSNMLEEPEDDDGPSEKEDQPDDKQNGDDDAQPASPVPVASKAKVPGKAMK KATLPTRRSARR ANIA_07295 MSDINNLHPGDGPGHDAPSSSTTLRSESRSSLGYGEKAMQSSPD TVSEKGEDRDIESGNHDAQKPSADEKPKELESKDPNLIEWNGPNDPENPQNMPHWRKW VITMTMSSMTMWLTFASSVFSTATLVTAQQFGVSTEVMILATSLVVFGFAAGPLVWSP MSELYGRKYPLFLGYALFAIFQIPVAVAKNVQTILVCRFLMGFLGCSPLAVVGGAMAD FWDPVDRAIAIALFSAATFVGPVLGPIVGGFLTDSYLGWRWTAWITLIASGSFGGIAW FVVPETYHPVLLQRRAAKLRKETGNQDLYAFLDHHRPTFGDIVRKYLFRPVQMLMLEP ILILITLYLALVYGILYLFFEAYPVSFQEERGWTNGGIAGLPFIGIMIGVLCGVALIV WQTKTRFARKLAKHGRVIPEERLVPMMVASVLLPGGLFWFGWTSSPNVHWLAQVAAGV PIGAGILVIFMQGLNYIIDVYMMFANSAIAANTLIRSSLGGAFPLFATQMYHKLGVPW ASSLLGFITVAMIPIPIVFYIYGKKIRALSKFSPNF ANIA_07294 MSDTCIVCLGDLGESASDPLAASVPRPDLEPDGKAPDISTKAEG SDGSEDSFIAQLLPCGHILHNNCLKPWVERANSCPICRRTFNEVELSDRVGGPVISSY AVEDRVQVADVDPSMVVEYIDDDVSDFQPCPICGDSENEEVLLLCDGCDVPTHIYCVG LDEVPAGPWYCSRCETQRPIGLSSDAVDRLSHAQTRRRHRTRAQQRRVLSRNQINSLH WARVWQSVWDNLNIDLDFPFDDDRAVERALQQQRREEANQREFRTWQRRFDVAERQGG RNRFRDTAALLDIEAPRPSRPRAPRAPTPEPESLEEMRAWNAFERAREIENNPSAARK RKEPTMSPSPEPAEPARKLKRPRTRRAEDLAALAVQNGESSRSATAQASARLNADNSS EPSFLSSLLKEVEDASTPQSVNSYGPSAHVSAEQSTLGLSSPSISPVPSNQSSPRLSS TTPPPHSGSRPISPMQLTPPREVSSPTFSPEVSPASSHKDVREETPSRSRPRRIPRAA HIVRAVRSNESSPARIGLSLEVKSDIQKLVSAALKPHYRSKVVSKEEYTDINRNISRK LYEHALGLDSLESTTKARLEAKAKEEVHNAVEALRQSKARANGSDDSS ANIA_07293 MESSREFDIVVLGPTGYTGKYCAEHIVTHLPTNLKWALAGRSTK KIEGVAQELKKLNPDRLDPGKTMYWCLWSGSADASIEIISVQLNKEELRSLAERTKLI INCVGPYHIYSTPVVEACANAGTHYVDATGETPWVKQTVDKYHGTAKSNGAIIIHCVG IESAPADMLAYALVKEVREKMSCQTKEITGSIYEFKSSGASGGTLASILTCIEDFSGS QLLESTKPFALAASPPPKDIPSESFVARILGVRTVRDLGTLTKSPTDFCDMTIIHRSS TLMPELYGPRFYFRQFLNVRNTFIGILWNYAFLVGTAFLILAPVRWLVKKAIYAPGSG PTTESGRNDRCEYRAIATPDQDTPKRVLGKLKYAGSSMYHFTGVLMAEAAMVILENEE KVKRVSGGGIVTPASLGQEYLDRLENNGCRVETQVLEN ANIA_07292 MAVDKTRVSGDSRVEHRSAFVKGKTYGYLYSQPPSGQYKGTVVL VFTLTFLYTLSSSILMQILFCLSSMVSRTCQWVGDTRFRYLSIKATESLRQIVLDMDE RYTRPSILQSEQAADGITVFLNQDAPADLAAYSHKNCADDIKELANQLGASKIILGGH DWGAFLAYRVALWHPTLITHLFTVCVPYSPPYKRYMTLEDMVSKVAPHFGYQLHFVSG EIEEAVKSKEDYKQFLISLYGGKTQEKEPAFDVHKGVDLEKMRSVKMSWLLEEEEMEY YAWEFARHGLRGPLNWYRTRKINYDDELSLQKGTIDIPVLFIQALKDAALPPHLGKGM GKAIPQLTTKQVNASHWALWEKPAEVNQALEAWFNEVVEGGSRVAKL ANIA_07291 MAEGTLASAVIVPEQENHVPSPESGLKRRQSSTTDLESDIKRRR LSTQNDDQDSEEPPARRQSSPKLEVQDTERKRNRRDEERKRGQRLFGSLLGTLSQTSN SAAQRRRADIERKQQDKLKLQDEEYGELKKKRWEERLATRKKEQKSYEKEMMLTRHEN LLAMAHSLKTKSEPALLRPEEEDIIQDQVREAEATIAREVEEFDARNAQEDQPETDRQ EQPEEAQEKLEQQEPSQDAQNDEAKEQEEKVQAEQSHEEEPEETKPDGPSTEAVSASP HQDEDHRGAEDDGGEVVEDNEDTVIY ANIA_07290 MSRHHPDLVMCRKQPGISIGRLCDKCDGKCPVCDSYVRPTTLVR ICDECSFGNYQNKCIVCGGEGISDAFYCFECTRLEKDRDGCPKIINLGSSRTDLFYQK KSFRNH ANIA_07289 MQSGISVSQELHDAFSRFASDTSIFCLPVTITAERLTPLSTLPF SGFGENAFYASLSQLTSVLEPKTPIYLLIRRTGSNLIALTYIPSNAGVRAKTLFASTR ATLVRELGSEKFSETIFATDEEEVIGENAWKEREAEKNGTSTGGYRREDLMGEKEREL EAVRRAEEAARSGTPGRDIGIGGTFARGPSRMKIEMQVDEDAKNALGGLQQGGLVQMA IDVSTETFKLTAAESGVDANSVQNHISASSPRYTFYHYPDSDTIIFIYTCPSGSSIKE RMLYASSRMHALQVAEEQGLKILKKIEAGAPDEVTGERLQEEVNPPQNNGLRQGFAKP RRPGR ANIA_07288 MEPRTTSEPVNSSVPAHAAALAAPRVIGLYGLPGCGKSYIMDQL KQELGETDFEYFEGSKEISKVTPGGLDEFKMQDKYKQDHWRKLAIDAIKSTCAQTGKT GIVTGHYMFWEGGKKEEASRVCSQADLATYTHILYVNAPLEVTAKQRAEDTKRARSNL SSEHLRRWQETEIQEIHDLCRENNILFATIYPNLKSKLASLIRDFQCHDENHNRLVAE HIFDKCISPSYDELQTVLFFDADKTLAAVDTGTRFWKIHETKGGKKDPLSALFRGPLG YSYTAFRQAMLLYEESTNDDEFEAICEEIASHTRPLSGNVLASAPGRKLPPRFFCHCD LRTTTLKVVGGGRIKDGFVVTPSVKECLITRAKSAHTVYTWAFGDSPLDLPMMRAAHK PVVVVGEQQSRSKSMEHKLLTEIRDNGLQARQVLLPVNTSPPLLDTAKLPVANLTEKS FLDEIFQPLGRPGGVRLHHATDSHATKLLSTPMRDDSIRGPSLQEAHENAGRYLATRY LVELIGTEVLAIRHPQGNFVAGYRLLDEEQTLIVPLMRGGQSMASGIFKVFPKARLHD AKEPTDVKEEHLKGIVNVILVDSVINSGESMAQFVQRIREVDGAVRIIIVAGVIQDQA VKGCSQLRAVARSTELTVVALRLSANKYTGKGTTDTGNRLFNTTYLD ANIA_07287 MSAKGGDGRKPASPAVNLIAGGGAGMMEALVCHPLDTIKVRMQL SRRSTAPGVSQASWFRENRCRDCQEGNRTRSVQGSGAVLGGIIPKMAIRFTSYEQYKQ LLADKNTGAVSSKATFLAGLAAGVTEAVAVVNPMEVIKIRLQAQHHSLADPLDAPKYR SAPHALFTVIKEEGFMALYRGVSLTALRQGTNQAANFTAYTELKAFLQRSQPEYSNSQ LPSYQTTVIGLISGAVGPFSNAPIDTIKTRLQKTRAEPGQSAVNRIMTIAKDMFKQEG ASAFYKGITPRVMRVAPGQAVTFTVYEFLKGKLEASNWAFVGGKYEE ANIA_07286 MSTPQPAWKRIALFILHQWLIIGIGVACLLGYFFPNVAKHGGII RSEYSILYGAVAIVFLISGLSIPQDKLVRQLFNWRLHLLVQVTSFLFIPALVLAVVHA ILASDKTERIDRAVLAGYIFTACIPTTIASNVVMTRSAGGDDAAALAEVLIANILGPF VTAGWTVTLLPASKEFDVWRDQDEDLTAMYRDVFKQLGLAVLLPLAVGQFVRFLWPKK TGHILQKYKLAKLGSFCLLLMIWSTFSSCFATGALQGLSTESIVFVVLFNIALYLFLT AASAFEQPLAAGRS ANIA_07285 MADDTSGMSASTPALSPSKLCSRTYKKASQLFLTRRLQEALVSL EPVITAKGSNDDQEVNGTSLPPIATVPATWRIKIWNLYITLLSAIIDLGPEEGKKVIG QKEWKDISTQVRDGGIWERVVQIGYQGREGSIDAEVVYNLGTLLLNHSPSQIINQQRL ETYLSSYGQPNLDIEEHLNSPTSSQQHRIRNGGTDTPKDLAARVRLIELFTLHVLPRN EEWDYAEEFINLSEVLDEERKEVFMQTLEGLKEEKEQGKLRAAALQREKEAELERQAQ EADRQRAEEAVAAERAAQQKNHKMNGSGVDYGIEKTHPNGSIRGKKGERVGSSKAGSS SRTALSPPSSKNLKKPEKPETRARQSRALAAGLQNLVQYLGKSISKNPLSFLRLLLFL LGIIFALNRPKIRERIKRIAGSGWQKVRGTVGMGVKVSYI ANIA_10928 MDVDETDYESLALLKFVRKLIDEWIALGDIKKRDKYLKIPPPSR QKETQTLDSVPSILNRFQKRLVHQVVEVEYPDFVTIGRPGFVQIIDYDEKREVAVRDK RVQWCQKRVRKQTGFRWIAEALAWGDLTHLSTNYFPGVRGNTASTEQGKSLQEFVENF KARLKAHRPILVGHNLFTDLVYFFRCFFWNPTEPCRGLSVHGAQAFSYCHRYKTDLFR HTSSFRQIFVKLSAQLGSGSQIRPAGSPSNTSLTAAHGLNNRFSHLHVEETSNGLASP LVVAESERSDGVLGQQSHAEEIRLAEKGLLISRPNFQFWRVYGNNLRNFGTKEKNLQN MKRAIGMCAASI ANIA_10922 MSSGSNGARRIASILRPSIAEQRVCSSCQETLVRRNYASAATPI SPKPSSSTSSTFPVVSPTYTINAGVLLSRPPQITRDLTDFEKAYYFYQKRLNERLALP FTKYFYFKRGTPLDEDWKRKVRERQTAARDIGKYNAYGKEAWNDELLLGAKESEPEHI VEALISDAESTANNTSQDTSKQEQIPRPHPRVTEADKKGDTKSLDRALQRTLYLLVQH KEGYWKLPSSPVASGETLRSAAERTLEQSAGVNMNTFMVGYHPVGHYVYNSRKPKIDE TTGATLAGEKTFFMKGRIMAGQADLSANVQNLKDFKWLAKEEIAKYVLPPYYAAIKNM LAER ANIA_07283 MVCSKCQKKLKATELATPGVKRKSEMYYGSPSTSLGGGGGGGSG SGSGTSVANRTKATLGNTGVSKNKLLSAKAKNPYAAYSSSCDMCKAKTEQGRKYCQRC AYQKNACPMCGKSLTGKSAKDQPVVQGQKFNLK ANIA_07282 MPHFRKITGSVRQSAQNSEDELFKYTSGRWIYNEHLRLKERYLE FSVPALKKAIAAAYGHSTSDIISFFKFSEGGFNRLFQATFNDGKQIIARIPYPSTGPE HYAVASEVATMDYLRLHGITTPEVYCWCSTRANPVGAEYIIMEKLDGTPLGDVWFMLT PKEQHRIMKQIVKWETRLMSLKFPASGSIYYRKDVLSGEVVPLPDNSHKFWLSSHDVF HAVGERELKWAQTYAKPRLPYERLYREIHNFCQVSPDSHIKNLSDNLTLARCLGFKSG SSLNRPVIRHPDLQPNNILVSKTNKIIGLIDWQHSAILPHGIVAGIPKHFQNYGDSES EKLLEPQINLPPNYDSLDLPEQIQVRETIRRRLVHFLYADFTKHLNEEHYDAIFNQSV ILLQRLFHSAGTPWEGDSTTLRADMIRAIQNWPNFITEDSLEHDKRICTKPPLEYPDT VIHDTIHVDTQQRAADTVMEQTRNVLGVDILGWVPNDEYEAAKEMAREIKIKMLEAAE TCGDITGIQNHFPFEDFDEKS ANIA_07281 MEEVSPKMFILPGPPLAYLHWPTYTGLPTLADLRFAPGVLWRLG RSGCIQIALRGLLIAFSSSKECYLISTLSLPTWSLELCFLLQIKEVSKLGRLRQFGPA FQ ANIA_07280 MAGITQERAREIITEIFNQSGGFLTDDEQQGVLANVLGAPVREA AARAWTSDTRFVYEMIQNAEDCSYNSAKSKNAIPSLKFYVFLDRIVVDSNEDGFEESH VRAICSAGKRKKEGKLGEKGIGFKSVFKIASKARIQSGPFCFSLRHRDGENGLGMIAP VNEIHEPLPDGVRTRFTLFSRPEKCREIQDELLRLPHTIIAFLSRLHMLSFYLSRSST SLMFSRPTIEPSLVCVETRHSTVMVHRYIPFRRKVAGLPPRESRPLIEDVEVVLAFPQ DGRALTTQPFAHSYRPLRGAGLNFLIQSDFVTQDNGEDLVACPWNDHILDSLPGVFFA ALQEICSIPEFEKIWPQFLPDDKIKDSPWSPFYERLLRDLKHIPVFKTRRGTLKSLED VRYLLPEHCDSNGEPLLEDLQEDIYLSSQYADYYGLLEPFGLQPISSRQLLDRLRPYL ENPQPRLLVDRFSETSIIMKRFHENWHSKVAGLLLSWLNCPQDDVVAKQIEHLQIVPV NKGCRSIVLKSPKDSAIYFPHDTSGNLIPSGVVDVVPVPEVVDVARVRLYTRLGVKAA EPSFVIERICDWNMRAAERPSLEESICNLRYVYATAPSRSAINAKCIFLYDSDGKELE MPQYGASEWKRAEDLYFKNNDGYGMDAVMQVLQRRCPPLVDLQYPKFLHPAYTAGSLS NDPWMKWLEGVGSIRQAPRLETPGPAPRPSKLLRLVVRYAPDILISMLKDNWNVYAME LASVCPSTLSEIMNASVPVDCGTEPLKRSFLGTHERRNLWSGTYLKDKFPFLKILSSR ENDDLKDWEFLARFGVGTTMAEFLVGSAKRLSMMPLSHARDGFFKLYELLADNYYDGF WDSPTPPAIVYLPQPDSDDAWIPLTKNCVWSVFPFTNGQYAIRHLYPGLEDLFVDRLR VDVPDICSYIDKIQCMMASDSSNSEILVVLKELSSLRPTALDLEPLKMIRFLPVRKGV NNIIYATVSYPFLIVDDDRLQIDTHVPVLNFAPEDVCCLRQFFSALDLQERYVSNQLR ETTVITGNADKSAELTHDLRQRAQFLLRVSILPYGKVEEIFSQATVYTTENISRRFNL GDGLETGSYRGLSHLEKIDGNLQVFVPRDESQRAICYATALPRALVLYLGIDDRMACG TFATVLREPVDILDAVLNEMGIIHLAMEQSKDLVIRTKNQAESEEIGFNLSPSLKDAR PVRKSLSINLKASEAYIEEQDSPSESLTTLTESYNTANEDHECISSNIILSYYGSEPQ DRCLTITEAALKSAAEDTDTRQLALLLDHIGTQGQPVTVSQDVLEAAAANELCGQSML SLLLEFSDSRGQIGKEIEMDAILKSAVRNEECGRKVTELLLHYMKLQKKKVTITGAIL KAAAESSKSSNTPLSILLEHDHDPVTEDIVVAAAMNEDSGYQIMSLLLDYDCDTWISP AIFEAAASNLHQGPQLMAVLLRQNGENIRITEDIIIAAAHNDVSGLEVLSLLKQHNGG YLPVTEAILVAAAESENCQETIDLFVDIYSWDLPLTNDVLEAAARNPAFGKENLAQLL DHLAHPQITKEIMIAAISDSEKVNLLRTVSYCDTFAIVEAAVGSLESCLQETLLYELC DELGDSIIDSALEAAAANPVNGFKAVSLLLKFCSEDHKFSEGTFLAAARNPRSGEDIL GLLLKRQPDIQITAELITAATTADNRTLEQLIRHLIQKHPSTAISPLIQMTESFLEAV TGNWNCGEDVLRLLFETRTGNDGSIPITQAALINAASNVQCGFEVIVILLDHGGPNLK NLITEDVIIAAAGNSLWGLEILALLLDREYTISFSVDVFSAAERNIWCGEEILALLLE HQAFDAEDADADSSDDGDFCEDTEAAEPVNLYDLDSDDDL ANIA_11552 MYGHTFVFEHSDLGTVPDYELSLFFDANPWLKPYEWMMSFKNMV SKLSSESTASSREFYLRDWF ANIA_07279 MKSKSLYALLNAALSAAAITHPGLLHTEDDFMRIQDFISSKTEP QLTGWNKLAAHADPDYTPSATETVCRGSSCDPENYPSLYRDIAAAYTNAVYWKVTGAE PNADATATILDAWSGTLNSIEGNSDRFLASGLYGYQLANAAEILRGYEKWDGLQGVVE LLERIFLPMNVDFLQNHNDAVIDNYWANWDICNLASLHAIGVVSDNQTVIDQAITYFK EGQGMGALNNAIWTIHEEEGSGKPLGQGQEAGRDQGHSLLDFGLLGVLAQQAYNQGED LFALGDNRILAGAEYAFKYNTGNDVPFTSYTNSHGTADVISASGRGGIRPIAELLYAH YNGVKGLNASWTGAYRDLVVEDGGGAEGGSGDYGSNSGGYDQFGFGTALFRLD ANIA_07278 MVTLSRVSQESSSHRQRREIRDISVQTTEYAGVYGTKYAAEELP LYVMNDNGMPPDVAEQMIRDELSLDGNPLLNMASFVTTYMEPQVETLMAAAMRKNFID FEQYPQSARMQTRCVNMIADLFNAPTNQESKEGTEHGESEGAEGAMGTSTVGSSEAIM LALLAMKKTWHKKRSDAGKDTSHPNIIMNSAVQVCWEKAARYFDVEERYCYCTDDRYV IDPVQAVELVDENTIGICAIMGTTYTGHYEDVKAINDLLVQRNIDCPIHVDAASGGFV APFICPELVWDFRLEKVVSINVSGHKYGLVYPGVGWIFWRSPEYLPRELVFNINYLGS EQATFTLNFSKGASHIIGQYYQLIRLGRNGYKAIMQNLVQVSQNLARGLSDLGLLILS DNTGNGSGGVPLVAFRLPDDESRLFDEFAVSAVLRRRGWVVPAYTMAPRSNNLKLMRI VVREDFTAHRCGILIQDIKMAIEWLEEMDETTIQRYTTYLAQHGTRLPNAHSFYKDEH SLHGKTGKTHAVC ANIA_10921 MFKQLSSRQARSPDTHSSISSQDPAWKKEIYNANEEVHESSTLS STQTRRTLSRHWLPFRSSSRRRSPSPETQSKFGLHVVHRPKTIAPVNIVFVHGLGGDR ILTWCKHRDKRLYWPEKWLKQEQSIGRCRILSFGYDASFGMGAVKSIYRISDFAKSLL HDMKFGQDSNGNPLGLGKVPTVFVAHSMGGLVVKAAYLLGQNDETYKEVVQSIGAIIF LATPHRGADSAATLNNLLQASFSSSKSFIAELQRGSPALEDINEQFRHIAPKLLIASF YESLATRIGIHSTMIVIRDSATLGYQQEISRELHADHHEVCKYSSPQDANYTSVRNTL ASFIAQLQAKGIEVINDLMAEESRKIEKLLLVTPKHEEEFETLRSWWLEGTCDWIFDV ATVKNWLKNEEEVEEVPIVQFKAPPASGKSVLSAYLVRELRSRGHSVLYFFFRAEDSD QRTVSFFLRSTALQLASVSPVYKEKLLHIAIGGHSVMTSSSTDVFRKLFQFASSGVDF PYPLYWIVDAVDESESAKTVLEYISRIRLVIPSLKVLFTSRESVIFPADQKIATFSAS AEGKGSVGDNSLDIRIYLERMMHEMGGKQELKDTVMQAILRRAQGNFLWVRLVVKEIL SCHTEEGIETALQSMPKDMNQLYERMEWVVLSAPRAEDKEIAQMILRWVVCSRFPMTT EVLNQALNKKFIDLRKTISQVCGQFVMVDPSGQVRLIHETAREYLTRTTASPIAIRLP KSHYSLFEQTLSALLAPDIRQKVIRAQESISVSEPFLLYAATSWMYHLQQSAEISDQT IDHLSQFFRTTSVLVWIHLLSITDQLDRLVRAAKIVTDSIKAYRKSNMTKNPLLHKLS NLELLERWSADLLKIVGKFSRQLKIYPAAIYSQIPPLCPSSSVIYEQFYQQDFANIKI MGISQTDWNDNLARIPLPRGEEGIKLCCFGRYVAVLTSSGRVTIWDANHFKQVCSLVH QEAVTSMSFNRYGTEMLTFGLVTSRLWSVPSGELLATVVNPANTRALSVTFRETDRSV LVACTNRVIYSLDLEDLAAGWRMLSRSLLHERSEVGGAIVNSPRCVSFNGDASQVGVS YRGFPLSVWDLNEMRCIARCRRPTRTPSKPESAEVTWFPVDRFTWNPVTGHIIGLYKD GTVFKWHPATNEYQEASSSADEVAASPDGSLFVTSSSNGTVKVWSFEYMSVIYQLSSG DLVRELAFAPDSRRFYDIRGSLLNAWQSNTLLRFLEVDEAFSDTSSQDQRSTSISHIS EAHTVSYEAVSVIRVSPEHGLYCAGNEEGIVTLFDEKSEELGKILEFPNFVSISCLAW SNDSGYVAGADLAGDIEIRKLTKVKTTGNGPSQVQSVPQSKPVLKKDGSAICDLLFSH DSTLLLIISGLSAYIWSVEEGCVIIEKQIAQTSTQIWANHPAQPGTLLKFADNGITGF RWSDFSEIATALYDGLSLHSEATCPKLKGVRFNQNGKFATIHQTDITCMERLFIVDIS ALQLKPGSTSSIARLSTIVPADVARQVEVVLGILPGSRFFFLDKNLWLCSVFLKSSVT EDTIERHYFLPRDWTTTEYFQQCLLLENGTLLCPREDEVAVIKSELSRSY ANIA_10920 MSSANPSTDMAPAANTPNNILEARETPVSATRPYTSFTSRSTMF IVIIAGFATITSPLTATVYFPLLPTLEGQFRVSPQAINMTLTIYVIFQAISPAVFGPL SDTVGRRPIFLLTLAIYALANLGMALNKHNYGFLLLLRALQSLGASAAFAISYGIVAD VCVSSERGKTMAWVSIALNMGTCLGPIIGGLVAYLSGDIEWVFWALFIVGLLLLLIVG MFLPETARNLVGNGGDQTEGTLWQQCCWSYIRTVRLLNKEENEQDHREYEAAVRAEPG TLNRLITRISAPFSIRMFAAPLRIIFFPDAFWCLWINGSFYAVDYILAATVPNIFTDI YQFNTLLTGLAYLPRGIGIIVGSYCNGRMMDYNYKVTARKHNQPINRVSGDNIQQFPI ELARSRGTYYLLVISTCTLLAYGWTANYKKHFSVLLILQFIQGFWSTCFYTIYNTLLI DVFPDNPSTAAASASITRCALAAIGVTTLQPFVDAAGLGWYFTALGLFSAVCGIVAVS FVRKYDVNAVIDMVIAMKGNFQYHGEGQPAGSTVYSRNLVHVPISLVLFNKDRFALPS IAPDK ANIA_07276 MEVDDSPPGGARPGTPLLGENSEPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHLPQAPSICEQVSMVADDQLVLLNDWKLAMTSLAKALDLTVSS LQGRPRDLARGLAARFVSLAKQDSPQLIPLMTAAAPPQPSRQIEQPNQPPTPEACEGP LKRQTSQPTTWASLTAPRAGQGNWQTIAPEHCKVFLLSEKAASLAGDGYFEILTEYYQ VIIPWIPKQLWSLDR ANIA_07275 MALPAVADISNPIFWADFADIDIIRVNDTFYYSASNMHYSPGAP ILRSYDLLNWEFAGHSIPELTFGPNYYLDDGQQAYIKGTWASTLQYRPSTSTFYWMGC IDGTTYIYTAPDVGGPWTKASTINTCYYDCGLLITSDDKMYVAYGNNGISVAELSEDG LGEVSNQLVFPSDDAGYLEGSRFYERDGKFYIFTTHPANEEHVLMSNSGPLGPYERRL LISNAGTPVDGGGYPHQGGIVDTPNGDWYYMAFVDVYPGGRIPVLAPIIWNSDGWPYV ELVDGAWSVTYDAPAINPPSTPVSSFEPYTDTFTVEKLSPQWEWNHNPDNAKWSIGEG VTLTTASLTNDLYAAKNTLTHRILGPKSTATIHLNISSMASGDRAGLALLRHHSAWIG VVNDGSTTRIAVTTGLEMDSEWNTVSTGTEVATAEYSNSEVWLRVEADISPGAGSGQF SFSGDGTTFTDLGGSYTLNNNWEFFMGYRFGIFNHATTELGGSVVLKSFALSV ANIA_10919 MALGSVALSQSLLLLRLITCVGFARADNPIVQDIYTADPAPLVH DGRVYVFTGHDEDSSTWYTMRDWRLFSSADMVNWQHHGSPMDLTTFSWADNDAWAGQV VARDDKFYFYAPVHHSTTGAMAIGVGISDSITGPYTDALGHPLVENGEIDPTVYIDDD GQAYLYWGNPGLWYVELNEDMISYSGNISQVELTEGPWLYKREDIYYMIYAATCCSEN IRYSTGSSPTGPWTYRGIIMQSQGASFTNHPGIIDYEGRSYFFYHNGALPGGSGFTRS VAVEEFTYNADGTIPELSMTTAGPAQIGTLDPYRRQEAETIAWSDGIEVEACSEGGFN VANIDNGDYIKVAGVAFDEGASSFTARVASAGNGGNLELHLDSKDGPVV ANIA_07274 MRHSVYSWLTLSAMRGTISPVEALNLTALYGSGLSDSAQILDAS EPGFMNITPRWTQYRPPIYYGAIVPATEADIQHIVRTSVEHDIPFLATGGGHGLTTTL GQFSGITIELTRFNTVKLNKETGQITLGGGTRYSDIYEPMFNTGKMMGLGNTPCIGAV GATLGGGTGIGQGIYGLGLDALLSVRLITATGDIVVASRTENQDLFWAIRGAGASFGI VISATFQLHDAVNGGIVALTMFTYSPEQNRSVWEALQSYDENIPDELSFNLAAVVNAT TREAFIVAESEYHGPPAEAERFLQPFIDIGPTSVQSTTLPWTQIYDTVHSTNQRLCTP GQYLNEYTIALKRTDVDAFTAYYNELYALWVREERYEGDWIIARSPIRVMRLVSDEET VFPHRHAITHLLFGNYYSSPSLDSTINAWMRNVRARFQATSGYDRLHAYTNFAQGDEG PGAWYGSANLKQLRALKSKWDPDGAFNNFNPVLP ANIA_07273 MPPSGSSFTALNLPADFTLPQCMEYFTLRAGPNTDLWRKPPNGD TSTAPIVFTSLRNPFLVAEVTVTADFEMEWDQGGLVIFAGGAPQSISSGTAPLARTRR FGGASPNPQPCKWVKAGMEFASGTVNVSSVSATADGADWSLAPLSAADRGPSAIHSVR LKLERVGHSLWVWYQVPSVSPYAMTPGQVAETWKKMREVTWFFYGVEDKFIYVGVYAS RPANIQRSSTVWGRTHRTGSSSSAPATGDYLVVEFEDLEIL ANIA_07272 MGGFRPASRVARLSYDATTKVLSADGVQPRAQSSRLTDTSRRPR RQRRPDNQLVFRLDPRIFSTPTEEKILCDQTEKEKRTLQSGPLGLVSPQGIFSEYEAT VATAEPGCSSAATAKVQNVERKFPIRPIIEVSKCCSEPVEIKQESKIEAQFADNDSTT GIKQQGPGPGSVYRYYIRSAGSSLVVSFLAFTLIEAFCNSFQALWLQWWVKANEEYPN KQLEMLLFVRGLNNISLKLHSDLLKTALEFGQDLELIDMMLPIYAVNCITNIMEVSIN TLIICIIGKYLAVTIPFLGLVLFFIQSYYLQTSRQVRLLDIEAKAPLYTHFLETIRGI SSIQSFKWEPHLREKNYALLNRSQRPFYMMYSVQQWLTLVLDLVVGAVAMWTMTEISI GAVTRVLRFTQDTPSEKEQCMSIVQSGLPHDWPDRGAIEITGLTAGYEEDRPLRSVRE WENLLGYGDTTNAKRPGRTHTDGRMQHRSISKSTLRSRINVVLQDPFFMDGTTLRVNL DPHQSVAGDADIIKALGKVHLWDQIRAKGGLEAEFASTDWSVGQKQLLCLARAMVEPS ANIA_07271 MYNAVHPQEAPQQMPQIRAMGSVASPILLPISATGPVPLSLTLA SDNLSPNASSAFSMIPISMDVEEGAPQPEFRDIQPWDLKHPVAVYANRDGKQLPVFYD AATQEYYLFTIEGAVLLHKTPTITIKKRQARQVLGRISYSQIRRITETELAESIMKGI DLPLSSSPLVDSVSLLRNAMHDSIIVTRNSRPTTFFRFWEDKDVRDSGTLGSDSLLMY LRPSMDGLVGHRCDALWHCGNNVIYCSKCTSYRLPSTCPTSGDADKADNTLTFGPPIP VGRVTVGVHITRKIFDGCLRPDKKGLNIPHAYQTLSKIFHKPTIDSIPIPQTYMVSNL LKDSLFVVADMFPKTAAESWADYFDVGGEHAQQGMSGMTNAAVGVTAGSAIIGTGAMV GLRIAEVVGV ANIA_07270 MLAVIGLGLTKASILILIRGIFSVSRPFRHLCNAMLFVVAAWTI SFFFSNLFTCFPVTPLVEPFYGNKCINGLAMWYAGCISDVVVDLIILVIPLPMISQLK LPLRQKVAIGAIFLLGTTVVVISITRMAMYFHVGTTFREHYNDMTYYTSPVFFWTNIE ISLAVILACLPTLRPVWTVLSGGRARKSSTYRPYDEIEPENSERMRRVKPTLDTIDRL ESSIALVKVPAGPR ANIA_07269 MALFLTGYLLLTFTLTLSFSTLRVWAAGRWPDVVAQELGPQLSS LASIITEPGAVESDFERWSAYSAPQPGVVVSVATEEDVAKTVKFCVSNSIRFLAQNGG HGWADTFDLGPNRRGLLIDITQLNTVVFNANRTQVTLGGGVSIGEAVAAAVEHDTLIP TGNCNCVGTLGAILGGGYGNLLGMVGFGVDNVLELKVVLADGEVHTVTPGRQGKDHDL FWALRGAGPNYGIVTSATLKAYPVDSATNITAWMGQLVFSGEQVEAVVQAIEELTLTP EMNIFLYYMTVNGTQRFIATPFYYGDEGEARGRAAFASLLDIGPIEDQTAEVPYARWN DGSDGFCTSGGYKPAYSAALQTQNSVVIMEAYSLDRARALPDDSAAFPGRSRVNFQAV AIPWYYDQGLENSAIAWGETMRALWWETDGLDDPAVYVNFAHGDENLTTIYGENVGQL RAIKARVDPENVFNQWFNLGTGRP ANIA_07268 MSSQTIVLISGANRGLGFEAAKCLVHLANYHIILGSRDLLKADK AADTLHALPNLKCSVSTVQLDVTDKSSITAAKSYIEATFSRLDILVNNAAIYLLNPTS SVDALRASLDTNVIGVVALTEELLPLLRKSASPRLILVSSSNGSLEYNSDPSSPHGGT WAMEYRVTKAALNMLLVQYHASLKEITVLGVDPGFSATEVIGDADALRKMGAAEPEVG GEIIASVVRGEKGQPGRIYGPQGIVPW ANIA_07267 MTIPEFDYIIVGGGLTGCVVASRLKQHDPSLNVLLLEAGVDPSN NPNVKTYPPLFSLLGSDIDWKYSTTPQPNTGNRIHSVHAGKALGGGTTINFGGWSRGD SADYDLWARTVRDQRWGYQGLLPYFRRSESFFDRTADVQEHGFEGPVRVCAVSASDPN RQYPMRGPVRDAWIEIGEQYNPAPGTGRLSGVVEFLETWQGGERQAAHQAYSLDGLQC ITGARAHKVEVSGPGNIVSGVLLADGRRFTARKEVILAAGALRTPQLLMLSGIGPGDT LAKHGISSVVEAPEVGRNLIDHFALYQLYKLREPERGIALGSSKLSHPALTQGFPVDW AVNQRVPRDVLDPAVQKDKERFGSSTDESVLIPGRPLVETLILYAPIGMPTDGSLLMT SVMLLSATSRGTVSISSASPSDPPLVDSNYYDTETDRAVLTYGSRRTAKALLETSALK NYVECEVPPPDMPALTSQSSDEEFDARIRATGMAHHHPAGTAAMGKVVDTELRVIGVR NLRVVDASILPVSIGGHPQATLYAVAEQAADLILQADVEKA ANIA_07266 MRISASISLSLAMLLSLFAIATGLPTKLEPETDASVVRTPQVQR DEGIQDADDYVRNSWSE ANIA_07265 MLGNRREKGYSLLPLTGGQPERLPYHYVAYFLLTASNILFLGLW LFSGHMSSDCIRPQISYSPAKDDGAIAYVKKRLMRDIEHNPFTGEPRPELDAAWRHIL EPMTTKISAEELAHLPDTSIPFADGSGYIAELAVYHELHCVKRVRRYLHLSHYMPNIT ADELVRENTHIDHCLEYWREAAMCRGDTTLATFRWKDGLPYSRVYSDHECVDWEALDD WARGRMVDMSDYSILKQN ANIA_07264 MRVRSHCPQRVPFISHHLSRHFRTTGLSDLKNIWKLTQQDHSNY WTGYLSTRPKYTDSFYDLIYNHHATHSRNRGSFKSLSVAHDVGAGPGHVAAKLAARFS HVVVSDNNASHLEYAKRFLSRSGIPQSSFSYVSSRAEDLLLKDPGKAGSADLVACALT FPLLDTLPALQSFHTLLKTGGTLAIWFYGRAHFAEPEYALTCQPLLDEIIDHHYAGVI QGHGHEHLAQWKCVAERIACGLDYIPFSETEWANVTRYKWNTSWAGLAFFTERACGCP LDAPPSEIKQGEIVIEQEDRKMWEMSWNISQLREFVKYIFPFERMDDEPVRPLWARLE REVGSGQQRLFSWPAVLILATKK ANIA_07263 MAPQTILGHSFFSPKDVHLASLVPNIKDIDLDALESVIPLQDAD FTIKEIDDFTSVFGSQIDNNFQALLSRIVSWTIKRTDEAKVTLTARKGRVYTLRKPSS WFRSLCEEPQVRTWLQEQIEDGNHDGVYFVVGLHTLFDAAISGGLALASDFGGGIAVS APAMPAGTDNIAPLQDPGQLALSAGLERSRNTSHSCTAPGEQIFALRLKNVILRTWST QDVANVRLEQHSHWIMASDNRGSDDDSSELLEAFLEGDSEGDDDIKEDSALDDPHDCQ GQVIKTPIRAIVTRTKHFSSN ANIA_07262 MAAQVGETVAVPIADEQLKDGVIANKSWEPGTTSSVTPATSADE AVEQVAEKAKELAKDIGAVPAEDKNDAPVQNGTHTDEDKERGAEQNPGVDEKPEPALE LLSRPDGPEAEPAVAVSEKPESNGVTPEQAPVAEPTSTQVKSDTPAVEEKSKPVPEPL DRPDVAADEKSSVAEPATTEVAPQAPVVDAPTVEGSTKAEAAEEKVNESAEESPAESK STETPAATEPVQEATSAPPADEAEANLKAETPEETEKEPVAETAAAADEPSVEESKPA EPEPTPEAAGPAAQPVVEAEPEQQESSAEKSAESTTEETKAAAESVKEHTPETPAAEP TPEAENKPAESEAVKEPVTEQQAAESTEMTSTGSAGEAKTEEPTVEAKPADEEPLKES KAEEVTTETPAVDAVEEAPKATEEQPSTEGAAEQLTQEAAVEKSASETPFPEAVAAEE PAKEAVTEEPKAEETAAPTDSTEAQKEAAEEPVKEAVTEEPKAEETAAPTEPIEAQKE AAEEPVTEASTEEPKAEEAAEEPVKEAVTEEPKAEETAAPTEPIEAQKEAAEEPVTEA STEEPKAEEAAEEPVKEAVAEDPEAEETAAPAEPIEAQKEAAEESKVEEPAVAPEADE PVREAAGDLKGEEVATATDAVKSVETTTVEPAVEAEAATEKAKVEESTTVDEVAETEV AETAKEVASEEPKTEEPVAVAEAVDEPAKEVANTEPSEAAVPENPAPTEEPEKGATNE EPKPAEAVAEPMTEPANVAVETEESKEATEAAAESAAEPAVAETAVENVSEAPAAEKE AVSEEPKAEEPIATAESPEVPGKETVVEESAPDSVTESKDAPAEVAAEASITEVPAVL KSSEEQADKAVAEAPADTTPTAKPVESATQEPATETADAPSATEPATTESPKEPASEA PTEVPTVETVATEEVTEAAHDKPAEEQPETVDGASGKTVDAEVPGETQSTTTAEAIAA APIEKFATEETVSKIPVEGVSKEETTVGEPGTEKPDEAAAPEVSEVEVAEESKAPETT PAEIAPAESTNATPEASKAEYAPAETAEEEPPVEKQLPDETAAPASVEEQPAGESSTT DAVNTTELTSADAASEPVPEAATDDTTATAPVVTAEPAAEAATSAPEEQKEEAAAQEP DSKPVDKDSTAVEATPAETLKEEPVTESVTENAAEADKVEPEVVEPSKDASPVEVIEE PVAEAATETTVAEENTPATTENEPASIKEEVTEPAEPVIDEAAVEEPVAEKPAANAPA IEAGASTVPQETSEEFEAPSKPAAPLDEAAIVEAPAVASEEQKLDEVSASEIRPETSQ QAPEETTPVPEKEPTAPEPAESKVEVKDAEVHPVESVATTEETTAETPNTSVAGASQE VPAQVEEEKVFKDEDSFPTSEVIAGGAAAAAAAAVVAGAAAVAHKEEPAAAPVKESEV RPSQPETDRDAATLPAQRQPSVTDEQPEADPALAALAGDGEALLKKLEQPSTEQLPVH TENAPKAPENANQENETQTATESGPSATLQPPSTTEETADDAAASKGDDSRSPSQNRS VTAVSLNHKNDSWLKNILRAVFVNFIGSIFAPFRRRGRAN ANIA_07261 MSPVEHNAWAQMKAREREQRLSDSDRGKYSLLHKTKVNSGQVPT RKPSTITKPSGLDNNAELALLKPEPHPASPKEMPTADKPGVTSKPNVTKKRSTTEPSM PSDRSSGSTDKKKKSKVATLRSKFSLKDLAKEYRKDIPPMSSMPKLGGSSGSGTQHTS SDSEEQSKFTHTFSEAKLYVPKTRTGDMVPNSAPPHTSEFRDSASTDKQSILSCRSVQ IPPTKGNDDGKTEAKFKHSLVHNTGVGQGTPNARLETMLLDGSSPPARTGEYKNTGQP ELIRVHDRVMSLKAGKNETVMPSSPRTPPPISVRSDAAAYSPSVYDTAKEVGWKAAKS SLPEVKDIKPKHPFIVSSSDFKEKAREEQDEDHMFLDPREPPLPPLPLKSRDRAVRSN PVDSNAINEAQYLTGVTSHGGYAPPPPHPGYQNTVTLDQQLASHVDSLHYHLDTTVNR LARTFENGNNWSADQILKQVDSVFDLARMINTRSATQADIMKNLSQISMEARNQAYLA RQETSLVEERMKSFVQQEVAKLKNELSDLILSSHDNPKAQVQGQDSRADTSHTGDQEG PKLTIGKRNQHQNKNKSRLVTAKRDALTKPTDNRNGIAESQEGKLDSVRQAEPRTDKE PTPQNNQNISDDVPTPTAAYRTPKPRIDDVASPVPVKREVRENPGEESSGSPKGKAGK LRISGPMPISESPSASGDGQRENSDTNRPSSPQRESESKPRRVSSSDNLKTPKKKGSV FSFRRKCDGDNQSGSRFLRTPRRTKETKSVSSQESQSPRFAISTPTKTRAAPAPLDSI TSTTSSNATANALEGGQIQRQESPSMIHPALRNSQQRQVMAERERLAQLNRHPRESTQ IQAQGQSNPHNTSHPLQNLGNRDSPSTSTSPPPSFVSFDGPNPYASAVSVATSSSASF HDVRQYQSSMHYPQLPSSLSLPSQGHGLGVGQPQFFAPPPGFSPTLSGENNGISHGHG HSNVSDQLDGVSIDWFRNMNMPMATNYPDSDFF ANIA_07260 MAPGRTTKRRRVSPPDEEENVSNRKGDFYSHAAEWDLEQDYEQR PRKLNKKEKERTRLPIKTAEGFQNVEASESEPEDDDSFLATDDDDMDEDGDAEVDETE ESEEEAPKIPLKQQIIQAKEEIARTATLINEDPEEHISSFKSLAEMVDGGSHVAVKKL ALAAQAAIYKDVIPGYRIRPLSEEEASAKVSKDVRKLRNYEQSLLSGYKHYIQKLLAF TKPSKERAGTDNGMKTVAINCACNLILSVPHFNFRAELLRILVNQLARKRVDDDFVKC RDTLQEVFARDDDGIVSLEAVRLLSKMMKARDFKIHGSVLDTFLHLRLLREFSSKGSR DRVDRDNEEETSGGKKMKQKKEWRTKRNRKLEKERKAVEKDMKEADALVSHEARDKNQ AETLKLVFGIYFRILKLRVPNLMGPVLEGLAKYAHMINQDFFGDLLEALKDLIGHAED DELNEVDDNEDDEHINSASSTTRDSTRESLLCTVTAFALLEGQDASKAAAALHLDLSF FIKHLYRSLYTLSTNPDVEFNPNASNALRLPDPSSSQQQQQNAQDRKKKVNFQTPIVL LLRCLNASLISRANGLPPPVRLASFAKRLMTTTLQVPEKSALATLALLNQVAKHNARR ISSLWYSEERKGDGLFNPYATDFEATNVFAGTIWEGELLKLHYCPDVREAAAGVEKMI ATRD ANIA_07259 MPPYTAAQKQQITQFVSFTQANNTTAAKFLRQSRWNTEEAIDAF KNRIAPASMGLIFRPCATAGVREAVLRISIFQKLIPGLPAGNDALADWVLYLYGFTFL LKEFRDSPEENPDTIGIEGAMRYLGDIEVELDEVTCLAIAELLQSPSMGEFTREGFLN GWRSVQCDSIAKMAAHAANLRTRIPSEPDLFRRVYRYTFPLCLVQGQRNLQFEIAVEQ WNLFFTTPKGGIAWNTTTTPWLDWWVEFLEERGKKPVNKDLWQQVEVFMRKTHEDEAF GWWSEDGAWPGTLDDFVAWVRKKRGDEGAMEE ANIA_07258 MSVKFKDVQNAATAAASAADTSIRADTKELAHKVGERLTGGNPQ TGYLALYLRQLQSNPLRTKMLTSGVLSSAQEFLASYLANDVSKHGHYFSSRVPKMLLY GMFISAPLGHVLVGILQKVFAGRTSLKAKVLQILASNLIVSPIQNVVYLTCMAIIAGA RTLHQVRATIKAGFFPVMKVSWITSPLALAFAQKFLPEHTWVPFFNIVGFFIGTYVNT HTKRKRLEALRKRYDSRRGGPGSEYEKGPGPDYR ANIA_07257 MDGEEVGSYPVLIGVSPDTDPDPDMASFQHVESVDTAEDCVEAT NESQEPGNSNHQPYATAPVVAPYPRQQSRHDSCSHSTAIRQWRIDQHETCNTCGRRPF LRWFYLCTEDTTDYSASTDRNGSLLSEWITDAILEGEYTDEQRDKLWQQKLEVLELCE MERTLSMSGSCYDPSHGAEQQYEFHQFETHQSRLSADVHSRPGRCQYRACHHCDRKLQ ERTWVSLNAVCNDPDTTPPSVWDLWETPVSDVKVVKNLGLRPPCPPAPPPHFSQCSYR AFHRRRVRSISHLAGYESSLNMGAMSNLSTIEEITEEFDI ANIA_07256 MADDKAPNPEESVSPAGSTPPMTSRQAAQRRLLAALLTADRLNG RLNKILSTSAGQERLFAFIQYTSHILHHLLASAPWVALQTRLSLLARLRSSSSSSPSA SASTGKAAAPSTATQKPRLLALYSLMSEARYMLRLLDLPTLIAWGSATLKSPPADKTM YALTLLQVLANIIYQALENAAFLTTKGVIPEQFLKRWGGAAKVELWSTRAWLGHIVLQ YFVLWRARELRKKAEIEGSSEEKQKELKAEVRAWKKSLVNNVCWTPLCLHWSFENGIG FPGSLVGVGSFMAGAWGFADLWASTA ANIA_07255 MLGLCFTLAESCKSSESHLRCRFAARSNPSVAANMRCKDSYPED FQTAIKRSPLKEGELQELCAKSSYPPVFVYGHLMLPTALKYIVDIPQTTTVDMVYATL PGYTLHHFAENGKPGLPTIKPSSSSSDVVEGMLVFGLTREQRSDVQEVECVRPGHSIF IDTQVQVSLIDKIHGYEVKSQKSVDAGTFVWSWTSENTELQPMETSFWPIDDFLSGQL YANMVREQNKVPFSYN ANIA_07254 MSSEEHKKKLLDASGAEKKEELDTSTAILKKKKKPNSLIVTDAV NDDNSTISLSNNTMDTLGLFRGDTVTVRGKKRKETVLIVLADDDLDDGSARINRVVRH NLRVKHGDIITVHPCPDIKYAKRIAVLPIADTVEGLTGSLFDVYLAPYFRDGYRPVKQ GDLFTVRGGMRQVEFKVVEVDPPEFGIVAPDTIIHSEGEPIQREDEENNLNEVGYDDI GGCRKQMAQIRELVELPLRHPQLFKSIGIKPPRGILMYGPPGTGKTLMARAVANETGA FFFLINGPEIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKTNGEVER RVVSQLLTLMDGMKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEI LSIHTKNMKLGEDVDLETIAAETHGYVGSDLASLCSEAAMQQIREKMDLIDLDEDTID AEVLDSLGVTMENFRYALGVSNPSALREVAVVEVPNVRWEDIGGLEEVKRELIESVQY PVDHPEKFQKFGLSPSRGVLFYGPPGTGKTMLAKAVANECAANFISVKGPELLSMWFG ESESNIRDIFDKARAAAPCVVFLDELDSIAKSRGGSVGDAGGASDRVVNQLLTEMDGM TSKKNVFVIGATNRPEQLDAALVRPGRLDTLVYVPLPDQASREGILKAQLRKTPVASD VDIEFIASKTHGFSGADLGFVTQRAVKLAIKESISAEIERQKQREAAGEDVKMEDEEE GEDPVPELTRAHFEEAMKTARRSVSDVEIRRYEAFAQSLKNSGGSSFFRFPSANEAAD SGNTFGEAGNDDSLYD ANIA_07253 MPSSPTNSSHTAQPSPADLESDLLAHLASTHALEDLHTNLLSTL QRLGWTEKIRRLSTELLRANRCERFDDVVEAVVASAQGRSHPFLVDSNSDNNTGSRAN HTHNHNGDVDGVESNSAYSIENADLRIPSVVVEQGVRAIKDILREVVILEDEGDGAGD SSSATGTVSNNAVDVVGETATKRQGEKVVNGDTSPTKKGDKKAKQGKQIR ANIA_07252 MSLHTSYHADSDADDEYERSVITSPHLATDSEGSASESEFPSAE PTPTFAHNEDNSKIPDTIITEWTAEECASFVASLGLRQYCQAFIENGIVGEALIALRH DELKEMGIASVGHRLTILKSVYEMKVKQDIPLDADHYIPLSADQSMNESATQEDIARL IQSIRLRDEKIMTVETELRRVLEDYRRLREELLPVFKMAKDKSQPLPPPTAVSSSDGY YDDRLSGSTLTGQQSGITILDRSGSILSRNLSKRVHGGTTPKNNSPTHIPPSIHENRA YNDGTNLDPSAAAVAASSHLSTMNGKSQLSPNLPSPTSPSNHYGAPQTLASRAYTQPG SARNPHDHYDDNPQSQSRSDRLNTTSSSATRSEIPSRSESRAGGETPSVEIFKSFRVS VDDPCYKVLPAALKKYNINGDWKQYALYIVYGDQERCLGLEERPLILFKQLEKEGRKP MFMLRKQQQAPESTTAYPPSGGSAPNSAGFEPRQAQINLPGGVL ANIA_07251 MDLTNSRSMELLRTLGLVNACRAIPGSIAEDVSFECVFVTSLHP ENGRELGRWSVPCVAEQTSESKGTNDGSFPAEPGQRCSQILVEKWMRGLVLDCKNVEF RGNWRYVGHAEEEDRVRVRAVDLHGNEHTFVGRFLVGCDGRKSAVRKAAGIEMIGAKV PAHFHLVHFRSRALSSILDRSKSRFWHAFPAGSGFLIDQDGKDTFTAHCPLTEYEARV SSADDRVFPSNLDPKTTIWRVLGGYGVTGECWELPIDEILVDSIWQPSLRIAAAYSTP SFLVFLAGDSAHLSPPHGGYGLNSGIVDAVSLAWRLAAVIKGYGGSYLLSSYSLERRP MMMRALCRSYRHLLEHVKLGSLYEEFGDVIEAEGDEGENVRMYLGRWIAESGPDILDR GVELDLRYEGSPCIWTEPQSEGEEDDAWDVHRYRPSTRPGRRAPHVFLKDGKTSTYDF LGTEWTLMQFITHESESETSKAETLLRTAKQRGFPLQHVLLCGEEQVRRIWRRDLVLV RPDTHVAWRGKGRLAVAEAEMVLDVVLGKSVRPEYTPPTNTEETLFLKTVDQLVSMGK STGERAGPRDVKARL ANIA_07250 MAWDHLDIDKPHLAYMILGGFTGLFMLCSLFVKEKLYIGEATVA TICGIIFGPHAANLFNPIEWGNVDKITLECSRIVLVVQCFAVGVELPKAYMERHWKSV TLLLLPVMTWGWLITSLFIWSLIKPLTWLEALVCAACVTATDPVLASSVVGKGKFAKR VPRHLRDLLSAESGCNDGMAFPFIYLSYYILHYRPDANAVSLHWFCVTILYECVFGAI FGFTIGYAARHAIRFAERKQLIDRESFLVFYFVLALFCAGSGSLLGMDDLLIGFAAGV GFSNDGWFTEKTEESHVSNVIDLLLNLAYFVYLGAILPWADYNNADLGLDAWRLVVIA ILVIFFRRIPIMLLLKPIIPDVKTWREALFAGHFGPIGVGAIFACILARAELETGSTQ PVVSDDLPKPHTHNYYVVQIIWPLTTFMVVASILVHGSSIAVFTLGKRINTLSITLSY TQANEEGPSWMNRLPRVQSLAKGSMSFRKADDSEGSSPNEPEYPPGTLPPIGLPGNFL RRVQEDDSGSPTGRVSTRRGRRRRRKADGAGGPISQSAIAPQRPSEVESEDAEKTVGD TESTTPSPPPATWRDAIVNVYVEGHDLIYEDKDGNVLKTEHVGHMSAEEQKRHVQAER SKLNLEFQQPPDETKPGSSEEQPTAGERLEKSIGDKAKRRRFGQWLGFGKQRSENQEQ KDAKTEKKSAEEAGPKAPKGKGRSAHAYQFGNTIIVEDEDGEVIKKYSIPSVDKSEAK GNAPVRRGLVRMGTWFGKEEEGEPSQAGKKTHENEWLADDGLRFTLANDETVGKHGIS HKGRRMTKQEFADQIRNLGPKARQSIVEDSDAPKRVKDAARDDAQQADKRERRASAAG SAHQTGAPHDDLESVSSGSDDEPDSEEGPGPNVAETLARIAAGTATGTAADERRKDLS PGTSSRERSPRSRRDSDDDGTERVPPSKLREAAGLALPQQALDLDDTGETPAERRRRL AALGEYSDSEDDVDSDAVSEGEDDHNNRKGPGKISFADGTNLEAGSTYDREGNGLGSS RMHSRRSRSRISWGGEKGRE ANIA_10918 MSLFGTSPDDSPAADSARRSKTSLFADEPSFGTGSANFGGSSLF ADDDDLGSPWNSNTAKRTSKQQLVKTLLPDSDVPESYIDAYDLVLSAGERAGTGVSLT TVRELLSGGGLSATDQAKILNLVLSGDTDRSNGLSRGEFNVLLALIGLAQEGEDLTFD TVDDRRKSMSFASEDYIETNRLAELPVPNSSYLDALRAKQESIMPPSHERPSTPPAPP IPVQEPSPAQSRRARGDSMGGLDADPWGSPQLHRGHAHTQREAERGMLNGYGSVRSAT NAWSKTGDSVNPDAPSNSSYTNGRSEIRSSNSADYGWGDHFAQSSQGGSLGGPVQPGL GDFGRPGIGRGEPNPSRQSLNIDRAINNHVKEVVTVTLLPEKEGLFMFQHRNYEVKSA RRGSTVVRRYSDFVWLLDCLHKRYPFRQLPLLPPKRIAADSNSFLEKRRRGLVRFMNA LVSHPVLSQEQLVVMFLTVPTELSVWRKQATISVQDEFAGRVLPPDLEDSLPSTLMET FETVRSGVKRSAEVYINLCTLLERLAKRNEGLAADHLRFSLALQSLTEMTRDTYALDT NDVPLLNEGIKATARHLSASQSLLEDEARAWEDGVLEDLKRQRDSLVSVREMFDRRDR YARNNIPQLERRIEANERKLQDLRARPQGTVKPGEIEKVEESIFKDKESIVQQHARGV FIKECIRDELIYFQQSQYHISRLHQDWSQERVKYSELQADNWRSLGDQVEGMPLGD ANIA_10917 MAMGQGEQRAIHAFFRKDFEGPTPLVQSVENSLACPTSSQEANG SPVLQTTPVAALDHDPNINRRKRRKTSNDAEEISSNDLVTTDGEQTVTGVSAPVEDGT ALTSTQTTGTLVAVETTNDTPAEAEQKKQKVIKLNANGKLLSSPVANRFTDMSKKKGT KRGKPAPQHSDSLERKLVVIKYLDKTGFSQSIGRQIDDILSGRRKYIVSNHATRQINT VTAAPTSKQPAKATHPFFLKKTAQKAEVPSSHVECHDSVSPPSGDRLATKSQSAQSRS LSKSSPFRHAFNKVPEPMHPLWPPSGLIHVRNLSNELDLRNDGDRQATATDNRKSKTP AVQVIDEENVLSFLKSSDILPALRKPSKQVISGRVLQAKVGKRLMGRGASSDTDEAPS PDTLHSAVARLYTSLPSSMSAFERGDYEAQLWAHKYAPSTAKQVLCATKEALMLRDWL NHLVVSSVDVGSSSRDNEKAKRKQEKKRKRRKRTDKLDGFVVFSEDEYSLGEISGSDD ELAGDVTVSNKRTVIRTGDLTFNLKSSSDRSRIANAILLSGPSGCGKTASVYAVAKEM DFEVFEINAGSRRSAKDILDRIGDMTQNHLVHNLHDKENVNQPFGTSSQAEELEDAKQ NQLTGFFMPAKKAGRPQPKAPSKENVMKHSRTQKQSLILLEEADILFEEDKQFWSGVL TLINQSKRPIVITCNDESLIPLDDISFHAILRYRAPSQGLAVDYLLLMAASEGHILQR TAVERLYSSTRNDLRKSIMELNYWCQMAVGSEKSGLDWMIDRWPQGVDLDSNGDKLRM LSADTYDNYMGWFSRDIMISPGLATESELREEALHWWHLSLQEADVMEDSQLQSFREP KTSLSKIEHIESLCSQSEYMESRSVLDLLAAPCSLDARMDAIDTSIPPISEKQKLNFV DGYKLLHADKLPDYATLTLDIGSTFQTLLGRVFRGTCEADSKDMLASNMLEAVSKPKA AEPAKELLEVLVPIAKPDCGYPPPSGGAELAFEYGQQSIVEDLAPYVRSIVAFDLRLE NYRRELSGLLSGDAKGTKRMRTTRASRAALEGGSKAETRKERWFSPAVNVQRILATGN REWQDLLVQNGYFTVPVALEQATMERSELPSGSASDGSI ANIA_07248 MDLQPPSVLAQLPRPLHASTGKTYISEVYSLANAKKRKRYEVAV AVDGEAVNLYNIQNPKLVTSYAVPPQSSFSCPPCSLRRKLLKASGVKRQTFVAMKKEI KAFTEESAASGTGAPVISSSSFGVDDSTSPTVFIGIVPGSEIEDKDPFDVLAIHRDGR VRRISPDLETQRWSMQHSEIPQGSWEIHGCFLLEFDDAKKSLLKRRPDIVSLAAGSSM IAGGSDPSILLLVSYPVGTEQISLSEVKIQMFSIPAEASNRGVDESQQMRHLMTANLP NIGEKVDKKNLQWHFHSNSAGLNLSFEKGFVNFDLSQYKPTATSIFILEDENFSSVMR VSAQSVIGAGKSMIALYDTQYKSIQRSIVTEDLPSAATNNARTTFINYFAKLDLVVAS KGNSLLAFDLGSSHSISGAPSKRSRDGLLIDAIGRGIGSTSQWENVSKKHRSNAAAAL GLTSAEEIEKWNNFSRAVRECAKKNDTGGFDRAVHSYFQIGDTQALPEPGQYVNLEMV LFLLSLIFTVGDNSENTDKLSASSSVNVTVAVWPTETCNWLIRLGYLYPGNVEAALHR AHKPRILPQLPIGTFAQALQTSDPSLQRLISVLKGPAAFSADELAHVLKIFLNAARSI SIPTEQGEEAETKALTNGDGKTNTALALTKTSAESDFPPSPPSTLQTTFTGLNTTLLS LHTHPHPSIKSSLRSTLSRNDIITTIHHLRLSLATGGHATRFTETPPTPISPHLTSPS LPLKTIVDLLNAAVDAIGPSGWVSIAPGDLNFSLNSEAELSGLRDMALIAEMKSEVSA ALAGVEEATLLTGILREYLRYAKSVTNDSRSLTSSTLALPDDMLNAAPSSTSVRHEKL NGADLLVFGAQDDDADGGDCDASGKLLPLSLKAAKDVSKTKVLKGTGKVVQRSKREIG YLRRKAVGKYTFERLVL ANIA_09492 MLIGPMVDQSLGTSPKLESLSSISEVPGDVNAVSKDTSKKDTVV PANIPPPKTDKPRPHGCTTCGRSFARLEHLKRHERSHTKEKPFECPDCSRCFARRDLL LRHQQKLHMTTTPSSRPRNARRESTGAAGAGAGAGAGTNRVRKNSVAGASSNMRPRAN TISHIDGAALGITNNTNAGPGTTGHPGHAYHPSLSSSVGSNIDYRGFSSSHQPPVNGL SKIDTHGLPMDLSGGLRTAPVYGSFDFNIPDFMSQGSTINPAQLHFGGSPQTYGNEAP SSPYGTHQMPHGNDAIMEDDYNFDWMQRFDPSLPIGKNESAIDESSPSAMSTGSQSGI SEPMMDGSNQFSMSSVNWQNQFPSQPAIARQFSDYSTTFNDLGIPPETVSPKSLMAQN PFAEAYATPPSMTSVGQQPMLGAHSQSSMFSSSMATSGDSPNPFNLPFANSALRTHHT PASTDTFTDSTRRALLASMAQPASFSHRKYSQPATGMIQCRDLYSRSSSSPTSTNQLP STADMQRFISAYITYFHPHLPFLHIPSLNFQAPEYTNNLRTPSGHLNLSSTGVVGGGG CLILSMAAIGALYELDTAASKDLFEASKKMIQLYLEERRKADMSTAVSRSSKPGDNSV HNTPLWLVQAMLLNVIYGHTCGDKTSADIASTHCAALVSLARAAELTRHIDAKDLPQD YLHAGFAGKSDFQGQDLVEDSWSQSFGAPKEKKDWLDWKIVEERKRTLFAIFAASCFL VSAYNHAPALTNSEIRLDLPCEEDIWAAESPQAWKQMGGHLSSKKNVAFSSALTTLLT AGRRVQNSAALSPDDSKSSDLRPSSFGCFVLIYAIHNYIWETRQRHMGRQWTTRETDA MRAHIEPALRAWQTAWATNPLHSLERPNPYGAGPLSADSIPLLDLAYVRLYVDLGRCK EAFWQRDWNAMADELARGTDVENALDGIPSDVLDPSITEDALSMDARRDSIASLGVGE LAISQTPSQEHPMQPLLGAYRPGQTKREKLLRRAACYAADSFAMSDQLGSTFADFTCR ELPLQSAMCTFDCAQVLAEWTTTVQERVGPYLGMLSREDFDFEQAIQSQLLEDEDCKL LQKTRSILASIEQKMQKDIANTMSVSQGSSGDADEGFGCKILVATAGLLDRASVWPGK IARM ANIA_07247 MGRRGDRLQRQSWLSCGDSGAAVVGDFRGACKIVPDCLGFWKRA KRGNKPTSQRGNPPNDPTSSEPEHRCIRCTSPARASLFFAFFICISSPTGTESSANGV LHENHVFTVANLSEYPRFSLRLVVRPEYLSSSSPKALQTAFIPVAIDEPADQASWYHL GHLVPTRYSLRTAPHAMTSRLEPCPVRCRCLLPIFGLSPDFVVFSSWGRLIYPGVDHG SMLREALAGKLRQQQTLELFSFLLPFFSIFAVFNKASAADLPSHRRSRCRGTDYSRLL KATRGYPRLLKDYSGY ANIA_07246 MASRSERLAKFFTLVLSGKRLVSTVENFTLLLEAIQWQTDHAAC VERIVASPPARSAIHAGIRLDVSPEFLNNHTSLFILYLSQPSVKNRCNGQFLQELLEL IFDPPTVWNAFMGAFQDELLTERGMEAFAWLLIELLAHQSVGQINVVADAQNVADNGA LLRSLSPETRRYGHKLQHILQIKASNMVVHNLDYFPGGRHDNDHADFRRIAIYPTSSE FHSKEKPFYRRADEILELAENTRPVMHLDNQFRLLREDMLSEIREEFLAARGKKQKRR TATLLEKLDLVNVFYGTDKRGSPCSLVISCLGGLVQLTSRDRDARKAFLENEQSFLRH RSFGCLIRGEEIVSFAMVDRQIDYLLKSPPSVVLRVIGDEAVRKTLSYFKLYDDIRFL LVDAPVFAYEPILRQLQEKIELPLAEELLGFQPVDVVAPSGTIPSTVIESLGDQERTL QDILGTEKPLSLDPSQMESLLSGLTQRVSLIQGPPGTGKSFVGALIAKALYKHSKETI LVMCYTNHALDQFLEDLMDIGIDESAIVRLGSKSCQRTKPLRLSAQQAIRPRKSKATW SIIDGLKSKARDISEELDMAFSAYSSFKLNYDTLQEYLEFEEPEFLEAFVPPRDPDGM TIVGRGKKPISSQYLYDQWAYGKHHSLPSLSHLSAEARRVWEMDKAIRQEKIAYWKEK LLEEHVVNVQGLIARLDRCQKKLDEMWNERTRDVLLSKRIIGCTTTAAAINASVLNTT SPGVVLLEEAGEILESHVLTALGSHTKQLIMIGDHQQLRPKINNYALSVEKGSGYDLN RSLFERLVESGYPHSTLAKQHRMAPEISALVRRLTYPDLLDGDKTKTRLAPRGLQNRV VFIDHSQPEGFLHGVSDRDGDGGKGSKQNTFEVKLVLKIVKYLGQQGYGTDKLVILTP YLGQLHLLREELRKDTDPVLNDLDSYDLVRAGLISHASAQHVKRPIKLSTIDNYQGEE SDIVIATLTRSNKDGDIGFMSAPQRLNVLLSRARDVLIMIGNSNTFTNSRKGKEVWKP FFDQLQTNGYLYDGLPVKCEQHPQRTAVLQTPEDFNKLCPDGGCDEPCGTKLNCGLHD CPHKCHQLSDHSKMNCRKVIDWTCTRDHRFKRPCFQQHESCHRCAEEDKERERRRQRD MDLELQSERKRQEYARQLAQLQEEIAHERRLQKEDRDEQERQRILSQHRDDLQKMRFR APERFSVSPHASKVRKSGPATQGTNSQETVRQEPSHEAEQAEPNRPPSANSPDVETAL SSAEQDWKYQKDYEGAESDELDKLMGMIGLEGSKTKFLSIKSKVDTALRQNVDLKGER FGSVLLGNPGTGKTTVARLYAKFLTAMGIIPGSSIIETTGSRLANGGVSGCEKQINGI LNKGGGVLFIDEAYQLAQTNGQGSQVLDFLLAEIENLTGKVVVVLAGYRRQMEKFFAH NPGLPSRFPHEFVFEDYNEQELRRIFEYRIKTKFGGRMKVAGGMGGLFCRIVARRISK QRGHEGFGNARTVENVLDRILERQAKRLAEERRNQTFSDDLLLTKEDLIGPEPSNALR NCSAWKKLQSMIGLTSVKATVKALLDSMQSNYERELNEKPLVEFTLNRVFLGSPGTGK TTVAKLYGQILVDLGYLSNGEVIVKNPADFIGSVIGGSEQNTKGILASTLGKVLVIDE AYGLYGGGTRDPASSNSNQFKTAVIDTIVAEVQGVPGDDRCVLLLGYEEQMREMFQNV NPGLSRRFSPDNAFVFEDFSDTELEQILELKVKEQGFVTSEKGKKVAMEILSRARNRP NFGNAGEIDIMLNGAKVRQQQRRSSKKGILALDYFEPQDLDPEYDRGERAETNIPMLF QDIVGCESIISKLEEYRQSVKNMRELDMDPKEHVPFNFLFRGPPGSGKTSTARKMGKV YYDMGLLSSAEVIESSATDLIGQYIGHTGPKTQELLEKSLGKVLLIDEAYRLAEGQFA KEAMDEMVDCITKPKFFQKLIIILAGYDNDINRLMTINPGLTSRFPEELEFNGLAPAD CLQLLTKLLQRRKADFLPKVNSFDLDALDSPSSLFQKKLLNRFQSLIRTANWANARDI QTLAKTIFRVAIQKMQNKNVSVTEELILRHVDHMISERTNREAKQSALRNPVADLLQA QSFGSTTAPPVLTTVSNPPTLHEEKKEEDPTALRSENCSDVVPRDDGVADDVWHQLQQ DKKAAEAAEKQYQKLLREERAAENAVAELPDPPVEASEPDGEAKKQHERRRLEELKRR AELEILRKIREAEEQARRKEQQLQQKLRHMGRCVAGFQWIKQATGYRCAGGSHFVSNE ALGV ANIA_07245 MTEPRRETIRGRGRGSWRRSRKSRADAEPSAPAVGPLLSTITRE DLDSNITSSSGKEFSMITDCRLVGSYNWLNRKSPTILVPGAPPEWTPTGTSERLPQDK GTYFRDQNSARYAAHVFQPAANSILNQDPDFDFTQIDIVACNSTLGNLRRFIAEPDKQ FRFIVEAVGSTVFFVRRENSPTQALSNVVGFGHTFPEANTTWGSDVKGSESHQRILQY RFAGLSCLVRYGGDGYLPQLYQPHGPRREPAATAPDDLLASFNQVSVSTVSPEGEQAL RVESGGELVPQSAMFDLKTRSATKQYSNILRDELPRLWISQIPNFVVGFHTDGEFHDV RVEDVREEVRKWEERNEVQLRKLSTLMKLLIEFADGQPNRRFEVVYNGTDGNGELELR EVGDEVNCCLSDVMKRRWAAGYLDGRGKEKKDEREEVDDAGQSKHIEREDSEWSGESE SEKDFTACSASTCGYCGHCAY ANIA_07244 MRSTDCERDNGHTGTKDSVDFVASPSHCFMSVSFLHCYTGLALG HMMGLLMCMEIQAHFAYAARLSQTYVFSRLATLGANFAREGNVKSWSLANIWRYKLRG IAPCKL ANIA_07243 MIPRSGGEKVYLEAAFRRPKLLITVVFAVQAVALGFTGTCAYLP SSLIRFLFAHADTSGCIVFASNMIVASGKEATEGEERGIAIAVISFITLLHVFLPNWG VRGMNVIGVVKVVLLLFIVVTGWVVLSGRLNSIPDPYASFHCSFVGSATSSNLYATAL FKVLNSFAGWSNAAYVLNEIRNPVRTLKIAAPTGLGICAAATPEEIANSGTTVASYFM GKVFGTAAERALSVLITISAFGNVMTVTFAQARVNQGLAKEGVIPFPTFWASSWPFGS PSAGLLLHFILSVIVIVAIPFGDAYNFILDLEGYPSPIINLLVVAGLFYLRYSEPRLP RPFKVYWPVAVFFMAGQAFQVVAPFIRLPEGKGDTSLPYWLYPIVGIAVLLAGVVYWG IWQLLLPWLGGYRLVPEHQVLKDGTTVAVYKRENKILARKLARRPFAFKTYPILILSN PIHLLKWVAAEDSRCTFSFNRILQLTPLFTGRTTVRSRVQTYDDQSSTRHSSESIAFE ELLFNETYDRPHYILIRDRARTPIAALVWVRRTKAKACLFVAQHRKENT ANIA_07242 MEKKFPSVKDDPVASAEGTIVPHNTTKRGLSSRHLQLMAIGGSI GTGLFVGIGQYLRESGPLSVVLGYLLYGLLFIWPCYLCVGEMCAYLPIPGSIFELAAR YVDPAFSFAMGWVYFYACVMLVCVEYSSVATVIQYWNTDVNPAVWIAIVMVVCIALNI VAVEWYGESEFIMSSTKVLLLLGLVFLTFITMVGGNPKHDVYGFRNWTDGVMYEYYGS GNTGRFLGLFNVMVYAAFSLPGPDMPALAAGEIQNPRYTIPRVVKMTFWRIVGFYIIG TLAVGTICNPNDPRLIGAIEDGSAGSAASPWVIGIENLGIHGLPDLINALIMLSGWSC GNAFVYVSSRTLYSLAKDGQAPRFLIKCTKAGVPIYCVAVVSLITCITFLACANSTVT VFNWFIDLTTSGLIVTYTCMIVVFLCWYRALRAQGIDRKTYLPWVAPLMPYWAILAFL VGFAVTVFNGFTVFSPWSTQGFVTSYFAIGFFVVMFAFWKTWHRTSFVKPAEADIYSG KAEVDAECRIWEDGGVEELRRAELARMHWARRWWEKMW ANIA_07241 MRVTVALLCLAGSAYTASAAQYAKFRYLESSDFRIIIDAGSGAL LSIVNPHDNASMSWISGPEDTPWQPAGSRWGLGYVNLGPLHRSFWQDAIVTFNGNRVS AAYALDGLDVNVTRTLNRDGVLEECYVFTNTGAEPLALDDHGPESFAIYTPFNDHYTS TTDVLEHRAHAHVWANGGASSWVKLTRMGLRGPHLGIVLTQGALQGYSIEGRSRLTSS DTRGIFLLHPAIPTLESGGSGRVCWELFWHEDWDEFFKKAKQRSSQFLHVTADRWTAA AGETVNLTVSGQRSGEAVVLNGQRAELQLVPSGNDSYSTTIQADKQGEQEVSFTVGES EEQTNSTITINVVPDIDTLIANRVKFITTNQQLSLDFPDESKAGAYAVYDNQMEGIVT FDTSSDRNTGRERVGMGVLIARWLQQNPNSSPDIEDSLRIYYDYVNNKLQETDGYVRS WPIGATDGSLRLYNWPWVMQLHLQMAKLGNKEVTSHGDYKATPSQRLLVTIERFYAEP EAIDYYPINLPIHESLVYFTGKRDEDTVARLLTLFTAHGDRITSVGSAYPSSEVNYEQ SIIAPAAIILLELYRSTNESRWLDAAHGHFDRLEAFSGRQPNFHLHDVAIRHWDGYWF GKDRMWGDTFPHYWSTLTAIAMHHYAVATGDEHYSRRAEGILRANLVLFDEEGRGYCA FIYPTSVDGRPGSYLDPYANDQDWALAHLLALREDGLGEGDP ANIA_07240 METLQIEAEPPAPRLLLLKLISSGFSFFVAGLNDGSLGALVPYI RQEYDIDTNMVSIVYGTTFFGWFFAALTNSFLGQYFNTGVLLLLGAALQVLAHALRTW APPFPLFAVTFFIAALGQAYQDTHANNFVATVKGAHRWLGFIHAMYMGGCLVGPFVST AVASSGKSSHWELFYTTPLGMGVVNLGLVWVAFHEWATMRQREQGGRVEGPASRKQEA ATEIKRTLATPAVWLLSLYFFFFLGAAITAGGWIVEYLVHVRNGDLDKMGYVPAGFYG GSFIGRLVLAEPTYRFGERRMILLYVVLCLALELVFWLVPNIITEAVAISLLGLFSGP FFATLFAAEIRSPALVPLTTAAFIFLMGQIGGSIFPAVTGVIAARSGVKVLQPMLVGL LGATGVSWLIIPKPRLHHD ANIA_07239 MESTLHRMSQSPHPQLSRLSRAWRNETFPIRHPETGKLLLEIER SASTLFGIFTSGVQLTCFVDDPDRGLLLWIARRSLTKQTYPGLLDNTAAGGLETRFWE KPVEAVVREAVEEASLDEDLVRRGLCGGGAISYYHVKRPSERCEAGPLQPEVEYVYEL RLDPSTMPVPGDGEVEGFYLWTVEEVMRALREGEFKLNSAVAVIDFLVRHGVVTAENE AGYLEIWMPKRIRL ANIA_07238 MASHSIQTIGVIGTGVIGSSWTALFLSRGLKVIVTDPAPEAETK LRDYLEKTCPLVPGCTLTLETCLSNLTFVTNIDPYLEIVDFIQESGNLIVVNQNGPER LPIKRSLIAHLDALTPRHIPIVSSSSGIPSSMFIADCKNNPARILIGHPFNPPHLVPL VEIVPHPRTANEHIAAAYDFYKSLDKDPVIVRQETPGFIANRLQAALCAEAYSLIERG VVSPEDLDKTMTSGLGLRWAVTGPVMTNTLGGGGDFGHFMDHLGPALKYWLGDMHKHG FDFESQEKTDDLKKRVSVWVSNLDLSDLERRRDEVSEYSLMLTYSRIITLP ANIA_07237 MPHETVPSDTIYGPGTYVDETFLPAPQDACRIFEYLASSTPGFT TDRALWETIHFSGSEHPVIPGPIKAPLVSAALHAMCGVVAHEIVQDRDGTPAASQTVN VNTDHAAIWLGTVLAATLNVDGTRKDMSEVARSGELRSLFDRDFEGGFMSTPMRQRTT ALYKTATPGVWYQLHGSLDAPPVLRALGIDPEYPAKTSHEAYKHIAQRIEQWTADELE MLNIKNGFCGSICFTPKGWSETLMGKRLASHPLVNYKRQTHAIPTPVVPWRQVEDGDD RRPLAGIKVVELVRIIAGPIIGSTLAAFGADVIRVNCSRLVDLNVLQLTLNAGKRTID LDLTLESDRTRLRELLADADVFVQGFRPNAIARKGFGVNDLLEIAGHRGKGIVYVEEN CYGPDGPYHERPGWQQIGDAASGSSYVMGRSLGYKDGTSVLPPLPISDMNTGLIGALG TLMALRDRARYGGSYRVASSLVKADVIALEPEVGLYSPAVVEKASEIFQWGHIGPEQF VTEILLVVMDGWKRVFPQYFGTGEESLLTSFESGEGPWVNMSILRPVVRLGDEGVSPR WLTPSVPNCFHDKGIQWL ANIA_07236 MSSLYSDCSAMSFRTKARYIEATVASSTASFAASNAATNYATAT TYIRTALEAIAKTATGRMDAESCAAVLGGCLVGAETAASEAAKAAENARAAAVIAEEA ASAATEIAYINVSGWALNESFSAGTDNISVLDDEEFETDSVLAEWTALKADWAKYPGE EEVEDEDNEYDTPCSTGSYASLYTLAHDETIKAQHPGFIVIGASAKPSFSKD ANIA_07235 MFRKIDVALWAALAAHQVPFCSGYNFAPDQDPSITDPRIPVLFD VPVPEKLLPSPANPVAGHWTSSFLTTTTGSQYFFASGVVLSSPEQTAGYGFSLLDLAT LNRVTHSNMTTLAHAGIAPINFISTAYAISSLPPDDAAIAVHGIADNISVDLTVHQTS RALYYGGSGAWMYVDKPLYGWAMPAASTSGNISMPIPPGASPADEDDIDVEIATEIID PSASLTWYDHLWGHLDLQAGNLTWFNLFVNDRPGGELNLALVSYMLDSIEPPFFSRSI HVRSRNRNESGLAIIPVDRFEPITDPNTVWKSPRSGLVYPQRWELGIEGRGLLEISSV LGDQEMVDPGSGAVTYLGFVTVKGVIDGKNVTGYGSAEVKFVQRLP ANIA_07234 MASYMPLAVYIHDGESHRTYTTTPFNVRNTVTNSNNPNSVNGNI TRNIAYNITNTIMHVNASTSGLVPATQHFLDDRTRRIHQQVRALSPFPGMFEHGHGIH ESPDGFLRPAQGWICGFIDYKVAIFVKPEGDYFAARMFYQMRMGDLFELERMANERNT PRVGSFGHGLWLAPDGSLKFGYGIVMQAFRNAILAILQTPSEPDAAPVTGFAGKDPHP AYRPVLRFG ANIA_07233 MPTTQTIRVPHLGGISAGYALSGDKYDASKPTCVLINSMCMTTA LYNDQFEDASLTSAVNLLAIEPLGHGSTSCATEHFTYWDSAIMALQVLDALGIQNAFA LGTSQGGWIVTRMALLAPERVLGLILLGTSMDYESVDSRSKGCWDPLPLLKPFFDGWT SDAPTPDFVVEETWCKMVGAVGFGTFATEERVNFWTKTLQEVYRGDEGRKKVRMALTC LLERDGLLLRLVDIKCPVYWLQGTDDTPFATTVPAEQIKLFTRSKEAKLEIIEGGAHY LNATHPKQVDEAILELVKKYAV ANIA_07232 MADNDSLQPWTLATVVSVTVLAFVTVALRLLARYERKQKLWWDD WMILWSMVYTPLGNVGWNLIVVAFIFLMYHNGMGLHADTMPPGKVVLVAKYLVVAEVL YVYNLVWTKMSILLFYYRIFRFPYFKRWAYIISTFIVLWVICITFLFIFICVPVEKLW YPQLPGRCINQVATWIANAISTIVTDLAILMLPIPQIWSLQLRSSEKMALTFAFGLGF FVVFASAYRFSVLFSYDPLDSTYTLAPTVGWTAIEMSAGIVSACLPTLRPAFHFFFRI LGLQSIMPSLLRTGQSQTTTTNIVGNDVSLGTSKTAQTSAGRANRRSFYHLPDEDDSL ESEHGSPPFRPEYDSAHTKIVVQGKGQEGDLTGDEVPLQGIRVQREFTQRDS ANIA_07231 MLLSLLPLFAGVSLAATYQAYNFSVPIDHFHNETRYAPHSNGTF NLRYWFDSTYYQPGGPVFVIAAGETDGEDRFEFLSQGIVTQLAEAYNGLGVILEHRYY GESYPFPGADVTVDELRFLSTEQSLADYAYFAKHVIFPGLEAYDLTAPNTPWIAYGGS YAGAQVAFMRKLYPSIFHGAVSSSGVTAAIIDYWKYFEPIRNYGPRDCIESIQTLTDL IDRILIDHPGNRTLHAQLQSAFGVNPAIDNRDFVNMLSTPLGSFQSRNWDPSVGSYEF RHYCDNITSTEPLYPANNATALLPGLVKAAGYNASNTTLLSSFRNSIGYISSSSSSAE SESAEDDNDNGTSLPKSSGTSWNYQVCTEWGYFMPGSSVPPNIKPLISRLIDLNYTSS FCASSYKIPFPPNVTLINQHGGFNFSYPRVAIIGGTADPWRDATPHAEGLPGRESTDE EPFILIDIEPEHVWDGIRGAVHHWDQNGLPEGANESEGVEVPEEIVAVQKEIVRFVGG WLDSFEAGEDL ANIA_07230 MHSFLRSFAALVAAGSDPDTGIVFDTWTVEASSSSAGFTFGVSL PEDALDTDATEFIGYLSCSSSSTSEFTGWCGLSMGSSMNSNLLLVAYAQDDTVLTSFR FSSGYAMPSVYSGNATLTQISSTVTADKFEVLFRCEECLRWDHEGVSGSATTSAGQLI LAWAQAEESPTNADCPDDLSLVQHEAQGIWVGKLSGDAATSNYETWAALATNVVDGTC GTDGGGGGDNGNGTTPGVPVPTNVTYDYIIVGSGPAGMVLADRLSEAGAKTLLIEKGP PSIGLWNGTMKPDWLNGTDLTRFDVPGLCNEIWKNSDGIACPDNDQMAGCLVGGGTAV NSGLWWKPYSKDFDESFPETWKYDDVRDAVTRVFTRIPGTTTPSTDNRLYLAEGPSVI MNGLLASGWKGTTFNDEPEEKYKSVGYSPYMFSHGQRNGPMATYLLDAYQRPNFDLWV NTVVRRVVRDGATVTGVEVEPFNDGGYEGSLQLNEGGRVILSAGAFGTPKILFRSGIG PEDQLAIVNGSASDGETMISEDQWINLPVGENLMDHPNTEIVVQHPDVVFYDYYAAYD DPIEADAQSYLVNRTGPLAQSAPNVNPVFFDQVTGSDNVTRQLQYQARVEGSHNVADG HTISISQYVGRGQTSRGKLTITSALNTVVSTLPWLQDDNDTDAVIAGLERLRDSLSTI QGLTWAYPKANVSMAEHVNSMAKTGRGSNHWMGSCKMGPDDGRDGGSSVVDLNTKVYG MDNLFVVDASIFPGMISTNPSAYITVVAERAAERILALQG ANIA_07229 MRTVHQLKLYRSCISVWNRLRSSPAAFFDVFWDWTRRFFFTLSL LALFSAKSLHLYAHLHSLPADKFLLWGVTFFTQDVACTLLIRICTQKFPWRWLDALAA LVVIPFSLTMSGMASANISFFVTAGAEINWRQAKSFHRDAAAIRTLLTGLTGFLIVEA IMTVIAWLVAPFLHRLVGGVLHILAEPFKILFRPLFTRAASLSSRIWRRRLGSETLPD PDVYEQIAVEDYHDYKSDEEDEYSDYSQRPPQRISLIKRLVVWLPLLCLGLLRSVRPP YPSYIFLSSALPMTPFAGMHRPTLGEQAGNIPDYAWLEGKTSLGKPPNWDWMPEETLP GFRDWHNKREHYTPSQDPLHLSNLQAPVLDELKDVLASGEVNIKHVILLKLESTRGDV FPLRNGSFMWNKIVDSFDGKEMPESAVRTVANLTRTAEYLTGFDSGFDQYRDGERKSY GGISASNAFTTGTYTIKSVAGTVCGISPLVADFNREYKYHLYNPCMPHVVNALSHQAD ITNGSDYRTWPWESIWMQSVTDTYDHQDLLTPRLGFRDIYTKERIENPGAKHYPVKSK EVNYYGYPDTELKEYIRDAFDDAEENNKRLFLAHLTGTTHHPWGMPDDNYENIMGPSF KGKNNDMNKYLNTIGFADRWIAQILDILEEKGVRNETLLVMAGDHGLSLPNDGGITPY SNPHIGSFHVPIVLAHPKLPSIEVKDPVISLQIVPTIIDLLIESSSLGPNSTQAARDI RGLYEGQSLIRPLFQEANGMQDWQFSVMNTGGSWLAVRSAARPQWRIVVPLIDDVEWR FTDIEKDPQETKPLTSFSFFDLMDTLWREYRDDKSNTPEEHDEEPVERDGHEFFKHPP PPPPPGHHPHHPPPPGGGPPPPPITITPSRPHPEDAPWEPEVVRWARDAAHMAEWWIA DNHRRYGYKKP ANIA_07228 MGSATINQPVGNTDYTQSTVAIIGAGISGMCMAIDLLRRNHRNF VILEKGSSVGGTWNDNKYPGCACDVWSALYSYSFEQRSTWTREYPGQEEILHYLTGIA GKYGLYPHIRFNSTVEEARWDDEARKWKIKVSVSGAKDAQFQEGYELSANVLISGVGQ LNQPAWPNIDGMNEFKGKSMHSARWDWTYDFKGKRIAVIGNGATATQIVPEVAKTASH LTVYQRTPQWIIPRDDKPVHPAQKALLSFPFFRNCKRSFMMLYREMSHDFIVKSDAKN SQEVRELCIGHIKKGLPSKPELWDVLTPSYPPGCRRILASDDYYPALGRENVKLDTRN IQRITETGIQTADGETTDFDLIVYATGFRTVEFLHPIKVYGAGGRDLAEIWDGGATAY YGVTVEEMPNFGLLYGPNTNLGHNSIILMIEAQSRYLAALIDPVIRAKEAGVSLAIQP KTEIVRAFNADIQKRLGKSNFADPACNSWYKTADGRITNNWPGTVVEYQQALSRVRWT DYIVDEETMKFVGKNETRIGHVEEVWPVSKSTLLLGLSVAVAAGGWYLQGARASRRR ANIA_07227 MPRAPSSTFPSPNVSYTILLAFGWSEIKAPISVLSLDCSSTIIR LLQARSAIAATGSLLVILVITPTFDQLRPLGAFPPPPPPPSSSTFRMSTATVTESVTI TKDNVRMRLDGRDPKFGDFRDDLARDGFAVVKGAIPRERALKYADEMHSWLEGFNLGY DRNDPSTVHKDRLPLINEKGMCMHYSVAHEKFVWDVRSEPGVVEAFEKVYNNKDLIVS FDAVNFGFPNRTDLPPNKPWPHQDQDPDKPDFRCLQGLVNLLPNGPNDGGLIVCRGAH LLSDEFHSDPVIRAEERIPAWTPEWYGFTETGMKWLADHNCEWVKVCAEPGDILLWDS RTPHYNLSPKGQTPRFCIYTCYMPVADASQEDLVRKKESFENRLGTTHWPNANHTGSN VATRDGKECPYNRFKPVMEPVLNERAFRLTGIPYLRSQA ANIA_10916 MACKYKSPFAFRLTVSRHSVLRKYVLEDEITPLPTGHSDILEEQ EPEFCSKINPRSPFTSMMVAHDCRLIMGPGRQGEVFGIVALLPDERMNEDPNAKQSWV SEGSLEKMLDTFSEFPDWIISIFKHSRDLGLWQLHDINPLRTWYRGRVLLIGDAAHAM LPTQGQGASQAIEDAEALGAFFAEQADSPSGEEIGRIFEVDVFRSRHNRAGLIQAYSR QAAKPGTAKGAKTVTM ANIA_10910 MVQLLLLHMPFCTHRTIFSDRYITIKNASMSFPSKAPRVTKDAA SLHQCPECDRAYERPDHLARHLDSHRNERNFHCPTCHRGFNRRDVLQRHRLIHTSGTP LSKHAGRAVEACEQCAIAKASCDNDSPCNRCQRKGIPCIPRKQRHRCFRTMAKATPEA ETPQGQGGSEPGVDLSTTPRTESIAHSENRQAPPALEAGMNPLYSTASLASPVISFPT GISDQSNPVDNLSEFPAFFEHVMMPSDLFSTGVPGVQQPRGVLDIMCDPGFTSADANL FGSGIITDLDKILEYNLSPAPTSSDQELNTEEESTKKRVAAFRKSLWLRVPEKNQNGF SGDGQIPLRDGDMTASISSLHRSRLEALNIRGKLTQQMRDNIFQLVLATGGSRLSVPS FPTAESLDALIKIGISKRTETDAWIHPYTLYRQDSRPELLTALTAAGCVCSAIPSVGK AGVLLLEIVRVSLADLVESDNSVLRDLQYFQASMMWLDIGIFCGYKRKMQIAESHLQP LCTDQALRRAGTFDRSFYSDNQPVQALGGESIEDAWLQWIQQESLKRLAYHLFGHDVE VAAAMNRPALTSYAEFTVPFPSARDLWLAPTATAWKELLETKYSHAVSSNLSLRDLLS DLSILNDLPVNIDTGVVNSAVLHGLMSQVLMFRQQASLSDSRTNDQPVTRLWLQTRQD DLYGTLLSLEELKANQDIARSQCIKTVSENIVDAPPLATLICEFAMMHLHVNLDSIQR FAGQFGEMEARREYPKLREWALTKEARTSIWHAGQVLRAARLVLPFQLRGFDSLAIYH AILVLWVFGLLCCGEKQRDNNTNPTSGHPNLVVSLDGPETELIKSFINRSVGQHGLTL HFAGAENSTVFCPLSSPRLVMDVGRQVYEGNFQGATDYVPPLVENLRNLIHELGSLP ANIA_07225 MEEKGAIELAESVEVGKPDVELGQVLVDGEGQVQRLPVPSKDPN DPLNYTRWEKVGIIVSCCWFFWLSTFPSLFVGVGRSIHSSHFLLAATIGCAVCNTWEQ HLGLRILQGLSAGVTESVLPLILAEVTFVHQHGMVYGMYWAAQSTITGCLNLAASYEV AALGWRWYYWVFAITVAVGLLLVIVFGLETSYQRSSQFVHGRMVVTDQFGVTRVLSED ETRQYLGTHGHPYSRDDGHIPEELRPKKTYFQMLIPWASPTESPLILIPRTTLQIFSA FLSPGILYATLVASVVLGSSIGMSLSYNTVLQYNYHWPAKSIGLINLGGVFGGFGGML YAGFFGDKFIVWMAKRNGGIHTPEHRLPLLILPGILGVVALLLYGLTADGSATWSGPY MGWTLFQITFVSVLILSTSFAAEAWEKNPGPAIVAVVGVKNIVAFALSYGINPMTELY DYPVAMGILAAIVGGVFLLGVPVYFLNPRVSSSVSSLSPEFKMRSNEGHLSQWRRWMD MKERRQRRTAN ANIA_10915 MLPWVHPHLAQSVNPDKGRQLQAAQSIQRGEVLLIDPPYAIIPI SDVDATTSLRKAICSNPQCNKPVSRDTASRCPNRCNNDVFWCNDSCEETDKARHDFEC TWLAKYTTSLLSKWGEYNFGMLWLIVRILSRRYTESSYPHNIEDKPPAHDSHPSLSRF KFGWPAIDSLCGTPETWSHAQVREWTVLVKKYLGSSTLPHDLSNSDVLALICKEEANS FGLYPRETGVFPPPNPPVSRGEQFAAAVYPRASIANHSCCPNIIHKPDKVGRMVFTAG RDIAAGEECCISYFDMTQYVSLQDRRRHLQGLFRFKCGCPRCLEEETAAADTANETHW DAFPGFA ANIA_10909 MSFTARSLRQVLTSTSRNFHCSRTMAASDWSARQYLKFEAERTR PARDLLAQVPLDSPHRVVDLGCGPGNSTAVLVSRYPDARVTGMDSSPDMIGKARETLP GIEFTVDGLSTYTPREPVDLFFSNAVFQWLPRDQRLEIIKRLIQSQPSGGVFAFQVPD NLAEPSHVTMREIAANGPWSSTLQSVARESFQSPHELYDELKPLCAEVNIWHTYYNHS LENHKAVVEWVKGTGLRPFIDPLSQPDRESFLKAYLGRLEQLYPNSRLQPFGSWQEQL CHTASPAHYPPPALVLVAVLHIVHIAEIPTLFNVSILALPLRRPWFEISLPLQQSLS ANIA_07223 MAEMPAPIFSPTAATTAIVPPHRDDRPLRSSCGQCNQAKVKCSK DRPTCRRCATRNTPCVYSISLRGIKRPRPRQEADQTCPPKEKKRVLSIPSPVSSETLP TTTVDITVQPACPPNFGNSDIYSEGFVDDDLAGLLSLNPFDTPLSDPTAFPFSLDVPD SRLVPSTQPAVAPQMVPHSMTIPLSPISPPSSRDGHSHYGATGPTTTTTSGLNSPVPA SVTCWCQQSISFKLTELSMPKPPSSTFILDDFLTEHRANMALCTNVLNCPDPHRTHGM ILLTQMIALLHHMTAAFDQLLPRGDTSGQQQPPPPPPTPSSRATSTSPIDHRKEQISN ANTLRAELAKLGVLIQEFDRRYCALDNSGFGNETFLLSPLFANLQWKTQCNSHPVQRC QTCQTPFKQSASIRSYSVPILLGATPHNGPP ANIA_07222 MAPSILSPITSTMDGIFRQKRISNSRRVSGLQDGESVTSGVARS VHSPRVTSRRFNSMGTTNISSVVTSLQRRSGEELGTNTSSRLLTATHASILEWIRSER MSLLPPEGSDYDKVLAWAQLFIDRLHSFDMAIEQFAGDSYLAAQLSYGYCAMLLELGR ENAAALMLSFGFFYSISMTLVNLLERTELFGVSQDIQEQLILALADLVTLVASVSTHF HKAILSEVKAIRAWLAPEDRVVESIADHNSHLAHDREELTCLWLGPYLTRFLKTQQRH LSITGQPGSGKTVLASVIVDHLQHPHGGVSYQALHVPINGRIAVQTSSLAIAKTILSQ FFEKRIGNVQLFRILSDALHRSKVTADYETYDNLLWDTVEQALASALKGAKGLVLVVD GVDEATCGEEQLLQRLIRASTQSSNVRLITLGSRNPPEAPGQARVRITEDIIFEDISA VVRGCFGNSQAFKGLSAIEQETVVERITAASKGSFLIAKLITKRVRREQSTESLRKSV DSLISAKPAVTDFVLHSLQQAEATTEAKLMLLWLATVERPLHVQELSALASIQTEKQT ISDTRVDILHALKPFNSLVFLQYDYVSLRHGLIRSAVVEIFTQGKLVPSLKDRHSDLL ARLLVYIKTTITEQHEPSSVPSLDHQETNMLLGKYPLLEFSLRYWVSVFRQTTVFTKD GEPAASKTIAKLLPTSTVVVRLLRTVLDNVSTPILVTFQTLITNVYRTILTTENVTTL QAIITLATLLRQVNRPETFTLFYEAANLSQKLLTARHIVTQQMVTTFLEITTESITEA KTDIMIKREEMLQVLVQSYKLHYGNTSEKVIAVLEQLAEHYRKVKDIKRAETIIADIQ SITSTDYGSSTAGSTGSLDIRLVGRGKPGYEGGYILRLDLEEEDDLLETTESLEVEAL IERAKKYIEEGRVDLAERLYVEYWQRATREARVHSSAVWDEKKLKIILAYSVFLRTEK REHEASSVLTSFWQDYQQTSVSLSESSVVHLEEIAKVMKSVRLSTTALSVFKQISEYY QSTRRTETSSYQQVQQLLHSTSQEIIKSATSASIVSESTLEEMILEVSTSSTSINQTF YSSTETLAALYISQRRWQQASRMIKRILHNTWASFFAATLQDVTLPQKHVDSSLVLAE RLAQCYHSRHRLAKEQDTRLRIYYAVRSGLSVEDKLRQHHVSELLRLLERTSQTELTI SVYQELLNDYAKHYGPDHPTVIQTLRTLAELTRPRPIFLDYYQQIIQALNKDGKYHPE SLEPLDIVAAELWNQGRYSEALHYCHILYTAWLNHPKLSPKFQDEKFVKGIFTRYTQC LRAVRTEYSALHKVTLDYQEKCKAVFSATASVTVQATLTLAQLCQESKHYEVEAIRLY EELLKINSSEVQLDEIRATLDSLYEEQSAILSNSQLTESASSTQVEQAVRVLKKRITT LRESYGWAHEESLLRMKEVISFYSRQSKTEQVVQELQEATVHILSSETSTTRLSEAAA TIVSSYIETKQTQKAVELTNEVYRQIIRKDTTNVKSSKFDLTTKSRQSLIFLAQLEHH LYRQTSTVTEILASLTTELVYFEEFQQLIRSNSTFLSASASAARLHYFLVANKRQHVA AEITNEFITYLLANEGKRVGFKSTEEVKVFVMMVLSYFSTRHSKDIVRSIGIASNSHV RELIKLNKFEEACELALASFKYIAAQSSYRHPAIARFVLALSINVSGRGLHLNEATRK RMLAVSATIIQDVLKVLGELKINLAQVGLEHLNSLIGVLGEQADYPTLAAVLTSIWHS REAQRDWDPYITLSLGRLYIMARYFVGDTTAAVRLAEDIVYNCRRVHGARHPSTLQMS ILLSQLYTGIGQRYQAHKDAQELANRYYKRAAALHENILRALSDPTFADLDGSLDGGM STDGSVIDLDSDLANAALSDDQVRQHFRLFKLAIQRLGGWPKDYSEYERLNADLFREY PDALKGIEGVEKWDLKSFGAGKAESDEDQVDVNFSDWRLFGESQLVHSANGVNGAE ANIA_07221 MSQMVVPTRTGEILSVDEMKKMGMELEIVGISGVPDVGPSWCSI LLEVNAILATGTPQRIVQWSESQTYYQRDMFAFIHFSLLMLLRLQLFRIPGLTAMNTP GHWRTCARTSREDIAIAIAA ANIA_11551 MHYSKTATACTINHRPQLPAAASRLAGPDDEFSLSGLANRCHNS KLQVPASICGAPKRKHIVTRPRLANSRQHSPIIILRATHRYEGGEKLGGMQ ANIA_07220 MAANPQTYQPIISGPGDIRTAQKRRQIYIRPFLLFWLASLLAET VFLAVGIFVMTGTRDLVYKVLWTLVFCPLGMGGAMGGLINVFIVDHYYGTKAAHFTGV LALLVLSSCNYLCYNLDRHFGWFGASEHPMWFHWRYPMIWAVGSMRQDQISYGSPGEY TVGLKDAFARGNSRARSSWQ ANIA_07219 MSCTALILSNQRRRQQVLLAQTGVPGTKRGTHQGATAAVARLES ALERMCQAIISSTDVVIGARIPTSHQQIAHRLRDTVKTCLLSAMDGNGCQDDFACSNQ SEQLPKQSQAQGDHEKWPRPSQHAGLGVLPPARNAPFAPQSMPPAADATTMKAALFAN QLRLYARLNNKQPDRCSDIPYFRLGGAGTHYSGVSGQNQTELGKEQNSREIRIPDTLS AFSPEVQKDLDGDWFDLFDLVGYVRTHGVALSMAPPVEDTAYRKVNAVDFAAGRLTFR VLCHARGGYLTIISSGRKGICLGHSPGFKRSDVEIAIDSSCWK ANIA_07218 MTATITASHSSIFETAIAVIQIDANTYSAYLDPHSALKGPSRRL HGQRALRTAATHFARKHAATDAGPKRGQRQGRIRSPEPIAFQITFQRTFVAGPAILTV KEFKLGARISTIHVTLSQTRDGGDAVGSGDRTKLEVKVLAYITLAPPDMEESPRVRGV GPWTDLSPGMPQGSLHGGAIDFSALAKDGRDGEWRAGPQAPPSLHAVKHLKVYSPSST LLPKTVEERAGQVVEQWTQFAPGGMPARWSNGAGVPCGYLSCGVGSDGGDGGNETSCH WCGWDERSLPKRATVMISMFWYPPVIMDIDLKTRLPPSGMEWLYSRVVTRMVRGSLAD LEVLILDQDGELIATSTQVALVVDPARNVKGRLQADLGKL ANIA_11550 MRALAAPGTIAHMHEQLKPEMSEGIWLTHLPGGQIPPLRLVVAG DAVYGDVHQYFGEATTVEKRKEWLRVLDRSRPWNRIP ANIA_07217 MVDFPVGDTHAARLPRLYPRSSKHPYSPNSPTNPHTQLHCQEEQ SRNESIGRSPFLFLLTSVPSLTMPMAMNRGQVDPAPTSARHEEVAGPMEALILRESWD KDAIRFEQDSGLGCSRACHCQISFFLPPTAEPKSTQPATTKPNQSNQPTSQNSTSKCL PATATAAPATATPALAAPAPTKYLFCRVVLCPCSAISTSQLYLNQATTRAYSSIRPCK PQIRY ANIA_10914 MFQINRELAALGIEWNPRRIYYLETWRYPEVESPTRWNIRDGLL EDFQVEEWLNQRGEFSPPVPPAEVGNGSHGGIRLLACNYHLFPKISVGMSPETFGLAP QKYEIGNYMLSLTYDMSSHWTTALLAGESIVDTASTIFNRSSLPLAPLSPCDVVREYL MSSPTLWDHPLMLPCIVLSHHLRRLQHHCNRDLTPIVMGIEAQLGVTRVGRRNFDARP RSINAIKDGPVARVQSEHLTVTINTQLTRVGFTARNPKWNYEASALLEKIVTELAAYT PALRPEANEEILGVLDHNITLAKSLEDNVLGLQKRLELQLNVLYSFVAQTDNRLSARL AATAGRDSTSMKILAFITTIFLPGSYVASLFSMNMFNWEDGASGSEGPGSGGSTISPQ FWIYWTVAAPLTALTLAGWALWWSFEKHRYDEHLEGNRQDTRVKIPPWWRRILETRHT LNPGLAGPLGVAGGTRS ANIA_10913 MTSLTQKADDYLRFAQQRYETSRPRSKAQHQRATSHLPGGNTRS VLHATPFPLCMQAGKGNRLVDVDGYEYIDCMGDMTACLYGHSHPVIMETVDSTMKSIG MNLGSSTSAEAHFAEALCDRFASIDHIRFCTSGTEANLYALSVARQSTNRTKVIVFEG AYHGGVLSFSHGIAPNNVDKDDWILGQYNDIDGAVQLITENKDIAAAVVVEGVQGAGG CIPGSAGFLHAIQDAARENGIIFILDEVMTSRLAPGGLQSILLHPDHGTPLKPDLTTF GKWIGGGLSIGAFGGRRDLMSVYDPRTSIIHHSGTFNNSTLAMNVGCKGLTSVYTPEA CTSLNNLGDELRSGLQELAKGTKMVVTGLGAVMNIHFVRASGSRVVARTSDLEVNSGS VEEALRDLLWFYLIERGFWIARRGMISLILGTGVEEVEQLKGVVGDFLEDFRELVSA ANIA_10908 MLFETFLSLLPVAALATAVSTHPQTPSDLIVATSSGIVHGIYND TAHKVRAFLGIPYAEPATGDLRFAPPQPRAPSQHPINASSFGAPCPQVYNYDNESIWN VLPYRIRNVEDMSEECLFVNIWTPAEHQTKKSGAGRKGKAVMLFIHGGGFGEGAGSVG FYDGVDLASSEDVVVVTFNYRLNVFGYPNAPGLDPAEQNLGLLDQRLAVQWVHQNIAN FGGDPDRILLFGQSAGGASVDAYAYAYPEDPLVSGFVLQSGTVSLFNNADTAHANWNR LSTAVGCSAGDGSLACMRSVSFSRILEVLGNGSYTFTPVADNRTVFSDYIARAKAGGL ARLVRIRTPLTRCQPTLAGINAREFSAGFPLSQSSINETAVTEDLFGSFSCPAYQAVK TRLRQHIPTWRYVYHGNFTNLSPKPWLGAYHSGAWVAFAQNRHTGLEDYGWPLFTFNE TTLVNLAVDNRPGAVLGSAEDWDRHCRGDVYIGYTIPDSLQLHVYNM ANIA_07215 MVLLYYCGRDSMIKAASRQSVARHKGTACLQERMRFFTTTALFA LLATSTLANPIEIRQAANTRTVTLTNENSGHGQSSDIPTDGVDVAIPPRYPDLYSPTF RVDSVMITAGVVEGAKCVVSGNRVSDNAPVTLVTVDGRKNYAKFPQGVAKPESLKINC V ANIA_07214 MRLGALLSVTLLSTAATAAFNAQDTIDRDVVILGGGATGTFAAV QLREQGYTVALVEQKSKLGGHAETLYLPSGDYVNYGVEGYFNNKITKDFFAQLDVDYE PLLPGAILTQHVNFRTGERVLPGNELLTTTAAALLYRGAIEQFNYLAQGLYDLPEEVP EILLRPFREFVDKYALWGAVDLIFTFAENVGNMLDRPTLYVIQYFGIPHIDALLNGGY IRPKNGTDVLFNKAANYIDEVNNIFYDSMAVRTTRDETGVEVVIQNARTGAQKLIRAK KLLIAFPPVLEKLAGFDLTEQESALFSKWTYVNYYAAVLTNTAVPNDFNILNTDPTNQ PGSLPTTPFNWALEYSGVPGYFMNKIIGDANLTEAEARALIIDDLKRMSSAGTFGIKD GQEPEIAAFASHSPETLMVSVEDVKGGFYKKLYGLQGQASTFYTGYTFCTDYSTMLWN YTNTIVDRIVQEL ANIA_07213 MALYLHTAASSGAACDGLQAPSIPDARVLWITSNTVQNFTYPPV TGLTGEPIAGATGDSSPLTFCNVSMVLTHPGDNDTVLVSVWLPPHDTWNTRYTATGGG GLGAGYDFNMISPLAAGFAASATDAGLTLNNTIMADTGLWGLKEDGTVNEPLFKNLGY RSIHDMAVASKDVIKQFYGVEPKYSYWAGCSQGGRQGYAAAAKYPTDFDGILAVAPGL GFGHVGLAAFWPVVVMYNEGEYVPSCIFDKFEAALLESCDPDDGLVDGLITDYDLLIS CPRSFNTSALIGQTVTCREAGNTNFTITERQAIIYWFGTVPGATFSGIAETVFDNATG RWLPKPFAPAAGWLTNIIAPQMGIEAKLQHLYRDTGILTLTYNQYFVAFNISLALSSP FLSDSYLNFRSFQKSGGKLLTWVGLADQFVHPAHLFDFHASVTKTISPGNSSNIEDFY RIFTAPGVRHCAGGLGPQPVSPMGALIEWVEQGKAPDTLSAKADSSTAREIVRDLCLY PKKSVYKHGELAQHDGFECQEPEGRLQRPNEDEDEDDDYESDKTIIGDDDE ANIA_07212 MGLFKILAPCTPALAAAPEFQQEDIITRDGCILRGGATGSYAAM QLVERGHSMAVLDDLRRMGDAGTYLINKKPEYVAFDSRWPASIMAPQKISALELYPLH RRRDDG ANIA_07211 MTTLHSYYPLGVEIPHYIANELSTPTLLAIFGTACTIVFSVTTS LAKKANSQISNSELYKTLWFALCGSIHLVLEGYYALNFLTLASSSHPLAQLWKEYALS DSRYLTPNSFVMCMESITALFWGPLSFLLAGFIATNHPLRHPLQIIISLGQLYGDVLY YGTCAFEFLVNGLEFSRPERYYFWGYFMLLNMFWIVIPLEVFYFKLAVLAQLDGKPEP PLAGHGIARESVLSTLLTPAYMSVWYTALRDVAVCFESAPPI ANIA_10907 MAAFEKTYRSTPINPPLYQDASPEAKNITYKKDWNYSLLDCCSP GSLCFLTCCLPCLTFGRTQARAQDPTLKSYSSINSECLIFTGLTLCWSQWIIQTIRRG EMRERHGISGSCCGDCCATFWCGCCTLVQEEKEMELRTRPELTGYQGTPQMAYP ANIA_10912 MASRLVNSYTASQSRKSHKKSRLGCGNCKRRRIKCDEKKPECSN CLRHSVYCDYKNATGDERGAELCRQPSDACDSAPEQQADDSYTFISSNQTHFKPPKRR HARRNVTPMQVELQIPAPEPCAAVANKPFNFSATDMVLFHHLISSKDLGGSNRMAISQ FTRLGFSFHYLLHLLLAISSFHLARHGGDDHLSQIAGHSGDYLAEGERHYSLAVGVVA AQIPSLGKENGLALYAAALLIFLCSVARGPQPLEYLAFRDDGQAGSLALFMGVRTVLE TCTASFAIDASLMHAADHKEPSSDGEGKLNSWARNPTVLNEYSSELSQLSQILLTVPS NCDAASYHQAFEHLQCIYQSLYSPDSKLNELDLWPTIFGWLYTLPSPFLTALQCRESV SLVLFSFFLVLLKELDSAWFLRDWPEHILIGILHNLESSHQPFIRWPMEVLKCPSY ANIA_10906 MFQAGEPASCITYHQYGQRCTGNAPCRNCRQRINEHGPQVDGES GEDDYHKKLLTDIFRTIQSTDEAGSQPLLDLIRNGATFPEVRCWLDQTLRGGPMPNQA STTDVRDSKLRAGYEVESGAPPFRSKVMDIHYLCDSAPVKVPAKPWTTVTDDDGLVSH LVSLYFTWDYPFYAFVDPAILIRHMREGNMQSDFCSPFLVNALLANACANEIQHYSHY SEAYAVPGDVKTKGTDFLAEAERHLQNNRFEKGSVVRLASIQATLLLYERYSMVGDDD HGYIMLNQAVEMAEALGIINSPQLDLNNSQMSEEMIRSVQQTAWGLFQIDTIVHTNFL RKSAVKDVSIPRLDRETSKPSDKWVAYPLNKPDRPSWLSQAFDEACKLSYIARDMSST LIPKADDPAGNTAEQKWELYNKLRHWEENLPATFSLAERPAPHIILLRMRYHALLINL YCDWFEGKPPFNAITGEVNQEPSTQVADAQALDIALASAREIATLTRLHKAEYGMERA HQFAMYAILLALFTFLEQNSFNVLDHDFLLLTSTFSIIASRSQVGMHLFHIFRHSVKF RLQCGQWESSVDMPPELNELFFKNTSPEIPDRWNHYAEGLQKLADNGEYIGSLDGRWQ SHAASGINDMLRKYETLSVGRVDELTWKDQSAGFGFSPSPA ANIA_10911 MEKPKSIRIGSTKVRTGCITCKSRRIKCDEGKPTCRNCIRSKRS CTYANNHDGKPNLRIVVYTPRATFAATSAEKHSLDFFLAQMRTRFPIHFSQRVLQAAH REDVLAHAIIALGAMQQCFEYDDASAIGAWSPLATFSMQHYGRALRLLQERVNEAFIL HPRTKGRGRAAGAETGAGAGAEPDLILISCIFFACFECLRGSTQAAIVHMRSGLNLLR EYERDPRTGSIIPKRTMRSLFTRLDNQLIEMRGSSLSMASSDDNLEVVARDPLPEDDV HDSLNALWNHILHGMLDSARALAKGEAPSPSISTPQADVRENMKQLHHTFTRLCISST SPSSPPPPEGVDYGQDPDILRIWFLLSPMLLTSNTWDPADAWGPHNDNFARIVAIAEA YLARCAAANPSRRRTFAFSLGIVPPLTLTATRSRDPRVQQKALYLLSICNRREGIWDS KVSVQFALKTIEMDQEVRDQPTEEQAATRLKNRAAAFDDILVDDDDERLVKHEPEEDD G ANIA_07208 MPIAVQDPTPATPTTVPGKADAKSHPQPTHPGLLHVQRSAQAFG SSAISEVSLPAGALFAKITTATPATKAYTSVQVSRDAHIELNSDLVYCNHSCDPTLNF DMGKMEVRVVDNRPLKKGDQLTFFYPSSEWEMDQPFQCTCGAGEKCKGFIKGAKGLGR DGLKGYWLNAHIEELVQEEEQKKNADGQ ANIA_09514 MALPKLAILDDYQGIAGPHFAHLENRVAITHFPQTLDPRNAAQQ SELVNRLRDYEIILAMRERTPLSRETLSQLPNLKLLLTTGTRNRAIDTAYCAERGIPV AGTETRGPGVHSTVQHTWALILALARHVARDDAALKSDRDYWQGSLGMTLSGKTLGLV GLGKLGSAVGRIAIVAFGMKVIAWSANLTQEKADEQAEAAGLEKGSFVCVEDKQEFFA RADVVSVHYVLSERSRGVVGTPELRRMKKHALLVNTSRGPLIDQAALLDCVEHGGIGG VALDVFETEPLPADSVWRGRQWGTDGRSEVLLTPHMGYGDEQIHGWYDEVAANLQRWL DGEDLKTLL ANIA_07207 MTQLILHFYSKHAWWIQRMQLLIIIPRFWQRVANFTLAFRVKAL DRLCTLHTLTSQFHAKRGKNAQRLNAQSPTVGKDTVRRKETMGN ANIA_07206 MDPAQPPVAEAPVPEAEPQHQDQYQENQPEHQHHQTELDQPDQM SQGLSHMSETETPTIVPTAASEEPTLPSTSYHNGYSSDSRAHYEYHTPTQDPQPQPPT SSRPSSGLSGTQKQPAQTTKNSVVIKVGMVGDAQIGKTSLMVKYVEGSWDEDYIQTLG VNFMEKTISIRNTEITFSIWDLGGQREFVNMLPLVCNDAVAILFMFDLTRKSTLNSIK EWYRQGRGFNKTAIPFLVGTKYDHFVNFPREDQEEISIQAKRFAKAMKASLIFSSTSH SINVQKIFKIVLAKAFDLKCTIPEIENIGEPLLLYKNV ANIA_07205 MSKRSADASEDAAALKAGERPLADAPPDDMGEFEDEFEDEFESE DEILEAGVDGRPDAEREEEEKADAMEVDQQTFIPGRTKLAPGEVLSPDPSTYDMLHTL STPWPCLSFDIVRDTLGDNRKTYPATVYAVTGTQAEGRRAKENELMVLKLSGLGKMER ENETDSESDSDDDEGGEAILEHKSIPLGSTANRIRAHQTPQSDITKPPQTITATMLEN SQVVIHDVTPHLTSFDVPGTMLPPSASKPLSTLRMHKSEGYALDWSPLHPLGKLLTGD NDGLIYVTTRTEGGGWVTDTRAFRGHASSVEELQWSPNEKNVFASASSDGTVKVWDVR SKSRSPAVNVKISNTDVNVMTWSKQTSHLLATGADDGQWAVWDLRHWKPNPSSSAPIT ASPVASFNFHKEPITSIEWHPTDDSVIAVGSADNTVTLWDLAVELDEEESREAGLADV PPQLLFVHYMESVKEVHWQAQMPGTLMATGSGGFGVFKTISV ANIA_07204 MAATATTLAEIEKTIKNAIPKHCFNRSLLISSAYVVRDLLYASV LFYFALHIDTLFSSQLLRILAWTAYGFMQGCVGTGIWILAHECGHGAFSPYQTWNDVV GWTLHSLLMVPYFSWKITHARHHRYTNNTERDTAFVPWTEKEYDTRPRYFPAWFEMFE DTPVYNLISLLAHQIAGWQMYLCFYVSAGAKSKPVPQGKQSGWFGGQQSASHFDPGSS LWTENQRHLIAISDLGLLLVAAANWYLAQQVGVLRMVLIYVVPYFWVHHWLVAITYLH HTHPSIPHYTDSTWTFTKGALSTVDRDFGFIGRHFFHHIIDHHVVHHLFNRIPFYHAE EATNAIIPVLGDMYHREETGFLWSLMETYKNCRFVGVENDVGKEGVLHWVFEEKKGAK AE ANIA_07203 MSGLFGVMKPVHVRRGPTLNEQSGHVRSTLLRRVLAEKKTKQRE DAASVEQGEGVRTGRDLLGLGQALRLEASPTTPANHRTLRCEHSQDGLRAGRMQDPTL TLQASSCCPTCGRVRILPAAPAAPAAASVSHLTTNFLHELLDLTTATLWPHFRAQDYA ASCNRSWVYPLEEKSKEQLELKSLVLQELQKEVANISETYSPDALVMCILYLARIPPA ALEGRAGHRATLWRDPEPARVCASLALCLSDLMSAMQNLTKPIYPIVGVDAKPLDLQP PSLLFHRLCNQNVSQPVILWCVAVAMSMLNMPDKTASAPSTLVPYMALLSQNAGVDSL DKLMALLESFAWVDAAVQYTEIVCGYQARLHETGR ANIA_07202 MLAEALLVIDDQVGLATVVRDYSTNDFRNNMVAHAAIGKAFDIP VLTTSSDEGPNGQMLKEILDMYPNTTAVRRQGEVNAWDNEEFRNASRRRGKKQLIVGG IVTEVCTAFLTLSIVDEGYEVYANTEASGTFNGRLAKDANRRMEKAGVTLMGFLAIVC NLMRDRRNTPGLAQLLPFLDQYQFAYGLVARHHAAAIVNGTLADIDKELV ANIA_07201 MWLSLLYLVSSITAVLAFSPPAKHPLHERRDALPDYWVEDARLD GQTVLPVRIGMTQSNLDHGHDLLMLISDPSSPEYGKYLSAKEVHDLFAPAEETVERVR AWLESEGISDTRISQSANKQWLRFDATAQELERLLQTEYYLYSHAETRRSHIACREYH VPEALQKHIDYITPGVKFLEIAGPLPILPTERSLTRRDTADASSPIIDGTNLTLSQVT NDALGFCDQIITPACIRGMPCICQPIVHSINGGTAPTTLDNAGPESTLDLAMSYPIIW PQNPIIFQTDDPIWADINNPKFPGIFNNFLDAIDGSYCDPSEEELDPPYPNPAPGGYK GQKQCGVYKPTNVISISYGFAEFELPIRYNRRQCYEWMKLGLQGVSVVAASGNNGVAT GGCLGKDRSVFTPGYLICPYITTVGGTYLLPGGDPYNPLEVAVDPSTTPGNWSSGGGF SNIYERPMYQASAVEEYFSRANLNYPYYESINNDSFAANDGIYNRIGRAYPDISAVAV NNFVFWRGGPILGGGTSASAPIFAAILTRINEERLAAGMPTVGFVNPMLYAHPEAFRD ITEGNNPGCSTDGFTALEGWDPVTGLGTPIYPKLLEVFMSVKLGL ANIA_07200 MADNPSRSKGNGLSVPLNTSQREKFPEQEPAPSSDEIQYPSVLK LTFILIGLNLSVFLVGLDNTILSSAIPKITDRFHALGDVGWYASAYLLTNCAFQLFWG KLYTFYTVKWVYLVALFLFELGSLVCAVAPSSTALIVGRAVAGVGAGGVTNGSFLLIA HSVEPRRRPTLVGLLGSMYGLAAIAGPLMGGAFTDNGALTWRWCFYINLPLGAVPSLV ILFLIPAFAGSENRESGIGNQIRQMDVPGSLCLLPGVICLLLALQWGGTKYNWGNERI IALFFLAGVLLSGFTIIQYFSGDRATVPPRVFGNRNVWGAALFGSGVTAGFFLMLYYI PIWFQAVKGASAVRSGVMNLPMVLAYVTFSLSGGFLTSLLGYYVPFAYLTVIFMSVGS GLLSTFTVSSGSPEWIGYQFLFGAGVGLGLQTAFAAPQCTLPIEDIAIGTAIVMFLEN LSAAIFVSVGQNVFSNQLKTNVQIYAPSVDTARLIDGGATEAVLRAYNKALTGTFYVG VGLSCIGIFGVVFMQWVNVKKSGKKTEQDNKDV ANIA_07199 MPSSKQWILANKPTDLPTLSGPTPTFKLTSADVPKPSSSQALVK TLMLSNDPAQRTWIVPNADPERLYLPPVQEGSPMSAFALAEVVESGSPNELPVGSLVL CPTNWTEYSVHEIKTLQKIQPIEGLDLGHFLGALGMTAVTAYYGIKEVAGTTKDDTVV VSGAAGATGSMVVQIAKKIIGCKRVIGIAGTDDKCRWVETLGADVCINYKKDSFEQDL IKETEGFVEVYFDNVGGNILDLMLTRMKRHGRIAACADDKQEVISMRLHIRGFIVLDY YHKFSDVIAELTQAWKEGKIVVDESMQTVVEAKFEDIPNVWMKLFEGGNTGKLCTKIV SRRHRVRRGKEKRQVLIIIAATGCLNLWSPINVKSMA ANIA_07198 MSLYPAPWLVIRGYWAAMLGFKRMQKEPSKVETILAAYNTEQPA LSGKQPSSIYLMGQSSLQAVVASLSSLGADRGGAQRAAQQCSFVGRCSEPRGLFGGPS SV ANIA_07197 MEVDDSPPGGARPGTPLLGENSEPPSGPTTPTPLPRNSLKRRAL FSLQKTPTAAPVPVSYLLQAPSICKQGRPRDLAQGLAARFVSLAKQDSPQLIPLITAA APPQPSRQIEQPNQPPTPEACKGPLKRQTSQPTTWASLTAPRASQGNWQTIAPEHCMQ AKQPAQQKLKQPNKTDHCIFLCLPASSSLWAIRPHGIQVTLAGKVPDRIAQVQVISTG YVITTTEQGKVFLLSEKAASLAGDGYFEILTEYHQVIVPWIPKQLWSLDR ANIA_07196 MAKVKKDPNYIRYTKISKAELDLPDFKADNHGYLIPHVGEIYCR APACTNSFQTRFLNTNNLKKHIRKAHVDKFDLLEKEGGGRPTAKEEDDAIVFYKAVLE AYDARQEDGVGKPEIPRRRDGKINQSAVKKYVREQGYSVPCDACKEADKAKDCCREAN LDVCDHFELFEPYEDEEEAESNEVF ANIA_07195 MDTEYNYLNDQTVINDLINLSISSESDSLNENEAEIYHENDDRI LHERNQAEVEVKECTHINLLDMNHQEVTDAMFNTIFQKRRDIISQRERPETRTTYSLY RSVCKSFQNSVACLASQTSCKPVLRKHSEPLLTSPFFVSRGIHSHPPPPPNKPPQLIL DEILDLIRKMQSPDLTPSLFLRSPALKQFCQKYNGQTLSQIHNSFVNQDRFAAIIAKE KALLYPKGRGLAGVRCELDRHPEYREYVRRIYTDHDIVMIICGFNKQIQLLGSLDSFE VDMSYKRVKGDFNEVIFATFLPQHGKIITLLRVFMDHESAFSYKLLFTRVFQLIADIQ GYMCAKQMSGLGHYLQELDPLQRPWTWQLMNILILCRTGDLSDYHSSARCRMESLLTC STREEYFHLIELLEAHETPKIAEWARHKRHSVIAAGATLSSRILDQRDIDQYLARNQY GTSHLSRITRRVLQDSFLHADLVPVVEVQDLDHGEAVTIQVFFRRSATANLQVQNLEL QRQQLELEAQQVRIRKEKAEAEKLELENMLRRRELGLD ANIA_07194 MPSQKTMRAVAIKGGKGPADALYIDNIPVPEYGPSQALVKVKAF GLNRMDLLQREGQYPVPPQAPATLGVEFSGTIAELGDGATEDFKVGDEVFGLAYGGAY AEYIAVATGMLIHKPKELSWEEAAGIPETWITATQALHLVGAFKPGNSVLWHAGASSV SIAGIQLAKAAGASAIYVTAGSDEKISFCVNQLGATAGFNYRTQNWAEELSKATNGRG VDVIVDYIGAGYFQDNLKSAALDGRIVNLAFLGGIKVENVDISYFLRKRIRYEGSTLR SRDEEYQRKLRNMLVDNALEKLRNKEFKVFVEKVFKFDDVVEAHKLMESNQTKGKIIC TI ANIA_07193 MSLGKKVTLNTGAQIPQLGFGTWQSAPGQVGEAVYQALKVGYRH LDLATIYQNQREVAEGIKRAYKDIPGLKREDIFITSKLWNTQHDPAVVEKALDDCLAE LELDYLDLYLVHWPVAFKTGDNYFPLDESSDHPDGDVLIDDSISIVDTWKAMTKLPKE KARAIGVSNHTVEHLEAIINATGVVPAANQIERHPVLQSNDLIEYAAKKNIHITAYSA FGNNMFNIPLLVAHPEVKAVAEEASKRLGKTVTPAQVILNWSQEGGHSVIPKSVTASR IAENFQEVELTKEELAKVSKLGEERRRYNTPYVANKPRWNINIFGEEDEKPAKHKVIV ANIA_07192 MAKRRLFRWPAPRTTGLTFLALLLSSLTLFLYGGGSFPMTPAQR GSSLQSAQSVDSTDGVIAAQESLHDQVIRELAEITSAYSNTSRVGLVLAATQSEDLQW LLDYCRERGPDTIPFIYSTDEKPDPRLLLPRTTRGREATAYLSFIVDHYDKLPPYSLF IHSNINQWHNDLFGPYTSNALRNLRLEAVDAMGYVNLRCQHDPGCPTSVHPWSPTQID IEKNDIRAFFPEVYQTIFNVPSDQVPEHIGNVCCGQFAVSRERILQRPRHDYERMLKW AAETERTDSFGVGWVYEKIWHIIFGMEVIYCPRYEQCRCDVYGWCGPLADTGETLQAV RAPAPAS ANIA_07191 MTQLTTTFSGASITISSQASADSAFDKCSSISGSVTIAPSASGT LALDGLERISEDLIIESTDLVGISIPDIEDVGGSVTVTGNEQLNRLSLGSLSSIAGDL EVKGNNALADLVMNSLEVVRGVGSLSAISAALFSFEELERVGGESEIVSTGSAGCAGI DALNQAGSEEAEGEVFRGSYTCATTSASPTSTSTSTDEATDSSAGSGLGGGAIAGIVV GVVVGVLIILILIWLLLRRQRKNPVIHGANAAVIGGGFSSGAAAAVMAKSKGEEKGLN HNTSLSTSPREGSAPVSAAAGGAGGGSIPRRPVSIATTSMSSPSTYHPSSTFPSSLTA GTSNRSSLPLPTALIPGTNGSSNPPGSRPSDGGDALFFFTTTPSAGRPPPRPARQPSE SDVPMLDSENVHEVSGVAVPAREEKRSERVLEEGKVFELDGGFDGARHQRAINGEPEV DAKQ ANIA_07190 MRIGRGCERCRLRHIKCTIGEGASSCNECSRLSRACRLDPPFRF KTVRHVYQKCQGSSSKFELAWSSRQPWVKVPQSRMFSIRLRLYRSVLICLVMFIEESA EDPDFDVIPDVVRNNQLHSDGPSAVSQAQTPHTPSPREDMAHPGNHEHLLVQLAGTSP GERRTISSISISPKPANGPSPLPSVSTWADFSPSLSSLSPRQNSISSMTTREASLLRS FIQTLAPRFDVCDQNSHFSTEIPRRALQVPMIMKAILAFSARHAAIMSGSEDWEAAEY HSQCVEMLIRALSKAEGFYDDNILITVVILRVYEELERTTDEKCHWLGSTRLLNTMSK SASSGGLAEAVSWQFLRQAIYACLVEYQPMQLNLENYERSSVFQRMDDAAYANRIIFL CARIIQLRSEPNGYSFTESDWQYLSDCVDQWYREKPISWQPLKHQEANAGENKPFPEL WLVSPPAVVGLQYYHACRIILATSNNHQKIVVDYERARLRRIEEQTIAYHLVNVIGLS KSNETVINAYFMGCHLLHRYGFCLRHPAEHLGSTEFLESVEKQIGWPTAWIVRQLEAE WNELHTLDSSWSPRF ANIA_07189 MTNRDPDFRHQLGRFRLQVDPPPSSQLPLPTSSSTPATSLHSPS TQSTAAPPPSLPHRLTSRPKRVSTACDFCRKRKKKCDFRYPNCSACTRAGVRCTIAPP GPQITNSVPRDQLERLQDRVQWLEEIVRRKTGVAVADRPTGSALDEDGDSNSWHQLPA ILIKVDPSSPQDASTVGGSTSGLDSGASPASNDPSAVGTELPNVGEIFRDKLEHRRPS IARPVVSASPLHFLRLASLEEAERVAGQYFDSMGYQYPFLHRVEFFDNLRRIYAGEIL SPEVHHSYHITIGISLLIGSAEDAETRAMEFYRANLTALRALLSLALYTLFATTGPSI WHVLGTALRLTTSLGLHKARHPTNLHDDEMTKRAFWSLYNLDRLIASTLGRPLGIADE DITLSLPRELNDDGTETPGASSMTIPIQVIKLRRIFSRIYRYPLLKSLMPTVYSTNQP PPTPTELSINLRHFRQELDEWRSTSPVYPPALLYSTSYFDYLHATTLLLLYRPSPRNP TPDQTSIISCGNASVAVIRSYWESYCAGKLKWIWLTLSQLYFAGITILWCLNRNFHSV NEGLGPVWEPDELMMRRAIQAVVVILEAFGKRRAGVDKLAETFRTQSTTIFSHLAYQR QQQQAMVPPPVPQPQPVVNPVYMAPPVPLAPVLDDVLLVDASRTMPVMDPQMAEQLFY SYDWFQEEMATYYTL ANIA_07188 MMRESEAKPLSGGSCKESPINNVESNDTVDRNAVLDDHDAEKIL PYEADDSPFPEVRAVVRPVDDVELPLNTIRMWIIGILFTIVGSGLNQFFSLRQPSVRI SALVAQLVAYPIGCAWAKWMPLGWLNPDRRFNIKEHALITIMANVSFGSAAATQVIEA MVKFYHMPSQGGFQILLCITTQLFGFGVAGMVSRWLVEPATMIWPQVLSNAALLSTLH SKSNKVADGWTITRQKFFLLVFVGGALWYFLPGYLFTALSMFSFVCWIAPTNVVVNQL FGQKTGLGMSLLTLDWSQVVFANDSPLLVPFWAGLNVMGSFVLFFWILVPIIYYTNTW YSAYLPLLDSNTFDNTGRMYDTRRVMNINNGTVNVEAYRNYSPMFIPAGFAVTYGVAF ANLTGIFVHTALYHGKDLLQQWSGRHKKDIHSRLMQSYTPVPWWWFGAVSLLMFILSI VNNEVWETRLPAWGVLLAFVLPIIYFIPVGIIKALTNITSNQLNLITEFIGGYAFLGK PVANMAFKFYGYVTVSQGLEFVADMKLAHYLHIAPRTLFFAQGLATLIGAIVQCGVTV FMLTRFEGICTPHADGGFTCPHGLVTFSSSLIWGALGPARNFSPGQIYGNLLWFFLAG PVVVIITYLLGRRWKAANYISWPVAFGAMSMVPPATGINFSSWWVVNVIFNGLVKRKR PAWWSKYNYVLSAALDSGVAVSTVVIFFCIMLPAGPLRWWGNEVFMRTADGRGTPWKT VPPHERFGPTTWE ANIA_07187 MARDEPDSGVCVVDGREYVEQPPPENSSAWGKTVLNKFRDLNPG EYPPNFNCGWAYETVVTDPSRHLPWLRGKIISLGGQFVRKRVESLEELYAMFPESRIF INASGIGSKYLTDVRDDRCFPERGQNVFFRTSNCRTLYFRNGKEYTYIIPRPLSQGVI LGGVKQRDTLSPEVDMEIAKDEIARAHRLAPEIVPADPPEESLSYIIGIRPSREGGFR LDSEKIGDRTVLSAYGFGGGGYAFSYGIADALVRMVEKAERDHIIW ANIA_07186 MPPKRRTRASKPQPEKQTYPLSPDQEGESPQRNDADQNSFKCPD NLTDNPEEPMEPDEFESSGTFPETDEDMPEETERAAVEDEGDSADRETATEKKRGFAG GYNALKSYNGKYYSGMAVGGSHTWNYDQGIWKETKEEPDLWKIDYRTNKRRARKAPKG SGAPVGTEYHWLIVGHQYVKKVDANTYETVLEGSKYKLAYKSATSNSWSVPTVKKQRE REVELLEDAKLRVQGLPPVSEKVKVEKQEKGQKKLDAMFSQGNVAGKKRKAGE ANIA_07185 MEGVDFQSVLNKGKQMASNVAATATNGSTNKKRRKGTDLKPIVT SDSATPADQTGPTSDNVPPSRSGSSSSEEEIETTAEEEDSEDYCKGGYHPVQIGELYN NGRYVVVRKLGWGHFSTVWLSRDTTTGKHVALKVVRSAAHYTETAIDEIKLLNRIVQA NPSHPGRKHVVSLLDSFEHKGPHGVHVCMVFEVLGENLLGLIKKWNHRGIPMPLVKQI TKQVLLGLDYLHRECGIIHTDLKPENVLIEIGDVEQIVKTYVKEEAKKEQKEDNRNGR RRRRTLITGSQPLPSPLNTTFEFKHSSQNSHSSLSQVINESPGTSEAPSMRQLLGIKD EDEQQKQREKTAYVERLLEGTSLTDDSDLLEREVSGISLDKPSSDEDIDCGIISVKIA DLGNACWVGHHFTNDIQTRQYRSPEVILGSKWGASTDIWSMACMVFELITGDYLFDPQ SGTRYGKDDDHIAQVIELLGPFPKSLCLSGRWSQEIFNRKGELRNIHRLRHWSLPDVL REKYHFSVAQAKAISDFLLPMLEVLPERRANAGGMASHEWMKDTRGMQEVDLGLTPGS RGEGIEGWATEVKRR ANIA_07184 MTDSEYLAKNYLTADPSPPTDRPKKKRKKTKAAEVESQGLIIAD DDPPDLRSTATLSSKENDEYGPVVTGARSAEFRKTKKSNWKTIGSSTNTGTDTATATG PNAGRDEADAILADAMAEEDARRAAGDEDPMVVDQDDNEDDGGLRMESGARAGLQTAE QTAAMVAAQQKKRKAEEAQYKGKQGHAQETIYRDASGRIINVAMKRAEARRLEEEKLI KEAEAKEALMGDVQRAERESRRAALEEAKVMPLARTADDEELNEELKAQTRWNDPAAQ FLTKSTGGGRSKTGKPLYKGAFQPNRYGIRPGHRWDGVDRSNGFEKEWFAARNKKERM QALEYEWQMDE ANIA_07183 MSGSFDNNDLRQAGTAAKRVHDHKAKWVHGGRSARPGAAAPNGI LPITRPAKQQSQANEHRTSWTRRQRLRDAQHSSTLAKVNFRNDVREALDEATSESSGV EDHEVLAVAATIPEVYQAEGPVDLYEVSGETLFNAVVDKAVEKFEIKETEKLVKEYEV ITRDHELSIGYLADEDEFELVDHVKL ANIA_07182 MDKRTAESPMDFEWQSRAPGDVTSPFYQLSMQHDNQKKRSHNVF ESPEKKQMPALREPNSQPFLFSQTKPTAPETPKSVFAQPAFMTPRKFDLDFSSGAENM SSPENADNDDTPEQPTKTGHRNSLFGMYGRFAPSPGRGEIPRLSHYSNAVARRVQKRR LRNKALDLQVHRDSDDESDRPSSSEGQQKVKHKGGHKDEAPSSPTSTFKKFFTLVEAH PNVPNILSWWAQLALNFFIFSMAGYVLWGIVWTIRAEFDQAAEAASDTILAEMAACAK DYVDNRCGGGDRLPALETVCANWERCMNRDPAKVGRAKVSAQTMAIIINSFIDPISWK AILFFLATISTVTFVSNWSFRSFRNRYNHDFAPPPPDYQRQSSGQHHPLPPQLPDQHT QFGYGTHHQDDPTGFVKQDVPLLLENHPQAMNITDRSRERSRNPRTPSPVKRGRKLL ANIA_07181 MKLSALSLLTVACVATASSHGAETMEYLMSLKQQSRERARSQGL FDINRYPDEGAKKCKNGKAGEYSCENVDLLSFLSHQALGSVTREGNDVWGWTSAEGRE FGIVGQTDGVAFVEILEDGSLEYVGRLGSQTEPSTWRDIKVIGDHAYIGSEAAGHGLQ IFDLNKLTTASSSKPTVFSTKKDLTAWYRGFGSSHNIVAHEETNMIYAVGTARNLSCA GGLWMVDVSDPANPTSPGCVNEDGYVHDAQCVIYKGPDEKYIGQEICFNFNEDTLTIA DVTDKKNPIQISKTPYVGASYTHQGWLVDENDHSYLLLDDELDEMDGTGSAANGHTTT YIFDIKDLSAPKHTGTYQSPVRSIDHNQYVVAGLSYQSNYGSGLRVVDVSSVFEDPTA SSFKEVGSFDVHPEDDAVGGEVEFVGSWSVYPFFASGHILLNSIERGIYSLKYTGPAA EN ANIA_07180 MRFHTILLAALASLVIATPLPSDTDVSLERRQSMNSNDLEKGDC KSVAFIFARGSTEIGNMGFVVGPGVCSNLKSTLGSDKVACQGVGGAYTAGLIQNALPA NTDSGSIKEAVKMFDLAAKCPDTQIVAGGYSQGSAVIDNAIQKLDDSTRDRVKGVVLF GFTRNLQDKGQIPGYPKDQTKVYCAVGDLVCSGTLIITASHMTYGLNAGDAAKFLASQ VSV ANIA_11549 MNAGKAARVFNNSFADAITVAAAYHLHRPSSGELAKSPPHPKHR FLCSIQITSVKYG ANIA_07179 MATTVTGDLPHDNLQAQAIGMILPFQLLRLLPSFCGCISVYGRA RLPQGETVTSYSLSLPTTPVTVKLKIAVINYNYVGYHIWDVPSDWDSTPGRTALQPRP RPHQDLYPALSSPPDRAEEGCAANDHCLLVLNAIAAVVTFLITTFSCLPVASNWDPNS YPNEKCINLSDFVTGTASVSIFTDFLVLLMPTWIVYNLHIAKKQKIMLIGILSFGLM ANIA_07178 MRRPVRVSPGRAGTVASSCRATKSGPALTWEIFDLLGGSLIKAT PLAAYCYPDWPEYDADKCSEITTNWIISDIRMDDPVSIMLPLYEGRTCIPPGYNYTDT CTQGGYPTYVVNATTVAQIQMAVNFARNPNLRLVVENTGHDFNGKAAGKGALSIWTHW LKDKAFYPEYHADNGYVGPAIKFGAGAQVWEAYEFANENGVSHCHWWRGCDCWSGVGY TASGGHSPLSSLYGMAADQVLSMEGTSLTFFEPISYPLTTQHEIANEMTVVLADGHSS RLPLPKTLPLLSQIICNDSWHTPIPAFNVECQAYT ANIA_07177 MSYYGEARDYYGERPPAPYERPPYDRPPYDGAPPAERPHYERPP YERPPYEEHRSYESRPPYERPPYEESRSYDSRPPYSGPPPSARPPSYPPPPLPIGWIQ EWEPRARRAFYVETATGRSEWALPDDVSYSEASRSGPGEYYAPPPPAPAYPPQDYGAP AYPPHDQGYGGEYQKEEKKSDTGKILAAGAAGLAIGAVGGAILGHELAENSDEEHSHH SDDEPHYSDDGDD ANIA_07176 MVDIATNVLTTTVSVQSVSRHAISFWLRCLSPVSANHDSPLWEA QSVLEHPTELRINTLGIEPITRSSHYEDVRSNFNFDINQVDGETQNELLMVVTSVEPF QLTYLEPIIFYTEHAIAVEHADSVLNRKEPWTRHTLDSISDAYCMQ ANIA_07175 MEVAVPSSPLDRTPRQTSPTSAPFVQTLFLPVPRSDDLATDLIT TRRMTTYTTNHAPSVLQTHSWRTAQNSAPHLLPHLQPGLKILDIGCGPGSITVDLARL VGPTGHVTGIEYVSDPLDSAAALAASSGITNVTFQVGDIHALQFDDDTFDVVHVHQVL QHIRDPVQALREMRRVVKQGGIVSVRESDTMSWYPCNQGIQDWLDLTGRMASAKGGNP HPGRKIHVWAVEAGFERERIERSAGTWCFSTPAEREYWGESMARRMESSGFSEGAVRD GFSSQEELRAIARGWREWVAHEDGWFGLLHGQILCWK ANIA_07174 MTEPRRRWTAAEDALLWDLYQVQEKAPTGKCQKINWNEIARHIP GRTNKDCRKRYYNRFTGGLRKGSWTQEEDERLFRLVERYQYRWATIAQKMETRNADQC SKRWHHCLNPELERSPWTVDENMLLLSAVNTHGSSWKDIQKCHFPTRSANNIKNQYTI LSRKNISLAPAHLHPCCDSPSPSKSSRRPPSTPTSTPQVPGSRQGSSYDPYDYGSLSS TPQLSATDYLPATPEAPAVNFDMAMGAFGDSCGYMPQYQPSPSFYANSPDGSELMMPE TMGMRMRYDFRDGLEQEGVRYPGQETFGY ANIA_07173 MHRIRSWAKAHASISPNSQSQGASLESTERPDDPDIRTQNDQVN KPAGDPVTGSPTDDNSQKKGLVPRMKNGSIRFFRHTKTAICHSWVNVLLVFVPVGIAA EAAGLNPSVIFAMNAVAIIPLAGLLSHATECVASRMGDAIGALLNVTFGNAVELIIFI IALVKNEIRIVQASLLGSILANLLLILGMAFLLGGLRFQEQIYNSTVTQMSACLLSLA VTSLLLPTAFHASFKDSDVAMDKTLKVSRGTSVVLLLVYVLYIVFQLKSHAYLYASIP QQIIDEESHPGVLADLMDSSSDSSSSSSSDESDDTTTSWTTAKRIKRAMKYRRYRKAS TSSRGTNSPELTQKSSVIDVSSLPPRQSSATSASSEERRDETGSYIAAVEQGQEKNGP RSRDFGDDGLNVDAITIASRSEGPQSRDFGQTPSIHLAEKGRRGTKRERKKKRKAAKE EAKQSTAPELAQPAANPRVSALSVGQGESADIPRKWSPFRPSLPSLLSNTVFATPPPT TSNDPWGNFNGLRRTHSLPSLSQRPPQRVQRPPPVGNAVQFARVAARMPPAGSPTSKQ QPQEHEEPGMSRTAAVVMLLLSTGLVAVCAEFLVDAIPAMVESSHVSEAFIGLIILPI VGNAAEHVTAVTVATKNKMDLSIGVSVGSSIQIAIFVTPLVVILGWIMDKEMTLYFTL FETISLFVTCFVVNFLVLDGRSNYLEGALLCAAYVIIAVAAFFYPDNDQSSALGQAGS AS ANIA_07172 MFPVSQWLRYTSLSKNFSPSKWLRTLHLDARTCLLMLKGALPPT IVIAIYQSSAISNITLTIGYLSALISVLSQALLPRAKFTKIVFFDVLSTCIAASLCCL AVFCAVKAQEHHAPPVPPQDAYSSDACAVSAVWLIVMIWAANAIRAWKPAELQDPMVA FSVFSSVTLTRTGTFVSLSDGLEFISRLLKGFMLGFAIATGVSLLVYPVTSRGDVFQD VREYAAQIHIVLKAQGEFVGDGFALCAGQALSSSGRDGTPAMSDSPQALRKKLQAAVT GLHAIQAKLQSDLFYSKDEIAWGKLSASDLTQFGGLFKNLFLPLSGLAMLPDILDMVS SQEAQATLDIALNHLGEVSSRSGTEHVAAFLHSRLEDCSELVNAGLQYALLKLEIMKP IQLDRHRDEEAGQPLNPLSPHFPAQFEKRKLEYHSRRRQLPQAFVSLEALSYEKSADG VSDDESAATDVDVEQSFFLVLYILQLQDKLLKATQDFVEFANSKVMDGTMARKRIILP ALTICEWLSITYKHRNKQSPHPNPEHLPPSTAWEKASSVLPLICRVLRSSESVFGFRV AAASFCVGILAYLHQTQDFFIRQRCIWAMIVIVIGMSPTSGQTLLGFITRIAATVISL ALSLMVWYIPDQHTAGVIVFLYLANVFEMRKLGTDVGTSNGQPYYPIYLFAPYKLLAV AAGCAISFIWVVLPYPVTSKSTLRKSLGEGLYLLARFYSCLHATIELWMKGELGEGSV QSTNSAITQDLQKTRQRVFKEGMILLTKLRTLSHFSTFEPRIGGKFPKSTYDAIIREI QRMFISMALMAQTAQSFQDPSLLSTRGNTRMEDKFTKKWSSRLASIALQSTDFKSHAT TSLLCHLGSAVLNAQPLPPYLSTGASFPLARAVRQTDKDLMSIRHIHDPAFSAFASLE VLRSVVGAGLGKLLGNVKSLVGELSFDVRDQGGDEGAELRTLMDDL ANIA_07171 MPAAECEAFFEIEERARRRVMEGLKHYYLDTLVVHPNYQRRGAG SMLVQWDCGLADEEGVALYADASRAGAPLYERFGFVDESKGDSGQAEVASMIRRALPT TQAYNMTLSNYRRLNIPVPLQLGYKP ANIA_07170 MAYMSPDPLSANWSYDSAIDLFSLNTMIPEPFPLDIPNDMLWDA KELPADFFAAPTDINGFTVSHDESLSSDQESDDQSFSPNNFMASTPPSASTLDLPSPM AMPMNIKTEPQTTINPCSTQSQQPKRKASMISDSSSRYSSPELSPQQPSPPPRQTTTT GRRSRAANATNGDENTARGRNAAKRAAHNIIEKRYRTNMNAKFVALEKAMSVKTSGVS KAVSSTNSSSNGVKSSASLKKSEILSNAITYMQELQEMNERLRKEVAALTRERRANAY C ANIA_07169 MSLSPEQIQLIKATVPVLQEHGTTITKVFYDNMLTAHPELKTVF NVSNKVHGHQPQALAGALFAYASYIDNLGVLSPAVERICHKHASLAIQPDGYQIVGKF LLEAMGQVLGDALTPPLLEAWAAAYWQLANIMIGKEAELYKSADGWTDFRDFRVARKE PESDVITSFYLEPVDGKPLPSFLPGQYVSIQVQVPQLNHAQCRQYSLSDQPKPTYYRI SVRKDLGLDASDPSAPAHPGYVSNILHDNINVGDIVKLTHPYGDFHLTDAAASSPLVL ISAGVGLTPMTSMVNTLRTAESNTRPVHFIHGAHTSASRAFQAHLQSLPNLKTTYFLT APTESDKQGENYTYKGRVDLSKIADEDLFLGDKQTEYYICGPTAFMLDTQKALVARGV DQSRVHMELFGTGGVPAA ANIA_10902 MRSRIPNILKPWGRQETQGDTPVVRRNRNQLPMLHLADTTRNNL IAMTGEFVGTFLFLFFSFAGTQVANTPKPVEGAPPNTDALLYSALAFGFSLMVNIWAF YRVTGLLFNPAVTLALCLVGGMPAYRGLFVFAAQIVGGIAAAGVVSALFPGDLNVSTR LGGGASISQGLFIEMFLTAQLVFVIIMLAVVKHKGTFLAPVAIGIAFFVTEMIGDYYT GGSLNPARSLGPDVINRSFPGYHWIYWVGPLLGSLLACGFYYFLTFFSYESVNPGQDF NEWEAKWGPGPTSWDSSMRQHSHSDTTTLNRGMSPRDSRAARNGNGDWNGAHGAHVPP PPGEEQV ANIA_10905 MGKQTDVRPPSPGLGDTYDQQLLAKLGYKQELRRSYSTLQIFAI AFSIMGLVPSIASTIPFSLPAGPAGMVWGWLTASILIFTVGLAMSDMASSMPTAGGFY WWTHYFAGKKFKRPLSFLVGYSNTLGLIGGICSVDYTLSLLILACISIARDGNWSASN GIIYGLYAGLILVHSVATIVTSNIMPRIQTACIFINVGLIIATVVALPVGKVTRGGTL NSGSFVFGHIDNLSNWPTGWNFVLAFMSPIWAIGFFDSCVHMSEEARDAPKAVPRGIL FSAGSACLLGFLVLSVLAAVMDPDVAKTAGTVYGQPMAQIYYDSLGKRGALGFMAVLI LIQFLIGLSLIVAASRQVFAFSRDYALPFSPILRKITSLNGQPQPINAIVFLGGICVI FGLLALINSVAANALFSLFVASNYVAWGTPILCRLIWSKRFVPGAFYTGPKVSSIIAG IAVAWLGFGLLLSMFPTVKNPGPAEMNYTVVINGFVWIASMLYYAVYARKVFTGPRVT LAEGEGVSSSFIGTQAEAAREVNNEKEVGTDTSPAG ANIA_07167 MHVLDDDNLSSQPAVHGHQSGTKKAAASATVTAVSEPYCVTSTH GKVFIISLTSIAMVITMIATNIVLPVLPILQAEYGVTTTQMNLLVTVFSLVQGITPAL MSSLSDLQGRRIAWMFTLALYTVANIGLAAQDSYIALIVLRCLQSLGSSCAIPFGFAV AADISSPAERGRYIGPLQGSVMGAFAFGPVIGGLLAPSFGWRSIFWFLAIGSGAALLA YIFIIPETARSIVGNGSIEPAGWWRRTVVQNIQRSLQRGSDYKRSGTQHGRSAASPQR RIGAAEVLRAFTILAEKDALILVVFTSLFYSGVTAMWATTGSYYSSLYGLDTLSVGFS YLPYGIAGCIGSIIGGRLLDINYRRIQRHQAQALKDADVHKPADADFPYEVARLQVAA PFALLASLSLITYGWIVQTRQSLAAALALQGLIGLSGTPLLGIIYTLLIDLYPTQAVA TQGAADLVRCWLGALFAAVIDYMLESMGWGWSFTLLGLNMAVVMPLVGLVYVHGPRWR LRKAMQTNEKEDS ANIA_07166 MKLLLSTLFLAALARAQDNNNDNSLGDNIGDIVDNVTSGAEDVG TAISTDFASATDSLTNLPTDVSSWAESVASDASSWATDASTDAESAWSSATSVGESLF STATSDVSSALDSISSSVSDALTSATDSVTTTVETTTTGSDGSATTTTTEITTSATDD ASATQSGDESEPTDSDDVAAYATPFMGVAGIMGVMGVVAAL ANIA_07165 MSLTPNYRPPEQAQLDNFGVDWVVHYQFEDLDASTALAEFEALI RDLEGAHLETQVRPGHGASLLVFIRVPRMHLGRMVHQSRVKDWLYGIIHELPAGDDET IADAETPAEALRSVYHAVTWKKTLGGAHITPKHGKWKHVASAFPLHDQVANAELLRKW SRTVLLTAEDLDAIRSLFGEKVAFYFAFIQSYSSFLVFPAIWGAVTWWYMGPYSITFA VGNCLWAMVFVEYWKIRETDLSLRWQVKGVGVLKVTRNKYVWDKEVRDPITGEMVQVF SPYKQFLRQLLLIPFASVASLALGGLIVVTFAMEVFISEVYTGSLKGYLEFLPTIVFS LCLPSITGLLTSIATRLTKYENYRTQDQYDLAQTAKQFVMHFITAFLPTILTAFVYVP FGATIVPYLDFTRRTEKQDFHVDNARLQQEVIYLSMTGQVVDFGTEVVLPYVQRVIWQ KWRDYQSRKEAVQGRRRVSSATNQVLLDSPEEKEFLARVRNEAEADEYNVHEDTLEMC VQFGYLTLFGASWPLVALGFLLNNWLELRGDFFKLSLECQRPPPIRADSIGPSLQGLE VLSWLGTLSTAAIVYLYRGDIAEVRVSSLLLTVLVAEWAYLGLRFAVRTGLRTILSTT LRRESAKRYAMRKGYLQASTGGSSPRGKHRVRFRDRVSVYTSATDPPSPQSDDVLHEA TMDSERRFWAGTAVEDGVRIIKALARRKGEKEM ANIA_07164 MSSNEPHLCFRSFVEALKADNDLVGINDPIDPNLEAAAITRLVC ENDEKAPLFNNLIGAKDGFFRILGAPASQRKSQSERYGRLARHLALPPTASMREILDK MLEADKLPPIPPRVVPTGPCKENVLEGDQIDLTKLPVPMVHKSDGGAYIQTFAYLADP PDVEEGKPRCPLGSSVRRPPAAIMASSMPILDGVTEAEYVGAMVGQPIELTKCDTNDI YVPANSEIVLEGTLSTTDTGPEGPFGEMHGYIFPEDMHICPKYKVNKITYRNNAILPM SSCGKLTDETHTMIGSLAAAEIRKICQKANLPVLDAFAPFISQVTWVALRIDTSKLPT RCKPGVDEVFYDDVRAFPLILYNGHGGKKSEGRSAVRGGKVVSNALMDIEYTQGRRNW EAADFNGSYPEDVKSNVLGKWEKLGFGRLEKVTNEDRRNIVQSLDAHVDRSKSRQHRN RHAFTSSFRRSGSTRLWIVEEEEHDDSKEDNQRRNEHECEQEQTQPKGEALAGEKPAG HMFGESGFEFGPIEGIKMDACEDPHS ANIA_07163 MFPQCRQSTASTSLKDAGYSKQSTLTSCQLSGLCPGHNWVYDYC EHELLNGLEMNRSPSTVERQEIDRVLRLKRKQRESKACYPCRQRKVKCDGSHPCRTCV KRDHPEICAYNVEKDTPQRRTQSRQAEQTAKSRNEDARPTCSPASADADSQLLPTSPL SSPRSSAQANEASSYVFSGDNSLVSMLRQQDPDGAMAREATSVLRPHNTYLSYPFIES KTPQDRWVALVDILPHRDEVLKFFHFYRICAYPFNPILVDIDKFESDICIYLGAYASG DLSDRSKVSEQWSSGKAVGHISLLLATLAAGAHFSDLENPQRSELCQDFALDFIGMQE SAAAEPNHNLTLLAELDSTYARAQPHLLRRESCRNLQQHLEHLALRMHVSFCVSTLCR PAIKTSPQSSEDSRYATLRTRARESLIIASKAFLDFQALSIVPLRTWSMVHTVLSSTL LLCTWQETRDDPESRHIRALITLRQTLKQRSSVGSLDQGHIQRPAGDFSGPSAAGMPP EMMLGDFSSYNDMYPGPGYGEMDFSPVTYLDSIMNVWPVVSELSERPSNRDDGPPRAL GDTSVLTQQQRHTTLHASVSRGLRFRAEQPQHNNSHNHQAPGRRKRVVIGMSGATGAL MGIKLLIALRRLNIETHLIISKWAEATIKYETDYHPSNVKALADYTYNINDLAAPVSS GSFKTDGMIIVPCSMKTLAAIHSGFCDDLISRTADVMLKERGDWSLWLERRHSARFT ANIA_07162 MFYKPGVTDHGLPHDPFKACVIPRPIGWISTKSAGPSGTANLAP YSQFNNLTFDPPYVMFSSNQTPSNERKDTVRNVESTGQFVWNLATYPLREAVNITAEQ VPYGIDEFERAGLSKEDANLVDVPMVKESPVKFECVYHSTIRLPGNPPMGTVDIIIGR VVGVHIADGVINERGLLDVSRTQPIARCGYYQYAVIRETFDMVIPGMSEDILKGLEGS VKGNTEMGLRGRRGEGERNEKER ANIA_11548 MASFVRNIRAARLSPDVCTFDGSTLMRDEDNNPSQRESNMSGAE AVQLLSILLQCGGTEPAPDPAGAGDTSPVEELDQHYIVEESTGMLPKFH ANIA_07161 MVYLSTLLTGAVGLATVVFAHPGHDVKAEAAERAAALKSVRARG LSQCATQLQARGVEAASVARRDASLQKIRKARGLSGPLLKARDTTPLTTSHESNLTVD LSTDPSVLFASGGSCVLAEDVTQGPYYVSGELIRQNLVEDQPGVPLYLDIQLLDSETC EPVPDVYLDFWHCNATGVYSGVVASGNGDSSDETNLDATFLRGIQQTDDSGVAEFETI FPGHYTGRTTHIHVLSHPADTTANANDTLEGLYTTTSSHVGQIFFDQDLISLVEATDV YSSNTQELTLNADDSILLQELNDDIDPFVEYVLLGEDVTDGIFAWINVVIDSSQSTSV TPAAYLTEDGGVENENSGGFGGGSDGPGGSAPGGSAPTGAPPS ANIA_07160 MRISEIDEVVDQVVRPCQKAIMKAITSLSLAALLRAYQLEMPKS IQRENCGREQYEVQEYLCDCSPILRGHENENITQVPAVVQNHLHWSPANTRSSSWEAS RSMNIVPIVRGTGRKYPVTSSCCQSEATSYRELYTQVNSNSTLTYPFSEILAPFVSAS RSYYRRWLFDLNRKNDALGTFQCSKETVRVENDYSTSRKAVKWYKTGQKCEEFALWAR MHPSHTVFLFLEGIRLCFVY ANIA_07159 MAATGRFTAFWNVASVPALIGILPLAGSHLRAVLCPVCIWRHSK AVCAPDTLQAMRAFTRVTAISLAGFSCFAAAAAAAFESLRAVPDGWIYESTPDPNQPL RLRIALKQHNVAGFEQALLDMSTPGHSSYGQHFGSYHEMKQLLLPTEEASSSVRDWLS AAGVEFEQDADWINFRTTVDQANALLDADFLWYTTTGSTGNPTRILRTLSYSVPSELA GYVNMIQPTTRFGGTHANRATVRAKPIFLETNRQLINAISSGSLEHCEKAITPSCLAD LYNTEGYKASNRSGSKVAFASFLEEYARYDDLAEFEETYAPYAIGQNFSVISINGGLN DQDSTADSGEANLDLQYIIGVSSPLPVTEFTTGGRGKLIPDLSSPDPNDNTNEPFLDF LEAVLKLDQKDLPQVISTSYGEDEQTIPEPYARSVCNLYAQLGSRGVSVLFSSGDSGV GAACQTNDGKNTTHFPPQFPASCPWVTAVGGTNGTAPESGVYFSSGGFSDYWARPAYQ NAAVESYLRKLGSTQAQYFNRSGRAFPDVAAQAQNFAVVDKGRVGLFDGTSCSSPVFA GIVALLNDVRLKAGLPVLGFLNPWLYQDGLNGLNDIVDGGSTGCDGNNRFNGSPNGSP VIPYAGWNATEGWDPVTGLGTPDFAKLKALVLDA ANIA_07158 MRAPITVSTTPGPAFLSRVSVGILLLLTFLTTASAASAIPALST ASLPEVTQQQHEDEYDLQPRSTKPFLLRVMPLGASITVGYMSSDENGYRKYLREQLRY AGWGVDMVGSLANGTMKDNQNEGHFGDTIDHIAEAAVNSTRLQPNVILIKYSNLLSLT SSSAYTCGSAGTNDCFQNIEINDADTRLDALITHLFASIPNTTIILSTLLPNEFASRA VRRVSQEYRNLVARRRSRGDRLVLAEMSYFITNDQLVDGTHPSDTGYKMMAAVWWSAI QTAEKEGKLSAPNIVTKSVLKDLVGINGTAQTEGKGLDDGPVEDPQLPEYNAPGQPGG SGGEGGAVALGSGLRVKLGLGMVGLWIVCEFF ANIA_07157 MTSKQSPSAEQIESADYITPAVETVPKPTATAEKAAQFLATTAV DRSFTPEEEKAVRRRIDSRVLPLLLGAYFFQQLDKSSLSYVSIFGLVEDANLVGQQYS WLGSILYLAQLVMFILVAIIAVIADLYLYWLNKRHARRRQELGKNARVVDESMLGKAK IQQSKAAAVEDGAGEEQKTEASVVDKGFQDTTDLKNEDFIYVY ANIA_07156 MVKKYALVGTGGRAIFFYTAIVKDFSQTAQLVAFCDTNQTRLDY ANSRLEALGHARIPTFLASDFDRMIAETQPDEVIVTTIDRTHNIYIVRALELGCNVIT EKPMTIDAPRCRQIFDAVERTGNRVRVTFNYRYAPHNTKIYELLSSGVIGQVNSVHFE WLLNTQHGADYYRRWHRDKRNSGGLLVHKSTHHFDLVNFWLQSRPETVVAMGDLKFYG RENAERRGETKFYSRAYGSENAKDDHFALHLDRNPQLKSMYLDAEKEDAYFRDQSVFS DGISIEDTMGVMVRYGSGAVLTYSLTSYAPWEGFRVMFNGSKGRLEVEVVEQSYVNSG GEQALEGALESQSIVLRPMFGKPVQIEIPKGSGGHGGGDPQLLNDLFGEFIGDDPYRR AASHVDGALSILTGICANRSMSTGQTVRVDDVFKV ANIA_07155 MSFNNFDITANEFEHACETGDAAFIADILDSRTSPRIDQRKPLM AAILNNHVEIVRLLLSRGEPDECLAVTADERALSLEASDITGSLQFAAMHGKIDVVEA LLRMGIAVNCVDRAGRTALGFAARAGKIDVVRLLVEAGASLTKVDKEGMTALDHAAAA VEIEIIDFIRGEMRKGKAKV ANIA_07154 MPGRNPNLTDHQATGSLGDILLKSLLSEPAFKVAILTRESSRGR TSIPPAARVITTVDSYPQKICFSVAEQLKFIDTAVAAGVKRYIPSEYGLDNNNFAARE LSPVFRDKGRVQDYVRLKEDTGLTWTAIACGMWIGCSTANQIVILSDFATTQVELVET IERLTSETWRRESVDPSLAEGWSKGDTAAGHGLTNIGFTKGTYGGHFEPLYQLRNWEL ALPTRDLEGVVREALREVGHRDLTEKGARQIKNGALILAQRSTVPELRE ANIA_07153 MSRHYLLTTCSLLAVLSGVAAAPGCPTINSSCRYLPNDPQWPST EQWQQLNDSVGGRLIRGISLASPCHGEEYNNITCGTLQERWASPPTYCSPFMSRDSPC SLGNIAPYAINVSSAQDVVAGLAFAQRNNIRLSVKNTGHDFLGRSTGAGSLALWMHNL NGMQVVNHTGPVYSGPALRLGAGVQGFEVYEFAARYGLRVTGPFNPTVGVVGGYVQGG GHGALQGAYGLAADNVLEYEVITTGGRHLVVSPSEYEDLFWALSGGGGGTYAVVLSAT IKAYQDGVVAGASLSFSAGGNEADTDADAEMEAYWTAISAWHTQLLVHDKTPKLTTLF SFTNTSFSLVAATLLDSPASALSAVLAPFVQTLDDLGLNYTYETNTQSSFYDHFALYT PALPYGITVTNSTVGGRLIPRQTVAEKLPELVSALRNITSHPNIRINGIAANITHTRV RNIRASNAVLPAWRDALYTLNMDAYFEPGASTDIILRRQALTNANQDLLKQVTRDAGG GAYTNEATFDNPDWKTDYFGTNYDTLLQVKEKYDPGHALYGAATVGSDYWSMQGDGRL CVAAGVFGTP ANIA_07152 MRALVPMVVAATALASPAPALKPRLDDGLARTPQMGWNTYNQYN CFPNESIVHENAQALVDTGLADLGYRYVTIDCGWGVEDRLPNGTITWNPELFPQGFPA MGQYLHDLGLLFGVYGDSGILLCGSPPNITGSLYDNCYSDAATNYPNVNYAPSTSPHP RFANMSRYIQAQDRDILFQVCEWGIDFPALWAPEIGHSWRIGNDIIPHWRSIFRTLNQ AVPQTDFAGPGQWPDLDMLLVGLDGVLTVPEEQTHFSLWSILKSPLTIGAAIPGMRAE SLEILSNADVIAFNQDALGVSAALRRRWSDEGYEVWSGPLEGGRTIAAVINWRDEDRE ITLDLPDIGLQYAETLQNVWADETVNGVKTSYSSVVEAHGVMLVQLAETVEEGVYPAD VFAATNRDVTTFSDVYAITSSPNFVLNITLTEVTAAATNITIITDSSRRPISTSIPAG SSSISTSVSLIAGSNNTITIRNAPPLSSITLSPPEPTYYTGAQDFTLTSPAGAYTCPD AYCLPAGSKIVDLSTESAATAHINSSTSGSKYLEIDYINNEVAFDSSWGWGANSRNLT IKVNDNNPVRLEVPLSGRHSELFGPGLGWWDSGRLGVLTDGWIKGTNELVLSNEGGEG GFTKYAPDVVGIAVYD ANIA_07151 MSLSISGVTFEHHRSALGIGEPSPRISWRFDGTVSNWTQSAYEI EINRAGQANTFRVNSSDSVLVPWPSDPLQSGEEATVRVRSFGRANQPDAPWSDPVTVE PGLLDEDDWQSAVAIVSDRETEVNATHRPIYFRKDFDVDEEILSARLYITALGVYEAE INGQPVGDHVLAPGWQAYSHRHEYNTYDVTDLLQTGDNTIGVTVGEGWYAGALTWSMT RNIYGDTLGLLSLLSIATADGKTIYVPSDETWQSSTGPIIASEIYNGETYDSTQAIEG WSQPGFDASGWLGTHEVTFDKSVLAAPDAPAVRRVEERRLESVFKSASGKTVLDFGQN LVGWLRVRVKGPRGSTISFVHTEVMENGEVATRPLRNAKATDNLTLSGEEQEWEPSFT FHGFRYVQVTGWPEETELNADSVTAIVINSDMEQTGFFSCSNPLLNKLHENIIWSMRG NFLSIPTDCPQRDERLGWTGDIHAFARTANFIYDTSGFLRGWLRDAYSEQLENNCTAF LLPNPVISMLTRADAPPYVIPNVLGPGSPTSIWGDAIVSVPWDLFQTYGDKAMLSEQY AGATAWLDKGILRNEAGLWNRSTFQYADWLDPLAPPDDPGAATTNKYLVSDAYLIHST ELVANISAYLDRPDDAERYAADRADLTRAFQKAWISANGTVANETQTGLTLPLYFKLF ERPEHYTDAVSRLVDIIKENEYKVGTGFAGTHLLGHTLSAYNASSTFYNTLLQEDVPG WLFQVLMNGTTTWERWDSMLANGSVNPGEMTSFNHYAVGSVGAWMHENIGGLRPIEPG WRRFAVDVKVGGGLSSAQERFLSPYGSAESSWEVRDGKFMLGVKVPPNSEAVVSLPGA PTRGKKEVIVGSGMHRFEKLTAESRARLEEAKERPLLSSSNDCSLAIAL ANIA_07150 MDKAHVKPPKGDTSVEEQDLAAMGHVQALSRKFNLWSMLALAFC VLGTYSTFAQGLSSGLTNGASITILWGLVLVTVCNLCVAVSLGELTSSMPTALGQAYW VCRLWDTPFGRFLSYMTAWINTFGWWTLTASQVAFMTEFLLGMKTMFDPDWDGADKGW LQFVVYIAVVFVLTLTNVVSCRSEQVLPWLNNFVGVWFTALFVILSLALLISVGVRSD LSFQPASFVFGQWMNRTGWPDGVVWFTGLVQAAYGLTAFDAVIHMVEEMPSPRRNAPR VIWLAVLLGAITGFIFMLICLFCIQEVNTVVDANLPFIELMQETVGLQGAAVLLALFI FNGLGQGISILTTASRLTWGFARDGGLPFSPYLAHIDTTWKAPVRALWMQGFLIALVG VLYLFASTVLDAILSVSTIALTVSYGIPIAALLYVGRDTLPPGPFRLGRWGALVNWIS IVYCAVTTVFFFFPGGPDPKPADMNYAIAVFGVMLVVAIGFWWVQGKKMYLRTEDAMA QMIFARRLEGEGVGLSVGPADKNR ANIA_07149 MADSEAGEKPSPKPAVGFWDPSLEPVRRQVFTKWARTVLLLCTF ILCVLSLYWAVQFRSDDKLSNLKVWVVDFDNSQDGDALIGPAVTNLAAQLGTESQNLG YTIKSAADFEDPVAVVQAVYDEHCWAAIIVNPDATNLLRGAVNGNTSYNPSDAAQFVI ISARDETTVSSYITPSFNAFELQLRAQFGPEWVRNLTQQSTNLSSVAPQAINPAVAFS TLDLRPFAPTAATPSVTIGLIYLIILSFFNFPFMMPVHALFMKGETTLKIPQWLIWRV CSSICTYFFLSLFYSFVSLAFQIPFSNDPAPDTVSANNPNAYGKGSFVVFWMLNWVGM GALGFPLENMSMILGFPYSSLFLIFWVITNVSSGFYALDVAPGFFKWGYAWPLHRIVN ALRTILFGTHSKIGLDFGVLFAWIGVSLALFPVATFIMRWKMRRGL ANIA_07148 MSATTSTSTSASTAATPTCTGNAWIIPVQDVACAVRSTSGNYSS IMEKCCGVAEVEDYNDDCGFYCLAQGQSGQDLLDCIQSNGASYNDVFCGGNLTETATA AVPSSTSGSDDDDDSDATATGDAAEPTNSDNAAPAQQVVNKAGLGILGMLFCSALLGV VA ANIA_07147 MAASAEIQPQPQPQPLSQLHPPHDARDAEKAVPSQPDLRGSDSN IFGHDRQVERWNYPRSNVVKTVATFWAFLVMGANDAAYGPLLPYLEEYYNLSYTTVSL VFLSPIGGYTLAAVTNNTLHRHLGQRGIAWLSPGCHLLAYIVNCVHPPYPVLVVSFIF AGLGNGLADSAWNAWLGNMADSNQILGLLHGLYGLGAVMAPLVATSLITEAGVGWFYF YYIMVSNAIRMSIDERTDGYCQVACAAIELASCLWAFWDSDAAAFRAETERSQSVETS DEQGGVRRALFVPKYARVTWLLSFFLLGYVGAEVAIGGWVVTFLMRVRDGAEFASGMG STGYWLGITVGRVVLGFVTPRIGEKFGIAIYLVISIAFALVFYLVPNFYASIIAVSFQ GFWLGPMFPGAVVVATRLLPRALHVSAIGFAAAFGASGAAVLPFAVGAVAQAKGVEVL PPFAIALSGGILLLWCALPRMGKR ANIA_07146 MAPTALEKENHQRDAEFNAAMHGNSAQAKGGFAAMRQKSAAAQK AAVDEYFKHWDNKSAETETEEIREARRAEYATLTRHYYNLATDLYEYGWGTSFHFCRF AYGEPFYQAIARHEHYLAHQMGIKPGMKVLDVGCGVGGPAREIVKFTDAHVTGLNNND YQIQRATRYAEREGLSHKLNFVKGDFMQMQFPDNSFDAVYAIEATVHAPELAGVYKEI MRVLKPGGVFGVYEWLMTDAYDNDNPEHRKIRLGIEQGDGISNMVKVSEGLAAIKEAG LELLHHEDLADRPDEIPWYYPLAGSFKHMTSPWDFFTIARMTWWGRGLVHRFVGAMET IKLIPQGTQKTADSLALAADCLVAGGEKKLFTPMYLMVARKPE ANIA_07145 MPPQIKQDLNRSGWETTDFPSVCENCLPENPYVQMLKEDYGAEC KICTRPFTIFRWKADRTARTKRTNICLTCARLKNCCQCCMLDLSFGLPIVVRDAALKM VAPGPQSSINREYYAQGHEKEIEEGRGAVEEYEKTDEKARELLRRLANSEPYYRKPRQ LEAPEEDGETQGPSDATVVRSRYGNGPGPVRTSESRRGTPLPGRGRGGVRGGRPFPGT AQLPPSQADILPPADPNITSLFVTGVEDDLPEHALRSFFVQFGQLRSLVCSHRAHCAF INYAARESAEAAAKHCQGKAVVQGCPLRVRWGKPKALDNMDREERLKYAREGRQAVGS LGNADQGTKAITAGTEKEQIPRQVAVAPPPGSGEVQYSSMSGD ANIA_07144 MASQPAVSALSAYRQVLRATRIAFQNDTRVLLAARQEARQNFEK HRRYGVDTPMQINHALEVASILRHNIVQGARDAEDENAKWELRIHDEIERGDNDSIKV AGKKVKVDKPCSS ANIA_07143 MSSAQVVELTLPALPAGWSADKEFKAVGKLSAATQRNLEPVGPH FLAHARRKRHHRTFSEDERIQAQQNVKKTEEEEDDEISEDEDPMMLQRDAKDWKSQDH YAVLGLSKYRWRATPDQIKRAHRKKVLRHHPDKKAALGDRDENDSFFKCIQKATEILS DPVKRRQFDSVDEAADVEPPSKKETQKGNFYKLWRPVFESEARFSKIQPVPQLGDENS TFEEVNEFYNFWYNFDSWRTFEYLDEDVPDDNENRDQKRHVEKKNANARRKRKTEDTA RLRRLVDDCAALDERIKKFRKAARADKDKKRLEKEAEAKRIAEEKEKARLEEEQRKKE AEEAAKADREKAKKAKEAAKNAAKKNKRVLKGSVKDVNYFAESGEPSAAQVDSVLTDV DLINSKIDNEELASLAERLTAAGKDAAAVKNVYTEEIKRLVAAGKAKEGEVKFFV ANIA_07142 MYTKTLTVLLGGLSLALAQTSSEQTPSAPEIAAARATVMPYSPV SNVQGKSFQRFVNIWLENTDYDVAASEKHLARLAKKGLTLTNFWAVTHPSEPNYCASQ GGDTFGMDNDDFNQIPANISTIADMFDTKNISWGEYQEHMPYPGYQGFRYPTSGDNDY VRKHNPAILYDSITEDPTRLRQIKNFTSFYEDLKTHRLPQYSFITPNMTNDGHDTDIS FSGRWTWEFLIDLLDNEYFTNDTLILLTFDENDTYELGNKIFSFLLGGAVPKDLIGKE DDTFYTHYSIISSLSANFGLPSLGRWDCGANLLSFLAEKVGYTNYEVDTSNLYLNVSY NGPMAAGEYSQKTYEWPIPATEGQCSGGYGILDVVRKTYAGLTATHDYTSPVPFDVKT GNSVGIEYSRTLKGGRHERYVTGFGKTNETSGARKSS ANIA_07141 MSNQVRTLSPSTGKVLFEHPGVTVEQVRQIAQASEDAFRTYREL SLDQRKAIVVKALEIIDANKETLAHELTTQMGRPISYTAGEVDTMRKRANYLIDQAED ALKTIPGQEENGFKRFVKKAPVGPVLLATAWNYPYLITINALVPALLAGNTVILRPSP QTPLVGDRLSEYFEKAGLPKNVLQVVHLGSWDVLDEVVKIPQIKLVSFVGSTQGGLRL RQATAGRILPLNLELGGNDPAYVRADADLAYTAAQVVDGAVFNSGQSCCSIERIYVHA DVHDAFVAEVRKELATYKLGDPLDKATTTGPVISHQAVKNIQAHIDDALSRGAVDSTP ENPTFAKIPSEGSFIAPRVLTNVSHDMRVMREETFGPVVPIMKVQSDDEAVALMNDSD YGLTASVWTKDIKAGEDLIERIEAGTVFINRCDYPSPDLAWIGWKSSGLGCSLGPQAF DAFYKLKSFHIRTTHG ANIA_07140 MDAYDSYIGKPVTELPTPALVLSKPTIERNIKQLLQDVERLGIA FRPHVKTLKSLEVTRMMLGNGQHRRIVASTLPEIEGAIPLAKEGVLDECLYSMPIYPT VLPRLLKFSKSLKILLMIDNEQHIDVLEKFSGSTAPWPVFIKIDVGTRRAGILNSSSA LPNLVKRVEESSAVELYGFYCHAGHSYACRTEESAVAVLKDEVEGVVSASKLLGTGRK VVVSVGSTPTAHVVRELREVLPEGLELELHAGMSAPAQFLRLETSTESSKGNYPCNDL QQVSTGLVPHTAQSVRVLAEVCSVYPERNEALINAGTIALTKETSEFPGYGRVTERPQ WAVLRTSQEHGILGLSPAPALSLLGVNEVKDEKAVDSFKVGDKVLLYCQHACITAAAY PTYYVVDEQDVVREAWVPWKGW ANIA_07139 MASLTINAPGGGFLYSNSRNARLIITAETPDFDTEFVQAWSKEG FNTIYIPYHEDEKEYIRRLMAVKEGLSVGDNYGVIAFGDAAAFCLDYYLKPNNVSRLC ALICYYPTSIPDIRSRYPPSVQILTHLAGDTIDVVTTPTLLGLQGKKKRSTRRINPGI GTGELLDIGHRAYTYSDAQPGFAEVDLDEYHRLDADLAFTRTLEVLRKAYGREGDLEQ PWEEHLQGKFFSMNLSDTMSHYTKNLTPTATYVPTLSGATGSRALRRFYDQYFLHKLP PSMHLRLISRTVGADRVVDELYVSFEHTQEIPWMLPGVPPTNRKVEIVLVSIVSMRAK QLYSEHIYWDQASVLVQVGLLDPKLVPKETQEKGVDRLPVIGREAARRILDEDPEVEE PEFHNRLIRRSRQRRESGRVAESSVPQSPIVQSEAEGSGPKKGKRAGPQNGEQNGEKS GIQAGDDGEGLKDGQEDGDAHNSHVEERDGAENKKDQEKDKNELNSESN ANIA_07138 MSLVQHVSSLEGVDAVPGSQDERESTNGTYNTSRSIKRKISYDF FPQSVSAIEDITTTAPYKVSTAKRLAQVFATILACWLGSGIIFGYAALKPVLIAQGVY RDLCPADELEGEFDTCYDQELRLNLFFTVGSITTNVCSLPVGSMLDRYGSRVCGFASC FFLAAGSLLMSFSFSSQFDGFIVANFLLALGGTFLFVPSFQIANAFPKHTGTIVALVT GAFDASAAVYLFYRLVFEASNGTFTPDKFFLAYTIVPALILITWIVLMPARDYQTTHQ LEVRIEKAEDATGDVHDSDDEIDSLTELHRVRSERAERRRLKIQELDQVLGDEEERKH REQQEEERQQTSAVWGVLHGLPAHKQMATPWFILITIMTVLQMLRMNYFIATIRNQYE YMLDEEKGIEINNFFDVALPVGGVLSTPFIGLLLDHLSVPCTLALIVFLTTLIGALNS IPTLWTGYFTVILFVLLRPLYYSAMSDYATKVFGFATFGRVYGTIVCLSGLINFSQTG LDALTNGPFDGNPIPINIILAGAGFIVGSILVAFVATAGHRLRKQREEAEDGERQRLI PVREESPLSYT ANIA_07137 MSVSNTLGRDEKYSPTVEKVEDAGHAALNNIDYDEEFSPEEQKR IIRRIDLRLVTITGLAYCVSLMDRTNLSMAAVAGMVVDLELWYARYDVQKRFSIFYLI GCVASALAGILAFGLMQLDGSQGLAGWRWIFILEGVITGAIGVLAIIFLVDFPDRAHK SWKFLSERECAFVVRRINRDRSDGDAEPFSLKRFLKPALDLKIWGFAVIFFCVTTVSY AIAYFLPIILSEGMGFDTGTSQCLVAPPYAAAGFVMYGTSWVGDKYRMRGPVLIFNAL LCIIGLPMMGFASSNAVQYVGVFFTVAGSNSNIPAVMAYQANNVRGQWTRALSSATLV GFGGIGGIAGSLVFREQDKPHYRPGIWCAIACNLLLMLIVVVQSIWFRIANKRAERGE KLIEGVPGFRYTI ANIA_10901 MAASLCLRGSRQLALRSQLRSIHPSITRSRLLPTYRAVHSSSQQ SHSARRPIYTSSVADHGVPHPRDVFQPVDTFPRRHIGPSPEAAEEMLAVLDPPVKSLD EFVKQVLPADILSKKDLAVTAPSADNGLPRSSVHGGLGETDMLKLLDKYREQIDVSGK TYIGAGYYPTIVPPVILRNILENPAWYTSYTPYQPEISQGRLESLLNFQTLTADLTGL PFANASVLDEATAAAEAMTMSLATQPLAKQKKAGKTYVVSHLCHPQTVAVMRSRAEGF GINLVIGDILADDFKIVKDQGDNLIGVLAQYPDTEGGIYDFQGLSDAIHTAGGTFSVA TDLLALTVLKAPGEFGADIAFGNAQRFGVPMGYGGPHAAFFACADKYKRKVPGRVVGV SKDRLGNRALRLALQTREQHIRREKATSNICTAQALLANMSAMYAVYHGPSGLKTIAQ RIMSMTAALRERLAALGYNVPAKSNVSDGAAVFDTITIEFSNSEEADAIIAAARQNSI FLRRVSATKVGISLDETAGREELKAILQVFSAHAKAEAALDQELGLASIPASLERTSA YLTHPVFNTHHSETEMLRYIRHLESKDLSLAHSMIPLGSCTMKLNATTEMIPVSWPEF SQMHPFLPADVAKGYTQMIDDLEQQLADITGMAEVTVQPNSGAQGEFAGLRVIKKYQE ATGSSKRNICLIPVSAHGTNPASAAMAGMKVVTIKCDTKTGNLDLDDLKAKCEKHKDE LAAIMITYPSTFGVYEPGVKKACDLVHQYGGQVYMDGANMNAQIGLCSPGEIGADVCH LNLHKTFCIPHGGGGPGVGPIGVAEHLRPYLPSHPNSEYLQSKRTEKSSPPISAAPWG SASILPITFNYINMMGSKGLTHATKITLLNANYILSRLKDHYPILYTNDNGRCAHEFI LDVRKFKDTCGIEAIDIAKRLQDYGFHAPTMSWPVANTLMIEPTESENKAELDRFCDA LISIRKEIAAVESGEQPRDGNVLRMAPHTQRDLLATEWDRPYTREQAAYPLPYLLEKK FWPSVTRVDDAHGDQNLFCTCPPVEDSE ANIA_10903 MESKITLFYGTFVDLPRTRSGEKHELAIRHGAIWVSSATGRIQG FDWSIANEAELQSLLRKKGWTGVPIIRALEQENEFFFPGFIDTHIHAPQYPNSGLFGS STLLDWLETYTFPLESSMSNLDKARTAYNAVISRTLANGTTCASYYATIHVPATNLLA SLCHTRGQRALIGRVCMDNPAFCPDYYRDESAEASIELTKETIAHIHSLPDSDKESER LVKPIITPRFAPTCSTSALTSLGQLAASHTPPLHIQTHISENPNEVSLVQSLFPEHPS YAAVYDACSLLTHRTILAHAVHLTQPEKELIASRNAKISHCPASNSALGSGLAPVRDL IDNGITVGLGTDVSGGYSPSILEAVRQACLVSRLLRHSTASTSSSGNSTQNETEGREV LSVEEALYLATRGGAAVIDMPNELGGFEVGMFWDVQLIRLGATVQETPQTGSHSDSRS VVDIFGWESWAEKVHKWVWTGNDRNVRRVWVGGAVVHDLDDGSCVGEETMLGSWFGKS LQRDWTRWAVASVGVAILGFAIGRRSLGSR ANIA_07135 MLSKTFLLSSAVLWARVANAAFGITDNGSSYTIDANSPNPLKFT VNKSSCDITSIVYYGSEFQYSGKGSHIGSGLGSATVSATQSGDYIKVTCDTSSLTHYF VVHNGDPIIHMATYITAEPDIGELRFIARLNSNLLPNEEPFGDVSTTSGGSAIEGSDV FLVNGETRSKFYSSERFIDDHRHCISGSAHRVCMILNQYESSSGGPFFRDINSNNGGD YNALYWYMNSGHVQTESFRTGLHGPYSMYFSRSGTPSTNIDTSFFASLGIKGYVAANG RGTVTGKASGADSSMDWVVHWYNNDAQYWTYTASDGSFTSPAMKPGTYTMKYYQGEFP VAETTVTVSAGSSTTKNISGSVKTGTTIFKIGEWDGQPTGFRNADKQLRMHPSDSRMD SWSSTYTVGSSSLSDFPMAVFKSVNNPVTIKFTATSAQTGAATLRIGTTLSFAGGRPQ ATINSYTGPAPSAPTNLNSRGVTRGAYRGLGEVYDVSVPAGTIVTGENTITISVISGS SGDAFLSPNVVFDCIELFQ ANIA_10900 MRFNPLISTAFVASLASFASGLGLRSDLAKSLQLAAELGLDSDL LLKDSQSFRTAVTQTNPPAEYVLVDSRFLMTMCTGSNALQLPIDHHNPAIGTFRNRYW VNDAYYVPGGPVVLYDVGEADGEPSVAHLRSNSSFLPPILQEFGAIGIVWEHRSVVST KVETRIEIDRNRYYGDSLPYPVNNNTLPEHLIYLTTRQALADIPAFAENFTRPGLQEY DLTPASTPWVMIGGSYPGARAAFARNEYPDTIFASFAASAPVQAQINMSIYYEQVYRS MVANGFRSCASNIHAALEYIDNQLSQNDTAASIKQLFFGPGAEKNSNEDFTHALAVIY GSFQAYGMDGPAGSLGEFCRYLESDPVTGQPAETEGLALRHGYKHLAERWAEWPIFTQ LINVNYETNCKGLDKSVAPSCELNKPTTNPTAIAWTWQYCTEWGFYQSNNEGVHSLLS RYQTLEFQQVMCNRQFPEAVKNGLLPPQPRVDALNAEFGGWNIRPSNVYFSAGEFDPW RTLTLLSGEQFSLQLNVTSDVPRCGVRTGPDTVFGWAPCGRMSSQHSIL ANIA_10904 MSTTPQIPGAIDVPEQNGYTYIVPLDPNRTQYHARQLERYDAQS PYIRGRVTRIQYQCSGVRICSYLHPNLRNMHHYKVTEDDWNYIRQERQLIEETEMDPK KQKAISHFFGVLRVFKRHQACRPQQVGCAPKMVLGEAALIYREKLAFYPDGQDLNALQ LECDRNEYLKHYVREIYHEGDITIIICILQDQAKLFQSLRSFEVDMSFKRVREGRFNE VIFAVFLEDHGKVITLLRAFMNQQTGRAYYFLFKKVFEMIHDLSGHPVQFFHLHGTGI ETIVVDMDEGQRDVLFKAMISLLHAPTRAQYMDILEQLLEDPTPGVATWAEHKLHPHF NQTRMHTNAGEQTHQKSYAFSGKHLPILKAVTSAYFLDVRDMHQYSVRIHYNITHSYR PDDEISRITENLIRDQRKRRRNQEIEEEENIEGVLPRRARPSRSFSSSGSPRPRRSRS STRGRSYTRGVSRSSTPQRSPSLAQIASQNYRDQQASHEQHILDLRERQARLDRYEVE TRLMQQRLLQPTSPQLVGVNPVQYPPLPGYLPSSSQLVNPGPVYVPQMTGALPYVPSQ APFVPSTETSHQLVYQDSQARSFSTVSC ANIA_07133 MPSIRDNDLRKSPEYCHYLEAVKDGELTLPDFKIDDNGVPDIHP YEVYCRVKGCLKRTSLTSQVAWYEGLFEGTVLPTPTPTKKRKRAATKSKDHNTKGVEN SNEGEAGNDQDNGEGPSSGPSAVRVARQLNQLVRKEPYPARPVATQRAKPYCEFWRFF SSIDEAKGASMQPHMDNAKETSNEESVLNKENEHENEEEKAAEPEEVQGDGRHVSEHL AITPFAQLNSGEDNSIATNLDLRDFGLNLESI ANIA_07132 MSTTPQIPGAIDVPEQNGYTYIVPLDPNRTQYHARQLERYDAQS PYIRGRVTRIQYQCSGVRICSYLHPNLRNMHHYKVTEDDWNYIRQERQLIEETEMDPK KQKAISHFFGVLRVFKRHQACRPQQVGCAPKMVLGEAALIYREKLAFYPDGQDLNALQ LECDRNEYLKHYVREIYHEGDITIIICILQDQAKLFQSLRSFEVDMSFKRVREGRFNE VIFAVFLEDHGKVITLLRAFMNQQTGRAYYFLFKKVFEMIHDLSGHPVQFFHLHGTGI ETIVVDMDEGQRDVLFKAMISLLHAPTRAQYMDILEQLLEDPTPGVATWAEHKLHPHF NQTRMHTNAGEQTHQKSYAFSGKHLPILKAVTSAYFLDVRDMHQYSVRIHYNITHSYR PDDEISRITENLIRDQRRTTPVIR ANIA_07131 MWSPFLEVAKALREGHVVEFITQKYNEYGWTFEQNVLGRSGIST IEPENLKALLATQFNDFCLGTREREFGPLLGQGIFTLDGAGWSHSRALLRPQFTRDQV ADLDLMESHISRLIELVPKDGSAFDIQRLFFLMTLDSSTHFLFGESVHCMDEGNVLAR STVNNAQGFANAFNTALDYLNWRSVAGNFYWMITSKEFRDANKRVHEVVDYYVHQAIE AKRHPEKKEPGRYIFAEALAADNDNPKVLRDNMLNILLAGRDTTASLLSSAFFYLSRH PAVWEKLRRVIIEEFGDVQNPKGEITHAKLKDLPYLRYVLNEVLRLQPPVPLNLRVAV KDTSLPVGGGPDGKSPVFVEKDQPVLYSVYAMHRRKDLWGPDADSFRPERWEENAKHG WEYLPFNGGPRICLGQQYALTEASYTMVRLMQRFSKVENGEPGLDEPLIRATLTMSHE NGVKVRFKLQHVRRKPSPLQTCTR ANIA_07130 MTFSKPTLIFCPGAWYPPTAFEPLAAHFPEHTTHTIAFPSIHQA TSTKDLQPDIEALRTLVEQEASAGKDIVIISHSWSGLPVNSALDGLSKTERQAAGDAG GVAKLIFISAFIPDVGESLIGAFGGVPPDWYDRDEANGTVSAVNPYTLFFHDVPDGEQ WAEALRPHAWATKVSPATSAAYTRIPGAYLMCENDRAIPLCVQQLMIEKARERGAQIT TESIATGHSPWLVDPAPVAAFLQRSMA ANIA_07129 MADLEASIEHLREAVEQTAEGHPDRVEYLNDLGSQLEDRYSRTW ALADLDEAIQVWREAVRAAPVNYPEHATYLDKLAGQLGVRYSKTGALADLEESIQLER EAVNKTPEDHPDHAMFLDNLGIQLRVRYSRTGTLKDLEESIQLGQKVVNTTSKDHPDR AMFLNNLGVRLRNKYLRTGSMTDLEASVQVWQEAVNTATEDDPDRALILSNLAGQLGV RYSRTGTLADLEESIQLGREAVNTTTEDHPDRAMFLNNLGIRLSDRYSRIGAMADLEE AIRVWREAVNAATEDDPDRTLLLGNLACQLKDRYTRTGALADLEESIQLGRDVVDTLP GGHPSRAMHLNNLEVRLSDRYSKFGAIADLEEAIQLGREAVQTTPESHPNYTMYLNNL GTLLGDRFARIGAMADIEESIKIGRQVIKAAPEDHPRKASWLKSLADQLRHRYSITGA TADLYEAISYQETALHQENAFLSTRISAGKNALQNLAILSNWQQAYKSADTAVHLIPR LAMRSLENSDKQYLLGAMVGFASDAAAVALNAQKGAVVALDLLELGRGVLAASLDEIR VDTEDLQHKHPVMAERFVQLQAQARQNVEAERELDNLIMNIQSQPGFNNFLKAPSEEE ILHAAQKGPIITINISQYRCDAIIVEHHRISSLPLPKLIIADITEKARWDDLGSPQVL EWLWDTTMEPILEVLGFIQSPSNREWPHVWWIPTGPLAKFPLHAAGYHSQGNSKTVLD RVMSSYSSSIKAIIRGRQRLATESLPFALLLSMQDTPGNGYLPFAAEEVQILCDMCKL MALHTIQPRRREHDIIGHMRVCQIFHFAGHGYTSHTDPSQSRLILWDGDDDSLTVGSL LKMNLHKNPPFLAYLSACGTGQIKDDKLIDESIHLTSAFQLAGFRHVIGTLWNVNDDI CVDMARITYTEIANGNMDDESVCRGLHYAAREMRDRWLEALSEIEHPTATNRVRDIIP NDIDLAGNRSERRQALWVPYIHFGV ANIA_07128 MPSTPPAIYPSLYDKTVIVTGGASGIGAATVLLFALQGSRVVFL DIADDAAQKTIDHVTTRANTNAKTSPFKISVKPPVFYHCDITDLHELKATASKILDEH GPVHVLINNAAATGSIARQASLDVTPETWDFNVNANLRHIFFLTQCFIPSMKSSGGGS IVNLGSISWRIPEATTPIYGTCKAAINGLTRIHSREFGTHNIRVNCVMPGAIATDRQR AEVLTEEYRTHVFAQQSLKRDLEPEDVAKVIVFLGSEEASGVTGSCYVVDGGWMGNP ANIA_10894 MRLGYCLCLCGLLASSAVLAQDIDYGAAAESISAVLADPTFVPY AKPTQKVSEWIAVGDSYSAGTGCNGNNKRMGRDAVPFHAYTSNKVQDLVVHQLKQGDY REGNDLPRNQPFSKPQLASSSPGQLPGNAQQPPTGTRQQQLPGQGQLQAVPGRTCRTR GRRRQPARKLPGLCPGICDLLRDRRRMQRLLVVLLWVEHPETQPGGDWGQQIAIASYI NPLGDPASWERLLAYDTNKVSVLVANVLNSPDYVVDDSWKSVIDQAASQGKKILGYVR TGYLGVSQQQFTTRLGSRDLADWASQIEQDIDKW ANIA_10899 MKQKHLGAYTVLNPGLPIAQCYEDTMDTLLTFENTYKTYQNLFV PNNWTPQDPRKIWHIIYNVPQGQIASVAALASDRNIGLIEITGDVAPNPYDNLPSDAY IQAVIGAVSGGTPLIKGPADVTSFYVAGLPGDVTVAASDYSSVTLDWSSVANALGYAV YRQMEQNQDAFLPPRQQPQQPADLTGGTQLQYSGTRLQVTSELAPGAATNATASGSCR LIPRQIHRPAMNSAWNWLLILLGTEACGNSL ANIA_07126 MIPPPTEKGEGPEVAPLLTLKAITFWGADIELDMTETPSQTPWA LTKAHIFAILDEDVYRPDKNLGLAPGLIPAEVRRADFTERGPANDREKLSTLQTRAWI EQWCRDAFVLVEAKKENENLNTHFEQLDALFSTLMICNFQLERHKKDRATVVDSAYTV LQRLPRHPPELTFEYSRRSRSNKTESVGNQWPPEYFCGSSAVGIEEVDAPQGYRWTDL RVLSRPCTNVVRTALWLCMNRTNAIAIHEYADTIVKLLDTVTDLQKASTTESDARAWF VLTALFWSAWQQTVMLQLCFDATIRLDYGYASDENYHIIARETPSVMPPREIVERLRP KYMCQWAFELLRADLSSVTQDFRALFEVYERQFGGRESRCNVIDSGRVCDGKAPANCE RFLSNRVEDQSAHDFQCPGSSCSLLTWDEQSYMSINGARAVCLETTDDKHIRYRPVSG ENMAVSHVWSHGQGGRPETGFNICLHRRYTELARSLGCTSYWMDSPCIPTDDELRDEA IGQINDNFINSKLVLLVDRDLMDIDIEPLTLAAEEAILATLVVCDWNVRAWTLLEGIR GRANLHILCKDNRVIPLKTVLTDILSKSCLSLVSPCLAVQHYTPTRDKVDLVKTEQAT CLLNHRHPTKDRDIIIIWSLVCGSNKVVKTAEAFWRSMVGGRLATGFLVSSSPRIKGI RGLSWAPERPNLVPPASASSPKGAASTHAKGSLQQQQYPAFNGENSVAGKITPDGFRA EWLVCKIQRRRALPGAGLFWLHGASDDDDGYFYVYNKGANDKMDVKSLFYLRSVVAPL FKRYRWVSLLLPPWSQRGGEHIDPPVRPFKYQGECEGLVMAIVASNDEAGSEWEWQGV HEWDVKFKMPEFPIANILIV ANIA_07125 MSNQPGAVKHADIQVLCVRYLEAGRLRGLYLPVEAFLDPASPER VLPGGVPKDLGGIYRRLLQQVEDKEQLASILQWAVLAARPMTVNELAVAADIKASDTL TPAEILNGRLASYINIDYNT ANIA_11545 MAIAYDKESAMKVQAILSSRDDWRAWFQVIKDHANKQEVWEYFD PDADNKTRPKPLTKPTIPSE ANIA_07124 MHSILVAITSYFPSTLGQQTTGCADPSSLCPIPHQQTAMDNPRG VSCHTNPPESITDRTRFGIRGCIVYGYPSTGGVLIKGPIDLVDITFLSLPRFHVAQRS PSAEEEDRFCNLLRRLGATWWPSKEEYIMVNMGSREKTEEEEKVLVQDHDKTRDRSKI RTRTRLYSMFV ANIA_07123 MSGSSSPDYKALFLKAEDERKQAEERQRQAEERQRQAEERQRQA EERERQERERNRPTTFEEFIRHCHNLLWRPLQAEAPSRSTTGKIPPPTGKYCPIRLLP WTDCEARQQEIYESVCRHLQSTEEDAKQLFTPLVALEDHGRRFARRPISSEQDLETYE RLAVEDHVHDIIAELCKIPNAREEFQLGSGVWFDNHANALDEDVGIDASQTSTARPSR HDQFCIHRVDSNTSTLLTTVEYKPPHKLSVESLREGLRPMDFWQEVVEPDTIPTEEPK KSMYNVARLVGSAIVQEFHVMIQEGLEYSYLTNGLMDVQLWVPYDDPCTLYYDLGDPS MYGTMSVGRLGTPRTRIERTLCLCLMSFRSSCRNQAWRNDARGQLPTWHTSFDSERSQ ISAAGLPQYPSVEHTSSDHTSPEQTTSEYLPSSSPAGSPVTKGRQVTTQAASRCASSS DQHYLEDSSDSEVEPAASDGRKRTFSQVTSSSPTQQSRPRTDPQVNQSGQSRQHVAQY CTQKCLLGLLQGSTLDPDCPNMELHTLGRSDNRHLISAEDLVEKLKAQLDQDLDHNCT PIGPCGSYGAPFKITCATFGYTIVGKGTTSRLWKEVSSEVDVYRVLQPAQGSAVPVFL GAIDLAQIYFLHGAGEICHMLLMGWGGEGMGNIKLDKTIQRAISRSVKEIRSLGIFHQ DLRSENILWNAELKRALIIDFHRCTLDPQLMHKRPGSLKRTRLGHEERESRRLRVV ANIA_07122 MLDMSLTPYTTEPVPHFFVSDHIHIKKIQACIWKLSEQYFPVQP GNSRIEEMPTTGTIEEFRRDFETALAREQNFQAPGNISVTIKELWCRDFKEYHGKLPK QSRVQLTALILLYCLSSARTGEFMANCKALGADAFGKEFAVLGSQCGFEQNITVHACR RWALMETAKAEFEFQDCEDILALDKELAELSTQLENTDSAESMREIQLQQQRIQGQKD KLYLGEPKRQYQLQPDNQSRSIHEHMLFYYYQQVMPERDLLADILPARQRYRAWLNWM LSKH ANIA_07121 MGATTTGLILGLASLASAVTYGYNHVPVTKDSETVAGAFANVDD IQLRSPAFLTPDARLPGFTNGTQGPSSQDDLEEFLEQLVGRNDYMTYGTANFTSQEHR SFPYVHLSASHSSSVRRGNSSSKVRVWIQGAVHGNEPAGDETTQALLGKFDDDPEWAA SILDKLELVILPRYNPDGVFYFQRTLATNIDPNRDHIKLASQQTRDIKQFMNTFNPHV IVDMHEYSASRPYGGGRYVHGSDGLFSAAKNLNINEEIRKLSEELFAKNIADDMKAAG LRAEPYVTGTSVSNSSIVADFAEAGTDSKIGRNAMGLTQAVVFLLETRGIGIADQEFQ RRTAAGLTMLGSIVQTAADNAEEVFTTVEDGIERFIKSADDIVVTDYSEIKIRPFTMV DKENGSVVHPSVRFASTTPSFANLTRARPEAYLIPPAWAHLAERLRVAGCEVETLDKP FDGVVEALTITSAEIDSSYYEGVIRVTATTESSERELSLPEGSFRVSTRQKNAALAMV ALEPENIDSYVSFNIVPVEEGDEYPVFRIMSSK ANIA_11544 MADYPPALAIDDQFRPAVQTQGSMKALFLDDSGMITNKRLQTPL AFLGVVPPRNIEVLTIMDDLALQNEDHMEK ANIA_07120 MIQGLDDKLVYTFDAETLWIEPWGPNAIRVRSTRSRQIPDQNWA LLNQPSTSAIINVQSNGTGVIQNGKIQASLSETGKIQVQNSKGKLLLQEYVRNRKDVK DPKCSAIEVEAREFRPILNSESFHLTARFESVSTEEMLFGMGQYQQPFLDLKGHQLEL AHRNSQASIPFLVSSLGYGLLWNNPSVGRCFLGRNIMSFESESTTTLDYWVVVGDTPA DIVETYAANVGKVPMMPEYGLGFWQCKLRYQTQEELLQVAREYKRRELPIDLIVIDFF HWPLQGDWRFDPTYWPNPAAMVKELRGMGIELMVSIWPTVDKRSENYRKMLEKGFLIR TDRGVRTAMDFQGDTIHFDATHPGARAYVWEKCKTNYYDYGVRAFWLDEAEPEYKAYD FDNYRYYQGPNTAIGNIYPLEYARAFYEGMEQAGQKNIVNLVRCAWVGSQKYGALVWS GDIASSWESFQHQLVAGLHMGLCGIPWWTTDIGGFHGGNPSDSKFRELFVRWFQWATF CPVMRLHGDREPRQPVQGTTGGASCASGADNEVWSYGLEVYEICKKYMLLREALRDYT RGLMRSAHLKGSPVMRTLFYEFPEDPECWRIGTQYMYGDRYLCCPVLQDGQRQLKSYL PALRSGKWVPFQNDDAVKEAYPGGQWIEICAPLHWMPVFERSQVKDAVVVKMGEIKKP GADGLSLPKKSGREYTR ANIA_07119 MVSEHNDGIVHPTSIEKEHAPLEAPSKDDTSLARLAAQQEHHLG FWEAVRCYPNAVLWSVLLSTSIIMEGYDIVLIQSFFAQPSFREKYGQYDAGTSSHQIT APWQNGLSNAVSVGTIIGAFANGYFVHKFGYRKVLLASLVTICGFILISFFSPNLPVL LVGQFLCGIPWGVFATMAPAYASEVCPLALRGYLTVYVNLCWAFGQLISAGVQSGFSE KTGQWSYRIPFAIQWAWPCLLFPILWFAPESPWYYVRVGNHDLAEASINRLGSASQRA HSKETLAMMIHTDEIERSIDEGTSYLDCFRGVDLRRTEIACMAFAAQPFCGSAMGGTP TYFFVQAGLPESISFRMSVGGLGIASVGTIFAWFLMRGCGRRTLYLWGLGLLTLVLLA VGFCSVGSNSNASNYAQAGLMLCWLGVYYSTVGPICYAVITEVSSTRLRNKSIVCNSI NPEMLNPTAGNWRGKTGFFWGGCSAVFFIWTFFRLPETRRRTFEEIDLLFAKKVDARR FAQYDVDAYGEGQEDIRKQYTGNEGQRFRRHNRISVPFDNSSLHFVYSLPSIDDSMVD LTKSVARDSASVKMTYLLKTQLHSAMRRLRVFGNDSSQPPSVRGTFLGFDLNK ANIA_07118 MDPVPYSPSRGGCSIQCHLCQTTFTRQEHLSRHLRSHNAEKPFH CVKCGKSFSRLDVLHRHSQLHRYKRNASEGRGGSSSRACKECALSRVRCSRGSPCDRC SVKEIQCEYPVPRKRKSQSGLGQTGSLPQTAESGFYLSDVSSFQLHSSQQTLNNSIID GLPSTSHLLGEESESQAPNAGITQDWLPLQQSGTLASASPGLVSEGHWIGSLTSVNWL SPESNHFPEAQFEDLLLPKGKDGTTARVESMDRLGEHASQTNRNNAVPVGQGNTNATQ PSTPLWTPSGTGQALTAGQSTCTDTTDTPTVRHSEGVYYVEGSAGRAPFQGRSSWRAR MTSRWSIGNASAEQGVPDSESSIRSRGHYVSETIYQSCRERLEAESNHFGLGIDMDSI PQLGEMQDLVNLYFDGFHPSYPFLRKSQSIFVKSSCWILLLAVAATGSRYSTEARHHK LGESLVDMVDQLVSMRLQNPVLAGSDPTWKPCAGSDEGSLDTVTLQAALLNSISLLHC GKEHGVRRALRRRFYFFEAYHALKQATSIKRRSSQLREGTEEDTFQHWVDTESLIRTS WMIWFLDCIALYQFRHAPLIQLGDSKAPLPCHEDLWDVSSLTEGFSNADHQSGSFYSL GPFEQTYNLSKADFDIALLIVTLLEALELLHMEKTLPPKLGNFSTTIIIFGICRRNQE ATVQHQTNLTLWLPSAQKQSRPPLHPIEEAWPPTVSSLSRWRSSACDCLDILHWNANS IAASVGGWEHPTILHLHLARLLLLAPVQHIETLGSESTISHTPQTSSSTAYTIARYHT LRWAIRDQYKARLCLVHAGALFWHVRRYSSNSFLEPFSVYTATLVIWAYSMAMHTMRG QGREKAILSETHLSPRDPVQQEAPCLEEIGLDDKSSDSDAEVMVIQLDRPCDDEIVQN FVRFGHTMSARMHRVGDIQEQSAPRRILKQGLRLLTGALSDSDRAVPSWGVEKSFIDS LNTFIELPMVTSKNDRLPG ANIA_07117 MKFTHSLLIAVGADYVSASAQTVNSQNTSGNAIVDVAARTGQAQ FLGSNFIYGFPDNGVEAQVSIPDHFLTGIKFNACRAGGAQIAAGGWGSGGYAEYIGRF NSTLSNYRSTRKYNGEFILLLHDLWGADGGSSPDDLVPGDNGDYTEFQKFLAQVKKDI EANDMLDGLIIDIWNEPELEIFWKRSWEQYLDYYVHATGLVRTVITGPSFAHAPTIDS TYWNSWASVVAGSRTLPDIYSWHQIGVWEREPDRSVADFKALLNLYGLPDKPIDLNEY AWTTEQNPANSAFYLAQLERHNVRGLRANWGSGGGLHDYLANLVYKSESDGEYQPNGE WHLYNYYANMTGDRVATTAAADRQFDVFATASCGEVKILAGTRSAQAAYDVIVRGLPK LGFPRRGEIGVRILRFDWNGQFADVGGPVDQGCSHYPVQDGQLTFTVDPPTNSTAFAF EISPHGKC ANIA_07116 MNSCILDMKIPESKVTVVTQTSYQQQDSTIRPPTNDDGGSVTPY NTPIPSAYISPATNAEYPESSSHVFTLQGQQDNGALSMAPAESSLLSPEYGLEQTVPD YTNQISLWESDYGIISAADSPA ANIA_07115 MSSVGNTSSSIQDQETVIEQFQAWYEGHRSGYVSIAQESAGRLA QVLGDAGVPHKVEYRAKDIARLRIKVNGRKNDKRYGNVDDVATDIVDLAGVRVLLYFP SDKDRVEKLITENFEVHSSHRITGTKTIEIRRASHGYTPKYPTYCADHYRATLRLKEG REAEPWQSFRLEIQVTTILRHTWAEVGHDWSYKKLKPGGEDEFCCQLLDALSGAVDMG EFFLEQMQKRLMTQKEQADQPFRSHYELGEFLRRWAARNGRAQDLVDVQTLWQVLHYY DYDRPTELLQILAGLDLSSELAQKYEPLPFNLETSIIHSILVSDERYKRERETDAARP TKFQRYKLKVVRDCFIWFGRLFRFNHKWYSLLCSGSVPRRVLENMEWLVNQSMKEGET LSSGDERILDDLFDTFAKHGKLAVQYVFFLARLRVKAFPADGYAMRMVVQPLLKLRAH QRARLY ANIA_10895 MASILRTLRSNLQRTRLSFRDRSTVAHIARESPIEEETLPHYKA SHFYPVKIGDVYHTRYEVVGKLGYGAYSTSWLCRDLQCATPVQSILRRALTSRELRAQ KYAAMKVSASLPDYPTATDREFKVYKHLATVDSSHLGQSLIRELYDSFDLQGPGGSTH RCLVLQPMTMTLLEMMRMNPRPFDLPLLKMTVKRLLLALDFLHAKAGVIHTGLIPQDL KTDNLMLSLDDTSMLADFATAESENPSPRKVIDKSRIIYCSRRFRRPTGRRNYGLPVL CDFGEARIGKTQESGPFVQPHIYRAPEVIFEMPWGSAIDIWNLAGLIWDLFEGQHLFG DIFDSRGGHDPFRHLALMVALIGPPSTEFVRRSETTVQCFDLNGDWIAHQGAPVPSVS LESLETRLTGEEKGQFLAFIKSMLKWMPEERKTAKQLLEHPFLL ANIA_10893 MNHMKIARHGSIDMDMEAHSGLPWLDSPVMLHSSRADMCKLTPE QCAYRRGHWRYWYEADHVYALNTVYFLCATVFVFAVAHLASRYAPARVRRSSLWQKGT AAGRFLSYRGFRIPGVGYWAGSAGVAALIAVGAVFFFAMTLGPRPYYWPNTDTVNFGG SPPIATRTGWMAVALLPFVLVLGTKANLVSALTGVPHERLQIFHHWTSYAMFVLALVH TFPFIVYNISKGQMVSEWKGSVVYWTGVVALVAQAYLTFMSLPTIRNRYYEFFKATHF LIALLFILFFFFHCDFRLTSWDYFIAAGSLYIFSLLTSLVRTHLINGRHTATLSVLPC GLLQLRIPTILSWKPGQHVFIRFTGIQSVGLHSLTSHPFTICSTAHDIQSLKRANEMV FYVKPKKGITGRLAKLASRKPGFTQTVLLEGPYGGIGMGALERSESVLIISGGSGGGF SLGILEAALKIFTESGCGCGAEKGERRRIQVVFACQRPDVAAWYRGEIELLLDLYGVK GSKYDLDIDVSIHVTSSMPSLRQDIETDIEKTLEPLPPSGPAEEPTQTETKTSTADDA INPVRSASTSSSHKTPVSCVPRTFSQGRPKLPSIVASTTDTGKHVAIYVCGPASMLHD VRNAAAAAQEGILTGKAGGQVYLHSESFSW ANIA_07113 MANLNGLNVYFGQDSLKKYFNPDEQPPLPLVELPEYLNPYYQDG VRIYAKMMTMHPANNVKAMPAMNMLESNVVPGKTKTIIEYSSGSTVISMSMIARVMHG IGDTRAYLSNKTSDAKLKLMQFFGLNISLFGGPSQPEPYDERGGIQSARRMATESDEI LNPNQYENDKNWQSHIRWTGPQIFKQLPEITVLCAGMGTSGTMTGLGTYFKQVKPTVL RLGVCTAPGDRVPGPRSFALMKPVEFPWKEAVDVIEEVNSYDSFSLSLDLCREGIVCG PSSGFNLQGLFQMLEKRKKEGTLSELAGPDGVTHCVFLCCDLPYQYIGEYFSRLGEDK FHPIKNENLARVDLYRYDESWERSPIVLFTHFYSSPTSHNLMDNLTLRPNTCVLDIRT APDFAQWHLPGSVNYPLKSLTSHTPKPFSNPAVLEAQWLELESLLNKESVLSKLGNQH VLVICYHGDTARVATSVLRAQGIQADSLRGGYQALRDQGLWGDGGVEEIANRNGTGEK LPPAVSVAPIELTH ANIA_07112 MTTPAHQEPNDPSTVSVTASSPAPSHHVFNEQTNYVPKRTIITI FLACSTVDLIALMDQTTLAASLSIIGNALHASDKAAWISGGYFVTSTCFQLLYGRLSD IWSRKSVLFVGLAIFFIGSLASSLAQSGTQLIVFRAFTGVGGGGLMTVAQMIVSDVVP LRERGKYQGILGAVVAIANGIGPVIGGALSSVSADGWRWIFRLNLPLTAITTLSVFFF MPLRKVQGDWRVKLKAIDFVGGGLALAGTAVLLLGLTWGGGEYPWQSSHVIATIVVGF AVCVLFMLWQWKGAAYPLVPVHIFKSGIVNGACLTMFINGWNFLVQVYYIPSFYQLVF GYSAVRAGAMLLPITLMQTASSTLSGLVVHWIGRYRECILFGWMIWAVGLGLFSTLDE HSGVGKQIGYGILTGVGVGNTLQPALIAIQAGVERRDMAVVTSFRNFVRNLGGTLGLA IAQTIINNLILSSLSPVHLSPSEQKSFLASPTAYISTLPETEATHIRSLLIPAYKRGF RIIFIIGAALAALAFGIAFALMRQVGLEKGDDEKLKEEGRRRVELEKGMKGDAEKEGG DVHVDSNDEGRRE ANIA_07111 MSELRFDNQTVVVTGAGGGLGKAYALFFASRGANVVVNDLGGSH QGEGKSSKAADVVVDEIRAAGGKAVANYDSVENGDAIIDTAIKNFGRVDVLINNAGIL RDVSFKNMKDQDWDLINKVHTYGAYKCARAAWPHFRKQKYGRIINTASAAGLFGNFGQ ANYAAAKLGQVGFTETLAKEGAKYNIIANVIAPIAASRMTATVMPPEVLELLKPEWVV PVVAVLVHSSNTTESGSIFEIGGGHVAKLRWERSKGALLKTDASLTPGAIARKWNEVN DFSKPDYPTGPADFMGLLEDGLKLPPAPSGPEPEFKGKVALVTGGGNGLGRAYCLLFG RLGAKVVVNDLVDPEPVVQEIKKAGGEAVGNKASCEDGAAVVKTAIDTYGRIDILVNN AGILRDKAFTNMNDDLWNPVVNIHLRGTYKVTQAAWPHMLKQKYGRIVNTASTSGIYG NFGQANYAAAKLGILGFSRALAIEGAKYNIKVNTIAPNAGTNMTRTIMPEEMVQAFKP DYVAPLVVLLCSDMTPEPYSTKGLFECGSGWFGSTRWQRSGGHGFPVDVKLTPEAVAK ELAKIVNFDDGRADHPEDIQAANEKVMSNFSNRSGGESGGSGNEILSAIEAAKKASTD GTSFDYTDRDVILYNLSLGAKRTDLPLVYENNEHFQALPTFAVIPWFNTATPWDMNDI VKNFSPMMLLHGEQYMEIRKFPIPTEAKTKTYPKLIDVIDKGAAALVVAGYTTKDVAT GEDLFYNESTVFIRGSGGFGGSPKPTAPRPKAAVAAYKPPKRKADVVVEEKTSEDQAA LYRLNGDRNPLHIDPEFSKVGGFKIPILHGLCSLGVSGKHVFQKFGPIKNLKVRFAGV VLPGQTLRTEMWKEGNTVLFQTTVVETGKPAISGAGAELLEGAKAKL ANIA_07110 MPAPNNTHSGHFHLLDSDGAIILMLGAVVFLTFALGMMCQLCEV PILFFIRRKQYWYEEDDDLDLEQGLGQTTLNHRMSDFEADTGTGRPTQPRVLDRGLQR AQQPESGHSSRPMTRYGSIQQHMIDVNGVRKLVLVVDIDKYGRESSEASPDEYAEWFE RWRQQQQRVAEVAVSEEPLDESAPLLGPWP ANIA_07109 MPPSQKKEILPSHSLALLQSAVFHRFCFYHHRHIMDNLTFLLAA ATGNTTAIEQGYLSNKSILTATNPDGRTALHLAALHGHIAVIQQLITYGATLSAKDSN GQTALHLAAQGSAPAPDIVGLLLQNDSGSACSIRDKNGKTAVFYAYHNPNDRVLAEFQ KYAPTCGSGCALTPSIILDTGVSDYFGTDAQVQVSASSGQRRTPTERTAIKS ANIA_07108 MPEIFDDKSQHCIPFLLQRLKAHQARHSNDPANTPPFFLGLNGV QGAGKTVLVSTLNSILRSPPYSLAVVTLSLDDLYLTHEDQVSLAKSNPTNPLLQHRGQ PGTHDLPLAQSVFSALRAGRPTAIPQYDKSAFSGQGDRVPMEQWETVNVEGQEQIKVL IFEGWSVGFRAWDDDILKQKWEDAVKRKEQDKEYRGRLGHVKFEDVRKVNEALRGYDV LTDQLDALIHIDAQDNHFVYEWRQEQERTLRATKGIGMTEEQVNRFVDGYYPSYELYS EALRAGAFRPIPYSTTASASPEGWEGRQLRLVVDKGRKVQEVIKI ANIA_07107 MASTATTVPTQDQVLVPETLLKKRKSQEQARAAAREEAAKKKAA NKEKRAVIFKRAESYVQEYRNAEREKIRLARVARQEGNFYVPEEPKLIFVVRIKGINK IAPQPRKILQLLRLLQINNGTFVKLTKATQEMLTIINPYVAYGYPNLKSVRELIYKRG YGKIDKQRVALTDNQIIEEHLGKYGIVCMEDLIHEIYTVGPNFKQANNFLWPFKLSNP TGGFRTRKFKHYIQGGDTGNREENINALIRQMN ANIA_07106 MASRRGVGLGAFANRTQATQSYANHGANLRSTHTSSLQTQLSVF QTLLHNFALEHSSTIKSNPTFRAEFARMCNAIGVDPLAASNVKGKNGRKALGDGSSFW TQIMGGDMNDFYFEVAVRVVELCRETRRENGGLIGVEECRKRVGKGRAIGSGLEVTDD DILRAVKALEPLGSGFSTILVGSKQYIRSIPKELNTDQATVLEVIQVLGYVSVSMLQI NLNWEKARAKTVMDDLLADGLVWVDLQSAEEEYWSPQNLVSDGDAG ANIA_07105 MSRFFYGNDSDSDSSGSDEEELYSDEEVEQSEEESSEEDASSEE ESSEDEDAGKAGASRFMKDVSDSEESEEEDVVKVVKSAKNKRLEELESTIKLIDNAQK INDWAVISSEFDKMNRQVVKVLQSGPVPKIYVKTVADLEDFVNETVAKQKSSNKKMNA SNAKGFNAIKQKIKKNNKEYAAQIEKYRADKDSYMESDEEEEKKPAIAAPRLSKLERV EAPVAVAGDDDGFETVVRGKTLQYTPESILKHLRVIVESRGKKNTDRLEQIKTMEKLL EVAQTPYQRIRVYLTLISTRFDLSTTSTAAYMSVEQWKAAEQELSTLLSVLEKERNYV VSEGAEEWEDDEKQPQVAAGETFYIPGSIVSYVERLDDELTRSLQHIDPHTAEYIERL SDEKQLYTNLVRTQIYVEGLTKLEKTELRQDSLNRVVMRRLEHIYFKPSQVITILEEG TDKALPSELETSITTRGNSDAQTLVQTLCNYLFRNSDGILRARAMLAQIYFLALHDQY YRARDLMLMSHLSENIANFDVSSQILFNRTLVQIGLCAFRAGLIYEAQNTLSEICGSG RQKELLAQGIILQRYSTVSPEQERLERQRQLPFHMHINLELLECIYLTSSMFLEVPLM AQTSSSPEMKRRVISKTFRRMLDYNERQVFTGPAENTRDGVIMSAKFLAAGDWKKAAE MLNSIKIWDLMPQPEKIKEMLSQQIQEEGLRTYLFTYAPFYDSLSISTLSTMFELSEK KIAAIISRMISHEELGAALDQVNDAIVFRKGVELSRLQSQIVTLADKSMNLLEANEKT LEQRTQGMANAFQRDQGAGARGGRGPRGGGQARGGPRLPGGQQRRPGGQQFGGGALGG AIKA ANIA_07104 MNSQWQPYKDPLVGRPAQFNNNGLTSNPNTQQLPSNYGGQSQSS QAPAGYTYEPFQSPGIIAKPPSTKSASMASSPAATPHTRDYVTEQDAIMEDADPYNRA KYPTRSNHQSRPSSQYFPSEGSTAARRYSPMNVLSPTLPYNSSPGKSGNTYAFPPATH PSRQSPTRTPNYSSPPQPFQSPPSGSRPPRLPPLQPTDMSPDQFFGPSSGPQLSSPFA QDGWSRTGSGAPQQPGRGPLPKFQKIKSIQDLKPRINAQPAYRRANPEGGFISPLQAL TNHLPATYRICNPNFHYESSRNPRRVLTKPSKGVKNDGYDNEDSDYILYVNDILGSEE AGHKNRYLILDVLGQGTFGQVVKCQNLKTQEVVAVKVIKNKTAYFNQSMMEVSVLDLL NSRYDKNDDHHLLRLKDTFIHRQHLCLVFELLSVNLYELIKQNQFRGLSTTLVRVFAQ QLLNALSLLNKAHLIHCDLKPENILLKNLESPIIKVIDFGSACDERQTVYTYIQSRFY RSPEVLLGLPYSSAIDMWSLGCIVVELFLGLPLFPGSSEYNQVCRIVEMLGLPPTWML EMGKQSGEFFEKTQDEFGRKSYRLKSLEQYSREHNTKEQPSKKYFQASTLEEIIRSYP MPRKNMKQAEIEREVNNRVAFIDFVRGLLSINPLERWSPQQAKLHPFITQQKFTGPFV PPMNLKYSSLNKTLPPGIQQQQQAEAASKQRAAHAQSAAQSAYTMQMNQYHPSAHAQP PPPMYTGMYGGHQQPPPPYPTQPPAYGHQMNLMPGQMPQTQYGASQSLYAQATTRAGR QRASTMDPQGVPPTIQRVASHLDPNAPIRLQPSPAYYPPPADGYADPNSANQRRRGSR VGGTRNRDFIRTLEDGVLGDGYMGQNQWH ANIA_07103 MQGVGLEASKTSPPADESTSVQSSSGPADEATRLKELHADIRDQ DALERDITRQADRLLMEQADERDNKRLDKTNQEKEKLESQILRLHQRLAQPIGTSARV RLQNDLAKLEERNTALANDLKEIQQRIDERHQEQEMNMEPSGTGRMPNESRRDYLIRT GKITPFSRMGTGSSAGPLASLQDALIDAEDERDELEALEQVKARSAVSHRNLVRPSFG FDETSESTAAEEQAHERPGKRRKLEKSTQSSKVSVKTEDTDLGMETLSDGPASEDQDD SASYIEDPEQEFSEDDEDFVTEEKPVRAKKAKSSDEVEDFSGLDDGNEKLYQSRLQNW VSRRSAARKRARQPRELDTNQVPDIGEADFRDSEDEEWFKPHPTQPDLHLDNGYRIPG DIHPLLFDYQKTGVQWMWELHQQQVGGIIGDEMGLGKTIQAIAFLAGLHYSKRLTKPI IVVCPATVMKQWVNEFHRWWPPFRVSILHTSGSGMVNIKSESSREDALMYGTYWSGGS SSGLKAARKVVKRVVEEGHVLVTTYSGLQSYASLLIPVEWGGTILDEGHKIRNPNTSI TMHAKELRTPHRIILSGTPMQNNLTELWSLFDFVFPMRLGTLVNFRNQFEFPIRQGGY ANASNLQVQTAAKCAETLKDAISPYLLQRFKIDVAADLPKKSEQVLFCRLTKPQRQAY EAFLGSEEMQSILRGRRQVLYGVDILRKICNHPDLQNHKLLYAKPNYGNPTKSGKMQV VRSLLELWKETGHKTLLFAQHRIMLDILEKFVKSLSGFNYRRMDGTTPIQHRQTMVDE FNKDPDLHVFLLTTKVGGLGVNLTGADRVIIYDPDWNPSTDVQARERAWRLGQKRDVT IYRLMTAGTIEEKIYHRQIFKQFLTNKILKDPKQRQTFQLSDLYDLFALGEEGQGPTE TSKLFKEAEVTYEENRDKDAPKERDASYQRDVEAEKQDISKVTGVAAIEQFQGEPEQQ AKQESGESGTNSESRLMEGIFARSGVHSALEHDQIVNGKRVVRADPKIIEAEAKRVAA EAAEQLRRAGEAAKSVPIGTPTWTGQFGVAGKPEESPMPMRGPFGGRSSTARRALAGP SSASIIANLSSRTPSSRSATNSPAPGNEPSGKDFITMIRDFITTHGGSVYTQNLIDHF NRYCTTPQKSAEFKEMLKQIAVLDKGGRNAFDLYSTPADFWTRDNSF ANIA_07102 MKLFNTLSLLLGAAATTVFAGRQDGKNPDTFYLKTSGAENSAHN DLYVYGYHAGAGINDAVLTPDIETASRAYLNGTKVLFDYNTTFPWGLSPWGVTNYAAW QFVQINAGTGQDGFSVNSTGLQWSQQKGFGGWLVCDWWHNAPQLFYLYRYYDAEYPAS CSEVKLVTEPTA ANIA_07101 MRFTALFPIACLLLLAMLSTAWQFDGLLGHGQLLPRQDDSDSNS DTTTAADSATTGATPTDDQAEETATSTSSSDSDSDTTESATTTAKATKTGSSSSNSTT THASNSTTTSVDPRLPPGGISMLTPSSASTTYYKIGDIITFVWNYTSLSITPTAVNVV ASCSLNSATYTISSNMSVEETQTVTWDTGKFQANATAPLLTATYTMIVYDESKDIDDT ASAGELSSQNRYYFGMYTPQPYTDLPNFVCATCNGALSATGLLGLKMALGMGLITIAS FTWFAGSFGVFST ANIA_10892 MAASSRLPIVVPKMEHSPSVKVNELEIDKDTTMAEPNPTAAPSA APEDDVDPVIDPELLETELQLVSSLAKLQKLEEMIHQLRTLLPERLLEPLAPIVHPKA AAAIGIPNSPQALHQRLSQSACDGVREVEDFKALWRSEEMKAVWERIDTLINENAGRL LQSNGMWQCDYNQILQDIAQHDNHRKEQQRKAKEEQERAQLQSAEGGWKAIVDNYAQA GIPGMRVIPTKSDSSFGVLLPKVGLAFKVNAVSSGQEGVPEFNVSSKSPSGEPSSRVE TAVLACLNARPRKWDLKFLFGMISSYSNIFQTTCTRCGKMQDQAANLPTLRRPKTKEP QTDQPPTFEAYHATCI ANIA_10896 MAGSKFLVLGGTGPAGICLLRELVYRQHETIVYARNPSKIDGDI QSNEFLEIIKGEFVEDDLPTLSAAMARTKVVISLLGPNIIRMSNPTLFADIYKTFVFP LMREHGVRRIFAMGTPSISRPQDSWTLFTAVVIPIIRIFAHSAYANVRAIGDAFEQHA DGLDWTVFRIAAIPGNHDEESWRTDREDADVFVGWVGEKGWTPSQKRGALARWLVDAA EGGADKWVGKMPAVSKKG ANIA_07099 MNSIKARFKRMSIGRTGKDPNTSGKTDAAASAERTPDEGRKNAP ANSNAANVDSGLAAARMFLKDEREGSLVFTVQVATAYRPCIAVDVHRFGEKQGDGPYG KPAGLTKMSRAEHGFVEAEHPSFELYRIQRKYLRRHSESPNELDEEDCILFDPEASPL YDHAHANGTVTVEGFGLDLWNAELAHGRMPQQAHPNILLEVPELTFNPATLLCRIEEI RSRTVPADDPQEEIAALVETVGKVAWESITGSWEMQGMGHSERVLEFLFAFHHEQEDL SGRSVIADTMTRFQDGSYEGAWADWMRMVMARELALRLASGAGMGMQWAGGTKGIYVR FTRAILASLIISERWFANTRIHVGGHVALAREVQDAKSAGVSEQDRQEAWELVRLAEE ATEAKQFQRAAVLFYEALAVNPANYDSVQARGEWLLRFQNYRDAARDAVVLQRLDPNR PAGYVLLGRACLGYKNYLRAREAFREAVALAHTFDEKLPILQDLDKAQDALSAELKAI EQAASEKEKAALMRARRIADLDPMGRTIAPRPAKHEQQLEGLFLFAERMQWPYLEAVR RCAKKACEDWLALHEPVYPVQMDWLFAVMLPGKHFAHVLMAMLILSTPAFQSVGMAPD SECGLALPECSYWRSRSVLGRVLGCLPGVTSLNGWVGPCPTATLHTQVDEEAPAAHCL ITTVAFNPASAMLPPPSAESTRDIVIAGNPDLAPLWRVTNQPEILNLPPPQRDTAAWE IRSLDLRRAYRSHQFERGGTWLWSASLAFRQPGTGKEVNFALEHTPVFITLPPCSLSG QARGHKIHRRQFDYYRFRNVSMDEIAEVTPESVEEEIIVINASAPGAEVVARAWCAHV ARAAVVRRAGGPCFCCTVKGAGRLGLRVGVVIWVS ANIA_07098 MTEPGPLWSWRCRWSGRLLGRRRKGYWQAVGANTQMSLWATSSW TLSSLGEALYARHVHIPRTSGSDVRAASKYRFPAARSWATHPIAATTGAKHVWHEGAK RPDKMVKTSAMESSYRGGHCRKSSSRCTGMIPDPERPGADWISSAWATRLPQTEPSQG HAVLSTLTGGARTRRTAGPRRASRIQPGDSAHLVGRGRRHDLNDTWPPSMHGTGSEDF FSQGWGMQKNAYPFCGAIHEEDVPNTQVSYRWHLADPVRFSKKIKVTLESGHANHLRG YWSTSAYWYQTLPGPKLDILPVGDRLPPKPTMHLIPEPTEVKIASMSAEKMGKLAQHR ERYAVFVNDWNEWLERRARESER ANIA_07097 MPNTVDTHMDGYGSLKSPWCPPKTVWTSATGQFVYCSGPLPVGV EFNHRACEIVSTFIYAPLLWKHGRKSGILVASAISVAGLLQQLATHWRVHLTGRGGNG NAIGMMFTISPLWTGETCRPELRGFFLCFFNTSIVLGQFAMFVFILAVPVNCNGGYQS SGCISFQVISHPAATAQQLTFPAIPRPAGSSSPSLRTGSFETERHRKPGGSLQRVYGS KNEAFYGVEVRRIESENHQALAIQASLAQFSRHTFLGLDLWPKLSASTARAASAPNGH LRRQWPANDRRDFVIGYTTYFLDLIGVKDYFDASIILYVLMLLDSMAAFPLTEIIGRR TMIVVPQFWAIIYQLSIGSVGFILASESLRSTTHGLVTITNAAWGLIMQFTIPYMTPK SPGLQIQTQEISAPGWVHLPRNGSHRSRRWMYLYPETKGISFEAMDELYASGTMPRHF RAPSEQRRGGSSETKTEPPVHVEELPSYLSMVIWPSYTERPPDVECKNQGRYIGTE ANIA_10891 MGILFKKPEGTEGKAWPAILISGFVAFGGILFGGILAMPYWAQT FSTGYRDSTGQLNVTSSQSSAIVSILSAGTFFGALGAAPVGDIIGRRWGLIASNGVFV LGVVLQTIATSIPPFLAGRFFAGLGVGLISALVPLYQSETAPKWIRGFIVGSYQFSIT IGLLLASVLNNATHHRNDSGSYRIPIAVQFAWSIILVGGMLILPETPRYLIKRDDTKA AALSLSKLRRLPQDHESIRQELSEIQANHQFEVSLGKSGYAECFRGSLLKRLLTGCGL QALQQLTGINFIFYYGTQFFKNSGFKNEFVITLITNCVNVGSTIPGLYAIDKWGRRPV LLTGAIGMAVSQLLVAVLGTTTTGQDAQGNIIVHNAAAQKAAIAFICLYIFFFAASWG PIAWVVTGEIFPLKTRAKSLSMTTATNWLLNWALSFATPYLVNYGDGNANLQSKIFFI WFGCCFLCIGFVYFMIYETKGLTLEEVDELYLEVKSARESVSWSPKGTFARVAEKGNG SAGILERTEKGDVGGHREIGAPDGVSV ANIA_10897 MDPHSLPAHDTRRRRNALACNTCRRRRTKCDGKRPKCSFCVERG KDCFYQEPQDLPPSPLKAELSRIWEQLDHIAAIVQGRNPRSSPSPNEQQSHAPLTAPG APQGFPFMTVQSEAFLILLGLDKYLPMHLEHVERGRQIISAKPSLESIVMVDLADASV LLHAFTEQIYIWYPILHADFTNEFLEAVTSCFPFSLSSCLTLLVLAIGCVVKCESIVD ALQARPEAAYIQAASAMLPCVLADSSPRSAQCLLLFSIYHLCYAQPCQAHDFVVMASR KMQNYMLKCVERVPGRVSRTGDDVLTSASRSEPDIGNDTTIIGNCFWIALLIERYPDL RAKKSNLDKLTICSELRVQLDFVDSGIWNMALFAPAPTASSIWTWRELTPSLDSPGSF TSNESVDLSRSDDLSYFVAEIAMRKMLQRCTWATSTLAQGRHVYAPIVAVELERQLDE WLQLLPEPLSFRGRGSTCIGSRPLRNSDSAQVEFLRAQYYAFKASIYWPAVYEALLSS ETDGNLIRHCAKFFSSYAEFVPSAAAAVAVCKPNLWTLCASVFTFSMATLLALTEPCL AEVVPQGVYLGLEVAVNVFDGLAEVSPSLAEMGAILRDRVRLCNSSLP ANIA_10898 MYWDLGVLLVHCRCGLAGHAATGPLCLRASIGSELRFEAIGSDV VSLDVSFRLLDKLQSEGARLAIAEQALHSASGFRSVCPAIPDFSSTLSTSGRKTFSLF QRVCGTSPWGIAERVWPPSPCAPREKGSDLYIPSETRSKAHASPLVTLAAGSQYRHII CDNSTMTSSINSRPAAGAVAHSSLARRTVGRPDDDPAALVLEAWSQGLMTGSLVIMAA VTFANMRPGVLLHKLILLELILAMAHGTFIFAPEPVYGWYLASTAIGLIISWSLHNVI AWMKNRPFMGRKLSLFYVGTIVLAQPYWVAEIYANFAYFNNINLTVYQKIRPWEALFR LISMTVTASANHGWTSDPWWIYTTCNIFWVVKTHYNFGILELVRECPRFGLMLASMCL SIVFIVLDVISVTGALDAAMPLGINPFWKLCFTFKCLCDTIILDDFKTALDKLSARWL ARNGMNGATAPLSAIE ANIA_07095 MEVDISPPGGTRPATPLLGENSDPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHTPQAPSICEQVGMVADDQLALLHDWKLAMTSLAKALDLTVSS LQGRPRDLARELAARFVTLAKQDSPQQISQMPVVAPPQPPRQMEQPNHPPTPEASKSP LNRQTSQPTTWASLTAPRTGQGNWQTIAPEHHMQAKQTAQRRLKQSNKTDHRIFLRLP ASSSLRAIGPHGIRVTLAGKVPVGITQVQVISTGYAITTTEQGKAFLLSEKAASLAGD GYFEIPTEYHQVIVSRIPKQLWSLDGWIDTTIADISMEAERITGIKPLMAKLSKHPVE RDSITAVIAFPKKLQHPLQLFGLSGLSRPTRPKQRPLQCTRCYRFHDTRACRSSDRCI SCGSSKQDHNCHVQCINCCGPHAADFPKCPARPHVQRNIITRLSKDALAAIRKAGRLA FQQEQKKAESSKQQADRRGGAAHDLLLSFEADIILVQEPWTNTAKHLTKTHPRYQLFS PPTRWTARPRTLTYVRRDLPAHSLPEPISPDITTIYTAGLTIINVYRPPNDPVAPAGA GSTPSTLSTLLGYTPPENTILAGDFNTRHPFWQPDTESHAVTPGATGLLDWLDAHELE LRLEPGTPTRGPNIPRPSLL ANIA_07094 MRAAVIQCVLPTALYGAEVFYTGKRQQWVVNSLLSLFRTAALAI IPAYKTTPTAALLREADLPDPEALFNSILRRAAISVYSDGSRTSQGAGYGYAIYFGPI LVSKGHGPAGPRTEVYDAEIMGAVEGLHAALGQPCVGYSTQLKPLQVCWIPGHSGIAG NKLADKLAKLGSSIYSPDIPPSPAYLQQEAKQWLCTETYTAYANKAPETYKALNIRPH TKESRSREHKLPWWVLGRLVAACTGHRDFTAYHQYFDYTDYLESCTCGKAKTPVHFFF CPYTRKCWKDRWRCIKDGPSKTIDWLLSTAAGAEEFSRIVQESSFFKDICLNWARRSA ANIA_07093 MEDSSQPETERTALGAQIPPLRFQNMHALQTPMHRILPTPSHPL LQKLRFHSEWRQRWTRGGSRTESEQLEGGAETAEETEHGQTEEKEEHEDNNGREEEEE EETLLVAGCSSNRMRAIYLLELSYQHDHREPGGEYRLSALNPSFYAMVSNLSSAGDLT IRVGYFGLCAAANSSGTTNWACRSTARDLGAQISTISDPLNILAIADNFRDEIILSVI IIMAIVLIFLSFSALSTFPGRYTDVDASGDEFEIKLLPATEVVYLVLSFDVLASLVLI VAVLWQHVAVVAHAATAEAAFGGAIKGDVGAVAMGLGWGAIGAVLLVTFGIAIQKLSR GELRLITDEED ANIA_07092 MSQVTDRPARHGLGNLVDGYVRRKLRRDIHPALDALRDHGNAPA GNSQVIASRSSVRGEDKESMEISQSTPSPDNKDDYDLDELYRDAMLEKDRFQEALEAY EQTTAGSNFKTCVTSTSLHTWEEVLDEVNRAAETYHSRAAVWGKIRAGLRRLGDNSKV FEAWAALLPSGSDCATVISGGLKLILTVCGSHEAAARLSDLRKEISDVLVEIPSRLTC IHQALNIFKGSKDLHSMSNSQPNRMVKQLTADLGEKLGLSLLLQGSYEQNMDSLLQNL RAESERFDKAARLCSYGAIEETRLISIKNEQILSGYVDQSMTRWDNFETELRSNQAST LDGYHTLQHQVSDLHAIMERFLGSHDAVDPGTLNVRGPMLPSDKAAINRRSQQMYLRS RDYAFSALNYDPSVLEKDLAANLHNVWHLPLPYQNRLLATMQTPKLHSWITTRCSSAL FLNLNTPAVSPLHASSSFLPAKLVQSINEQPSENIITLAFFCGAHTRRNDPHSGPHGT MRSLVAQLLESHPGFDLQTVRRIAQLRGGDVHGLCEIFHELVAQLPADVVVFCVVDGV TVFEERMGLRESGEEVVKALVRTVQECTQKKPVGEKSVFKLLLTSSRNSRRLWRLIPG EVGDVVWMPDAVPSLGGFTVRQTIQRHNRAICVY ANIA_07091 MIPSTKIEDIERTRAMAAQLRRERDELRCLVEFMDHDMRDIFEI KRAIQANTLREIRLSTSGNFQDDDSRRQAFRVLHVTGGRVCFDTKKLSYFDPVNYRVW DSESEDERRCRDAVRRSSAQMTNFIIDCFYLESDGVRLAPRPKRFAITPYSGKRPIAS LPLRPLQFDPQKEVLEKQLVARGARFMEVAQGKHMEYHGNTIEESKNSQYRHRNHRIE AFEVHGEVVVDQEAGVTHFQDTLPAFSLGTGGGIVLTHTEPDSREVSDFFPQKSDGDW VTDVFNDAAFEEYRHSEYFTTTDLLTSRPVKDIQLSDDQLLLLPPRVYGYSLLDRRWV ALNVSLLQEISIHDKQARSKLEELVLPTEHKRVLQALITNQVRLPHGGSNHVQEQLSM DVVSAKGKGLIILLHGAPGVGKTSTAECIAIELNRPLLSITCGHIGTVAEEAEKNLDS FCKLAHRWRCVLLLDEADVFLAKRERGDIARNSLVSVFLRVLEYFSGVIILTTNRVGE FDEAFRSRIHVCLYYPKLEERQTKEIWEKNIQRVKESNLNLDMEEKKIRRFADQHWQR NLDRPTRRWNGRQIKNAFQTAIALANWEFYDEKNVAKLERPLLKAKHFQYVAKITAHF DDYISDIYGTFDEDTYSFLAARDALRVDEASAMFASRSTTQENSRASKSGIVRRGTDF RSPPANPGKPQGSNFGRYSSDDDESTDEEESEESEADNEEDDQADEVKKLELQLQLAK LKNKKASSRGSKR ANIA_07090 MIVGEKAQNAVQALESLKSRFEIKEAPEFKRYLGMNIKTTPTGI HLSQEDQIDDIINSFGLHDAHPTKSPLDPGTVIDDAPDLKINIKEYQRANIEPPKAFS DSDWGGPHTKARRSVGGYVFKLAGGPIAWQSKRQTCVATSSNEAEYIAASEASREAYW IREIMKDLRLFDDQHAPGIPLHMDNKGAIDLTMSDIQTKRSKHIDIRYHYTRDMVDQG IIHIKQIPTAEMVADGCTKPLGSEAHSHFIRLLGLRNDD ANIA_07089 MPPLAGFSDNPLRSRADLIHAAIALVQPLHTHFSPRNAFIRLPV ATGTHFDERAAQLEGYARPLWVISTLLRAVRAEPDHPDAEAIRSVCRPWIQGIQTGTD PAHPEYWGEIGDGDQRMVEAEVIAVAVLFAPEDFYHSQPARVRENIVAWLRGINGKEM PVNNWRWFHVFANLALIIVGGVPYAELKGAMDDDLAVLDSFYRGEGWSGDGPWLTGEQ EAELEQEYKRTRRRDKIGPGRQVDYYSSSYAIQFSQLLYAKFAAELDPARSEGYRQQA REFGRAFWRYFDRDGAAIPFGRSLTYHFACAGFFAALAVAEVPDMPAPLDSPGSVKGF LLRHLRWNMYMAEDYNSPQSVYWSVKSFIPLALVDGHSFWTSSESAYPVLADSVKLIP QPTQILCDHAHGAHHFLLSAGQFVAWPMKASQAKYCKFAYSSSFGFSVPTGSLIQQIV PDNALFFSRDGIETWAGKWKSSEARFGTANAVGETVPVVHVKWRPWADGQLVVTMSLI PPTARWPDWHTRVHRIQLKGEAPLESLHLVEGGFAIERVPAEKKALPVLSDGNIEGAS IGRSEGIYVSQSSALVLSQAGASGIVSAAVRRRPEYEAMKPDSNTNLLSQRTLVPVAK LGLLDVEAGEEIELVTRVFAISAKSLRGQPGASVPDGRGGGGIQRPMRERWLDVPKVQ LGDIPENGGEDVIALEI ANIA_07088 MTFGPTGGTLISVLISSQLRERFGRRYPICGGSIIIIVGGILQA AAVNYGMFVLSRFVVGFGLGIVATAAPPLLTEVAYPTHRGKLVSFYLVTWPLGSLIAA WVTYGTFKMEGSDWSWRIPSALQCFFSLVQAILALVAPESPRWLIYQGRSEEALAILT KYHGHGDADSRLVCFEMAEITATLEMEKVQRLSRWTEWLSTRGNRHRLFLACYIPAML QWSGNALTSYYLAKVLVTIDITDPKTQLIINACLSVWGFLTAAVFATMVDRAGRRCLF LSGMGSMGVVYIIWTICSALNEKHNFEDKGYAGGVLAMIFVFSAAYHMCSPVAPTYIM EVVPFSLRSKAAMMYQLTGNLAGLYNSFANPVAMDAISWRYYIVWCVVIGVNFTLIFL FFPETKGKGLEEVAEIFDGPDALAGKNAMREMGLDVNADKDKVIFGTSYRRLFVTEVN TLLVAVIAEQWDCSRRLQGIL ANIA_07087 MKFLPLILPVVFALCAQAVEWHAHYRMTSDEYQSTANSYIDQGF RIKSVSGYERDGEDNYAAIFEKNNGVAWVSHHRMTSASYQDKFDKYIADGYRLLQVNG YTVGDNVYFAAVWDKSPAPGAWVARHNIDAASLQKYTDTYIEQGYRVTHVSAYEVNGE ARFAALWEKINDGTAWWAWAKMTAAEYQKKFDTYLKDGYRLINVNGYSIGNTVYYAGI WDTSASGAWVARHGMDSPTFQNLFDEYKAAGYVLQVLSGYNTGTADRYAALWIKP ANIA_07086 MACLEYLPNEIIETIVSLLELTDIRNLRLTSRGLALRSSGHHFK SHFRRKHVDITESTLRDFVQATKPGRLGRLVQYLVLVGSHLPTEKQGLEAEDEAKTRQ DLEVLAQRRTDYRVMRSSGTDVRLLSEAFGNLMAQDGGNNTAGGPRLRTLSLKVVVYH TDAEQRLPPKTGGWVPIWQVATETFHTALRALAISAMPVAKLDIYTQQSRSSLACSEL SAVDHESSGLVASLASVKSLSVSFSDRIINGRRENLGITGGSADEVDRDAPVIDDFRD NEDVEAEACDESTFIGLVRLVQLCSGLKELELHHYKLGNHTVFVDLHREQFLQRIVAM TTLTTLKRCALRGLTVREVDLLAFIKETAPAIVELTLQNVSLVSGTFRAIFDHCTSEA SCLTRLFFDDLFEQKLLYFVGEPGQSKLRSFNYQCSETLDRTGPEVRRPISYFIPLGR PKGSPALWQWRMRRRREFGPP ANIA_07085 MSPAHGHCDAAFQPLRDLFDQLLSNESELGASICVNIDGRNVVD LWGGYSNEERTKAWEQDTITTIWSTTKVITALAANILIERGLLDPSKKVSTYWPEFAA NGKENVLVSHVLSHSSGLPSWESPNTIKDIYNAEKAAEKIAAQAPWWTPGEQLGYHLV TQGCLVGELVRRTTGQSLAQFIADEITEPLGADYRLGVPEPEWPRTADIIPPPPPEPT PALDPESVAAKAYAGVPIPADAVMTASFRNAELGASNAFTNARALARIASIVALGGTV DGKQYLSPAAIDQMLQEQIRGQDQVLFVNLRWGLGVGLPVPETVPWLPSNSRLCFWGG WGGSVMIMDLDRRMSIALKVNRMFESRTSDAGENAVGLLSGGFFVDTAGPGFSSTRSS YYDAIMQIIKSLWVQTFPSKPTLTAATLPPQTGKVIIITGATSGLGFELARILYKSGA TVYIGARNESKAKATIETITASASSTALAASAGKLHFLPLDLADLRTIKPFVESFLSR ESRLDILFNNAGVASIPLSNRTAQNLEPHLGTNCAGPYLLTQLLSPILVSTAQKHSTA PNTVRVVWSSSMLVDALAPRSGIRPSDLDPPSPNMNLNYALSKTGNWFLADRLAKQLG ERGVVSITQNPGNIYTPIFDNAPRLTVWLSKPIYYKPEQGVNTMLWAGFSDGVTVERG GGYVIPFGRWHPYPRKDLLEAIMDKEEDGNEAENRGRGYAKAFEEWCDLVTREFR ANIA_07084 MALTGCRTRRVRWTPNAHLVNWSATFGTLWSINTGAYQCGRYIV RWIAALGRLLISLPSPQNDLVDICLVSIGAVYYLFKMNGITSTSLPSPRYHFEPIAVI GFACRLPGNNNSPTALWDFLERGGVASRAVPASRFNLAGHENGSKRPGTMRTPGGMFL ESINPADIDAQFFGLSRAEATAMDPQQRQLLEVVYEGLENAGITLEQLRGQDVGCFVG SYASDYGDIQARNPDDRAPNSTVGIGRAMLSNRLSHFLDIKGPSMTIDTACSGSLVSL DVATRYLQTGEIRAAIVAACNLYMSPEHCIDISSSIINAASLTGLCHTFDAKADGYVK AEAVNMVIIKRLQDAIRDRDPIRSIIRGSASNSDGWTAGIASPSSEGQAAVTRQAYRI AGIADFNATSYVECHGTGTRAGDPIEVKGVASVFCPERPAERPLLIGSVKSNIGHSEP GAGISGLLKTILALEKSVIPGNPTFETPNPEIDFDGLKTRAFQHATPWPSMPFKRASV NSFGYGGSNAHVILDEAKTGVSASEMCFKSSYLPEDHDPFEECEENEKCFPQLLVFSA NDEKSLQEYIRALRAHLINPRVRISLQDLAYTLSERRSRHFNRAFLITQTSLNLDLHG LVFGKLRSSSPRVGFIFTGQGSQWPQMGKSLLDMFPSGRGQLARLDRALQLLPDPPEW SLYGAGHHVLEELLQAHRDSIAVACINSPESVTLSGPLNVLKTANMVIQEKGYFARLL QVNMAYHNPMFMTDITAQYKQMLHGLGLDSMSSPVSKATKEQRTVKMFSSVTGLETMG PCNVEYWCSNMQYPVQFNQAVRMMLSDEKQPINFLIELGPSGALASPTKQIIQSMRDK KPDLAIEYHAAYKRDVVTAAMGLFEVAGHLYLSGGAVDIEQVNSHHAHREKDQHQPSV IVDLPNYAWNHSIKYWYESQSSRDWRFRHYPNHDLLGSKILGTSWFAPSFKKVLRLAD LPWLRDHRVAGQPLFPAAGYIAMAVEAAYQTGQRSGMIDTGLKVSQVPYRFRNIKFVR ALVLDEAAPSTLMLSMSPERGWYKFSVYTADGESVPTIHCEGLVSLHVEVGKAPPSSA FKQLLYPTPARLWYKAMDKVGYNFGSAFQTQLQIESVVGTRQNRALVSFLEPQSAYAQ SLYSIHPAVLDGCLQSGAPALWNGVRSAVRECLVPAIIEDLVISARQTAARSGASVCS AEYSGVGSRDEPCSYKSNIIVSDPATGDTLIRVRGLAYSALDREEAFSQFTPSMRLEW KPDISFLSRNQLYRILDTCDTRFAPYSAHDDSRALSFISLLLHKKPALRVVEFNIAPS TDSSFFAILGHIPFTAKGAVEYHFVSNNAAALVAFQGMADGCGLPNVQLSALDVSRLD IDSHLLGDKADLAVLNTDHQICGEKLHNAIVNIAAILRKGGFILILAHQSSTNQVQAR PWDGHSASINDALGRHGFKKSVAFDMGTETHAIVGQLLLPVREPSAELQVSLVLLSSS TDSALASQMLAESGVTVAGIHYLPLGEIRKDLIILITDEMFKPVLAEVTQEQWGAIQR ILAFGCKIVWVTSGAQKDVVSPLQALVSGLSRVVRAEDPTISFTLLDVESPYSKKSFR AIADVLERVDNGNTSSGLVDEEYEYVERGGIVHVSRVYPDIASGGDGKAVIQNLHNHA SCVRLTCQAPGFLESLQFTETGPSEAVVPDGFVEVEMHAAGLNYKDVATVLGIVPENQ YLLGLEGAGVIRRIRHHAGDSPFYIGQRVAICRRGSFANRVQCPIEGIHAIPDWMSFE EAATIPIVYQAALYSLVDLANVQWGQSVLIHSAAGGLGIAAIQLCQYLGAEIYATVGS DEKREFLIREFNLSPDRLFSSRDTVFASCIIEQTGGRGVDVILNTLTGSLLDESWRII AAHGTMVELGKKDILDRNSLSMEPFNRNASYRAFDLSHPSITRPLVARLLKRIFDLIH GGHIRPIAPRTVYAYSNIAAAIRYMRGGAHIGKIIISRDAPQNCTDVPVMPVQKSLKL RGDVSYLIVGGLKGLCGSLATYLACHGAKHISVMSRSDYTDDKSKAVLRDLTLLGVNC SLVRGDVSVKDDVQKAFCKGSRPPVAGVIHGAMVLKDTIYTSMTAAQFHDALRCKVQG TYNLHDVALQLGLDLDFFTLLSSLSGLVGHKGQANYAAASAFLDSFALYRRSKGLAAC SVDLGIIDDIGYMAEHESITDRLDTETWIPLNEVQLHRILYTSILQERDQRSTGTSQL ITGIAYPAPAVPSALYQDARFTALCQKSANSNSASISIKGGARDMQVQALLTLVQAKA DAAVQIAAMIDAANAHFMRSLALSEPMEAAKPLVLYGLDSLAAVEFRNWARRELNVVV STLDVLGAKTLNALCEMMVGRLAG ANIA_07083 MLGLPDVALHFQTAGVTVLLYDPRSTGLSDGTPRNEIDPVKQAA DYSDALTFLSTQASVYPSQLFFWGMSFSAVVALCAATTDKRIRGVLAIAPLTDLTYKP EHRRAKVLQQCMQDRASQSAGNEPYYLPLLNEQGENPAGFGVGLEREEYARIVAAGRK LAKGHVNRTTIQSYYHMAMWQPFGLWAGVAPTPVMFVVPEMDTVSPAERQREYFDTKL GNAPRRLHLVEGAGHMDIVQGAHLEGLMEVKMRFVQDILEGRVEVSGDETR ANIA_10887 MTMIDLNPDLSFTAKSVALLLFIALGLLYTTIQVVYRLYLSPLS RFPGPRLAAATGWYEAYFELVHKGGGQFLFEIRRMHEHLTGPIVRINPFELHIADPAY YSTLYTSTLNLDKASHIQHRFNAPTAAFSTPEHALHRRRRAAISPFFAKRRIDEQAPM IQSHVNVICHRLSEEYAGTGKVLCVNELYGSYVTGVIMTYAFNRCPRFLEEEDFVSAF GKSIQGLKEFVHWAQQFPCLPRILQNVPPVLMGALFPAMQASLVFQEEMRMQAHAVLS GHGQKGTIFHDLLASDLPRSELTLPRLKDEAMSIVGAGIETTKMASVVTTFHILQNPR ILQKLQDELDSAIPDPTAPPSLSVLENLPFLAACIKEGIRLGYGATGRSPRISRTESL RYGAWSIPVGVMVSMDSYHMHHDESVFPDSYAFVPERWLDGARGPDGTGSLNKYLASF SRGTRMCVGINLAYAEITIVLARLFRGFVMELYQTTRKDVDCYRDLVGMEVVRGSRGV RVKVVGRRE ANIA_10886 MASSAGTAHSRAIAAVVVSVVFETLALIAMILRFYSHRLRKRRI AAHDWASVVALIFSSGCVVLVITAVAKGGLGQHTWELSNPTIQLQHFAKIYVANSPVW AGAISAVKVSIVLLYIGLFGSARTIRYCCYTLITLQILWGAAVILSSLLLCKPLRMNW DPTADGHCGSTEATYLALHIINLILDVTVGLLPVPVLWKLQMKRRKKIELTLMFALGI AICIITVFRVNMINDLVSTDPTYTTTPLMIFTILEPLLGIILACLPLLRPVFERVSAF RTLHSSCDSRGSGTSTPQLFGQSGESKAKAERYVKLRPSTSTAGFTHDINGTATNRGH GYELDELRCLSERNKPSILVIGLAVMLSPKIYGVRPG ANIA_07081 MFLDMFLYLCSVLLPLSLSQALPSPGSVDKPCRYLPGDTDWPTE AEWSQLNTTVGGRLIKTIPLGSPCHGSSYRAAECEHLQAEWTNPEIHADSPSSFGAPL FQDQACDPFTNRSSPCELGNYYVYAINVTSAADVAAGLAFAQDKMIRLVVKNTGHDLL GRSSGKGALGLWTHNLRSISILDYNSSLYTGKAMKVGSGIQVFDAYSAAHQAGLRVVG GTCLTIGLAGGYTQGGGHSMLSTAYGLAADQVLEWEVVIANGTHLTSTPTENADLYWA LSGGGGGTYAVVLSMTVKAYAESPVAGAMLQIKQTSSEDDFWVAVEAFHAAMPTWVDM GASTAYVLSNGVLSLQPALFTTRSAIEVKSILQPLIETLDSLSVAYVLNIIAFDDYYT AFNNYFGAYPNSQVQGNRLIPRSVLASNTSNAALTLALREIISNPSFYILGVGINVSA GASVPNAVFPGWRNAIASLEVIANWDYTASYAENTQHQKLVTKEYIPSLTAVTGPESG AYMNEADFQQPDFQSQFFGENYAALSAIKRKYDPQSLFYGNALVGSEEWVAGSDGRLC RAQSIYLG ANIA_07080 MARGSGNGSNGAAGAKGKGSKENDKTMEKDTLAKTNKGRKAGIK QSMSEDYLWPVSLYLHDKLDAESLTALRANHDKVSKSDTNGDLLVRVLYLLEQKSMAD RATLLEGQRR ANIA_10885 MHILGSDLPRPDNVVICTPPGESLISPVRVDNLPWNLFKRGIES QVISQLYPIAIQSQHSDPEGPNASELHAFNLSQLTTFGSPTTPSAAIYSFDQANLSSA DQFNTDNWDWTLLNDFAYSSLSDTMFSSASPGTNQTRLVTSPSDAVTISIQPALSLLS EISQRHTIDLPSFFRRYTTDEQDLEFDASSFSISIPTDHVALFRFFCSFIFLITNNIL TDHDIHELFTWIVENNCVWIIAKILELPPTGTVDVLADRIFPAVIRAGEIGLVRKLIA RRVDVHCQKKWETPLSLAVQRRDMEMVNLLCECGVKPAIRRFSVLKSDQNNDTLWSDR NNQLLSLLLELGADPDSFIYNEPSGYPLVSAAAEGEVGAVELLRASARTDLAIPKLGT ALQAAAAPGHKAVVRLLVEAGANVNAICSIIESDRIHLHFSAAFMTPIQLAAHGNSGD IMQILIQSGGLVNHLPIIPHLGLRVIEEIFSNSSRSSYSNAIIVIVFVDKRGHFWPRL CVGWGGEE ANIA_10890 MSQSVRDNQWAEYKNEITSLYRKYALHDVMQQLSEKHGFHGSKN DYVKRLRLWGVKKNVKKNHAAGTWNYIDQQLKKRSLAGKKSNVSVNGKHYSNRRAQKE ILRNVTQRDIFKRACESLPRSFLFFFFFFFLFFFSFFFFFFFF ANIA_07078 MEYLADSLLSNYQIKIILRGLIRDPNLTTAIIQKQHLISYLNRQ DINGLIFLQNTDQNLKDYIQEYYYDSQGTMTNAKTQNNIPLLTDIEYENANVQNWASQ KKGAVIKAGLNKSHQKLYISGKYLSLVKSKTPKLYPYHKEIQDQGLKTPQELVMMSQ ANIA_07077 MLYNEAHPGHRARDELCLGWASRYDGYGNTKSATSQRLRFNWKM PALMLICFIIGLFLAVVHHLYYQLLNNTVIHTKSQQTWANRIGTGLVFLIKTFLAAAV GIVCTQVLWWSLRSRSIEVSTLDSLFDLRNNILSFLNPLVYDIRLIPIIAVFTPSALS VQSSIASTVSPMSIPSILWNAKLFAGWNGRLVNGQPISAWASVKPNITRVVTATAVHG FVLSLSAPAVNSSYTLNFHGPSLQCTNSTSLHHAVVEYYNEYGAEAGDPWSPPDMEQQ YFSKLDETSTDSAKIVFAIFASGGPYTFTFTNGIQDLETIPQC ANIA_07076 MVGRPFTSSGCANCRKRKIKSTQTGEAKRPVRQLVGQIKPLALS STFSVSAEVRAQLFSNFMDTFFASSSSINGKNDSLYFLMARFPTLAGESEPLDRSVIA LATTFLAKTKDDRWLGREGLEIYNTALNSMQYALQRGSSPSPNMLYATIIFHTYETMN GGDASSRNCFTHIQGAAAIMTQTNFKTQDVDSLTKAMLTRQKWATAHFMINTEYGSNA DRGCLLVQRESTPIDEMFGLVAEWSILRNDLNKIAALEATYREAAYETLLRRCYQLEK KLHEDWLNGPALQLDGDPSLSCREGGWSDSSLMSNSDRFSYEFKNLNAAKIYLLYWVT SLVTSRVIYEAEVLLHGHCDPTKMVSYATKILRSVPYLMQRERQMSSVHVVIFGVSQA SRCYIHCGKKEEFERCQEIYRLIALRGFDMAFHMAKEHLAYWYLAQGQPVATKASSLR SEMDSTSELPSVS ANIA_07075 MYWFILHLLAVALPAAALVTHDPCRLVESKIPGRISYPSSTTYN ASVSSYYDDQERSLRPGCIFRPTNTSEVSQFVKLMTADKRKPQFAVRGGGHTLWTGAA NIGPGITVDMRLMDQLELSEDKKIARIGGGAVWDHIYPQLVPHDLTVMGGRIPGIGVG GFAMGGGITFSSREHGFSCDNIYGYEIVLGNGQVIYADQRSHPDLWLALKGGSNNFGI ITRFDAATIPLGKMWYNHLHYNYTDSALQAHAEAFSDFMKPENYDGAAMMGVFLDYLG GKFLLHDALWYTREVENPAVYDAFTEIPNLGGVAELNTIDNVVDKFGDDIPSQVGRAF QLTFSFHNPDPTVYMELFKIWETGLSKIANVEGLFVEFLTQPHPVTNGTNLFGLTPGR TDDVMVDMTAAYTNQADDRLVQSVITDIVNEQRALLKRSGHLMDFIYLNYADISQEVL QSWGADNVAKLRAASNKYDPKRVFQKQVPGGFKIPK ANIA_07074 MAAPPSYTKVTHSATYPAINPSQPALSTAGKVVLITGASGGIGR ATASSFAASGPRALILLGRRADALAETAAIVRASYAEVTVQTHEAELCDASSVRNAMN KAAAEFGGIDILVHCAGSLAPVVPLVEADPATFLDGYKTTVVGTLATAQAVVLANRTV SADEDKPVTFINLTTAGILFPPFPGMGAYVSSKMAAVKILEAFAAENPHVRLHNVHPG FLETAMSAKLGEKIKLPYAYDDISLPADFLVWTASAEAEFLRNKIVFAAWDVDELKAR TKEIVGGPPGTGELRIGFQGFPRFMAGQPLPGTN ANIA_07073 MEAYKTQPPVRKLKDSCDVCSASKLRCDKQKPTCARCANLNRPC TYSPARRGGRPHRVRRDRSKSQSQSQSSRQFFGMPDANTSSSPFAEPTRVPSQTDRGM SCSNDWFLRTQSRVHDHIQDSQPSAQSAKVSPSPCKNPMNTRLAAETAETDMDCTRVA LSIVEQLERSQEQRPRSTAPTYTHGGLTATEACQRLLTILMCPCSDQAEVALLVASGC ISLMDVIHSSAGFASESLGHDGSVSSCNSPPISSEQDPLIRSWSQPQSISRSCSLASD SRSQVGDLSKIAKVIVQFTERYCQDAKVAAEPRAHWVTRYESLSR ANIA_07072 MELPAESELQYAGECLSLPGTFLEPPIEDPPSSVLNLLNLSQVD FNSYDFSSLGSREFSSKWQTNTPLCTDSLSDESAPGLLTEDMGISPIPMPAAEATCPQ ESEDRLCRNPQGRCISLATGILGSMHAGSNSCILQVATSDQGGASDRQPQQSRAADAI LSMNQSALRTVRSILNCSCYESPQVLLLVTVMCSRITAWYWRIADIYSYSHGNPTAGS PRAALPTSVGSRAETRRRDFFIGNHRLDREVETVVIRHVLLGMLQELQLVIRDFAGQA GQSPAGTVDTDDPTSTSDLMLSGMRARVVAFLRKQLHSLTSALDHTDSGFGTMGPHVS HY ANIA_07071 MTPSASPSPSPNPGDANVKVDADSPNDFILFSHELPSGDIQDLI RRLHRYGTLPGYPHLARFLQECALLLRTEIQKLPRALRDSVPPFHDVVTLASHWDRLK SGPLSGAWDGPFLCLYEIAMLIGHHETHQLSYRRPACLVGISVGLFSAAAVAVSKSIS DLVSYGAESVRTAFAFCVHVQRVSQELEPTMTEQAASVSWATVVIGVPADTIQVELDR FNHLKESESPGANARPLTGVSISHVDQTSVGVTGPPSRLKQLFRQSELLRSSRHSALP ISGGLCHVPNVYDDEDVRAILEMAEVWEKWGTRALQVPLISPFTGSPFLCPDAYHLIE AICTEALTKQLYFDKLAGGVVTQLNGLSCQVLHYGASLMSDTIIDDVTSQLSPCDTAR QCLVDWALRDAFDQLPGGPTPPRDAKLAVVGMACRMPGGADTPDHFWELLMNGVDTHT TVPPDRFDLDAHFDPSGEKENTTTKGSQSNRPLSRQAEQTDPMQRLALVTAYEALEMA GFVPNRTPSSHLSRVGTYYGQASDDYREVNAGQKIGTYGIPGTERGFGNGRINYFFNF QGPSFNIDTACSSGLAAVQAACSALWAGEADTVVAGGLNVITSPDIYCMLSKGHFLSK TGQCKVWDIGADGYCRADGIGSVVIKRLDDALADNDVILACISAGATNHSAESISITQ PHAAAQRENYRQVMDRAGVSPLDVSFVELHGTGTQVGDAVESESVLSFFAPLGRRSHP DKRLHLGAVKSNIGHGEAAAGIASLIKVLLMYRNNTIPRHIGIRTAMNPVVAQHLANR NAGILSENHPWLAATASKKRYAIVNSFGAHGGNTTLLLEDAPSQHSQRYKNHSRRVVA SSEVVCTSAKSKASLRANIRALLAYLDTHQETDLRDLAYTTSARRMHHHIRIASSVTS TAQLRSFLQAAADDVDAYAKHIATATKRTAVFAFSGQGCLYHGAAAHLFEQAPLFRNQ VLQLDRIVRRLGFPSILVTVAGDAASVYDSARCPHRESTPSSDASHDSNTNRTSTAPA VDSPLIAQLALVVIQIALVQYWGLLGIKPSVVIGHSLGEYAALVAASVLSVADALFLV GKRAELMLAVCEPGSHAMLSVRGASVDRIEELCRESEKRYPFEVSCVNGLTDLVVTGL RGDMASLRDLLQGSGLKCVLLDIPFAFHSKQMSPILEDFENAAQQITFQEPAVPVISP LLGKCISEANVINGKYLARATREPVDFVAALDSAWADGTVNDKSIWIDIGPHPVCTSF ASNHYGKAATQSFASLRRGDETLSTLTATLAALHCLGLPVDWNEYYDLRENPARLLHL DSYQWNYKNYWIPYEGSWTLDKAHAGQNNKTKDDNSAVTPAFFTSSVQQIIFEEYDES MGRMEALSDLHHPDLQGAADGHKIHGRSVVTGSIWADITLTVGEYLYKQMVPGGKMPH MNVKGMEVLEAQVLHPDMSQFIQIEGVLDLPRQQTAVRLYAASANGTRNTDKPFASAT VCYEEAQDWQDQWQMTSHLVAARANSFWEMAAGGSDDNARPAGKGGPRVNNFFRSIAY QLFANVVDYGARYRGMQRVALSEDTLEATADIVLDKDRHGTWHTPPHWIDSAFQLAGF VMNSFGVQGDGKISGSSRDFFYITPGWRHFRLLERLEPGPEATYRSFVRMFPVGSEPG AYSGDIYLHRGKRLVGVCAGIKFKAVPRALMPVLFPRIEPQAGKRRNQAQTAENRLVK GKGNCEYTHTVFQEPKSRTPAYDVTKSQPHAEHCDISISQKTQPVAAVPVTQPALPAK ERGEQNQGQSQSQNAQATACLSLISDETGLDLDDLTGEAAFADLGVDSLMSLALSAKI RAELGIDVQSSIFLECPTVQDLVTWLSK ANIA_07070 MSGGFYSSPFWAGYLETQRSRLPVLPEIDDGLSHCVVRFLGYNP GSMQLQGTNTYLVGTGSTRILIDTGEGAPQWAVSVTRYLEDHDISISHVLLTHWHKDH TGGVADLLAHDPSIIVYKHAPDPGQQAIANGQTFKTQGATLRAVLTPGHAVDHMCFLL EEENALFTGDNVLGHGYSVAEDLETYTASLRLMAGLKCSVGYPGHGDAILNLPQTIAR YISQRVAREKKIYAILALHACSCSSRNGGSTSSIGSVSESGDSDEEDNNMKTSRPAMQ GLSTAEIGGLVYGESVKNSPTFDSAVGPLLNQVLYMLLEQGKCCDHVSILVIFQKPGF FSIPVI ANIA_10884 MMNVPEKCKVLVVGGGPAGSYAASALAREGIDVVLLEAEKFPRY HIGESMLPSMRHFLKFIDAYDKWDAHGFNIKKGGAFRLNWSRPETYTDFIAAGGPGGY AWNVIRSEADELLFKHAAECGVKTFDETKVASIEFSSPDLSSGGTHPFGRPVSATWTR KDGTSGTISMDYIVDASGRNGLISTKYLKNRSYNKGLKNVASWGYWRGGGVHGVGTHK EGAPYFEALKDASGWVWFIPLHNGTHSVGVVQNQEMATEKKRKMAEPSSKGFYLESLE FVPGIKELLANAELISEVKSASDWSYSASSYAFPGVRIAGDAGSFIDPFFSSGVHLAL SGGLSAATTIAAAIRGDCDENVAASWHDKKTSESYTRFLLVVSSALKQIRSQDEPVIS DFDEGSFERAFDLFRPIIQGQADADAKGKLTQAEISKTVEFCFRAFAHVSFEQKEALV QKLKSLGHDGDAYDENNRKALEEIEKQLTPEEQTILKTLKGRRMVRPEDSLNIDNFTL DSIDGLAPRLEKGKLGLSAAKKAELKFTAHDPLSFLNGEAMAAQKTSPNGNLEINGHT QTNGNHLANGHGEVNGHSNAGASSAKSCMADLIAAENDSSQPSFDEATRHRLISSLQQ SAEELETPYDTVLRYVNAVSQIN ANIA_10889 MLTALSKQGRQTALVCIGGDLGIFKSLAESKAPLSSKQLAEATM ADPLLVSRIMRYLVASRLVGETAPDQYVATKKTYVFADPRFEEPIRFFHAVSNRAFQA LPEFLKETGYQNETQRSAFQKGLGTELQLYPWLKQHPDVLKNFQAAMRLTKDANGVGV MPLDSSVSSGHEGVMFVDIGGNTGHQAAEVLSQHPELAGRVTVQDRGEVIKSAPEMKG IQWMEHDFFDVQPVKGAKYYYLRAILHNWDDDHAVQILANIVPAMSADSLVAIDEVVV PDRDAHLWPAGLDLQMYTIFGTRERTAAQWDAILDRAGLRAVAVKRYAPVMQSSVIFA AAK ANIA_07068 MAVYSPLDPFSLALTDKENEMANEVLSTWVGTASKSPTVNILPP GLDAPTWADVLQQFRSILGDEGVLCGHEHRVRYIDPYAEQSDEQEKRGSSATLFPVTV EHIQAILKICNKHKIPLWTVSRGKNLGYGGPAARVKGSIILDLQCMRKVLEMNDRYSY YTVEPGVTFCDIYREIQAQKKDIWCSVPALGWGSVVGNALDRGWGYTPAGDHSNQICG IEVVLADGTVVRTGAGAIDNSPCWPLFRGGYGPTYESMFSQSNFGIVTKLSLWATPSP EGFMNCRVDVENEEDLVPLIDIFRDLLLHDVIPNHPLIGNVPREMVKRGQRKDFYNGA GAIPDTRLKEIQTQLGIGFWSARFGLYGPKELIEYSFERCRRAFQSLPSAKLTGKAFY PPEGKTKLSPEDIPPADRTVETGTPSLMALKAVEYRGKDGGHISFSPVLPPEGSSAMA FYRDVKPLCAQYGFDYFGGLHLYPRHLAMINMIYFDRTSELERTNANKLFVELVHLAR RHGYSEYRAHIDYMDLVADQYDFNGCSLRQLNERIKDALDPNGILSPGKQGIWPGRSR ELTEKKDSYQGSSQRLLGLILC ANIA_07067 MSNTIQGEEVQTVTEGRRDTSLKANWRVFAITLYMGIALFEYGF DKGAIAGFQAMPGFLQVFGYQTESGEWDIHAGPQQIITSFMILGSVIGSLLTGFVGAR IGRRYGLMLGSLIVIICVVIMSETTVLGALYFSRLLIGIGNGLLLNFTVLYLQECTPP RFRGLCLSMVTCWITIGTTIGMVINHQTNGMMSREAYRIPLYVCYPAPAILILTLPLL PESPRWLLQHGKPEAALRSLQFFRQGAYDEVAVQQEFEEMKAAAARERDQEIHQNKWL LFFELFRGHNLRRTIIAVAVGTANAAVGAMFILSYGTYFFQVVLQQANVGDPFKWIIV TNCVGLAGLFTTWAIVTHVGRRRIILAGCVICTLPMLVMAAVYSAPNVSADGAGIALV VIVSIYVFGFNFGLEPYVYLVAGEMPSQNLRGYTMGLSTAVSFVFAWLSAFTTPYFIN PGELNWGPKYGYIWFGSGIITTAFLWYYLPEVRGRTLEEIDEMFRNNVPTRAFAKYVC VERMEANARAVSTVKGESKPNATHVEVA ANIA_07066 MDDFKIYYYASAGAGIAGILSHLVYFIRGEHHQYAHRWITRALA GTAAVAIATLRLTDWQPLLSLILTALISTSYFLGLYSSIGIYRVFFHPLRRFKGPFWA RASNLYHMYIIRKSDNYLVMKKMHEKYGPIIRTGPANLSVNDPAAIPLVLSDRATCVK GPWYDRSLPLVNLHTVRDKRVHDARRKVFTKAFTPSALREYEERVAVHCEEFVRQMTR LSGKPFDASEWFKYFAFDVMGDLGLGREFHMMTSETNRWIPTLLETSMAHVGPTSPVP WMAPILHNLPWAGRGARAWLEFVGSQVKERTQKKSDRRDQILSHLVEAYNQSEKKNID YQWLRGDTRLTIVGGSDTTAATLTFLFYHLAQNPSHVDKLRAELEPLLNGQPRLDPKD VSKAQHLNGVIQETLRLHPAIPSGFPRTTPPEGITINGTYIPGGTTIVIPVYALQHDE ANYTHAEEFIPERWYSRPELIKNRDAFLTWNIGTNGCIGRALALTEMRNLVTYFIHHF SRVKFAHGEDGKALLTETKDHFTVGVKPLRLIFEK ANIA_10888 MHFYRLPDIGVLHFHRGNFRQPTFMNFDITVDWAEQAVSNNITQ FQPNYEREIRNLIAQSYSHTCEVRTGCEAIACEERDDHSIVEYIARDGSHQFVRTAWL VGADGKRGVVRKRFLEPQGIRQVDGFYKYVGTWVAANLHITIPTPQSHPEFPLWRLGY TPQEVHDAFWPSGFHFCNSSSRPAVSGRFGPPNSGFWRHEYSVEPDDALDDVEEGFWR QFGPWTKVPGSQFSKTLRNTLVEFPRDCIRLIRCRPFTFATKIVNRWFSRRTMLIGDA AHVFPPFGGQGIAAGIRDAQSLSWRLALMSNLGVSVQLRERILEGWSQERRHAWNSAT LATKLNGSIVNDRSLVSGWIYRVCMRVVWLFPCLARWRTRRAFRDKLIYNARTCPDGF FLEQKGGGRKVAQVWVRRRDTAPVLSDTVFLRNLPRLGLIVFVRSVVDAETAVGEVDE AIYQAGVSPELLTTMDVTYYCLASKGIVAKVLEKLGLVDQTYYPCREEELKEEGISPI RGYCETAVQDRYPSSTKYVLMRPDFFVHSLAANGRELRANLQAANEYFSDL ANIA_10883 MTDLKVTPGRIPLPIRNGPAKIQLNRISHVYHSHPDLDAFNAFA KDFGFIEDSRDEKSKTIYYRGYGRDKCVYVASESHDGGRHFGGVAFIAQTEEDFLRAS RLAAATTPVREYTGPGGGKIVTVESPSGTKVHVLWGVEERPVPSKADTQTEVHKGGYN TALQKSRKGGMCIGEFQRFKLGPAMIHKLGHVGYVTALFDEDVAFYTQTFNFVPSDVL YEENAATGAQTDALTFMHLDHGKEYVDHHTVFLSRAPPDFNEKQKMHHCSFEVEDFDT QLLGHEYLLSKKWTPIWGVGRHILGSQIFDYWKDPSGFAIEHYADGDLVNEDNETGRE KSEGAASMYIWGPVRPEAGVA ANIA_07064 MAPWESLIRFKATDKNIYWAQLSLEQTPSAGLTLRGFPTIEALE ADEQSTHVTVEEASISLFSGMMPIVTGPAPRSSSGNWDQYHLRWSQLPQPRQRGIGEI KTILFKVPRLISPSYQLAIPQCPPMWYKAPPALANPDSDIPFPVQAQNAFPDFEGELT IVLRNTIKSVPAASSTSHILGYTIGNDLTARMFQRACGGQYTHAKGYDNFAPLGPRLV HPSVFEPSNPASRITTRVNGRVVQDSPFDFIFPIDELLAFLSEGTTIPAGTAIMTGTP AGVGWFQDPKRSLADGDVVGVEVKPIGVLRNRIVFEK ANIA_07063 MGSLGTQEQYFVKDQYIHQRRRIRIIVIGAGISGIAFAYKVREL ENVEYQIYEKNEDVGGTWFESRYPGCSCDIPAHSYTYPWAGNPEWSRVYAGAEEIWRF YRDRAEEYGVYRTTKFRHQVVGATWNEAQGRWTVKIEDLASGQVFEDSAEVVINCAGV LNKWKWPDIPGLHSFNGTLVHTARYPQDLDLTDKRVAVIGAGSSAIQVVPTIQPVVKS LISFNRSPTWIAPQFAGHLASAGRATAYTEEEKEKFRNDPDYLRQYRKQIDQALNSRF PNFYKGSQEQKASRQIVETAMRERLSKMDPDLREKLIPDFDVGCRRVTPGDGYLEALQ EPNVEVVRTRIQEVTPEGVVTADGKLYAADVIIAATGYDTSYVPPFPLIGRNGVDLGK RWAQTGAEAYLTCAVPDMPNYFMSVVVGPNTPISNGSLMPAIENQLQFALTFARKIQS EGVKAVVVSQQATTEFNEHKDAVMKLLTFSGSCNSWYKGGTSDGRIVGPWCGSLNHFL ESIAEPRLQDFEFTYETQNRFAYLGRGLSLRDVQKKDLAWYIR ANIA_07062 MAHIFELAESLSPTANYTNGVKSSETLQFPTTETFTGFNSPSRI EGDVENLQITGQIPADIDGTFFRVQPDHRFPPIYESDIHFNGDGNVTAIRITNSTAHF KQRYVRTDRFQAEGQAGRALFGKYRNPYTDNEAVKGVIRTAANTNIFFWRGMLLATKE DGPPYAMDPVSLETIGRYDFEGQVLSPTFTAHPKFDPKTGEMVCYGYEAGGNGNDGSC DIVVYTIDANGVKTEESWYKAPFCGIIHDCGISDKWVVLPMTPLKCDPERLKKGENHW AWDPEEDQWYGVVPRRGGKPGDIRWYRSKNAFQGHTVSCYDTPDGKVVFDLTIADGNV FFFFPPVDTPAGTVAKRNKLQNKTTRWIFDPQNTPTNSWVEPAEEFDTYSGEFSRIDD RFTTRKYNHYWQAVIDGTKPYDFAKCGSPAGGLFNSLGHFTWDGAVRETFWAGPCATF QEPAFIPRAGSTEEGDGYIVALLNHLDVLRNDVCIFDARKIAQGPIAVIHLPFKLRLG LHGNFVEESEIRAWKQKRDRELGPVKAASEPLPWQQEEKANGTNGHA ANIA_07061 MDPIGTFNPQKRPGDNPADQQRRKRARQSCETCKARKTKCVGLE NGTCQYCTQLGLLCQVNLKARKRPFYRISEAAYESSIALLRRFVSEEELPELTVEGIQ GVLSKLDDPANDKERIDANPHSHSHSQSPTELSAAAAALGSSPRSQAGANEGRSSVAA IEEQPESVGAEAEAEEGVMEPDEHPALQEERGCMVLDSLGKYRYVGADSSIRWNHAAR MAQHATSNTDPKVIAPLKTGLLPPRTPESLTGSARGAGVYLPPAAMAMRYAGFFFQEV HCLYWFYSLEQFYGALEETLEDHGAKASASWLCSLYSIFAIGSMAPDNQIARAGKDAE GDDKRAADYLALAKDLVPEVSEEADTESVKAFGLLSLGMHAMCYSVGAYLHLGTAVRI GFSLGLHRNISPRKSSSVERERARRLWWTIYTLDHEMAIRFGYPCAVGDESGLVKTPP ASEQVMDPGPNMPLGYQSLCVSLVRIRKKIGQSCFVVPAQAGGRLPIRQVFESLNALR TWFDEIPQYFSREASQPPQHRRAVSILHLRYWSSVIFVTRPFLLYTVSRPAAIKEPAK ARCYEEISRKCIEAAEQSVDIFKRMVKEQTLSSRILFDCHCIGEAMWILILAVQKLGL EKHRQMLQLCLETVRGMEQFGWCEKVAPELEARVHESGIMQPQSRREMQHTQQSGHRS LDFHSQANGAEANAGGGILPESRADSLEDTTLNFDISEFGLLETFDMDMDNGSGLMDM LADATLSASQLGF ANIA_07060 MIVVRGIKTRDANGKPYLRQDIDKWYMEQMAGDRIQLTLFVEAL ALIQRRDLDDLKSYFRLAAIHSAPWCEWDDVPQAQREPGERGYCVHSNYTFPTWHRVY MMLYERTLYEAMLEWIHEAVPESHKDIWRKEADKWRLPYWDFARFADRPPSTAGPLPH DIDHDQLRLPILCMMPNVRITLFTKDSGPIIESRPNPLYKYITPQLMGEFRDPYKIRG ETTEPDPNDKVQTPKFTLQWDKCNATTKYGLLDGFHESIWADGGQNWLRANYALNEHS NFEKLGENPVPTLQDLVHRLFQYGLDSWGAFSSVRYTKDSTKAESASTGIETYSEELD GNVKNALSLDFIHNNVHNFVGGSQFLRPPKEGIHLWGAGHMSSVAMAAFDPIFFIYHN NIDRLTAIWQILNWEKWFDDDKSKLIKDNALTPFHKDADRNFWKSDDEILKGIETLYG KPTENLYGLLPKHHGDSEDYVITVVYDKFALNGAPYKINLFLDGTRNFGGPDSKGFIA SIYNFSGSLESCACGNCQRQKKDGVKCIAQVPATVPMRRFMGKTATAVQTTRDIRDEP KPVYLAVDSLGTPVQMDIHVELHKSRREYYKKPFQPDPNDPLEYNFLKHGKQAPDWAF ASTRSSDRLEVYLISIPEARKVKSCLQELEVKKLGTHEGLWPNLTPELGI ANIA_07059 MSHLQYFSYKGFGEHMREALSYNQAVRIGDRIEISGQGGWDPET LQVHSDLSRETEQAFANVDLALKDAGGKGWSQVYRVRIFTTEIKNEQATGFLVENLRK WMPDHKPVLTCVGVSELALEGMRVEIEAIAQVV ANIA_07058 MLGTNLACLFTVHSSHINLIPALIYKILVWRLNIWITGRTLSGG IVNLTVGPSETPFDVHIELLCDRSPYFDNLLENRYTEISLQELVFPDDVPEVFADFIS WVYCGKISGARIARKLSRSLHLFQLWTLAERFQVPELQDIAFAICKELLDAEPAKVVG SEAVQHAYSHSSPGSSIRQLAVDMWAARASDFKILRSRMNLPSEFIADLNATRLRTQK LFAFEVYMLHPVTNHDDRLCTFVTNLNYAKAEKDTPDTPFSVAPISKQSEPTISDDSP RRASAAQLAHNKDKALSSWRRDPDQISRLPPQVLIFTTPVSRALAPSASRLPRSGRRK VRVKLPPSTDPSYTKFSTKSILGELYRIENNGEKV ANIA_07057 MRTTKTTADTINGLLDKTASSTLRRLDLGFLTIGFLGYVFKYLD QINISNAYVSGMQDNLKLYSNELGYFTTYFNISTTNQVLWNVFTCCLSTVTNEKQGVC TIFVALIAFVLLPRYFGYPPDMERLDGHGPPHLVNYEVFVTFIRPYEAGTLPILRTKT SEL ANIA_07056 MNISISKFASFLIATDLLGGAFQAETFDYVVVGGGTAGVTLAVR LAEASHSVALIEAGTYYEDSWPFAAIPGADVIPVGSDPDAKFGADWGFVTAPQAGADG RRIHFARGKCVGDLHTQRLAKRILFDEHKSAIGVEVANGFGYLSNITASREVIISAGA FQYPQLLMVSGIGPAEQLAKHGIEVISDLQVGQNMWDHPFFALSYRVNVETLTRAAND LLYLGTTFLDYTTKHTGPLTNPVADFIAFEKIPSSHRTAFSAETEKHLAGFPEDWPEV ETEAIQYMSGAGYFRPPVINPNWLATEADQEAAIAIFKCIRDIFASDGMAPVILGDEY YPGNGTQADAEILRFIQKNVMTLWHPSCTNKMGTKDDPSAVLDSKARVFGVGGLRVAN ASSFPFLPPGHPQSTVFKQLYYCFYTAVGNLGGLNIYNRSMNPFLGCGMRKASNYNIN LPKDSSSAARSYSFEWARAIFFLEQACQIMAQKYRIAYLMTLFNTASRGRLGPA ANIA_07055 MRLSEGLAFLSVLPAALAARPFLNEPDTAIEEVLGDTPEGTLPD LESMLGLPDFEWAAKRYLNASSYTYYRNGAAGEWSYRNNLEVYGRFRFRPRVMVDITQ IEKTLPTTILGHNFSAPFYISPCASAGLAHPDAEANFVKAAYEENILYIPALLATLSM DEIAAAKPEDGSQVLFQQAYLNSNDTATQQVFDDAERLGAKAIVWTIDSPADGNRHRA NRYGVGSSDSDYTLSTWEFYAKLQNMTTLPIVLKGIQHVEDVKLAIKHGVPAIILSNH GGRQLDSSPSSLEVALEVYQEDPDLFNQIEIYADGGIRYGADVLKLLSLGVKAVGLGR SFMYANAYGAEGVRHAIQLLKHEIAIDAANLGVPDLKKIDASYVKWANNGWFT ANIA_07054 MLSVLFRLLFDFLTYLSSGIWTREAVFKVFKAIVAGAALIWDRY IISTDIRKKMWLKYSRGSEKPYPHTLWWIFCGMPNSVNENGKLTGLITMMPGLPIWNC IKSSKQCRIGFFGATAAGLFHALCRLDTTASCTHPTPSGVPISLEPTQILNKTSDPRA RTNPAPPCPGHFALHPLIVLTLIGSSVSDFPPIKATLSCPPAPNH ANIA_07053 MKPVIVLSTFTTILALGISLPPKSEDQAHADNHDLSERQVYYCD KYSAGLCACFCRYNKYDYYKCAPNYCQCYNVYAPDCYYAYYYYSSGGYPSPAEYEVHP PKYPHCPAYPYCSERPYTSEYTTSTTYTSTVTDHPTTTSDGGASGDSSPTDGAGGTSP GGSSPTNSPGGGGSSPGGSSPSNPPSGGSTGGSTGGSSAGGSSGGSTGGSTGGSTGGS TGGSSGGSSGGSTGGSSSGSSSPGGSSGDSVPGGSSPGGSSPGGGSGRGGSLPSSVP ANIA_07052 MPQALQDAAFIPSPTKSWNTNRLGARFGVDIVSAATAGALTCPV ITVIDRAIIEKAAKGVPIRETITSAFRSIIRKPSGFFLGTPFLLIYTLYTSTYLTANT IDTVTSMLKDKPFSTVFPGTAKFITTTAVNMGICVYKDARFARIFGKQSSQPQGQPQP QPPLAKSPSNGLFTATMPASCHPSPLTVGAPKVPKISYTLFCLRDSITIFASFNIPAL IAPSIPDSIAATPAMKAAIAQFSCPALMQFVSTPMHLLGLDLYNRQPPGGLGWRERVG RIRRDYVASCFARMGKIVPAYGIGGVVNVRMRAELMGWLEGLDQA ANIA_07051 MSASSTLKKAFPQVDAEGHNLPPSPAPSSPHGSRRYNIATELVY TESNDQYNASSVPIYQSATFKQSSHEGGGEYDYTRSGNPTRTHLERHLAKIMSAQRAL VVSSGMAALDVITRLLRPGDEVVTGDDLYGGTNRLLKYLSTNGGIIVHHVDTTNPDKV KEVLTDKTAMVLLETPTNPLIKIVDIPTIAAASHEANPNCLVIVDNTMMSPLLLSPLE LGADVVYESGTKYLSGHHDVMAGVIAVNDPALGERLYFPINASGCGLSPFDSWLLMRG VKTLKVRMDQQQANAQRIAEFLESHGFKVRYPGLRSHPQYDLHHSMARGAGAVLSFET GDVSVSERIVANAKLWAISVSFGCVNSLISLPCRMSHASIDAKTRKERAMPEDLIRLC VGIEDVDDLIDDLRRAVSVSTRNRVFWVMLIFSSWYKLALSTSLWTALKRTRRSLRSQ VMKEYGYG ANIA_07050 MSAAAGDKFIDSSASRPSPTPSTAGSTGTAGISVRAGANGQMSF RRQRASRACETCHARKVRCDAASLGVPCTNCVAFSIECRIPTPKRKKSQAKPREVGDS NGDGDDKSQSQEKREESLPMPGKDAFGYQNSNTSNTNAMAVNGMPVTTLTEAQAAQQA SQNSTYAQFMKPKFARAPIKEAGRVAYLGESSNLSLLVQDRHGTTDVVHYPLPPNIRG SRARVSDLDNLELDILHQRGAFLLPPKSLCDELVDAYFKWVAPVVPIVNRSRFMRQYR DPKNPPSLLLLQAILLAGSRVCTNPQLMDANGSTTPAAMTFYKRAKALYDANYEDDRV TIVQALVLLGWYWEGPEGWCPKPIMVTGLLTCPDVTKNVFYWTRVAIIVAQGSGMHRS VESSQLSKPDKRLWKRIWWTLFTRDRSVAVALGRPICINTDDADVEMLTEEDFVEDEI DIAAEYPPDPVHVQFFLQYVKLCEIMGLVLSQQYSVASKSRRMNAMDLTHSDMALADW LQNCPREVCWQRQRHHFWAALLHANYYTTLCLLHRAHMPPASSVPSSYRVEEMAYPSR TIAFQAAGIITSIVENLQTHNEIRYTPAFIVYSLFSALIMHVYQMRSSVPSIVATCQE RINICMQALKDVSKVWLVAKMVHTLFESILGNKLLEERLQKAAGKRHQRVKPDSNHSN QHLPSRRPDPPPKRKFDDMDLALPNGGPTPPVSYERSRPQTPAATPSRELPQSTMSIP QTSPTAAKDGLPGAGNSRANTRPTTPFNAQFSLPATPPDLFLVTRTSPNLSPSLWENF QPDQLFPDGTAIFPELTSPQNTTVDPQLQMQSQLHTHDMVQQQMPPRTSLAGTQGSPE ILSSMPPAIGMQGQPQQMYGMDPQQSWQMPGLDPTVAGAMDNASQDDNWSSSSRSGPT APTTLNVEDWFQFFGINGSFGEMAV ANIA_07049 MARLGRTGFLTLAVVFHLIYAYSIFDIYFVSPIVSGMRPFRVER EPGSEAPAKRLVLFVADGLRADKAFELTPDPDLPEESNGDDLTFLAPFIRSRVLSHGT FGISHTRVPTESRPGHVALIAGLYEDVSAVTTGWKLNPVNFDSVFNRSRHTWSWGSPD ILLMFKEGAVPGRVDADTYGEELEDFTSDATALDIWVFDKVKELFASAKKDPELNAKL REDKNVFFLHLLGLDTTGHGYRPYSKEYLRNIKLVDQGIKEISQLVEDFYGDDKTAFV FTADHGMSDWGSHGDGHPDNTRTPLVVWGSGVAPPKQPQHGVPSGHEDGVSADWHLNQ VQRNDVAQADVAALMAYLVGLDFPTNSVGQLPLEYVDGTPREKALAALANTQEVLEMY HVKEEHKKAALLRYRPFEPLASDYGNSAEQRLAMIKDLIDRGFYEDAIETSAALFATA LEGLRYLQTYDWLFLRTIVTFGYVGWIAYALTTVIHLHVLHGASESDRTTASISFFSS VLVALFSVFLYQGSPWRYYLYGFFPIFFWEEVFARRKAFHAGRAGALLLPKRDLHSNK VEDIDTITYGGAFMLLTGLLYLLFEDEILGTSHQPAAVSRKGSRNIMGLQLGMVLLAL IVTRSSAASLQAKQGLPFGNQVVGWGVLIASLLLPFAHRLYPNSHYLHRLMIIFLTFS PTFIILTISYEGLFYFAFCMTLVTWVRLEHATYVYTAKPVAKQAQETIEPPKKANPGA TTVVDGETYRFRTLTVSDARVALFFFFLLQSAFFSTGNIASISSFSLDSVYRLIPVFN PFSQGALLILKLLIPFAIISANLGILNRRLEVAPSALFMVVMAISDVMTLNFFYMVRD EGSWLDIGTTISHFCIASFLCTFVAGLEFLSEVFISGVDFGLRTDAITASVPDIVNGI TSKGQKDVPNGVEDKE ANIA_07048 MPKRKATARLSGLIESDDEDVMQPGADAARNHDERPTKKTRGRP RSKSAEMKPTAEAEIPATQETEATTTRRGTRRGRPKGSRNSGQTALDATEDQDGSVRA GPNAAAQNTVVDKTTVPDKTAQTTKPTRTTRGAARGKKKTTAQKQLETDGEFQYTPTG ARQQKVIEGPEEQSEPTDRERRKSATAASEEDIPDAEPTVKEVVEETFIQEEASEPVT APPEKQRHLSSWASQSSPTKRKSGGDERGTEPELRRRLGDLTKKYDTLENRYRNLKEI GIAEANANMEKLKKQCESMANVSNNLVNSLKAELEAQRALGQKSRALQKELRERDAEV ARLTAEAEQSASQLAAAQSEVKALQTKLAAARNTAATLEQAAVKVPGSAVKGGGLNRA AAAANAEAAQAAQYAQLKEDLYSDLTGLIIRDVKKRDEDNLYDCIQTGVNGKANLGIA LHFKLVVPHSSTADFETAEFQYIPLLDANRDRGLVDILPEYLTVDITFVRQQASKFYT RVMDALTKRRQSQG ANIA_07047 MASARSPRWQQIQDLIMIAGTSATAYFLVRHLLSRLDFDPDRQK KEEQQRKSAAILRKLDGNLDENSSGESGGKTRKGDLVLNQYEQAIAMDVVAPEDIPVS FKDIGGLEDIIEELKESVIYPLTMPHLFSSTSSLLTAPSGVLLYGPPGCGKTMLAKAL AHESGASFINLHISTLTEKWYGDSNKLVNAVFSLARKLQPSIVFIDEIDAVLGTRRSG EHEASGMVKAEFMTHWDGLTSANSLGEPQRVVVLGATNRMQDIDEAILRRMPKKFPVE LPPAAQRLGILSLVLKDTKIDRQNFDLHYLVKAMAGMSGSDIKEACRDAAMVPVREFI RQKTAQGLKITSVDPSEVRGLRTEDFFASAGGAQRFIPVATQNIPPETSSEKEWSTED EAASENGTQQSRTTQAEA ANIA_07046 MRHFKLAFPWLAHLAIGSLLLPLQSSAYQSNPTPIVTLSNGPKS STTFIGRSLPEFDQELFLGIKYADKPVRFTPSSLKTVYASNDSDSGPIRHSSVSTRGT VLYNATQYGYDCPGYGSDTTTLLNMGLIEMNEDCLNLNVIRPRRHGDEELLPVLVWIF GGGWQQGATADPRYVLTICVAADRSLNGRPILGVSISYRLAAFGFLDSEEVRVRLVFV FTLSSWQA ANIA_07045 MNPPQGVTKTILRPGNGRDSPHTGDTVIIDYTGYLYDDTRGENE YFMGTQFDTSQGRGPLKTEIGVGKVILGWDKGVQQMTLGEKAILTISSDNGYGKRGFP GLIPPDSGLVLNIPCIGEHFQNKSSGEILIAQAIQRISTLKGMSSRSGSADTFTQRSD SNLRPRRLISLDDVRDNNGRQPSSSGISTTGSSELPTLRSRGATPSPRPSRNVSPIPM GHPSRATQPRSDARPGNSLGGFTPNGKYQDPFTESSRAAVDFLDASWSSLQGIASSLL GSDTARPTSNGGPRSHARKPSRPDYLAKHRAVSTSTWGPSGPTTPEIGAGTQDERQAM VHAKKMEALLLADTDPSWNLNSRHKRRDSNDRTVQSRADTDQDEEALVYVHQVQPTDT ITGVTIRYGCQAAIFRKVNGFWPSDSIQARKTVLLPVDCCSIKGRPVKAREETDLLQD VPSRPSIEDPSGSSIVPAPSPEKSTFSRISEQPGTEPEAESDQIWKHESWVQIDGFAE PVEIGRVPRRALGFFPRTRRKSVSYSDSEPVRGRLQTPTISTASSPIQPSSSPNADQH DSYHAGSPASRGPGSKPKGRHRRRPSGLELSGTGVGTLDRNVNLPGPAMDGLSKFFAQ HLPTLAPKQAPPNFDSLSGNSSTVASINSTSLDSIGGAVEGWVRKITARAKSSINDLQ QGTSSSQNQAMPPETRRRGFSDLIELEDGVESRNSSGLLAGTGWKPDLTRSGSGYVNG ANLRERFPSASPSTSRTRTGLDRTKGD ANIA_07044 MLLPKQMFIYAIYPTNSVDYHAVVLISLISPPLHPIYVQFLISG GASYPIALVLHSGQFCPGHAKDSLEEVIQLAIAKNFQVFCLTEHMPRGEQDYYPEEIE ANQTKAWMIANEANYFREATRLREKYADQIKILIGFECDWIRPESRTLVETSMRAFPF EFFMGSIHHTKTIPIDYDREMYEKARDLAGGSDEKLFEAFFDEQLDMLKQLKPVVVGH FDLIRLKSDDPERSFKQWPGVWERILRNLDYVAGYGGILELNSAALRKGMSEPYPKAE ICQEFLARGGRFCFSDDSHGLDQIGLNYARMLEFIETNTDIKTLYYLDLGNEPETQTP VDSRFPRTVVKSISMEEVKKMAFWQTN ANIA_07043 MKPNPIPFPLALNIGTDIVHLPRITRLISRPGGYLTRFTRRILS EQEQRDFRTRFSLPPLDSPICQPNMARKMDITVTPDMARWLAGRFAAKEAARKAARGG AKGVSWKDAVVSVADDGAEGRPDIILFDRDEDCRRGRVGRLSISHDGEINRHDATRYD LHAGCHATAEKLDLVLDPARITQHVCTMNRLQS ANIA_11543 MVVYYNIAGRQIGSHHLSLGILSSLFGGIYLATRGGGAAKKPAA PPIQASSKDEEVFIQDFLKQMNGEGDKKADH ANIA_07042 MFWGSRKEEPSEPPALPPVKRGKLSPQLQKIVDSDDTNPYDDVY SYYSVDSTDTNYRYAGYATRLRTLLLSAHRYVAYTSDVGESFRPVAHPILVRSAYAVS WAYLLGDVGHEGYKAYLRNRRVLAPPCEAYKDSRDLTHQQIVMGMATGNVGGSLSSAD WGEGQKQVDSDTLTPWPTAHIPLIEDYRVVMAKRAVFQSIASMGLPALTIHSVVRYSG RALKGAKSTFARTWVPIGLGLSVVPFLPYIFDHPVDEAVDWTFRTGLRIYGGEDAVKP LPQHPHAAGGTTHEEDSTSLSHFHIKAPEVADAASANVSWDEYKEELRRAKERRKRER EERGESGILAMLGFGSKQKEEKGKTE ANIA_07041 MVSQTALIAAALSLAGLELVAAQQPNAHHEHKQLKRQLFGSSDQ SNNLGWLESFLSSNSDSGTTSSTTTSQNSGVTAASSKDSDPDVVIVPITLSVDENGKT HTITGTANTGVATGAASTTTKQSTVAEVTASATTESQPTATSTSSSTREDTDLSGILG SLLGGSSSSDSSASTTQTGISRAATDSTISETETTDSSTSPSSTSSSGGLLESLLGGS DSSASTSTSSTTSSSTSTSDGLLGLNLNLNGDSTSSTSTTTGSSPSATSTSSSNGLLE NLLGDSTSTSTSTSTADSSSATSTSSSGGLLDGLLGGDSTSSSGTTTATSPSATSTSG GLLDGLFGTDSTSSSATTSVTTPTSTSGGLLGGLFGTDSSSSASTAVIPSSTSTSSGG FLDSLFGTDSSTPTPLPGASSTSGGLIGNILPTISVSVPESTPTGSSDAVASSTPTLL PPDLTSILIPSSSSGATSVPVIPQVPTSSVAATPTPSVGISVPSSSSVSVQPAPTTTS TASSEDSTTTVGTTTTTTVEAEPTDWVPTSIIIEPSPTETETSSEETTTVAPTQLPGS ISPAGGVPDAPEGSTLIQLGFTRELRYSFVATHSLSSSQIFLYIPQGLMYALEEASSD IAMFAISPYDSEATTGYIATVAQAYIPTEKVDVLRKLLHNPISRLYDQPSESVKTLMS MIDPSIPLLVGEYDDSSFGGSSGSGDGSGSDGDGDDSNDTYDDSTAGASSSGSTKASS VGIGVGVVAGAAAYGAGMFWVARRYRKKRQLHRRTSSTADQMSDRGGSIFAGGGRLSR GSGSQRTQMISAPVMAENSLGWN ANIA_07040 MSKIHIRTNNAPAPAPFLSQATVVGNIVFCSGQLGIDPKTGKMV EGTVKDRTRQIIKNLSAVLEASGSSLADVAKVNVFLADMKDFQDMNEVYMEGFPEPRP ARTCVCVKTLPMNSDVEIECSAVVTRPTKAKL ANIA_07039 MDRPGSRPLSPVLEEKKRDRDPKVRKGLRESNQIETKLGPESDT DTNADDDDDDTLPLEIDVHGLRAKVYLNCADVDIVPARYLEKADRSPILKRTPWIFRT AKDGRCVNIAKWSKVDIRYRGVFKTLKKVPMFSGGLFGGSTCRISSAADEHEEVSTGW RTSTDSRSGWGSGSCSASSKSSSSKVDFEEAVKAQGQAIYALLDEVLSMLGIKREAES HREMFFLRPRLVSCQPEYWTGYRVKHRDSPLTAGSVSLDYEPSRGMPRAVMPVTTTSE PVVSLKRTLEGEFKLLLSQLLINVHRLSPPGDKIPDQEAFLIGLHGSKLHILRGIFPG QKTSKLWSGRHNPREVQSTNRLFRRRGGGSGNGPEGQDGRFYSKTNLERFMEQVEWNQ LSNPDNEVHPRSFQVLGSCEYDLWLKCDFGAAMKMLAGLIMYLMSGQARCGVLQDVFE RYPYDEETEPESDVGGDAAGMEKAAQKQKEIEEEEERLRKREREKKEEEKARLTEFEA IKGSMKDKIGGLTEGLRQPWCDWVWEDKGCDTGERDGEEALIVGGPY ANIA_07038 MAVAQTRTSIRRLSKASGVNARYLSLSAQIRELWTCSHRYHNSN DLHRKHTRLIFHNHHRSQRPHHPPRSQRIIYPKYQYQSWWSPGWGWGWGGFNSGFLGF QDTPTRYRYGQDDDKGGWEDRAKRRMEWIKKEIEADPYAALFGRRSQPLGLNWGNKLE SGLMSLWRSVFGLGEDMSNTNKGKGAKVIDSTLKGQDDSGRTSVDEKVREPMTRDGDM QRRPSTDFRGAGFEFDPISGRMVPVRSEPWGTPEERGKERQGDGQYVENKSIPAGDDL PQEKAEADSNTLSEPNVLDSATKKDSTVPESSERFQGASGPSIVSESQIYASAPTQEA SNCLNETVQAAKDEINAETQTHAQVERPVPPQNDNHEAVTPATMPFTQSPYSQKHNTT ERSLAEHSVSKAGPDRAGFLSRRENQMPKLVTAESQPYTAVDNRDKDIELLSARDIRA AYEPRRLSIEAEIEAETPKQLDEPSASQTDLKDTHGKSPVNKLGGSVNMPGGPTAPFS GLPDGQALNEDLLQNEPSTATQSSVTETYRIFAYDPSSAKVTEAETISSLQEPSEHLH PTEVLTRLANPAKFSPCLNQMHAEGYEIVSGGGDILVFRKAPAGSSKTIDRLASPSQE PSTESAQLADKDVQGGNFSGQDAPRKQSRKSPQKATVSKVFRRMLVGGLATGGTCYAL GVVSEYFRTGGKDGFGIDGFTEFESERRHLER ANIA_07037 MFFKALELTTALRPLLLPDETLLFVQDAVGLYDGKYKIANYQDG HAYLTSHRVCYVAVDEPRKYSVGIDLKDVDRAEYQAGFWKSSPKIIIYPKPVKNAGGP RSSSASPSRLPLRAQLSGAQSPLSQTSAHNYTPPPPNLLNATWVCPICSFSNPVPSNF DPSTATNSTPIPPCLACGIKPPFTTVLKAAITAATSREAPPISPAVSQAQQECQSART GQANAANGGGSIMCPRCTFLNHPSLLDCEICGASLASANFLRASGQDRSDSPAPVFED GNIRNSAITDHIKLSFREGGEKIFLERLKGALIQRKWLLYNAPPAPQRPSQSTPTSAP DQTGLSTSVDTQARSPGVGIAGLERRGLEARKNNELVIGNAFEDLEALMASAKQIVAL AETLARESGMASDESSAETSAVLSESAAALGMITTKDMLGSSAGNLYLSELSRTLAEY LTDDRKGVLQREGGIMSLIDLWAVFNRSRNGVELVSPSDFKRAAELWERLKLPVRLRR FKSGLLVVQRYDWSDEKTLRQLQDWMADLRRIPPPDPVPWDWRLFGRPVTAQEAAQRF GWSVGVAAEELEMAEDKGVFCREEGIEGLKFWSNFIIFDPTPDDPSNLAVSLLEV ANIA_10876 MHFSITRSQRLGAAIGISAAFFLTEISVGFYTHSLALVADAFHY LNDLVGFIVAFVALKVSNSEHSPKELSFGWQRAQILGAFFNGSLLFALGISIFLQSIE RFITLHQHHHHHDDHRHPDSEAEPQGQGDFEQQRELENKAKHHDHRHTEHEELPIFGG HDLGMMGVLLHVAGDAANNLGVMVAALVIWLTRYEARYYADPATSMGIAAMIFLSALP LIRQSGLILLESAPNKLDPADVKHDLEKIPGVLSVHELHIWRLNQHKTLASVHVAMLD HSISEFSEVSRTLQECFHAWGVHSVAIMPEIATPLSARALTQNQSDISGMEVSEKYLN LCQSQCSSFCRELACCG ANIA_10880 MSPISVGRGSGASESFTQLADADLESIGRHCEYSYCNQLDFLPF RCMSCRGTFCLDHRTETAHRCPNEGEWARRRDGSASATSTPTQKPTIYNSDQCAHVSC KTLINTLKDPGVKCPECKREYCLRHRLKEEHDCAKIAPRSGTGGAATPNETVRSMFAK VRLWGKDKSQAAAAAAAAARPKPKANSPAARMVAVNAMKKAAKGDASVPADKRIYLHV VGTSETQGTDPPNGDFWFDSRWKVGRILDDAARRLGVENLNNRVGEEARLRIFHVESG DFLEFSDTLGGGKVKTGDTIVMLRGAGVILGK ANIA_07035 MKLSTALVLGATATGAWSYAIPQLEQEVLELPETHYEQQEKYLI ELSPYQTRWVTEEEKWALKLDGVNFIDITEDRNYGLFPTLDAGSYVNYPQKMGYVDTV KGLIAGLSMDNMRKDLENFTSFHTRYYKSSSGVESAQWLYDQVSKVVRDSGADKFGAT VQKFDHSWGQFSIIARIPGLSKKTVVLGAHQDSINLFLPSFLGAPGADDDGSGTVTIL EALRGLLKSDIVAHGQSPNTIEFHWYSAEEGGMLGSQAIFSKYKKNQADVKAMLQQDM TGYVQGTKHAGQKESIGVMTDFVDPALTSFLKNTIVTYCAIPFVETKCGYACSDHTSA SKYGYPSAMATESTMENSNKHIHTTDDKISYLSFDHMLEHAKLTLGFAYELAFAAL ANIA_07034 MEYTGPYAKELEIACLTVQRAAILTKKLIQAVDKGSFDKQDDTP VTISDFGAQSLIIAAIHRHFPDDDIVGEEDSKTLRAEPELLERTWDLVSSTRLEDDES EKLLSAPSSKDEMLHLIDLGAQGSCKPKGRTWVLDPVDGTATFMRGQQYAVCLGLVED GKQIIGVTGCPNLNLEFGGIQEDLADVAGRGLMVFAVAGEGAWTRPMGGGSLVPATKI QPVEQITDPKDIRFVDCKSATSSNYELNERLAASLGAPWPPAADLWSAQLRYIAIAVG GCNVLIKIPRKASYRSKVWDHVGGMLIVEELGLTVSDLEGKPVDLTLGRTLSGCEGMI IAPTSIHGRLVEAVKQIK ANIA_07033 MAFYNPFARRESHGQYPLTAYRVLAPLSWALVVVVGIYYSIHEP SDISNGWTIWSQLGRQFTAFSVNVTVVEIYWVVLLLSQIFYLFQLFNKDTAIVALAGN SAAHFILNNLFVVAWILLWTRNHFWPAEIIVIAHIINQHLLFWRIRNLPPISHIAVVA GPYAWTLITLFWTGAAAVRSHNLASNIAANIFLWIIFLIGSIHIFLAVDDLLGYSLSL LTFGLAVAQTSRKSHLHLQWIFAWVIFGVFLLDSLYVTSAKYVGRNVLFRSPREPESS DAERAPLLNDATAPASTS ANIA_07032 MDCQNGRRANRTVRFARTAESRYPERYSYEYDPEETLSRAAPSM RNAPTIPPPTASGADEMRYTASRPASPARPWSPTRAADWVRPPSAAASYYERADINGS PRPGTPSSRYGGSPRRPLPPAPLFSKPGTTTQDTKIDIGDGEEDPFGGGGRTISSRHG PQGSVQSFTSESTFIADETDLEKVDLDEYEEESNETKSMVDPNLHYGPAPEKQSRRGV RNAQMAKKEVQLVNGELILECKIPTILHSFLPRRDDREFTHMRYTAVTCDPDDFTQRG YKLRQQIGRTMRETELFICITMYNEDETHFTRTMHGVMQNISHFCSRSKSRTWGKDGW KKIVVCIISDGRKKVHPRTLNALAALGVYQEGIAKNVVNQKQVNAHVYEYTTQVSLDS DLKFKGAEKGIVPCQVIFCLKEHNQKKLNSHRWFFNAFGRALQPNICILLDVGTRPEP TALYHLWKAFDQDSNVAGAAGEIKASKGKNMLGLLNPLVASQNFEYKMSNILDKPLES VFGYITVLPGALSAYRFFALQNDAEGNGPLNQYFKGETLHGKDADVFTANMYLAEDRI LCWELVAKREERWVLRFVKSAVGETDVPDSIPEFISQRRRWLNGAFFAAVYSIVNVKQ LWKTDHSLARKILLQIESVYQLLQLIFTYFGLANFYLAFFFIAGSLTDEKIDPFGHNM GKYIFIVLRYACVLVMCLQFIFSMGNRPQGAKKLYLSSMIVYSIVMAYTAFCTLYLIV LELMAKTGHDVPITMSDTLFVNIVVSLLSTVGLYFFTSFMYLDPWHMFTSSAQYFALL PSYICTLQCYAFCNTHDVTWGTKGDNTINTDLGTARIINGSIVEVEMPSEQLDIDSGY DAALRNLRDRLEVPDPGVSESQQQEDYYRAVRTYMVSVWMVANVVLAMAVSEVYGVGS SGTNVYLAIILWSVAVLAIIRAIGSTAYAVLYLIQKLVEGKAKFQAGNIASANASAAG SSLGTKSNVSYGSKGLNMTDRINETKWAISRGMQKAMFWKK ANIA_07031 MSSGIPPWRASASATATTAVYPSHATPAYIPVQARRSLTTPAST SATPAPQPKTTAADAGNRKRVEWPEPVRKYVQRCFAPENQISGVSRQEMEAKLRSVIT EAAQSDVLDKINWEAQPLPQVMVQNDKLRILTNPEASTWGTPFAAQSPKRTASDDASR KRKSAEYQTESNACPPWRQTNKSNVFEDRVTYSPTDKRQRIESKNSNIRPLPVLMKTL DLLKKKWKKDNNYTYICDQFKSLRQDLTVQHIRNEFTVSVYEIHARIALEKGDLGEYN QCQTQLRALYAQQLGGHPTEFKAYRILYFIHTRNWTAMNDALADLIPADKRSAAVKHA LDVRSALALGNYHRFFQLYLATPNMGAYLMDMFVDRERLSALSAICKAYKPDVKIRFI TEELGFESDEQSARFILDHSSEDMLLEKDGSVRLLTGGKAAQLFEAAKADAHRVIDIK GQI ANIA_07030 MKALRRSLKGEKDSKPHHHHQHHHQQHHHLSITPKSAVAILPPK KVIKALYDYQPEPGNTQELAFSKGDFFHVISREDDSDWYEACNPLIPTARGLVPVTFF EVIGKTQRDSSGSIDLGKKKEPHDSGFADRATSSGSEFGSGSSKSYQSHPAFPRTSMM GKAGGGAMVYGVVQYDFQAERPDELDAKAGEAIIVIAQSNPEWFVAKPIGRLGGPGLI PVSFIELRDMQSGQAVADPLEAVRRAGVPKVEEWKKMTAEYKNSSISLGKIETTGSMQ SVTSGMERMSVRQSADHVSHMSQNGHANAYHNRNASKASIAPSTHQQPPPHSQQPLVA PIAASIPRYCFDNDKYWYIIEVKMEDGRCWELSRYYHDFYDFQIALLTQFEEEAGNRG KPRTLPFMPGPVAHVTDAISDGRRHNLDEYIKKLLAMPPHITRCALVRQLFAPRPGDF EIDPSAFGEDARFSGGSQHSSGHELSPSASRQSSQQQNGPSERSSHSHSHSHSHSHQR SQTSSSIPNGKPPMVRQQSSLTQVSTSSGGALKVKVNFQDDLIAIRVPSDINVQQLKE KLMDRLKINDEIVVQYKDEASGAYVDLISDSDLDTAIQRNSKLTLYVGLA ANIA_07029 MSGPSARLCAHHIAGAPSRPALIRPVAVRTSKPVFVAGCRRNFH GLRTAPSRKHNGYGWCNPGFVNHATHQRRSAATAAEVATPVKASAAEAAGPLAEYNAR VEQGRLRDDPYQRQIIEQLQDLYERLKSYKAPAVVRPSIESLDAAPKKSFFGSLFGKA PAKPESSIPEDLPKGLYMYGDVGCGKTMLMDLFYETLPSNIRSKSRIHFHNFMQDVHR RMHVVKMKFGNDFDALPLVAADIAEKSSVLCFDEFQCTDVADAMILRRLLESLMSHGV VLVTTSNRHPDDLYLNGIQRQSFIPCITLLKTVLTVINLNSPTDYRKIPRPPSGVYHH PLGPEADQHAQKWFDYLGDPINDPPHPTTQEVWGRKIQVPLASGKAAKFSFQQLIGAA TGAADYLELVRNYEAFIVTDVPGMTLHQRDLARRFITFIDAVYESRAKLVLTTATPLT NLFISESEVKTSLDDNGEHTDLSDAMRMMMDDLGLSMKALKTSSLFSGDEERFAFARA LSRLSEMGSKEWVERGLLGKSGLDAEGKEKEKNEHEAYLKARSRWSEDNIFNPRLHIA ICPVTFQFYASLWLSISGLAPDEADTCSFFILEQGQNPAALLGASDQEYWWLYKGLTG IMHLEISKLSFRYQLKFSVGQFPLVTASL ANIA_07028 MRNITRPLARSMSTTPPRKAMPSALTFDLHRKCSTTKARASTLH LPHGSVSLPIFMPVATQASLKGLTYDQLKQTGCMLCLNNTYHLGLKPGQAVLDEVGGA HALQGWNRNILTDSGGFQMVSLLELAEVTEEGVRFLSPHDGTPMLLTPEHSISLQNSI GSDIIMQLDDVIATTSPDHERIHEAMERSVRWLDRCIAAHKTPETQNLFCIIQGGLDL ELRKRCCAEMVARDTPGIAIGGLSGGEAKEDFCKVVDTCTGLLPEYKPRYVMGVGYQE DLIVGVALGADMFDCVWPTRTAPREEGGSGITRAYLHHLAAKETAGAHLIESSTTGYK MTVSLDTPRENATTATAGPQPPAHNPSHEEHQYLNLIRQILAEGEHRPDRTGTGTRSI FAPNPMRFSLSKPSPTNPSTKIPILPLLTTKRVFLRAVLAELLWFISGSTSSIPLSEA GIKIWDGNGSREFLDKVGLSHREVGDLGPVYGFQWRHFGAEYVDAHTDYTGQGVDQLA DIVRKIKETPFDRRIIMSAWNPADLKKMALPPCHMFAQYYVSYPEGIEDGKGKGKGEL SCLLYQRSCDMGLGVPFNIASYALLTHIIAHATDLNPGKLIHTMGDAHVYLDHVEALE EQLTREPTDFPELKIKREDRGSGVIDGWKEEEFEVIGYAPHKAIKMKMSV ANIA_07027 MEKEVAPDGVVNATDAELVIQNSGGDAAVQAREKALIWKQDLHI IPLSAAIYLLCYLDRSNIGNAKIMNSETGNDLLTETNMSSYEYTIALMVFLIAYALFE VPSNYFLKKLKPSRWIAFLMLSWGAVTMGLGGAHNYAQVTGLRFLLGVLEAGLFPGLV YFLTFWYRTSERSMRVALILASATLAGAFGGAIAYGVGHMNQAQGLSAWRWLFIIEGA PSLASAFLVWFILPDYPESASWLSEEEKALAAQRLAVEGSKGGAKAMTWQDAKEILLD WRLYAHYVVYFGISAPFSSLSLFTPAITSGLGYTSLNAQLMTVPPWAVAYVVTTAVAW SADHFNRYPRISLHRYGCLIVATSGSFACIPPLLGWLSSNIRSTAGTGLAIALNVSFG APGQIVGVWIYKSNEAKRGYPTGHWTNAALLLLVTVGCLALRVYYGWRNKRLKAGGSG QRFAY ANIA_11542 MVEVEEWRGVMELDGGRPAEPKFYLGESSLSFSQKHMCELGDLD ALAPERYIVPKSIKAVSRNNR ANIA_11541 MSDPRLDIKYDWRLRLEMYCKKVGFGDPVYHTYSDRRGGRTAWS CNVTVNKVTYAARYWFDGSCLQNAMEDAAEVALKKLEPSDQYPSREPQGTV ANIA_07026 MVSYRAWSCPERSAASSSSTNSDTSDRSKSTAPTIYSDRPMSKR HEEEMDLYDDDEDLDPRDSASTFDSSTSADEIDEPPLFEVPDRRRVIFRPDVIPSNSS SFAKLFPSCRRLLIRHDDQTDDGNMQLRVDTRVPHRGGYQQDVILFHLRMYDLFTRKF SFRRYCRESGREVCHSEKRAASSIFVAPMLQRSWSNMLASLRPGSSGHGHHKRRGSEQ SGFSEDGVASGLVRPATLADSIMLEFSNYAHVELKRRGAGRTKRYEYEYWATKYQWRR KSRREDGLREVSFHLVDMRTSKKIAHIVPEILSPQEAIEEEAKGGWIPPSSMWINDVS TYKNMQDVADVIVATGLVVLVDDCIRSRWPHGTSTPRHQHLGTDQAQAV ANIA_07025 MAIHPSFPSQRDGRGPLSPQQAQAISAWTEQAAASLQDLTITDS APTSAGNATLRGTTVSLSIPLDDPVPAAEGGAPRVKTPGQGTEEARKIPAVSFRRREP LRRDSLKRREALLKGKDGSRRRQRWENDRLLHNPWAEPPSPNDWMPQPTHTRHEPMPY FLAPLWDKHYAHIERRSPGDAKTERHHIPKELRLKLKHARAARGMLQDLEEDIRQFIE RWNERQLVRQKDGLADAPSSSEEDSEDEVVFVGRNGQMHDSPDRRKKLQSMRETMSSH NERDGEKMVFESLVDDRAAGFGRWLVHSIASYYGLHTWSVTVGSPARREAYVGFYPPS SGSRAGLLTQPPSRCRDRVLIQPGEKLPRPLWSQV ANIA_07024 MSIEARGMMFKGRQQARKERSTISSSLRTQSHQSSVSPRAGNYP LLYAHLQREAKRVRLARIIQNDFHDHVVCGIHLCNFYALISARGLGQAGHWRLETDEH KLKCESGGSGTRIEIPGSLAEEEGSRDALMRNLCVWLYDNGFTEI ANIA_07023 MARNGVMQRDPWPNVLDEVTKTRDLVVKGKHFSLSTVLDKSQEW LKAHGGQFDFVDSDNGHMGIAAKECGVRYGYLHIISDNLAKKYAYELSNERLQKVQMG RKRLIREMKEVLVEFFIILHRELSALSLYNYS ANIA_07022 MIPIFQVQGKSKSRPAPLFLIHAISGLALPYNSFGTLDFRGRAI YAIGSPLNGPRRYRLPSSIDDVARQYIRFVLSKQATGPYLLGGCSFGGLRAGQPILDA RQSTPSREDANAKENKGDESTAMWEKMYKHIYNVLALVKRAANGEFVSALREVKVDFI KCSVLEVPPAGIITEPSRKFYLDRYENEYMGWQPGQFAGWEGHSIDAEHDRWSRISVK IRVRSSTCSGNEAEAMDPQQRLLLEVVYEALEDGIPLIKVAGSQTSVLCGTFTNDYNS TITKDLEYYPKYSITDTGNSILSNRISYFYNLHGASATTLQAGEASMSIVLGSALHFD PNIFITMTDLGMLSTDGRCRAFDADRSGYVRGEGICAIIHKRKSQAEFDGDVIRAIVR GTGVNHDGTKQGITLPSSESQEALIRQVTRADSTEHCRGLISAQEGPAASMGHQKPYL GFSELQAKSVRSLVPRMFYEQIHSLGLQYGVSFALMSGGIKSGSVFATAPLRWTSHWF NSAAPSHATLIHPSLLDAALHAIFAAVERRTGQPINGPYVPTFMQSLQVSGVFADRAT EALQFLGGRNGEQRRFCSLTLLQMAAEEVISSTEQLQLELASLVKLEELNEGEYDLVI LDDVVVPLAYDIRNYLKPDAFAINSGKHSSMSKREAFTPVFSPARYHVWRKDSTNPLP GTELALATAANPSARTVAMTSAIASAHSERLFRVSLDKLFKITPVPENIVILAALDKN LKTPRSSQRVLADMFVY ANIA_07021 MSFLESTGESDEQRSVDEPAEVTSIDYESPSEKQINDASNFPDG ATKAWLNVVGGWCIFFSMFGWANAFGVFQDYYQEHQLKHYSAFQNSWISSIQTFILFG GGIVAGKYYQFILSQGILQFSSHCYPFTRHTKHIQYRRRRVSICLEHPCPRLDLVGPS AFAPSSLPTVTSRLPPTPKPVSIMNHIRPLKELPFLMLSAGCALFYLGIYLPFNYIIV QAEYEGMSAALAGYLIPILNGASLFSRIIPGKAADKLGRLNTMIAMCAFAGIIVLALW LPGTGNAPIIAFSAL ANIA_07020 MFVNSKPAPNPTARAKREMRPDSQIMIIPIVQLQDVLCAMLSLS MRLGIVVCVDMGNISANGDTVQLIQKRINAFRLHDELAFGSLVHLQKKFKQAGTVRSN QILLGLGVSQLARTDVLHCADADSQPQSKYLSSDGKTLGSVGLE ANIA_11540 MPKQLLNRTFNTEALVDPWKTQDKNLALRIGWRIYIANHAGISA WESARYSEKSRIYSINNLINGGSW ANIA_07019 MDSSKTSAAPNETLSRTPPRPPPPIDPFVVSDRLSDPSLTATPL PASPSAVSSSSLLSPSDLPARSGVNPVPHPAPRRVPSASSLRDERRQSTPNLKKRSST ASLRSSQLGGSTSPRPSMSRRSSSALATSPTAASANAGGPAAPSKASLAVEQPVLTAA SIAAEHFKKEFNHHQSADLQSETLVIIHDACYGHRFSRPRTTRAALSSIVERPERVQA CVVGISAAYVRLARRHAGGLFAPHPDLDLRELPVPPFQIRKTDRALAINSPAVTHVHG TKWMEDLKLMCDAAESRLALNGKELVRPRSAGKDNSSGNPGPVFHEGDLYLCSESLDA FEGALGGVCEGIDAVFSPGPTKRAFVCIRPPGHHCSSGNPSGFCWINNVHVGISYAAM THDLTHAAILDFDLHHGDGSQDIAWEQNKKALAAPKNAAAHKKAMVGYFSLHDINSYP CEMGEAEKIRNASVCIEKAHGQSIWNVHLEPWKTEQDFWELYSAKYSILIEKARDFLK MHTDRLASVPNGPPPKAAIFISAGFDASEWEGMGMQRHKVNVPTEFYARFTADVVRMA EEEGLGVDGRIVSVLEGGYSNRALTTGVLSHLSGLGDTKGVQLLDDRHIDRLATEMTE RLGLSDTPPERPAFQAAYDQEWWSLPLLEELEALVYPPPPSKPKEKSAPTYFAPTQSF AAKVVLPARDRRSTGSHSSEQESPPLPPVNWAVAAHELSKVLIPSDRRTGSCRPEELN AEASRLRRERHATATGISDAVKTPTGPAAGEEKMKLRIRKPKTSSPIVSEPATPARRT NKDNRRTTLDPPSVARDSSKSPGPRISRRKSAAPTTSKTSSATEEDQPPPRPLSQGSV TIRKTSVSRSAAPRRSASPKGAPPLPRMPTKFLPATTQDEQSRASQHTSGASERASDD MDSLTSGVRKLSIKLKVPSPEENAARERKAAEERKRKILKGSSKAPRSPKKAPAAKQP LTDTSKKISQPSPNNSHMDSPLPDVPRQMSTDTEASSAENQISQPLATTDASSPTPTI EAKESLPIEDHHRGKEQAIGGLSHSSSQGTYAVISPPLTPGHAGDPAGPMSTLFSPPA SASVTRDGLPGFTSNGRIPFAPTDDSQRSTMTITRSE ANIA_07018 MCRQYLTLYNWCQCEEDAGYQACGAGPEVCPGTGFETVTMHCFC NKHATKKFTTEKKHAKHQRKQSRASLSSVNTSGSGSSRNSRYSNESLVQEKDTQQGSV TRRRWYRRWSGIF ANIA_07017 MTSQSEGQPVIMPASPSRTTTLLANLASVTSRIQSASSKLPLPK EPRLVAVSKLKPASDILALHNPPTAHSHFGENYLQELQEKARLLPPTIKWHFIGGLQS NKCVSLARDTRALWAVESVDSEKKATLLDKGWGERKAEMGGEEKLRVFVQVNTSGEEN KSGVDPGEEVVRLCRFIMDKCPRLRLQGLMTIGAIARSKATTAETENEDFICLKQARE RVNEALGLEGDARLELSMGMSEDFEGAIALGSDEVRVGTTIFGDRPPKDRARIL ANIA_07016 MSSMRGLVQFIADLRNARARELEEKRVNKELANIRQKFKSGNLD GYQKKKYVCKLLYVYIQGYDVDFGHLEAVNLISSNKYSEKQIGYLAVTLFLHEEHELL HLVVNSIRKDLLDQNELNNCLALHAVANVGSREMGEALSTDVHRLLISPTSKAFVKKK AALTLLRLYRKYPSIVQNQWAERIISLMDDPDMGVTLSVTSLVMALAQDRPEEYRGSY VKAAQRLKRIVVDNDIAPDYLYYRVPCPWLQVKLLRLLQYYPPSGDSHVRDIIRESLQ QIMQIAMDTPKNVQQNNAQNAVLFEAINLLIHLDTEHTLMMQISSRLGKYIQSRETNV RYLGLEAMTHFAARAETLDPIKKHQNIILGSLRDRDISVRRKGLDLVYSMCDSTNAAP IVNELMRYLQSADYAIREEMVLKVAILTEKYATDAQWYIDVTLKLLSLAGDHVNDEVW QRVIQIVTNNEELQAYAAQTLLTYLKSDCHESLVKIGCYVLGEFGHLIADNQGSSPIE QFLALQAKMMTSTDNTRAMILSSFVKFVNLFPEIKPQLLHIFRLYSHSPDPELQQRAF EYLSLATLPTDDLLRTKTAGTTDKKTWVVGGKDANSDGAEILMAQNTGLKRSFTTIVH GTSTGTNGTATSSATGDLAGLDLSAPTPPPPSTNMASAANLSPDWEIGYNRLYFSDEG VLFQDAQIQVGLRSEFRGHLGVLKLYISNRSSFPIGSLTTTVDNPSAPHLRIDSKSLP EPSVPASGQTQQTLFFEAKGPFSKAPTIRISYLAGALQAYTLQLPILMHRYLEPSTLT PEDFFKRWRQIGGGPLEAQNTFGLVAKAGGISEGFTRRLVEQFGWKLLSGVDPISTNI VGCAVYHSAQGKTGCLLRLEPNYERKMYRVTIRATQEEIPQALARQMEERLSQGSLPD RSHVREKPKGINVDDNFTSGY ANIA_07015 MAAASRPFDYLESLPGTVFFKLYQQPSTALAIFRRMLPDLAKCF VMALLYLKDPLPAADLETWVRSESLRLSFLRERDSALSILGRLHILTNTTTSGNVRAY MVTDPFAASLRQALTGAKETQSFGVLHHIPDDQTVPIHDLDEYARRQWEGVLGYMVGT SGLGIQRDVNLSKGVKQLLQAGHLVEIRDRRVEITQDGFAFVLQDVGTQVWHILILYV ESAEAIGMDSVEVLSFIFLLSSLELGKSYEKKHLTSNQLRTLTDLADFGIVYQDSPEA SHFYPTRLATTLTSDSSALSNPISGALSDPDGGDSNQPGSGFIIIETNYRLYAYTSSP LQISLIALFTTLKYRFPNLVTGKVTRQSIRRAIEMGITADQIISYLATHAHPQMRKHN VARSTSNQAGMPPSVLPPTVVDQIRLWQLERDRVKATAGFLFKDFVSLAEYEAPCRYA EEIGVLIWKSDRKRMFFVTRHEQVAAFLRSRK ANIA_07014 MGLQTQNAMAPGLDSRPTVEDFRDDSPWVRLAKTHWLDAANVRK VKHDVIKKDIWDPLETESFSFRSLLILENLNILEKFLWPTYTEDASNYHVLLLALIVS VKQREHLPIWEIFSDRSDDFSNLFHRILSMSIDQSLPTFSRLSILSFMISAFQSLENT LIRKECAPLVSISIWHNLHSDEARERVLANAPNLRKAWRAALKRYDAGDEATKAKMRF ERSWLYTMLLDFLRRLNGAEKDQADNLRYCERFLEFLVDLESQLPTRRYVNTLLKDLH LLPLMRLSRLYRSADNALFRDFHNLLKHFTGFAINDYTGETLSTQAMYDAHCHDLARL QRTSMKHFKDKLTILALSNYGSIEQRSELEGQLKALDDSELQDLCAHLGFRTSYPKQA NVAADRHLYMEVLLSYHERTTSFQEATSNLDVVPTEESLYDPSLLRNETYDGSRPLAI PKLNLQYLSLGDFLWRSFLLYRAEAFFQIRKDMELIVKRMQPRSSQDGRTLTFDGFSR MAIPIPKPAIIEVAPPKVGSTKPAFVRAEITIEVGRLADHVRTEWDSLRPDDVVFLLA VQPGNQGKYGFRDVEAPQTPGIVHVRSADIVQVLDENGRPLREPASGQTNGYRSRPRV RRLLVNLDSAAFKADKDRTSQGKPDIYPLINVVARRKARENNFKSILETMQRLIASDI TLPQWIQDIFLGYGDPAGACYTELPNRLKSVDFRDTFLDWQHLIESFPGLTIEPSGDA TSSFQPPYVLEYVEESAQPSTSSAPKKRRRDQHAEERGGPKSLRVSTYKPPNPGPYPV DAPRLNAVRFTPAQIQAIASGTQPGLTVIVGPPGTGKTDVATQIINNIYHNFPTERTL LVAHSNQALNQLFQKIVALDIDERHLLRLGHGEEELDTETSYSKYGRVESFLDNRNHF LAEVTRLAASIGAQGAHGNSCETAGYFHTVYIRPAWTKFWELARSENTSTEEIIAAFP FHEYFSNAPAPVFDPSASKETVVDVAEGCQRHIDRIFSELEDIRPFEILRQPKDKANY LLVKEARIIAMTSTHAAMRRQEIADLGFHYDNIVMEEAAQITEVESFIPTALQNMKEG QLPLKRIVLCGDHLQNSPIIQNLAFRQYAHFEQSLFLRLIRLGVPAITLDQQGRARPS IAELFRWRYQNLGNLPIVEQAPEFKQANAGFQFEYQFINVPDYQGTGEREPTPHFVQN LGEAEYAVAIFQYMRLLGYPASKISILATYAGQTALIKDVLNHRCSKNTLFGMPKIVT TVDRYQGEQNDYIILSLTRTRTVGYLRDVRRLTVALSRARLGLYILGRREVFESCYEL KPAFDLLLQRPDKLMLAPGEMYPATRTLDDEVKGTPMEGVEHLGQYVFEMTQAKIKAL GGEGAIVDETMPDEEGDLIEDGDEEMLGGGEEGDEDPLHEHVYA ANIA_07013 MANTEVDVIEIYRRLIDSLLDKAEQVKPGKEIEPPLTDTQLGES FWLVRGEDEQTVKQLSQQTQFAAVEIAFRERFYSLLATTTIDDPEFVRIWNLLDVISV FSDNEQCEPGLIFWLIEELLDSQTIDGCRKVFDYLESRRERNTKDTVFCGRVFIFLFQ SFPLGDKSAVNLRGEYHTENVTTFDTIANDAISEAHDMDVDMPDVKATNSVAETQKQP VAEGDAKSAEQDVTKIPKVTVSQSSDQTGERSLNLDTLYPIFWGLQAYFSSPTKIFDA QHFATFKSGLEATLNAFRTVNTELETSNSKTQEELRKSTKRKRTADGPEIASSFNPKY LTSRELFDLEVNDTAFRRHVLVQALILLDFMLSLTSKSKAKLADLTNKSVLYGFVLND EDAQWAVKMRKSIEGYLQEGAGGKFYYRMVDTVLSRDKNWVRWKAEGCPPIEKPAVSV DEYLGARDKAIKTYANKRLRASPMNSLNLKFLSESESSSGFDRLKEPERFNVPTSESL MRVIEDVEFDIDTAQTSEDKEAAVQAKASKTWLLLRLSAKSKLAAFDKIEDGKNLKTL FETPQSAESTTQAAGSTPQEPPTKTSQETEAINNGPSQDEKMETETGSSAAVAEANKV EGSDAATIDAPGS ANIA_07012 MSVSGPCAWVSTIPSPAAAHPSSITSSWASVSWNSEPALTKSRA DLSALSGLGKTVGEPDKAPPTEMQPAAFKAENAFSTQPAAGSSNSVQYSGVDVLSKGL ENRNTNAHSDSSLTANPERQNADIQGSSESQTNDELSSKVKSEEVHEDEKDHGEANAG SSDDDGSIADEKKSSQDVKLDKKKMKRFRRAKLKRLTSNDRERMLKSRALPDDFDTTQ VLRTPFGSKGPSEASVESLRLALYTQTKVSQGLLTEGLPRLNDDDYVISPLSSASTSG PGYPPTSSDRGFENYQNRGAAATVPDLRSSRANFPFPRSSSFSEPSFNTSLQYPGRFS RPGDAVGHPGISYRRPMDYVMNRPANGMMVGYGQHRPLEGSVSPSGQQEAQLTYGMDN TNQIHNYQPPLSMPAPKSYGGIEMNSHMHHPGRSMPTLQHLPVSETPDYRPYPYEHHP YSMGTGIPFTQANASSLSLPASFSSEQGHVAVSSPADDRMTTSPQVMDPLRAKYSQGY DYANYLYLVTRTMAKFD ANIA_07011 MVHPTSTCCKTNPSGGCVCAAQARCSCGKESALHCTCNKASSEN TIQGARCSCRARPAGQCTCERAASENNPVDGQTCPCGKRPEASCTCEKAEAVESALET DFTTAKA ANIA_07010 MAIDLPLEYESSFKLQEQVSDDSSLSQGVPFFGLIQMDFVPQDP STFKPYLTTMARVDFVTLDVFTTKIYEGNPLAVVFLPAPTSTQLTQRQKQTIAREFNL SETIFVHSESGPSESRKIDIFTGSEELPFAGHPTIGAASWFLHHAPESKPVTRLTMKA GDFPITFQNADLGVVSARVAHNVHIHENQYPLDEILRLYPSVKPYLTQSSIALISIVK GMSQLLVELPSLEALGAVTTANGGEEASSSYLDAGWAEGMVTTYFYVKDVKDELLGRN VIRTRTILGSLEDPATGSAASGLTAYLSLKEGRAGRFVYDIVQGVEMGRRSEIGLEVV TGEKGIESLELRGSAVKVSEGSIVVPQAK ANIA_07009 MGSTKPRPSGKNSISHAQSLPGKTFIIDNGAYNMKAGYAPDSQP LDDEEIALSACAAIPNAIVKTRANRTYVGAQIGTNVTDWNEMLFRRPVEKGYTVNWEA QKEIWENSFFDERTVRSKELHIADPEDVTIIFTEAPNALPALQKNADEIIMEEWGFGG YLRCVVGMAKPFALNTGPSLNAWNEIQSLFEDSVLSQPRAVASPAECLLVVDSGYSHT VITPVYRGRPLQRGIRRLDLGGKHLTNYLKELVSMRQYNMVDETYIMNEVKESVCFVS NDFNRDLERTWKGNRKRGQPDPTDGVVVDYVLPDPNGGKRGFMRPHDPLLGSKKRKAV LAGASAEQLNEDVLVLGNERFTVPEILFTPSDIGMKSAGIPDIILQSLSVLPTGLHPA FLANVLVVGGNSLLPGFMERLETELRQIASAECVVRVRRPKDPIRFAWLGGSRLATNK EELKKVAITRQEYQEHGSSWTTRKFSGAL ANIA_07008 MLSPALRLLCRRGASMRSRAFSTSPAVHAAEVKSLGVIGAGQMG LGIALVAAQKAQVPVTLVDNSQASLDKGLKFADKLLEKDVSKDRITKEAADAARGRIT SSLSLDDLSSVDFVIEAVPEIPDLKTSIFSKLAQIAPEHVILATNTSSISITKIAAAT TTDPTNLQAPSRVISTHFMNPVPVQKGVEIIAGLQTSKETIDTAIAFVQRMGKIASVS ADSPGFLANRILMPYINEAIICLETGIGSREDIDSIMKNGTNVPMGPLTLADFIGLDT CLAIMNVLHQESGDSKYRPSGLLKNMVNAGWLGKKSGKGFYDY ANIA_07007 MEEAVPAQTVEVIDPEVRAHVYSLLGGFNGEDADKYVLGDDALS CLRDIKRWLKLYDEKFNRMDVARCLGEANLVNGDLIQILSLWWREGQQSKYMTRIALA CVELLVPLTWPLEIHGEMTVNHHRHIPYLQQAQVGYKRGILNMASCGILRAVIRIGLP SMAIPPSERTARDEGILKIMLYLLRNIAIITANARLAAEGEEEETSRSATINAFHDQD VFALLLTLCSNVSDDFNMLDIPLLEILFHMVRGIDVEKLFMNDAQRSAKRTDELNDLL RQESSMRREYAKNAPTRHGRFGTMIWVKRDDAKMSTVSGQDVLRDEQTTLYKMDQSKR WNKPRRGRKAQDMSVNNDFNTPVHISPSATTNLRMFVEEFLDSGFNPLFVHVRKAIER ESIRVLDINKRHFLYTVSWFLGAERARRARQREKYAQSGKKPDNELEPDSFGLVAGVL NQETFVFLNRSMQNSLDNKEWDDLNAAMRCFTQILLTVQEMSQSPLEEDQEIAENIQN RIFYEETTHDRILAILRGYTDQGFGYLDACTELSHVFLRMLERYSKTNVDMQVRSRRR ARKRKREEQLVNKGSDEEQESEDEDYAEAEKMSKERKFDFTRFAAKFSNQKCVDTFVA FTKFYKELNNEQLKRAHRYFYRIAFKQEMTVLLFRVDILNLFYRIIKGPGGMDSSKPI YKEWEELVRQLIRRLIKKLEQRPALITELLFSKINSTAFYLEYGFEKQTVTTSKRAPA ELEVDPKAASTPEEKLSIVVAALVKDEQSALVKWISEVLGSAADEREAWELNSHDVDL AGPRDTQNPIINELRESKAVIDKALLIGNTDERDPNDLLRKKYSHEPRDGFSGQNLDV NFGSDSEGEDVIPDGPLFPANPRSKAHALNELKQKRKKRKDKGEEEPVDEETLEERRQ ARLENTRWRLAKIKSDLYVHASDEESDAEADQEFFRLEEERRNEQSERIKKALLLGRT EDAGNKARQRKRGKRSNEPNIAGEEETSGKRRRHSGGTNVELEEDDILMDDMEMPSRA SSGEYSSNDADAIDKSMAAAEDELYFDDDLAFGRDRDKDETSVDRDGADASSPRKHND GSESAEEDIPLAPPNRRRLRAGFVVESDSE ANIA_07006 MSQILQVVLKTTLALIEQFQVSLTTTQPNPGPVTSEENQNSDPL PLLSISSTALKSHVTKLSLLAINSPFTPSAVAKTLSTLNESVLPSLVTAALLITPESH TRAFQSEIRVLTGTALKELSLLVKEVQLIAEEKADKRSLEQAEKDAVTAVTGRVWDAC DVLVDVAAKGVVGFVVRRAQEYRDLVRDAVEEIEEWDPDEEGDEFFDDLLDNGDEYEA GSGDDEDEGSDDGESSAALHARKKSALRILKPIAQIYPALIANRLERVPVPLAPSNIG ILDSMLKSLQQIPDHIDEVAGALYEADFDKFTRQLRKTKESAFNAIELVVLPWDLKQT ANDQENQGDKFTAWSKTWTKVIEEVSKSIDV ANIA_07005 MDQTKTPTRPSGIPRLVSRIPLPTSTTSSASLRPSPSREKLRID PGLKATRLRRPSEEPEFKKPLPRTLQPRNTQNGNPQKRLVSQARSDGVGSENEKVPDT MDTRSEDTALQDAEPRGRIRPSLSERTIETLSQIPPSPASSRRPSSFFNATSPIRSPS RPPSSMTSYSRSPSRSSTSRQLSGNDFLSGPPSSIRLPSRPRTSVHKTGPANEHASVD SADSTPKLTKPAPLKTLRSAGSQSKAPESRLALNRAPADSGVAPPALEVKKTRRAQQK ASNSRLNSTAPKSPAVSEPAETTSPELKKTSKSSSALRESIAKAKAARKAAAHQPSPL DAWTEADIKDPFNQQPKDQNKVLRKKLDAGRTTGHLNIAAMSLTTFPDEVLTMYDFDP NATTDWYESVDLVKFIAADNEFTELPDAAFPDVDSEQLDPDSEERGNQFGGLEVLDVH GNLLERLPIGFRRLQRLHTLNLSNNKLTMEDIDVIFEMASLRDLKLAKNQLQGPFSQK IGQLDKLEVLDIHENTITALPETVEGLKRLRVLNVGQNQLTELPFEILCTLPLREIIA PKNKLQGVLIPATVDKLDSLQELDVVGNALTSLGEKLTLPALKTLAISMNRIKNLPNV SSWQALLKLSAEDNSISEFPLGFTELKNVRNVDLTGNNISRLDEKIGLMDNLFTFRIA NNPLRERKFLNMTVEDIKRDLRSRCEPEPQETDDEEGSVATQFTLAPETPAQNSGWLL KPGGVLDRSYTDMQEFDVEQLEAINPSDVRYLYLQHNSLRSFPVPALSVLAANLTDLD LSHNPLDISSLTATPVMLASLQTLNLSATGITTLEPLMTGLKAPSLTFLDVSSNNLSG SLPYIRRAYSKLTTFLIAENQLDSLDFEAVQGLQVLDVGNNSISSLPPRIGLLRAAGN SANWGGGSALRRFEVAGNSFRVPRWQIVAKGTDSILEWLKDRMPAEELQEYESGGEGD ANIA_07004 MFTSALTCGPDSWLRIRPYLDLGGIGARLADLTNVIGLESEVNI TSMSQNSESCGSLAPPVEISRPVTPVQRANLSASPDMSVPAESRSPHTDNLKFISPPS LPSSDMTPPPSTQIPGAPLRRSRSRSRSSSYLAPSPDIQETLCAAYGASENLPTNDEI ETADEGKLRTIAKELLAVAREARVSALHFKLQYGLLSFRSNVAIKRAEVEHKLARREA EILQSAEYRSRHSLPDTEPTPSPSNVELELAVKRNQELERTNATLDRRLRRAKKLIEQ EQDRADRLTEENKLLKQRIRENREHFSRMIEHGPMSPAAPPQGVLDSSDNNHPFAALL AADRVLNRESSNFTPNRHKSHRQHSNGHVRGSHSLSSLPITPSEGGRKGRHYVTPGEE SSEENRDRDSTISASDVEEAETEVDIPSSHASSLATSMLRRNHPAMQQDMRDAVKSAP KSSTLLQTKLFGQVRKPGVERPTNNLKREASFDGASSKKPRAEDHVGLGIH ANIA_07003 MAIKHNNQIQKHHFHKDWQRRVRVHFEQAGRKHSRREARLAKAA AVAPRPVDKLRPIVRCPTVKYNRRVRAGRGFTLAELKEAGIPKKLARTIGIAVDHRRT NYSKESLVANVARLKDYKARLIIFPRKSGQFKKTDSTAEEVNAAKAAFAAEGQTQGYA TNVGAILPIKNTTAEEAVTEVKRDDLPKGEEAAYRRLREARSEARYKGIREKRAKAKA EEESAAKK ANIA_07002 MEPLPPRIASQRRSPPRSSFQAPTKASIARSNPELLERALSRSP TRTSTRSSQDDKQKEAETRAFGLRDRKALRPSIALTASPSNSLNRGRQSQSPFALASQ RSSGLGAFAAPPRRVSKRISASDFLFQSPSTSRETRVEESLVNTPEDQLAVELGSATG MDLNEDTVLHNGFDEPDLPPTPTQLGLEPPPGRPRGLLSDSPGMQHAKWGKRRASEDL DSSPSKLRTVNYSNEPEDLTITTNLAPFPESIVKKRKLKRELSAQLESLKQDLAQLEG LCDKLDKKEGDIEPFLNDLCQSAQTDQYLTALAPLKITASLNAITKSDLGMFVERHQI TLSAPRPFPPNVYKIDVSYETNPETQSVVSLAASVDGTTLNYLQQWINGRLQDPLRRL DISGLCWGINRYWEALVSRAQIWAQIWDQHPALIPSRNKSPSVKVNSDIDIRRILPHL ERTSILFKSKQRTLEALLSCELTIDPWTGEPELTPTICVSISGNGSSSDRKVEQESKK LFEAVLNESKKQQLGTAGGSEAEAVVKATKCVLDVLFGQSGGHQL ANIA_07001 MEDHALFTIRHLLLRSFRASPFPSIRTSLAQNSRHRRISSPKRL LHHHAKHTNHLGDGQAYPLSGYYSDILSARHSHRGQATTSPSAPQQKPETPRAQTNQL PQSPEERMAIVFGTRLAGPGRSSRYNRGSNSAWKTVNGVPIPPRPEEPDNCCMSGCVH CVWDDYRDEMEEWAALLAQAKAKGGTSKSPKVVPTAIPKTPVDVAASTSMDDDGGGSE TNWSLPGSGDDLFADIPVGIREFMKTEKRLKQLHRNADDA ANIA_07000 MFTVLRSRPVAALRAATGSSSRIAQQQRNLSIHEYLSANLLKSY GVGVPKGEVARSAEEAEKVAKSLGNDDMVIKAQVLAGGRGKGHFDNGLKGGVRVIYSP TEAKMFAGQMIGHKLITKQTGAGGRLCNAVFIVERKFARREFYLAVLMDRKTQGPVIV ASSQGGMDIEAVAKENPDAIITTPIDINVGVTDAIATKIANELGFSEQCVDDAKKTIQ NLYKAFMETDATQIEINPLSETSDHQVLAMDAKLGFDDNAEFRQKEIFSWRDTTQEDA DEVKAAEHGLNFIKLDGDIGCLVNGAGLAMATMDIIKLNGGSPANFLDVGGGATPAAI KSAFELITSDPKVSAIFVNIFGGIVRCDAIAQGLINVVQEMGLRTPIVARLQGTNMEQ AHKLINESGLKIFSIEDLQSAAEKSVQFSKVVKMAREIDVGVEFTLGI ANIA_06999 MDSNIQDIISRFKQLNTASARRTVYQQIIDQLNPHEWRDVQKRV NQRTFQKDILGSLPIEIAVQIVQYLDINDVHLLQRVSRRWHEVLSSEPVCSILFRQYT GGSLDSDFKSTFARYSRQRSRLEQGRPVAHVQLDIPFSQDLDYCDGRYAWSADGGTTI VVFEMCSRKTQRFCTENRERFEKFCLSEDLVAAITVRGYCHAWDIRTEYTRSIRLPNT NLSHFVIDSFRVAVYYENFRLDGQEGGVVMHFDLRPGSPRTHRIQPVEELALLSLDKS SNFLTTICLKHYSNRDPSYIPNKPRLRVLKYELHENGEASIARSYTLNLPSSSNRTYL ARDLPQYYKNMGFLYVSSSSALSFVLPITYHPQTEQVCIHALLDLRVADSLSMANVDN GILYWVRDDDGKQSIWISNPYAVTPLCASRGLEFDLPRAPPDETPLMGHHRRLLTGDS RFVSMTDISGTQVWFFEDANQLGYVETNP ANIA_06998 MSSLADFPSRNTVASGATGAPGRIRKLPVLSSSVPSGTLTTKPM EVTPPPSAAAKSAHHSTPDSDRAGANTTTTSNGSPDSGNPNNHATHGQAIGAAAAAQQ PKVVQTAFIHKLYNTNDSFVMSPTSEFSKVLAQYFKHTNISSFVRQLNMYGFHKGRPF PGRIIALNNVGDSHKTAASQPGTPAEPAPDATEARLMQLEHMLFDMHNRLSRAEEGNA TLNSRCQAMAESIIKCHQWSHTLSRLLQRMVPDRESFLYRDLTNMQTELEKHLDSARS FEHPDMRLLHIPSVAVDSGPPLSPRQMPQDDSRRPSIMDTSRSNMIRPPVPPHLSASP RRYGSIGAANSSPNYSRPQVPSIVTPQPPVPHPLSSASSPPGPNLARRHTSADIRQHG WPPPGISPFPLNQPSSQGPSPWSPSPLRTPTSSDQQVRDVLAQYEMGAPRRLQQDISR NATPPLNSDQQGPIDNGWGFGGPKFPRHESSLPATRRSSMASNVHSLLNPADTAERPD EDQHAAEDRKRKRLE ANIA_06997 MSLSKADTDVILNKANIALARSQRLVASWLPATPATGENNAKTD AELQKEEEEIFTAVPETLGLGAPLPTKAADGSWNRRELDSNDELRRQLLGRNYKRVMA EKEKARQKTADPASKNHASKGAPGHNQQGSAVGKNEDVDDDDEGRAASISKNASSRKR KVGGSAEPTTRTEGADSETKYKDSEEENTASSQGLRAKGRKKATSFLDEILAERSKKR KKR ANIA_06996 MRISLQLRCIRATCGRTPQLLARLPLPPSRRFTQLSCPQRPSQG RQKGVPRIGAVIFAALAPGAFVKIAETEDDGKTGERLMLEASRQEFREDVLEKTEQRI GLGGPVVAYWTYYVYDTIATGFRFVHLVIIFLPVILTAPTIWLGRRIKNNDGARTGTL WWYSFLVRAMERAGPAFIKLGQWAASRTDIFPPEMCEIMSSLHSNAPGHSLHETKRII EKAFSGMPFEDIFEEFNEEPLGVGAIAQVYKAKLKPNLANLADNQLTCEPQNLRGKLR KNVDALVKSTPRRVPSSYVAVKVLHPRVERLIRRDLRIMSFFASLLNAVPTMHWLSFP DEVAQFGEMMKLQLDLRIEATNLKIFREKFRSRTTAWFPYPYLDYSTREVLIEEFAQG IPLSTFLEKGGGVYQHEIANEGLDAFLHMLLIDNFVHADLHPGNIMVRFYQPSELDLS LRKKGRADEAPTLKEVDVADAILARLLPHADDSQKWERALEELNAEGYRPQLLFIDTG LVTQLNDTNRANFLALFRAVAEFDGHRAGELMVERCRQPEEVIDPDIFALRMQNLVLG VKSRTFALGNIKIGDILSEVLSMVRRHHVRLEGDFVNVVISILLLEGIGRSMDPDLDL FKSALPILRKLGSNATFLKTIRSGDTSMLRVWVGLEARGLLRASIESVENCVKYDLLS PNV ANIA_06995 MDKQSKRTEQNAGNRRAKVSTSFQSGQRMLIQGNIASKGLLMEV FRPQTSHTEGLEWLFSMVDGTRLIRCRNPAISPTAVMDQKCTARKELRRTKILARVLA NGRPELNNIILFYVISI ANIA_06994 MVAAQSSASVETLLEFCTESARPDLIDFKPSLVFVFSFLWPEDV TIGLQENAFPTANVDVISAVFGKTNENQPIVKIIRPGPMADRCAKLAMKKKNIARLRV LSVPYCEILIKIVPKETTDAGTNNDSVGCKRDALKVYGNK ANIA_06993 MPQKVYVTYNQVHKLCQSSAEQILNTFHPNLMIAIGGGGYVPAR ILRSFLKRPGEPNIPIQAIGLSLYEDLGRGDPEEVPGTKVTRTQWLDLSSLEMANLIG KNILIVDEVDDTRTTLEYAVRELQKDVELAQKQLGREGEKTNFFVFVLHNKNKSKKGQ LPTDMMESGRYHAAVTTDDVWICYPWEAKDIDEHDALAKANPLV ANIA_06992 MTRAQQTLSVLLLVSSFYLSLYLGLIPLNETIQQEIIPVLPFYA LISFGCYLLGRLGLAIFTFHDVPEAHKELQGEIEQAKAELRRANVDVD ANIA_10882 MSSSNDANNLDTQAGNIEGLHFPSLEEILQTQRPAPEPPLAETL PLEEQEALLYSVDDALKRANEGDSAAFEGMLDALSKLWHCQSQFLLRATEALANGSRN PSLRLVYGRTGVLDFFLQLISSKEIAESSLILHSLRLIGNSCADTDENRATVVNYIPA ILQYLLQPELRQVIIPVVYNLCIDYEPAQSQLAANKIVYILLTLVKDDAFQGNDALID HVYELIELVGEQEQGVENSPDGTISLLLAMTAAEPAQFCILANCTAAYITNTRFQDIC ISRRMVSDILSMLTRSISFDTAGSDDTQAIAQSRLKINQALAELSASPRFAMSYPLNS SLSQTLRSWLNSPEDQLQICACVMLGNLARSDEICVAMVKEQKIHEELIAVLNSNARG AALHSALGFLKNLAIASDNRIIIGKAGIVPAIARLWAYETIPQVQLSATSITRQLVNS SVENISRLLEPAEGEEAQSYLSLLLALFKRTDSIPIKTEMGRIAAAICRTLIPRYKAA GDCVLESLFTHKDIALPLGAMVTQTQWPVVRSEGWFALALMASTKAGSDAVVNCLQNI DGFSLIEQTLGAAEPPETEADKVQWGKDRDNIIILVQELLKDEVSLLTANYTQADRYP QADTVDASWKITMQGLMRRHVSKYLKQGN ANIA_10875 MSDDSDKRKSVLITGCAPGGIGNALAREFYRNGLRVFATARNAS QLEDLEAIGIETLSLTVDDEDSVQLCFAEVERRLGHKGLDYLVNNAGRNYTVPATEVD LTEVRATFEVNFFSVVYICKTFVPLLIKAKGTIVQIGSVAGIIPYVFGSIYNASKAAL HSYSDTLRVELAPYGVNVTTVVTGGVQSRIARTQRTLAPNSIYAPIEDQYTRRVTHSQ DGAMPHSAYAKSVVGQVLYGSAPWRWLWPWAKGRKSWIWEGNKSWIIWLFMGGWAWSG LAHAVMTRMFGLNKLRKILGN ANIA_10879 MGWFWADSQPQLPARNHPASSDASPPATCPMHASPPKSETSSAC PVRSSDSPFFVPPKSSAQPPTAPDTKQSTLSKLNPLNYMFASLSQERAPNQTVDLGVE REVSSIPRGDSEGNWEYPSPQQMYNAMLRKGYTDTPQDAVEAMVAVHNFLNEGAWSEI VNWERIFSKGWANAWEKCRRGEENIPLELAKEEYYGIATTTPPRLVRFQGRPQDLTPK AQFFQILGRLYPAKFETKPPFDRHDWYVMRETPSGPKEIRYVIDYYSGPPEPTGEPVF YLDIRPALDSPTAAAERLLRWGSDVWYRASGGAVRDNDKK ANIA_10874 MATKAAYKRLTREYQNIQKNPPPFIIAHPSESNILEWHYILTGP PGTPYENGQYWGTLMFPPEYPFAPPAIRMHTPSGRFQPSSRLCLSISDFHPKSFNPAW EVSTILIGLLSFMTSEEMTTGSVSATEAERRVLAARSRWWNSTGGGTHISATPGVTPT SRGINNVKAGDGGLKFRTEWPELDQENWKWLRENRIDTATGQLRPDPNASSSKCSPET SALRRRPNGSAPGIGAVMDGGNAAREVGQTWLQRNKIWVGLGLLFGYALIARLVQDVQ G ANIA_10873 MASPLSAEDGEIFERLQQTADPKVLEEQQQAVNERLHAIYQKAQ DRLGELIDQNSTLPCVISSVQVLNAKNTRRGFLEQILNPLLSQHRKQTYTLSEALREI SVRADKLNRFDIFQQPVSVYLDQTPASETQTGLTNISVYYSVKEKSRVLLKTGTDLGN AEGSAYGNLLWRNVFGGAENLNFNASLGTRTRSAYQAAFDTPIFSDPDFRLELGGIAS STQKTWASHEEVLKGGWSKLRWLSRSGQRHEIGYSGFWRQVTGLADNASPTVRANAGD SVKSSVFHSWTTDRRDNPFLPSRGYYAKAFNELAGWGPLKGDVAFWKSEIETQSAIPI PIPGVKGDSGVSFTTGFRAGLLYPLSLDSDSRPRLSRLNDRFQLGGPTDVRGFRLSGL GPRDGTDAVGGDVYAAGSANLLFPVPRVGAEKPLRLQAFVNGGRLLPLRNAQKAAPSS SSEVKDAMKFTLSEIGNGLPSIAAGVGLVYAHPAARFELNISLPLVLRKGEEGRKGLQ LGIGINFL ANIA_10878 MAQYYPQQPYGAQSSAQNLQFYPSSYSSVSGHTTPSQASYGGFG APPNTTAQGYPVGGAGYGGFGSPSGGVSGRMGEQGGLRTGWLAAFGTEGYDGEPPLLE ELGVNFEHIRTKTLTVLNPFARIDQHLMDDSDLYGALLYIVLYGTFLLLSGKVFYGYI YGVAVFGTVALHLILSLMSPVDPTSPTQPPNAADPSGNYDPHAKPGSSGHFSATLTFP RSASVLGYCFLPLVLTSLLGILVPMDTMFGYLLTTAAVGWCTYSASGMFCAVARMSGM RGLVAYPLALFYVVFGIMGLFSSTGSGTLAAKPEAA ANIA_06988 MALDNYYRNKIESMKLEIIQGQAVLRRLEAQRNDYNSRVRLLRE ELGLLQQPGSYVGEVVKVMSTKKVLVKVHPEGKYVVDIADGVDIGKLTVGKRVALLSD SYKLEKMLPSSVDPLVSLMMVEKVPDSTYDMIGGLDQQIKEIKEVIELGLKHPELFES LGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCRFIRVSGSELVQKYIGEGSRMVRELF VMAREHAPSIIFMDEIDSIGSSRIDSAGSGDSEVQRTMLELLNQLDGFEPTKNIKIIM ATNRLDILDPALLRPGRIDRKIEFPPPSVEARADILRIHSRSMNLTRGINLTKIAEKM NGCSGAELKGVCTEAGMYALRERRVHVTQEDFDLATAKILNKHDDKEVAVSKLFK ANIA_10872 MSEALWSVLVTSIDPDNKKNMSAVLQSTINLLETELVKARAALQ EIQPNAGLMINRGEELAVGAVAAYRQNLISFAPDFFYGARRLTPKELGLVPVVREIEL SDEEETVKERPEPVVERKPTSIEDVLGNGLILDHLVPYLTPGTLLALSATSRFVRSLI METPYVFRHLDLSHCRGARLTDQPPIDRGGQTWRAERIDESLTEDEFYSGPLRGIFAN LERSSIMSDVRTLILDGLPVPADLVSDLVLSDRFNLSLLSIRECRHLNERKLMQVLNY AVRPTRPAGTPRIKGIYYFTPTDRPRAAVRSKYKDWWSSQCVSQRTLGDVPPTQNQKE KQRQNVWYNSSGKLLKHRIEEGWAETLQKCEGIIAFDAVLCRGPRHNVDLCATVSPST EDAQPGKRLLGPAIATIALGPMGCDGCHTSEEGPAIWGQSPAEHFPLLTPPPFHSSSL AAAKRPALVFGEHPVLIARCADCLADRWCHRCNKWFCNSCLPRPERVRSKLSPHQTAV RGPRAGNEGFGPGVSKDCWECGPTCAACKLDCQRNCQNCQGDYCIEHNEGCSSTMCDW CNTSTRHRMRQLY ANIA_10877 MAREQDQLRWSSQFTVTPPQYTQKLSGDRIILPQSALEQLLAAA PLQEISSQRPLRPYTSSFGLFSPRTVAAESHTREHGVHRQQQLPHPLTFRLVNTQNSR VIYAGIREFSARENEIGLSASLREALDISQEGGDADAPIVTVHAEQLPKGTYVRLRPL EAGYDTDDWKALLERHLRSNYTTLTTGETLTVPRGQEESFKFLVDKVEPQGEGICVVD TDLEVDIVALTEEQARETLHKRLARASRASKTDGGTSAGGVLELGAEVTGQVLPGQYV DYELRKWEAGSTIEINLVGEDDADVTLLASPLSARQRNRPRMDEHVFGELSSQTQKQI SIAPTNAELDNAEALYISVHAFATADEQQASAKSYQLRLVSATSTVDHGSSESDAHDA DDVLCKNCQRWVSSRTFVLHENFCFRNNVLCTHCHEVFQKRSPEWQNHWHCPYDASFG HDLASQHKHDLIFHTRRSCRACGFEAEGLQHLAQHRTTVCPAKPILCSFCHLEVPQQG ESDPDMHDPEVLVSGLTPHELVDGGRTNECHLCNKIVRLRDMKTHLRHHDLDRLSRPA PQICLNQNCGRTLDGRGVQSTIKPGNDTIGLCSICFGPLYVDTYDPEGKVLHRRIERR YLSQLMKGCGKSWCQNEYCKTGKQNCHQLSDSAASMSAAAILSLVRPLINAVDLQGKG PHIAPFYFCTDQAGQQRRNIAEMLAAENHGTAGEKIYDLAWCVAAVEAAGSDLGKARE WLENWAPARGERLSYN ANIA_06986 MADKQGDTQFSASSNSSSRRASAVSGEDTRMSSGTVRQSRMTAQ TRTYGTLDSSERTTVDSQFVEGQPESSSRAPLPASPSMGPLRSDGDKLRKPPITRRMS SKRHVPHKGQEFSTDDDVHEIEEDIALQASNPQPSPRIRPLRKQSSTLRRRLNARVNP FSSSGNADYDDEDASYDDAGLPESSLGMNGKDSFPQDLDDDNDSEGSNNQEADNDDDA SDAESFTLKDRQQAINETHPFGIRLWKPALYKKSRSVEKTAEGDIHSSPGGRVGTLLF LMNLLWTLFFGWWLAIAALMGASACFIFSYSASAVEYGKAFSGLSWYLFYPFGSFVRL DTDEHYAEEDEGEGRSISEYEQWQNGDIEHGGLFFGPRRNRSLVGRRRNSVDSAGEQD SLLGRAPRGRSEDSSLRPKRRLFGRGEWTLGRVVFFVFFYFLVGPLMLFVSLVCWLFV FWIPMGRVTLILFDHLRRHPLALSYHSDTTFTRISPGSSASVLLCTYRAAGLRYWKYT VGGTNVFLINLLAVVIFVVFDYFFLREALGLQIWVTHPGLIFTLALLSVIPLAYFIGQ AVASISAQSSMGMGAAVNAFFSTVVEVYLYCVALTEGKGRLVEGSIIGSIFAGILFLP GLSMCFGAIKRKTQRFNVKSAGVTSTMLLFAVIAAFGPTLFYQVYGSHELNCHACESD MEPGSSDCRRCYFSQVPAINDGFFQKAVQPYSWIAAIFLFLSYVIGLWFTLRTHAALI WATEMDEKKTTTLPQEQSTDDPSHEFFSAAHLAAPSGNTGTRSSVRDSQLYKRILGQS LRDVGLTENVGEYDANQPESGPSRCNNTTPYLVPQKEEDNHSAFGEYAGLSKQQNETI VRKVTEVAATAATVAARDVARTRKLSGPRGTGRPAAKTASSKQVKTIPEDHDDVGLEQ SHTTGGHDAPNWSRTKSSVILLVATIFYAVIAEILVNTVDVVLESVDVDEKFLGITLF ALVPNTTEFLNAISFAMNGNIALSMEIGSAYALQVCLLQIPALVLFSAFYARVLDPEH LITHSFSLIFAQWDMITVILCVFLLSYVYGEGKSNYFKGSILVLTYLVVVIGFFLSGY SNMDTMGVDRFNTLALNIESRPEKFYTIGRSKSGVAYQRVH ANIA_06985 MPKETLLDWPPRILGSGSLRPDTMNNQQLIFGAASVLQFSGLST SITSTLRQCVESGPNFDTDRNVILWLDHRPVEEAEKINATNHNLLRYVGGKMSVEMEI PKVLWLKNNMPKELFADCKFYDLADALTHIATGNEKRSYCSVICKQGFVPVGVDGSVK GWQEDFLVQIGLKDLTEDNFKRMGGVDGVNGDYLSAGELVGKLCEKAAADLGLPAGIA IGSGVIDAYAGWIGTVGAKVELESEQLTSDAAKNDITQAFSRLAAVAGTSTCHLAMSP EPVFVPGVWGPYRDTIIPGFWMAEGGQSATGELLKHVIETHPAYNQALSVAESYNTNI YEYLNEHLREMMTEQKAPSISYMARHIFFYGDLWGNRSPIADPNMAGSIIGLTSDQSV DGLAIYYYATLEFIALQTRQIVETMNKSGHSIASIFMSGSQCQNDILVKLIASACGMP VLIPRYIHAAVAIGAAMLGAKAASADPEGNTEDLWSIMDRMSKPGRRIVPTDNENEKA LLEVKYKVFLEQCYQQKQYRALVDEAVNSWKLS ANIA_06984 MQSSLSFKPFCILAFLIFLSSPVLSSYSPQLEILYWPVSNPEPS ALAHVSYDPASLKSELIDYSPPIDPSHELARVGFYITTPTNPKQWVGTLIPPSALTGA DSSQIPTLRLHVSPTNEIYHVSIGSTAASSGSSSKSIEVELIADKLGPSPLLNRPIVV GPDGKNSEEQPEKTLFQKYWWVFLIVTFLAMSGGAEQQ ANIA_06983 MLLVLPPELIQVVLLNCTTPAFLQAAISCRTLYEIASSCREALV HHLKRTPGPFLDTSNLRTRHLFLLLKRRASKQLYGSQYDASCITFKFGNRVPNTKAAS LAHCAGETLIFATCRDEDVVQILRVHAGKIMLLSRARLPWGEWGSIDILKTASDGSNG LYVLHRFTPFKEGGHGQDWDHPFVKQARQSGCEGYIYLTCYSLKSPNGPVKMTTFPEH ADFEPSAFTVASDGTFAIAWCHRMFSNHAVVHYTVLEGSEYEITSNLVGFSYFSRQLR KWHGENTRGPMVRDMVFNDKSSQLLYYYQAKTVYASFQTLGHTNSPTLYENATSVQFV NGLSLLFSIGVPFFGTHETLNRDGFVICRWMYLSLGIATHRKENWTVACLLRSEASCQ ASNCRHILNLDRGRRLRDWEVVARLYGYQDSKATLGCKVATSPKGTRIAVTNWNLLYI WALEPGALIKLDSESYYHPSWRSSSTGHIELRPIILRLHAVCFDLRFTEEDELVAITD LGIKLWNLTSADGIRTCQELAI ANIA_06982 MSAMTGPRSPTSPWPQEPQSLALPGPSSPAALFPLSDSRPSFNR FSPRGTIPRGTAAELPDNYFSFNTESSNNFKGKGHFSHALPNHSPEGRYISEKDLTDQ QPPSIGTGSGKTACIPDPAVYRLSLNRQAGDSTSSGTTKFVSPPVLGSSVRGNIKGAL NLCIPTTLLKRPSFDTKKLANTFANEADRKNFGRWRQCRYIIVYDAATSNMKDAAPLV NVVKKFTAEGWNGDGMILMGGFKAFSARFPELTQQPQQQHQPALDARSKKTSSMHIDL PQSAPVAGGCNIPESSNAAIPFFGNIRQHMDLLGGVGQIPLKHSEHLPAPQRQSLPPW LREVSDPADQGRLAASRFFDIEKTELERMKQAFSYDQDNNAGSDRPSSPRYRVAGIEK GAKNRYNDIYPYDHSRVKLHDIPNGGCDYVNASYLKAEYSNQHYIATQAPMPDTFNDF WRVIWEQDIRLVVSLTAEVERGQVKCHPYWKTGTYGQFHVNNFSKKYVPMVPTDSQTV DSVVERSSTDSSDNPTLIVRHFGLSHSGFPFEPLREVTQIQYADWPDFGTTSQPRHLL SLIEQCDKVRNVAAKAAPGNPNRPVLVHCSAGCGRTGTFCTVDSVLDVLKRQRAQPGG QHDGRPFDQLLGGGQLDLVAKTLSDFRTQRPSVVQNLSQFVLCYESILEWAVAQMPRE SPG ANIA_10881 MDESRVSEFMFSAKAMSSLLALSELAAWLAITYIAAAGQVWASA LLDLARYRLHGYRENFGQHTREYNDRHGLVLSHPFVQYTMANSSSIMVFACSGVPTAS DPYVAYAALLRNYIILT ANIA_10871 MPAFPSKTYRRATTASSTLGEKLGEAYRARLPRHPFLLFGLPFI MVIVAGSFVLTPATALRYERYDRKVKQLSQEEAMDLGLKGPDGEEGIKRNPRRRIIGD DREEYYRLMAKDLDSWEQKRVQRFKGEPDGRL ANIA_06980 MSFTTSSIFGTGGLGGFGASAQPSQPPQPSSIFSPSNPTSIFGN PSTTQAPSVNSILGGQPQTQQPAQSSILGLGQPAPGTAQPANISSQAAQPAFFNSLLE RGKKRPLSTVTENGNFEELPNLQLGLDDIRRKARGLGTGSLRDAHIPSSKAHYLLAAS GVSPGHALRDLKALEPQTSISLPSKELESFDPDNQKYLRTIQQRGRQAMVAESLARAQ RDFDLFMEEKVDLDWEEQRHKIFKHFGLSQKDEPAENAGPSFGRSTRPSNQFGPAATH GVSRRSVFGRSGFEKSVIGTPGTGKSSHQLFDDPMERTDGPNTQSLDLRFLREKMGYY ADKVQSLNSARLQARSFPIFHEFSEVEKHAGGDVPHQLYDAYRALINIVGESADIRDV SDPGAIKERQFMEEYLDEAPKSQQAINLRKRVVEGSRKFLENSFYTEIEGAIAKNPRE AQLGGIPTVINKVRAYIRLRESRRDLAPDGTELQMVGDDYCWILIFYLLRCGFITEAA EYVARDPGFRSLDHKFVTYMTTYAQNKRLPRDMQQRINGEYQQRSRNAPDNTIDPYRM ACYKIIGRCDLGRRRLDGINQSVEDWMWLQFSLAREDDRAEEVAGEVFGLEDIQTDIT EIGQRVFGKGQEGPGGYGTFFLLQILGGMFEQAVSYLGSYAPVSAVHFAIALAYYGLL RVSDFYTSGEEILSFTVKQYPQINFGYLLTQYTKEFRTAYVEAAIDYFCLLCLNADLP GALGKSQASVCHEALREYILETRDFAKLLGDIRSDGFRIKGLIEQRISLIKLVDQEEF LKTITVQAAVVAEDKGLITDSVLLYHLAEDYDRVIDIINRTLSDSVATPLGSPTLRLQ PLWPRTNLSQESGQETPIEPGTSLSLTVVEDPVVLAKNMIGLYNQNALYYQRIRRSNR DACGVLLRMMEAKAEVEAGKWTAALDTINELGILPLRANGSVPYIRSAAQAFSSLSSL ISGNIGHVIIWSITCIGRERERLNTGPYENEMRQGLAEELLVMAKDLMIFSGMVKYKL PPRVYETLARAGADIGAF ANIA_06979 MDHGVSGVSDDNSTLTDTANDATQEAPISLTEIDEQAQPRSSSL YRPGGDITPSSDPSPTTTEDRSNLINEVTGKSQDLTGYATPNAVQLDESGTYSLIDNP PNLARIRQIMFECKEPIEISLQEFETYWPFIDNVYVKQRSNSSKEGHCTTDYLSCRLR RKQGTNRSSGTRLLPEGKRPRKRKIREGGLCNFQIKVIRFEGAYSTVTIAKTPGSSHE HSHDLLYIDKIKRPSGLMEFVRREASKGYLPSSIYMKFREEPEKLIEAGGRFLQITDV RNASAHWRLQNPEVDLVPHEGYEYQKGRGIVRARNIAEKNNTTADRAVPRASTLPPLP PDTLPFPQFQLDFLEPYLPKSDERRELPHVTLSYASSMDSKISLLPGMQTVLSGPEAK LMTHYLRSRHDAILIGVGTVLADNPGLNCRLQGAGGFGGLGRMWQPRPVIIDPTGRWS PGADSRLLRTAVEGKGRAPWVVVSPGAQIHPSKLLMLKGYGGEFLRIMEYHQNWRLRW ESIFRALASEGIKSVMIEGGGRVLSELLNPEYAEFIDSLVVTLAPTYLGSGGVGVSPD SKSDAQGKPNAALNPRDVKWVPLGQNVIMCGKIKSDSTSTVSS ANIA_06978 MPPKGASKPAARATTTSKKKTATTASTTKNSRGRAAATTKTTVK STATRKTTKADEVGATKKTAAPAKKRRADAEDAETSRSTKRARVVKPAAAKPRPKVVI NNAPTAKLNVYVCGEGSSGELGLGVGKNVIDVKRPRLNPHLLPDDVGVVQVAVGGMHC VALTHDNKVLTWGVNDQGALGRDTTWEGGYKDMDNRDSDSDSDSDSDDNPDLNPHECT PTAIPSSAFPHGTVIVEVAAGDSSSFALTDEGQVYGWGTFRSNDGILGFDAKTKVQTT PKLLPDLKKIKHLVCGDNHVLALNDKGAVLSWGSGQQNQLGRRIIERNKLNGLQPREF GLPKGIVHIGAGAFHSFAVHQSGKVFAWGLNSFGETGIRENAGDSEAAIVHPTVVDSL SKKNVTQICGGAHHSIAATQDGECLVWGRLDGYQTGLKIDTLPDDAVIKDERDRPRIL IEPTAVPGIKAKAVAAGSDHSIAIDTSGRPWSWGFSATYQTGQGTQDDVEVATVIENT AVRGKSLNWAGGGGQFSVFTEPVEL ANIA_06977 MASETNSAAVAAQSADCVDDLFDYEVGLDDILQETNASTSSGNV PKQSIQPDNSGVFLGLDEEVEVAKRRQPVAKLDENRLLTQAGIPKLRRSAKKSLRFKG KGHEFSDLARLLNFYQLWLDDLFPRAKFVDGLAMIERLGHSKRLQTMRRAWIDEEKPK AAVEDTLRTEQTNSNDKDITSNDTLMANHRQSIPSDSSRLTGDLVDTDEDLFLPDSIN HLQSVSKNHAQPDDNDEFDELDALLRERGDEPGIGNLTSTGGTGNGYCSPVDDDFAEL DALLGEHEGEHDLDKFDPERVEERHNGN ANIA_06976 MAGVNNSTVVPPKRALTDYPLIDSDPHVRRVFGYARPSDYAVGA GAAAASPLAFWLMERVSPSHVGRGGFSPVMRLATAIGLIGGVHVLYQRSCNRFHGFTE NSREVEMDMREMVDKVKKGEPLYGASQVSPHLQGVAARNSRYANLFIQVIPWFNLVNH EHHGVDTAKYYQQAERELEAERLSKLSSS ANIA_06975 MGMSDWASVEPVSSTLAAQLAPRLSSQGSQIHHLTKEAFAQLRQ ELLEGKFSHLSLDDSATDVSRLVCIVLKAGLEPCMNDEKPDEADLNGQLLDCLDIIKT AVSKAPHTLTKVPDPEILGEKACAPLYVWLAVRLLHLSSVRCNEIVKEKITGIFSIIV CAQYKHTRLWSTCHVIPTFLRACVTEVILILERLCACGRWDASQFKLSFPLPGGPLSV GLGRISLPRNLFRKGFKIGSTERAFNLILALLESFVVPESSPTSVPDKASIVRQNLAW ILNGYERLWNVLSSWLRSPSLDNLKSKVELCSHFLTSIRPYCFYGSSFATEIAYDVPR MLGDILSLNYLDHYPSLQLNTSQFIDDLCLPDNCTEGRVKCLRGLLSPLSDTLKKATF ESLEPHLQAAVRNAFTKAMRVVSTVESATLLTNHAVGTMPDSLSCSQGLLAINQEVEG DEFMRASKRYCRPQPRNGQDGSNTTQQLISKSLKILRFEGSPDLQTLKLAVIKSFPTI SDQNKCEVLDNLSRLPCAFGGSSTTTVQGDLLRKQLCRMCDEECQDSDLFKGQTDESD ELCLILTFVVPKISRSSNIRVITMATMKRILMHTLSSTHLQLSNSIFGDFILNSLRSS LRELRVLAGQSIVCFVRHCLDHETRRRNFVVILNWLKNLTEEQETALHETCIMSLCRL ARFSNDEEMNIILLRLVEYLGHPNPFLCGVAYTEISKLAQHLVMSPAGLFRPFWRTLS VTVVKNLQSRPYMAEQLCDLLGMTVDDFLRLTEVYVLPHLVLWRKREVIARIGCTYKD AKTPFDICSEKDNLAAILAFLLCQPSSEPQKMIMSTLSAVDPAFNGRTLAELVRIEPI LIACDLLKGLGDSEDEKGAKFQQALRILASLVPRKSAYASKKSNLVGHFIEEHVLGII TQFAHAINDFQIRQPLVEKKRNIMAIGAMIKVAPGHVSSALPQICACLRSALEIKELC NNAFRVWGVLVSSLHKEEVEPLLDQTLAIVIKHWVTFTEDTRKFAYELVEHILESHQE LLRDIFGIMPSLASIPVLSRFEASINELKGTLDVRSHFMAFARRCLSENATVVEQALT ELVSYLERHEEFVHRSVLSEQPDPAVAHLVRSLLDCCVKFNITSESITLLCARCLGHI GCLDPNRVDTIKEKKGILVLSNFDKMEETFDFVLFFFQHVLVDAFLSASNTRAQGFLA YAMQNLLMFCNLNSAVTQRSRGVQADEKYQRWLELPETVRNTLTPFLTSRYTVTIKAA SSNCTFPLFAPGMSHADWLRAFVQGLLQTGNGDNAKTVFSICSRIIKGQDISIASFLF PFAVLHRVVGGTEKEKDELQGELMNILAHPLPENKNDVHEAVLLCSQSVFEVLDYLSR WVQGKKKQLNNLKNRGYHRASKENERDLLVESYTAQVKAVECLLSSIPPEVISKRAVE CKSFSRALFHWEQYIRQYKTRPKTQQYTSLESLYEHLQGIYSQIDEPDGIEGISTHLH VLNIDQQVLEHRKAGRWATAQSWYELQLEREPDNLDAQWNLFTCLKESGQQDAILTRF EILQNTSSVPRFLPFAVEASWMTGKWEKMHNYLELCPQQATADFNIGIGLALDAFRRG EPQQFREIVDKLRLSVARSLTANSVTSLQSCHDSMLKLHALTEIESVVLAGGADGSQG SRSCLRDALDRRLDVLGGYISDKQYLLGLRRAAMELAGSFADSDIAAAWLTSARLLRR GNFGNQAYQSMLNAAHLKDRSATIEHARLLWKDGHHRKAIQILEGAIAANEFAAPALS SNNPNRQYGFSNHEKQQNLLAARAHLLLAKWTDRAGQTQSDIIVQRYREAIKLHNRWE KAHYYLGKHYNKILDSEKSKPLGKEAQIYLSGEASKLVVDNYLRSLAHGNKYVFQSLP KVLTLWLEHASTVEQPLDPKRGDNTDFQAHTLNQRRKSLDDMHSQLRKYVNRMPVALL FTILPQVVARICHPNPTVYNLLTKIVAKVVNAFPQQGLWTVLAVAKSSSADRASRGLT CLDKITDISKRLKTESTTDIRGMINQGQKFSDELLKLCVAKIENKTSRINLARNLNFN HKVAPCRLVVPFQTMLTPTLPASHDAEYLKGFRAFPRDPTTIEAVLDDAQILNSLQKP RKISIRGSDGRIYNILCKPKDDLRKDQRLMEFNNMINRFLKKDVESSKRRMYIKTYAV TPLNEECGLIEWVDNLRTLRDLVTRALKERGITPNYDEIRHYLNEACSDPSKVSIFTD KVLATFPPVLHEWFVEMFPETGAWFAARLRYTRSCAVMSMVGYVLGLGDRHGENILFE EGTGGILHVDFNCLFDKGLTFDKPELVPFRLTQNMIDAFGAYGYNGPFRKTCELSLDL LRQNEDALMTILETFLHDPTTDFIGKKRRTHANVPDTPAGVLENVRNKLRGLLPGESV PLSVDGHVDELIIQATDERNLAAMYIGWCAFF ANIA_06974 MAGTRNYDFLIKLLLIGDSGVGKSCCLLRFSEDSFTPSFITTIG IDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFQNIR TWFSNVEQHASEGVHKILIGNKCDWEEKRAVTTEQGQQLADELGIPFLEVSAKNNINI EKAFYNLASDIKKGMDSSKPEQTGSQGVSIDNQASGLSGNAGGKCC ANIA_06973 MNFMNSTFSSTTPETYWDHYDDISAHSSHLNVAERLWAAWYAWM QNDVLATGIMSFVMHELVYFGRSLPWILIDTLGFFKNYKIQSSKIPSLREQWDCAKFV LLSHFTVELPQIWLFHPMAQFFGLSTSVPFPSFWTMAYQIAIFFVMEDTWHYFSHRAL HWGPLYKAIHKIHHQYSAPFGMAAEYASPIEVMILGFGTVGCPIVWCAATGDLHIFTM YVWIVLRLFQAIDAHSGYEFPWSLHHFLPFWAGADHHDLHHEKFVGNYSSSFRWWDYV LDTEYSPEAIRRRRDHKAVGGAKKTE ANIA_11562 MYEVRQPRCNGTYLDGYSYLDVPYVQGIAKEEMRKKDCCCEYLS NLAGQGS ANIA_07422 MIYLNYGHRLPLKPFIEKTREEPPHKISKLAINAETDEDRYDTS TRVVCYSCGRDDVGEPSGKLQSVIEGVMHATTFSKREEIKAWEQEFIPCEHTTGLIQQ ESKRIKSQGCGRSQFGGTGGNSHGLAHFNATSHAVAVKLGSITADGSADIYCYKCNEE RIDPDLATHLYHWGIDLAGREKTEKSLMEMQVEQNLKWDFLMTTEDGQDLTPVFGAGL TGLSNLGNSCYLSSVVQCLFDLPEFQRRYYHPNEDPPLAETPAADFETQLRKLADGTL SGRYSRPDDKTVASPKPQEIRHQKGLAPSMFKHLIGRDHPEFSTMRQQDAFEFLLHVF KHVTLSKHPVGMDNPIDSFKFSIEQRLQCLKCRGVRYRLDEQDNISIPVPARRVPDSE GSNSDGSFESVTLYDCLDAFTADETVDLRCAHCNSQEKFSKRSAFRTMPAELVINARR FELINWVPTKLDIPVEVEDAPIDLSCYLSTGPGEGEDLLPEDEETGGFEVNMDTLNQL LAMGFPRVRCEKALYSTGNSDAEAAMNWLLSHMDDPDIDEPVHKKRVSEFGANEQDLA KIAQLGDMGIDDSRARKALTATGGDINRAIDWVFSHPGDEDESSVHEPPSGNADTSVV PGFATSPATYQLRSIICHKGASVHAGHYVAFVRKHLPGKSGLSWVMFNDEKVVEVDDV QEMKKFAYLYFFSRV ANIA_07423 MASQASHVSVGALSGIFDATKPQISEPIVQCVQVKPLPPQANNQ ERYRVVFSDISNYVQTMLAIQANHFVTDGLLRKGCFVRLKQFQANSVKGKKILIVLDL EVLKELGEAEKLGEPKPLESKAEEEEKPQPTTISSNGFYGAKAQNAPSQISSRAQHTR PMQGSAPATIYPIEAISPYAHKWTIKARCTSKSPIKTFHGRSGDGTLFSVNLLDDSGE IRATGFNEQCSALYDLFQEGEVYYISSPCRVQIAKKQFTNLNNDYELTFERDTLVEKA EEQNDVPQVRFNFTTIGDLQSVEKDTTIDVIGVLKDVGETTQIVSKTTKKPYDKRELT LVDNTGFSVRLTIWGTTAMNFAASPESVVAFKGVKVSDFGGKSLSLLSSGSVTVDPDI EEAHRLKGWYDAQGRNENFASHASSVGTMSATKRDQLKTIAQVREEQLGMSEEPSYFT LRATVVYIKQDNLCYPACLSEGCNKKVTEVDPGQWRCERCDKTHPRAEYRYIMLVNVS DHTGQLYLNCFDDVGRLLLGMSANQLMELPQNDGKALGNVIQNATCRTWTFGCRAKID HYGDQQRIRYQVSYAKPVNYSEEASRLADIIDSYSIS ANIA_07424 MAKREHQDQTGDSRPSKKSKGTKDTKKNTEVSPPYFQSPALDNV PQTEIDKFLSDHSIKITDASADKPSLRPIISFSFLPPSNKDLYAPLDGFASPTAIQSA TWPLLFAGRDVIGIAETGSGKTLAFGLPCLKKVLDLKTKQKSCRPLAVVISPTRELAM QIYDQLVKFAEKVDIQVACIFGGVKKDEQREALKSAAVVVATPGRLKDLQNDGSLDLG RVKYLVLDEADRMLDKGFEQDIKDIISPMPVSKRQTVMFTATWPPIVRNLASTFMTSP VTVTIGGDPSADPRANSRIKQVVEVVKPHEKEQRLVQILNRHQRGTPDKVLAFCLYKK EAMRVERLLRTKGFKVAGIHGDLSQQERFRSLEAFKSGAATVLVATDVAARGLDIPHV KLVVNVTFPLTVEDYVHRIGR ANIA_07425 MSYLSIGMGIITATAFAVFYMRFVPVEVSETPYYHMSVAITVIA SLLELASEPIFAIIQQYMLYSKRATVEISAAFSKSLVTCGTFIWAVQNGHTLGVLPFA LGHLSHALIIFCGYFIVALRQSNSFPFSFLLSRISPRFSRRLVTLSANVFFQSVVKHL LTQGDSMILATMAGLQDQGIYALASNYGGLLARVFFQPIEESSRLIFSSLLSSGESKD LVANVTIAKDHLLNVMRGYMMLAVLITPLGPTLAPKALHILGGRRWTAPEAKPLSPRL QIQWNSEGKLRGWECFRHALP ANIA_07426 MGVVTLFSVLSPYVLPVVRNRNLWAAFSLIAVLLFTSGHMFNHI RKVPYVVGDGRGGINYFAGGFSNQFGMETQIVAAISLAMKVPRIADNKSQQVAVVIWG AVLLGTYSFLLSVFRAKNDYEETGL ANIA_10971 MNFLANDLEHTLEDDYRMALTTAHLEKEFYHVHAHTVQVLETER ARVQRMEQLLLRIENENLQLQLNQAGLDLNQAKEAESGIRLELDRAIRELDLLQHVAH ASSREIDNLRHELASLSAIASDTQKLQAEKVRLTKEVSSIRSEVDELRSQNTSANALL AENQAITRQLNATKIQLENEKRAHERTLAKQAQQKEDVGALTTKLEVARQELELARRH GHHNTRQKNSTPPVSGENRGADKNAVVLKDRHLEDTPVQQQEEWGTTTTIKVPTERAA ESYPRNFTTRLHPELTIATPGAVCAQTQQKPFSTLPGDKSSFSITPFLNRTTELDDSS MSSDDELNEASNTGKDGHGANTITSPKQLKSPIPKQPSKLAKQAPAKAAVKDDTRNGQ KQMIPDSPDVDRSNQSSLSRPVGQKQAPSKKRKLGLQRDRNLFDEDEDDNTSQEIRKP GRKLVGRTVHLRLPVNIAHSPNFW ANIA_10940 MSIVGTGCLSYFFSRHSRGPLKAASLAMALFFHTLRLSDCVQPV YSIQKFLQKRFSSSKRWQARQLKDHYTREAAVQGLKSRAAFKLLQIDEKYRVFKSGQT VVDLGYAPGSWSQVRATSSVALTRTRPAGRVLGVDIIPSQPPKGVSTIQGNFLDPEVQ AYVRDFVRNPRRGRPYSQGALQKVEDHDRMVESVLEASCTGGDLGEDYNGAVDAQRTV DVVLSDMSAPWLQTTGFWKRSLSNPYRRMMNTSGVPFKDHAGSMDLCRAALEFSFEVL RAGGHFVCKFYQGAEDKILEKQLKVLFGKVHRLKPESSRSVWICLLTSGQYVVV ANIA_07428 MQYTPFASDIELPFYIALASLKINHDKLDDSARKVLGLYELRPS DAPNASCRIQIHGNALTSDEVPSTYYRAEGMIKNVNTIEEYAKADKMGMLQQSGETIW NAINNGTIYSCPSLLSAFVILSYADLKKYKFHYWFAFPALHSDPSWTPLEEGCEGAQA HRLPSVESSALARSVQEWARVVDAPQRGFFLARRVRMRDDDTVSWKIASLSSYEDGFF KHAEFADCFTCFVDPSNYEEAPGWMLRNLLVLVKRRWGLTKVQILRYRDGPSPRDCGR SIVVTLRLKTSQLPDGGVKDDRMPKVTGWERNPSGKLTGRIVDLTEQLDPKRLADQSV DLNLKLMKWRISPNLDLEKIKGTKCLLLGAGTLGSYVARNLMAWGVRKITFVDNGSVS FSNPVRQPLFNFADCLDGGAKKAYRASQALSEIYPGVESVGHVLAVPMAGHPVLDAEK TKADFEVLKGLIDAHDVIILLMDTRESRWLPTVMGKAAGKIVMNAALGFDTFVVMRHG VTNNEHPEEELGCYFCNDVVAPMNSQKDQTLDQQCTVTRPGVAAIASALLVELLVSLL QHPLGAAAGAPQTPNNTQNDHPLGVIPHQIRGFLSTFENVSVVGRSYKCCSACSRPVI DEYKKNGWNFVQKALNEVGYVEELSGLKEVQEMAEANLADIEWDEDLEPEEITPVMD ANIA_07429 MRERKSLWLVDYSVLNEHIFKSQPLSPLPLFPGFVPYSPAKTKE TMLIGLCGGICSGKHAVAEYLIQQQNFRRLEVTTSAPPQFASDPRLHFSDATNNTSGG EPSLVFENFGSLIDFATKRWQERWVTTNIGDASTVDRFLQRPFFLLVSVDAPVSLRWQ RFANRCRRRRLDPPSLEEFVLWNDKQLYEKDIGRAFLTDRAQVRLFNSSSSLEKLHAA LKALNLADEQRLRPNWDQYFMELASLAAQRSNCMKRRVGCVLVRERRVISTGYNGTPR HLKNCNEGGCMVQGAIAAKAEASACQHASVSTLKKMLC ANIA_07430 MPTVHLLDYVAGNVRSLVNAINKVGYEVEWVRSPSDLKDVEKLI LPGVGHFGHCLSQLSSGGYLQPIREHIASGKPFMGICVGLQSLFESSEEDPNIPGLGT IPARLRKFDAKTKSVPHIGWNSATDTRIDSTGGQTFYGLSPSSKYYYVHSYAAPYEPG ILEKDGWLVATASYGEEKFIGAIARDNIFATQFHPEKSGQAGLRTLRAFLDGAQLHSV TLEDSILTGEKNGLTRRIIACLDVRTNDVGDLVVTKGDQYDVREKDGADAGGQVRNLG KPVDMAKKYYEQGADEVTFLNITSFRNCPLADLPMLEILRRTSETVFVPLTIGGGIRD TVDTDGTHIPALDVASMYFKSGADKVSIGSDAVVAAEDYYAAGKVLSGKTAIETISKA YGNQAVVVSVDPKRVYVSQPEDTKHRTIETKFPNAAGQNFCWYQCTIKGGRETRDLDV CQLVQAVEAMGAGEILLNCIDKDGSNSGFDLELINHVKAAVKIPVIASSGAGMPKHFE EVFDQTTTDAALGAGMFHRGEYTVGEVKQYLEDRGFLVRRFEPDV ANIA_07431 MSSSVHFKFKSQKEPSRVTFDGTGISVFELKREIINQSRLGDGT DFELSIYNEDTGEEYDDDTSVIPRSTSVIARRLPASRPGKGGAARYVSGKMPVNARSV PRNEQSTSNRTVSNPTQPVSNGVQELHNAQTEEEKINALFNLQANQWREQQQEMANAT PVPFGRGRGKPVNVPDHPPPPGYLCYRCREKGHWIQACPTNNDPKFDGKYRVKRSTGI PRSLQTKVEKPESLLIDGSTEDLRNTGVMVNADGDFIITKPDQASWELYQEKVKATAA AAAEAAAAEHSKELQARGLECPIDKRMFLEPTQTPCCQKTYCNDCITNALIESDFVCP GCGTEGVLLDNLSANDEMLSKIKAYETEKADSKKEKEKQLTPTEVQPDNNTPVHISDT TERKGDSRSAPVDSKKRPAEDDPVTGTSEEPGSGSSNKKQRSQDAQSSTETSEPRTEN TSTTFQPLPFNPQMSFGMPGFMPGPGLPGMPFPDAAFGGEGMGFMNPMGLPAGPGFPP NMDHNWNPLNMLNFNPLSNGMYNNRPNGPFLNGFGAPNAYNGTGDQSMNMLAMPPISG PMAQNPGFGQGMGAGNFSNQQRTAFSTPFAREEDSPYFRQPVNPQRHQARNRRIRPSD YREL ANIA_07432 MASTTALRTGPEYSGIPEVPSGSKTANASPPLDTSLASTMGGSY PVNHSLEQKGEAAHTIPEECERLFCDALSAIFLGERLSRQELLGVDASLTQINNADGE HSRIQMWVEVLDYTTDAIYRGFVTDTNGERTLFVFLMETAVGHGLKSGLIALFELASV PAFGCSQIIACVPRSKDGSELESVRNLGWCGFSLTTLEQFGARNGNCLSNRWLFLSAE A ANIA_10967 MTNQIISKGFTGRHGQAYLVPVSRNLVTGAHTVSDIECVFCGSV LGWKYLNAEEQSQKYKVGKFILETSKITKTSAWDPACAANFTESTNRYFPTEGTSRSM YEAVEFDSQDEDECEDLFSGVWNPGLAVRRRSRVA ANIA_07433 MENTCDSPGLSSTSANTEVELQSESSDGTQGHGHPLPEHPIQQL QGAFIESIHEALHGETKDWVVDLDAQSRRRELLENAEYERLCGRKWRQRTDERYHPFW KLIAQMSFGLHLLVQGTAKSNAAVVNILQVHVDEMDGFISRTREDFLIIQLDLRTRIQ YLSLPLESLDHFDEMLADRSFRFAMIDYNAKIELAIERFTLAIGDSLRDLQKGREAVG ALWQYLGQSAKDNAPLSGSLTTIYNSMLANTEGWNSAFCRLRRTGHALQYAITQLGRA VTELQRRVGVASRREAASFVQPHRPISRATSFKGIFERVSVHQKTSSLVEKPLPCDPT LAKRSYSRPHTSVCGSGILTHQKSVPNLRVTPDPGNRGVKDQVPGRAKSVNGALPEGS DTGSVLPKFSKTISRRFPKAKLVTKADVEVAEDMPTRPSTGVSSTLKSFRKSRHGHCQ QLKQQEPTREALPPDRSKKPNTSNTMTKREAMREQLLQFFKSDRVLEAWEGVKESEKK IGQPLFKKDGLWSRFQARSPSAHSEDFATDPLDAQMQLEWLDEETKNLNTYSLKPRSG PGPRFHTISEHLGFYQQTSYKEKLQHDNADSSVVFEDDESIITALPAFPLPPVGHRLP EPYESPLNGTTVKKPVAQG ANIA_07434 MEITWLADSPQSRRRARALQACPTCRRRKKRCRHIVADTSNADI PETRRARPQIRTSGDSSAIAGAEKPRHFLALSTGISRVERFVGDLNPEAAIREKVDAP NGAFHRDRVGLWISNHGENPNRSSHATSVGATISEAQPVASLLHRRYTSALKACDRLP RSTSEPLASIYFSRVNHILPIVDHELFAGEYLNGAASVFLERAICLVAAKDKTASPHL RLTAGGALNTARKFCSEVYGGLVCAMEAGLEQDRVTRIRILALMSLHFEGYEGAEAAS MHLCQAIHQAQTLGLHLERPKLAPDDSLTTLFWCLWSLDKMHASIGGRPVLLADRDIG IRRHDSAARQSKSAFDAWFALSELLSKVISFYRPTADDTTGWETEYPSFEEIIGNSIQ GQIDFATLGILELYYHVISILSCRCRSSQHLSGSRPSRTRQGLAAVRINSLVASECAQ GLPPLPVIPYAVSLSMSVSYQELRSSTLITQFNRAKASLEACCNMLEELVSWCSAEAM ARLGRKALRQIDGISFATDMLSVSDNGGGKNPNRTTASAADLGISKASMPSSSSFDTH QPSNDNLLAVTDPEQHVIPLVDEQQAYLQGPTSGDGFADIDMLFDDFLDLSLPTNFWD PIFVSSEYSNDV ANIA_07435 MLLLLSPYTHLPNIHAATHQAHRSFRLPIDSCLHSYNRHTMASP SLKRSAGDLANPAVESKRPKTNGSITSFFGAPKPKENGAATTKSAVPSSVSSFNKQKW VATLTPEQKELLRLEIDTMDESWLAHLKEELIKPEFLALKRFLQKEKQMGVKVFPPEE DIYSWSRHTPLHKVKVVVIGQDPYHNYNQAHGLAFSVRPPTAAPPSLVNIYASIKNDY PSFVPPPNKGGLLIPWAERGVLLLNTCLTVRAHQAASHSNKGWERLTQKAIDVVTRVR TRGVVFLAWGTPAGKRITGINRDRHCILQSVHPSPLSAHRGFLNNQHFKKCNDWLAER YGPDEIIDWSLVSKQEASPASAVVQSSSVTVNQSTQQAVTESANKRTVTTKSSTLPQE AEFEEDLDALEALAALENSQES ANIA_07436 MRSFGPWALSLLGAAAAVSAADSQSETPSDVISLTKETFNDFLV EHDLVLAEFFAPWCGHCKALAPQYEEAATELKAKNIALVKVDCTAEEDVCREQEVTGY PTLKVFRGPDNVKPYQGARKTEAIVSYMVKQSLPAVSTVTEETLEDFKTMDKIVIVGY FAEDDKESSEAYTAFAESQRDNYLFASTNDAAVASAENVKQPSIVLYKDFDEKKAIYD GSLDSEALLSWVKTASTPLVGEVGPETYSGYIAAGIPLAYIFAETQEERAKFAEEFKP IAEKHRGAINIATIDAKAFGAHAGNLNLDPKTFPAFAIQDPAKNAKYPYDQTKELSAK DVSKFIQDVLEGKVEPSIKSEPVPETQEGPVTVVVAHSYKDLVIENDKDVLLEFYAPW CGHCKALAPKYDELAELYAKSKDFASKVTIAKIDATANDVPDSITGFPTIKLFPAGAK DAPVEYSGSRTVEDLANFVKENGKYGVDAFAAQAEEAEEVAEDATETASDAEPSAEKP EHDEL ANIA_07437 MQEDGIAEPGLLLPRYPGDDTRPTNKKELLGWYSYGWAAEVFTV CAMGSFLPITLEQMARERGVLLSDKTTPCTAIWKTPESSNTSWQNSSPAAAGQCIVYI LGAEINTASFAMYTFSVSVLIQAILIISMSGAADHGSYRKTLLVSFAAIGSICTMLIL AVTPKVYLLGGLFAIVANTCLGASFVLLNSFLPLLVRYHPSLLKEESGYMVRSPTENS GQPIATDCFSNDDPRAALLQGDGMTPEDTREFISSSNTSKELTISTRISSYGIGIGYI GAVFLQGICILVIVQTRQTTFSLRLVLFLIGLWWFIFTIPAAFWLRPRPGPPLLRAQD GKAYQSWLGYMAYAWKSLGRTAMRTRHLKDILLFLASWFLLSDGIATVSGTAVLFAKT QLNMEPAALGMINVITMISGVFGAFSWSYVSRVLNLRASQTIIACIFLFELVPLYGLL GFIPAIKNLGFLGLQQPWEMFPLGVIYGLVMGGLSSYCRSFFGQLIPPGYEASFYSLY AITDKGSSVFGPAIVGFITDHYGEIRPAFFFLAILILLPLPLMLLVDADRGKRDALAL AEVLEARSSLEQRDYGTFSQQQ ANIA_07438 MNQDNINGRGLGLHDQLNGVSSAPAGSSQLGNPVGLHNGSLPTN GVQHPDSRGSDENYRTKMMLSASGDPPELQHIVQGFFPLAKLLNRSAQQCWNDLADLV AELAEIQVPSHDSNFSPISPNTKVLGNQSPENVRKKLRALEFAQKKRGEFIKLLVLSQ WSRQAADVSRLIDIQNFIRTQHQAYAGALQCMGDMKRDLVRAQVANPDLNTALEVLLR GEVVSMPDLGYRPPKPLTPKSTLKKMRKINRVISTRLALHDDIPLAFQKYRVHDGRVT FVVPGEFELDLSIGEEDVTSQFFFVDIRFLFSPSPSIPAGRMLSELDIKINDTLRNGG LSECFNWLHNLILTNKINILARQASELSRSLWSNVLRSELLHRTLVLQYWASKPNTKS WLEIGIRRGPRESTIGGMRPPSLGLRWIRDGQEVNSDDVEFDTDSLSVDRLLRSAIAL HISHILSSAFRRISEKLLYSNGSLSLHAYLTRTEPGDCQLDVQLTASRRLRVAIEPLS GAIVLAATPNTLERVDTDRNMDRSTIDDIVSRVGRLRCAAAIEEVESQVKMLGFISVS PRNVRIDARSLFPANVLRFSFFWHHHWERSWLLAATSSMDGDKWWVVQTPSADSVTIN RSLDAAVHHASSAVRSAQVICNLLLPAEQPDYSSLADLGHCLSGFLAIYANARFLQDL QFIKIWPHLEHLRIGPGLQVPDLNIEYEATKLPEALRVALPAGFKRKTFVKKTIRLAF HGIDRCRKVAIMVAYGNLSTSFPALGDLVAEDDHSLVLQKTGTGFALLYEILRRKKMD ARFLSLSRLGFAYGPNKELLAQLDIGETQPQLPTEMDPLKLAFRTGHLFHYRLSISFD HSNPHRRIQGPLASNLNRPTAEAGLDTLTEILSFTLPLMQALDRFMANPSRNESSIVH VTVRNATSFQIHYPYEKCRLRLVAHQHQNQPVWVLRDVLSFQDGSCEPEFKHKLQERL YNSKGTGWRGLGNGVIAEPDHIGDLLDELDRCLASTKANTTPKALDSRTSHDAPAANN QSPAIRPEKSVEAADMHGGFVNRNLQQKAGPASQKTDVITID ANIA_07439 MNPDSVPSSSPGFGTPVHPLRSNKLTPIPTRTSVLPILLPPATL RPIAFRTFTRKHNLTLSSSALQSLAGFVGKHCGSGWREEGLAERVLDEVAKSWKKAGG GVIIEEGRGASLKAILETLEGNMSGGRLIDSKSASKEGSTRTTSAGGMLSLQPSMSMM TTIDDQESNKSDDTVIHPRHWIKVIGAFDTPRLAYNAEKKNFEVTKIKPSLFPEPSHK TALFRDRYNLVHQRLLRNELFQVPLASASMPTLQRSSSTFARSQCYKLTPVANLLGRS GTSHLLLGLLSISPTGELSLTDLTGSIVLDLSHARLIPKGGAWLTPGMFVLVDGIYEE EENVRGSTLGGNSGIGGAIGGKFVGVTICGPPCERRETSLGMSIHGKNEDISSSGGFG WVDFTGVGSERAQGSRMRRIQTRCLHEAHDGNQLNRRFKIVIMGEVNLDNMKTMDALR KVFSLYNDSAVQERPLLFVLVGNFVQKAIINGGGQGGSIEYKECFDMLALVLSDFPSL LQRSTFIFVPGDNDPWSSSFSAGASSTIPRYAIPNLFTSRVKRAFASANSQMDRNQLS EPPGEAIWTTNPSRISLFGPVHDIAILRDDISSRLRRNAVTVGHNTADMGGDLSSAVE PEDQIHAGDQQGAGISNQTSPVTLSARKLIKSVLDQGTMSPFPQSVRPILWDYASSLQ LYPLPTAFILADPEIAPFCLTYEGCHVMNPARFIPEGGLSTMTTEENAERCVEAAVDV YKA ANIA_07440 MDARSLTESPDLAAQDERQRLEALIKRAAAKDAMKDYNAAAELY SEATEIQAKLNGELSLENASLLFAYGKALYNVAVSKSDVLGSKVAGKAQPQSAPKDKS ASSESLPVADNLIKNAVASSSSVAKIQERSSEDNQSKPFFQFTGDENFDTDSEEENED NAAEGDEDDNDDDDDDDFANAFEILDLARVLYHKKLSALEESGKGKSTDPLPELKEIK ERLADTYDLQAEILLEGENFSDAVTDLKMALELRQSLFPMEDPSVAECHYKLSLALEF GAVQHGDNPEGETKPAKVDEEMRKEAVTQMEMAIESCRVRISQEQEKLKTDGSLDEDK RAALKRKIANVKEIISDMEQRLLDLRRPPVSVEKVLDNQGENMIKGILGQIMGESDSN KQSLLDVATQNATDLTAFVKRKPASSKSSQRASSAPKRPVQEEASEGDSKRLRVADGD EKAT ANIA_07441 MNASVPPSAAEYGGDEVSAIVLDPGFSTTRAGFAGEDTPKSLVP TYYGKYSFEGQEKLIFGDDVFVTPRPSLSVGNPMGRDGVVEDWDMAEKLWEYSFTSRL TGAKPSNPLHNGLNDLVEGELPTEMEGVETNEKPLADSPLLMSECSWNPTKAREKTIE IAMEKWGTPAFYLARNGVLASFAAGKASALVVDIGASNISVTPVHDGMVLKRGVQHSP LGGDYISSQIRALFKTNTPQPITITPHYLISSKTAVEAGQPPQAKYKTFPPEKAPDAS YRSLLEERTLTEFKECVVQVWPGPTKLSAPGPNGVPNEEMARSTPGRPFEFPDGYNQV FGVDRYRVVESLFDAKATILDPDSQFPAPTPAQTIPELIKAALNGVDVDLRPHLLANV VVTGASSLLYGFTDRLNQELMQLYPGPRVRISAPGNTSERRFSSWIGGSILASLGTFH QMWISKKEFDEHGPNIVEKRCK ANIA_07442 MSTDCDSNPEIFFRPIKRRKFQRRRPEDIDSQPETQASQEDLPA SQTPLNEPEPAQAADAFRLRRFHRSRKGGIEFSTTPRPTSDQADRASQAPTEDADGER LRAIPIISSQSSCRATSARSAMETNNIRMAYIESELAKRYRRDTPGITRGMPGSTTTT PNDANHLLSTANLPEREPAALGKLHEIDLGQEAKLHNIARTEEATKRMHGDDVEPQIK CPSSDLPSRYRKRRTSEDIERDRLVEEVLRESKRKYLRNNEPYARPPPMSLVANICPR ANIA_07443 MRNFVSAHLTFAALALLPAWGYAADTLSTGSLSTCLTDSDIQVN KLDISFSRSNKTIDFDVSGTNNKEQNVTASLSVTAYGNEVYTKDFDPCDDANYVEQLC PVPAGTFSATGSQTIPDEYVSQIPSIAFSIPDLDGVAKLELKSKDDGKEVACIESELS NGKSTEVPAVSYAAAGMAGAALALSGLSALGSAGHVGSATSSPGFGEVMGWFHTMATT GMLSVNYPKVYSSFAKNFAFSTGLIPLEQMQHSIDNFRKLTGGNLTQNNYDYWKGVSS SSGTNQKRSLNALYGAARLFAREVDASVNSTSSGNDTSSGIDISVDGLSRMSKELLIP QSNTFMTVLLIFAIVIAAITVGILLVKVILELWALNGSFPKKLANFREHYWGLLARTI TNLILVVYSIWVMYCVYQFSSGDSWAAQLLAGVTLAIFTGILGFFAYRIVHLARKYKK AGGDASPLYENKEVWRKYSLFYDNYKKGCWWLFIPAILYMLIKGCIIAGANGHGLVQS TGQLVVEALMLGLLLWHRPYVAKSTQWINITIQVVRVLSVVCVLVFVDQLGLSQTTKT VTGVVLIVVQSALTGILAILIAVNAIIICCRENPHARRRKEAEKMNRDIDDLTPLDAR DSLLMDHPPRKDYSEMSNFNFTRPYEPFRDTDRLVDSQYHDNPRYGRNVSRGSRGSSD SRDSHGSPDGRHPTKPGYGFAY ANIA_07444 MATRKVQQQTRQSSSPFWKARSVSQNYERTPNSPDQSLIVGASY SHANIPNGLSQHTRPRTPPRSSPNNSSHASPSTRRPGIWVASPRASYSGIMNQELGPW DHGNPAGKIGDDDDDDVGEDIVFDDDEDEFGLPSIASMRKRQGRQADVSRFKPVETPT FKSDSRPSTLDVNLPNNRQRANSSDIAEERDIPLYPTARKSDGKILRPQYKDILKDPA NALNLINHVAPPKGASSKDMDAYTSRISRINKFKRLLQASTVPLQELRNLAWSGVPDE VRAMTWQLLLGYLPTNSERRIATLERKRKEYLDGVRQAFERSNAATTRENSRSTDTGR GRGLDEAIWHQISIDVPRTSPHIQLYSYEATQRSLERILYVWAIRHPASGYVQGINDL VTPLFQVFLGVYVTDLNVEEGMDPGQLPRSVLDAVEADSFWCLTKLLDGIQDNYIYAQ PGIHRQVRALRDLTMRIDATLAKHLEQEGVEFMQFSFRWMNCLLMREMSVRNTIRMWD TYMAEEQGFSRFHLK ANIA_10941 MAEPEDVEEDLFADLYDADETGNQAASTGGAPAASNSAPSDAPA QPSATSAIQSVEGVGNESETTHGTYQTPTYEGGYQNGSGPDSGYNNQTTGPVGEPEPQ GTGIKEDGKMFIGGLNWETTDQSLKEYFSQFGEVQECTVMRDSATGRSRGFGFLTFKD PKTVNTVMVKEHYLDGKIIDPKRAIPRDEQEKTSKIFVGGVSQEATEQDFKQFFMQFG RVIDATLMIDKDTGRPRGFGFVTFDSEAAVEAALSRPLEILGKTIEVKKAQPRGNLRD DGDRGRRGRDFRDGSQGGSDGSQQQAGAQGQGVMPGGLTPQMMAQYWQRMQQYFALMQ QQMAVAATQGQGMGAMGMAGMNPALMQQMQMKQLQQMQMGNNQQSPSMSPPAQSPTPQ SMQNMMNPAMMQQMQQQMPNQGQANNMGGQMGMGGNGSGNMNMGSNYAGGGRGGPGYN AHEQIAFEQQKYEQQQARRAMENRAFSPYQQGGPTSWEGMYDEVPQPNIPTGPQAMNR SSSVGRGQTPQPQGAAPANAPTGPKNAGKPGANYRGGGRGGHRGFHPYARG ANIA_07447 MASGRKDFLSQPAPENYVAGLGRGATGFTTRSDLGPAREGPTPE QIQAALAKRAQLLGAAPPTAYGATREKGKGEEKPAEEEDDERFQDPDNEVGLFAYGQF DQEDDEADRIYREVDEKMDRRRKARREARERQEREEYERKNPKIQQQFVDLKRSLASV SEDEWANLPEVGDLTGRNRRTKQNLRMQQRFYAVPDSVLASARDSSQFDTTVADDGTA TDAGANGADGMITNFANISAARDKVLQVKLDQAAMGSSGDAASGSATSIDPKGYLTSL TQSELKAGEIEVGDVKRVRVLLESVTRTNPKHAPGWIALARLEELAGRIVTARNVIAK GCELCPKSEDAWLENIRLNEGHNAKVIAANAIKNNDHSTRLWIEAMRLETEPRAKKNV LRQAILHIPQSVTIWKEAVNLEEDPADARLLLAKAVELIPLSVELWLALARLETPENA QKVLNAARKAVPTSHEIWIAASRLQEQMGTFNKVNVMKRAVQSLARENAMLKREEWIA EAEKCEEEGAVLTCGAIIRETLGWGLDEDDDRKDIWMDDAKASISRGKYETARAIYAY ALRVFVNRRSIWVAAADLERNHGTKEALWQVLEKAVEACPQSEELWLQLAKEKWQSGE IDDARRVLGRAFNQNPNNEDIWLAAVKLEADAQQTDQARELLATARREAGTDRVWIKS VAFERQLGNVDDALDLVNQGLQLYPKADKLWMMKGQIYESQNKLPQAREAYGTGTRAC PKSVALWLLASRLEEKAGAVVRARSVLDRARLAVPNSPELWTESVRVERRANNIPQAK VLMARALQEVPSSGLLWSESIWHLEPRSQRKARSLEAIKKVDNDPILFITVARIFWGE RRLEKAMTWFEKAIISNSDFGDAWAWYYKFLLQHGTDEKRADVISKCVLSEPKHGEVW QSIAKNPANAYKSTEDILKLVADSLVQ ANIA_10953 MQQIDLAALNRAAEDSASAVPPSRNAAPTQKSKALISVSRLDLG PPYLELKSRIGANWAEYKEAITLFLLGQLNQDELSSRIDPIICADPKTEHLHNNFICA IIANLTRDLPDHGVASWVSANDKPSVVSKPTSGDAAEQRLKTEVMQLPPRDRRRIKAI PERDPHDAVRNELEEYHLAKQIKLPSQVPASAGGLNMTNWELEVRKRYVQPLASETGE FPDAESIHARMTPICYEESVVNGAGVACAEFMAIATETFVKEVLSVVFSRTRCNGPSG TINGMMKRSYKQQLEREELAFTRGEIAKDGATGLLPVEAKEARTRSALGVRDLRLSLE LGSGVLSHMPLLVDQIMGGYFEDELEADRRGRTDTNGTEPTDMDEMDVDEADWGWEGA TAGDHQQLGTLLDECLAMAS ANIA_10954 MVATITVYFHPARSQGSMFKALVCACLAFIYTAFISITSMCVEM FFQDTLHLLPLGHAIVLIVFCGGGLGFIGWTKQRLNDPLVNVACSLASLSTISVLTKE GAVQAGDLSFTKISHVLKMIIMGVSATMTVSFLIFPISARKKLRSNLTSATETMATML ALITESFLSGSEEELQTAEFVNAAAQHKKAYGQLDSLVKEAKLEHYVRGTEKEYRLEK NLVRWVQDITHNLGGLRSAASMQFQLLKQTKPFGSPQPQSIGLSHQGVDVCRSISPWS MAEDRPYLEPIDERPEDDISEPDVLRPTLSRRQTSESEASAALLPADIFAIFIDHLGP SMRSLAFTLKEIFKEIPFGPAPSYKVTVDSRFITSLDRALELYKASREEALKSIYREK DIFKVKTLEIEADLEEVAASCGHFSFSLLEFGEQLKELLAILDELQLESEERPHGRSW NWLKFWRKNDLERRRFADSDQPLIESSPLRNSIAIPQPSYSSSQLQNSAPEFKKPFAK TRIGYQIWRSLSVFRRDDMKFAIKVGTGAALYACPSFITSTRPIYSHWRGEWGLLSYM LVCSMTIGASNTTGYARFLGTCLGAVCAILSWYITDGNALGLAFSGLMMATWTSYIII VKRQGPMGRFIMLTYNLSVLYAYSLTQQDGQDDQDEGGDSPVITEIALHRVVAVFSGC IWGIIITRLIWPISARKRLKDGLALLWLRLSLIWKSGPLSATHSSKQQTEFMTARDKL EVERFLAHLESLQVSARSEFQLKQAFPDAVYTNLLAHTRNMVNAFVAMNLELAKNMTA SQGELAILDYTVSERRHLSSRISHLLSFMASSMKMEYPLVDNLPNVEHARDRLLARLF HYRKNWEISKSSTDEDYSLLYAYVLVTGQLSKEIERISEEIGRLFGVLDESAVKLYA ANIA_10942 MAIWAASPSTTRGQPTQLSSDAKGERLAYASNKSIFLRSIDDPA VARQYTEHKVQTTVARFAPSGFYVASGDASGVVRVWDCVGEGITKGEYSIVNGRINDL AWDGDSQRIIAVGDGKQRYGHCITWDSGNTVGEISGHTQAINAVSIRQQRPLRAAAAG DDKNVVFYHGAPFKFNTGIRDKHTNYIYGVGFSPDGSTLVSVGADRKIWLYDGKSGEV KGQIGEGEHKGSIFAVSWSKDSRKFVTASADKTVKIWDAEAGKVTQNWNIGGEGNQNF LNQQVGVVWPPGRSDNLLISLSLSGDLNYLVEGTPEPRQIIQGHQKNITSLTAFESSS GEETLWTGSFDGRVCGWDVPTGKAETIEGDGSSSYIAGLTSTQEGAGRLYSVAWDDTI RSLDIGAKTYTGSSSKLSGQPKGIAGGGTTVLVANAESIEIHRDGQKVGDFKPNFSVT AVAAHGSIAAIGGDDSTVQICDIAGTSLTPKHDFKASRDVVSAMAFSPDGSKLAIGDS RGRVLVYKVADGSLVNDRWTAHTSRITSIAWNDNGAHIVSGALDTNIFVWSLTNPGDW LQVSNAHKEGVNGVAWIAGGSKVASAGADAAVKVWKVEGLK ANIA_07449 MTLPLLSSSPLRAFPSVVRLACRSNSTTSKSVANELENLIRPAR PAPAAQRLPLRRQTNRQRAIEEERTLRENRLMEKFQTREWKAGDIYAPHDLSPAEMKK WNKRNPPTSDVFDAVNLNPLDVYKNFSIMSEYMTDMGRIKHRKVTGLRGVNQRKLAKA IRRAIGVGLMPSVHRHPEILAEIKFRTDGGF ANIA_07450 MQSQLTRRVFRAILNNEPLSSSKCQNRCIHTVRLSRSRKVASGP SYVQRRGLFAFNFNPPTAPPSSTLPSEVGLKPMRDLLVSLRSKSRQPEVDTLAKAFQD FFETRAGEPGVITQFQAQLISTTWYHLQSLEESLDSEEWQRVFSNESLENVLYVLSKA ECLPQAHGVIRLFARSVFQALCDNPDIGRDGLHQGAIISYIDIQAIYGDPAEARQTVE KFWGSLRKVSPSPWLAVIRGFALLSDDKQQIKRVVKRIGEYGVSFDSASQKDLIQFLI EQDLLDAVKVVYECPLSDPEGPSISTKLAAIKYAIMKTDTAWAQPIYESLPSDSASES IGIRLLWNAAQGKDASQIARNVESLASKEPELWQSLTISCVNDLMQYANATNNPTLAY ECMTLAPAWGLVPDSQTQLLHLESRIQAGDLAEVLNSIGDLEGLNTTAPEALPLMNKL ITMLCTSGQDDTVFNHISSLLDPLLDNNVRFEADTLTALTHMLLYRHDLEAASELLRP RLGSYDTEERHKIRTALTNFIQDPSQESEPAWEAYMLLQLAFPETGVSMRSTIMTSFF QRNRSDLAFLVFGHMRQAEDFAQRPKPDTYARCFRGLAQTQDSKHLELVHNMLKLDTE VDLNTRLLNWLMLAYAECDMPEKSMQIFREILQSEEGPSQRTIFFFFRACEKHHNGTH EAMKMVEKMKRLEIAFDRRLYTAYVEALAAQCEFDLATEALDAMKDVTGHSPTFTSIG HLYNAIPYQHWKDEVEKWAKDRHPNLWAQLEKIEREEHEEGLKFKLPRKRDLSNLDGS MR ANIA_07451 MVSPASSLQSLPDVHVNGNEPNKLPVRSAPKLYGSNDGASSGTG TPIGFQRQPHNKILDSVAGSNVRMPSPQPTHLAIPGSPHRVLSEEDPGYIAAKFEGKE HQMEEVMDQLEKKGFIPPEFIVGETEWFYNQLGIDDTYFQTETVEAIVTQILSLYAAK VAAYARDDKKLEIRLDKEAEDHAVYIDTSKPGFSSVNGPGYEQRIDKKYIDGSTHDHS YRIETFRSPTPVPGDDGQQLRCYFVYKCQFANPNPGPQETNIDIIGEKRFLQKATPNT KAIYQEIISNAVARAGPVIEMFEIEGSREKRLVIAYRQGSAMGLFSALSDLYHYYRLT SSRKYLENFSNGITVISLYLRPLKNAEVAAKFPPIEAAVHQIIKEISLLYCIPQNRFQ HHFASGRLSLQETIYAHCAWVFVQQFLNRLGSEYTSLTDLLDSNNSTHAELLAKIKKR LRTETFTSDYIAEIVNKYPDLIHKLYLDFANTHYVQTQGPTEDDFLPTLSYLRLQVDQ VLDSRQLKQLVSSTAANEHDEMVMSAFRVFNASILKTNFFTPTKVALSFRLDPHFLPE HEYPQRLYGMFLVISSEFRGFHLRFRDIARGGIRIVKSRNKEAYSINARSLFDENYNL ANTQQRKNKDIPEGGAKGVILLDVNHQDKARVAFEKYIDSILDLLLPPASPGIKDPIV DLYGKDEILFMGPDENTAELVDWATEHARNRGAPWWKSFFTGLSPRLGGIPHDTYGMT TLSVRQYVLGIYRKLKIDPSTIRKLQTGGPDGDLGSNEILLANEKYTAIVDGSGVIVD PQGLNREELVRLAKKRATISEFDVSKLSPNGYRVLVDESNVHLPSGELVHNGMVFRNM FHLRKELTYDTFVPCGGRPESIDLSNVGKLIENGKSTIPYIVEGANLFITQDSKLRLE KAGCILFKDASANKGGVTSSSLEVLASLSFDDQGFVQNMCVGDDDSVPEFYREYVKQV QEVIKENATLEFEAIWREHEQTGIPRSVLSDRLSVAITQLDEELQKTELWDNVELRRS VLNDALPKLLLDKIGLDTILQRVPENYLRAIFGSHLASRFVYEYGSSPSQFSFFNFMT KRLAQSKA ANIA_07452 MIHLFPESQRSQIAVRGLLHALSAGQPAYSYPLFTKQMTPLDTG IKNLRNPSNAGGFLDHSIDAAASMPPMYTYYLNGKSIYIPKIDNEIGVTLTRVVNAVN KSWVSRSKPGHTGTTQEKVGFSGQRSCTIALVLRTMKALELDVNSMPQRRPRLKSTLP ARRCCRARRFHKTEVDRPKRFN ANIA_11563 MTSPAVTSTPRAPSVITPTFSQKDIYTALMAKSLDVADTTGTGT AAATTPTRSKASPSAFEYPPPPPPSPVESRSPNAF ANIA_07453 MSVLDPALLSTSPENGEDSEWEYEYDDTETETFFLNLDLTTNHG PLRPPRRRNDASASAPISAVNTANPTPAPSRPDDRDSAVVNPETDDAPSERVQVLGLH TRNPIISYQNQIFSGAWADQIGTELFFARPDTTSTEFNEPGNAPAEVTPLKHTKDFDL IAANSVKILGRKANLISSSSSAADQRDGLTPETSGLVYKPAHQSNQARFLERLKEVKR SKGETDTVRTVFSTSRRGPNLEDRLRGWVQTEEQLATIQQLNDRAVQGDYDAMIDLEN IYTQLGSQNVGPSEELLGSTRLREILDKIDCRASQAVAVEKFEIVLDI ANIA_07454 MLYFLLIVPPVPVPVPPNPNARLRSFFILLGLFATAVVAIVNPP DDPPLTAILSPPGGAIPIGKSYISTPTTLTTTASPLTSESAAGRKDVGVAPKSELRTD SNAAALPSTLSTYEQMVQKTTAAIVTLSSLIAYVTLNSIIRAVKPSVFIWYEEDYDEK SWIASSHHWLDRKACRWFGICGAAHFRPSHSRFGHRKAESLMELPGEADQSPWREYWE NKTAHPEETWDEAERSRRQIPDYVLDYAPLVHLFSGEQFWPCDIAEHLYHITPMLNYT PIQSQSDHPTLRNLDQLNRWEAGRNVFLTSNDDVEERPPWMEGEKNVPSPPDDDPEPA WADWDGRIDGAFADDTPQNRAKRYDTQLPLQDRESEDISLDQLGYLMQDEDTVRDELR KRYGGEPISIKAVGGRSEAPAILLVIDKGNGIVDAFWFYFYSFNLGNVVLNVRFGNHI GDWEHCLVRFHHGIPKALFFSAHSGGEAYSYEALEKIGRRPVIYSATGTHAMYATPGV HSYILPWGLLHDQTDRGPLWDPLLNSHMYTYDHVSDTLRASTLSPTAPTEWFYFNGHW GDKFYPLGDHRQYRFAGQYHYVNGPLGPRFKHLNRRKVCQTPDEEPCIIKDFIGEKKR AKRWSTSGPGNPSDNVS ANIA_07455 MISATSFVTAIGSRPPRYRTPSPPRRAVEPISPCSTADYRTYRA SREISASATSDHVRSTPTDKRPSPADVPASHRSGHGRSSSTIDTLATIALATSPTFTP LTHRPPSDKSNTTLSMFPPETEPVERPAKRPRSERDESSYTQHRSNAFSIARPPAISD SMKTDAELLLNFARPTNLYPPIPSSKRANTDDSYHNHTFHTQAQIKERNASTYWVTNH ENVIFNHSAMHNIPPSRMRSQSDGSAAISRPVIEGLRPNTSSSTLPPLAFQEEADSGD RHWDMERKPVLEESQVDFCKPDETVPILSQSQPLKKELDADSNGSSQASCATCNLVRI PVDNEDQDVTWISCDGCKRWFHIVCAGFKNDRETRTVDKFICKTCRPIHGQTTFVRKS SRVRTSIDYAGLNQGLVKSATDSLEHHYIEPIKQNKIRFLPENFPRMRPELVTAEYFE KGNGMTEPIVIPAEFNTHATIPPTNPEFDALVQDAPSQEMFDELLDHLPNVDHETVID CGQNQLDMVIPQGLTVRTVSELYGPEERVEVIDVKSQHGEDKRWTMQKWADYYESTGD KVVRNVISLEVSQSKLGRLIRRPKIVRDLDLQDAVWPEELKAVGNFPKVQFYCLMSVA DCYTDFHIDFGGSSVYYHILKGKKTFFFIPPKDKHLKKYEEWCNSPAQDYTFLGDQTK ECYRVDLSEGDTMLIPSGWIHAVWTPENSLVIGGNFLTRLNYGMQIKIAKIEKETKVP MKFRYPFFQKIQWYAVLKYLEEDPVPQSVLAAFSQDENYRFHRKYPIYYEFGERANTE PKGSPYHNSRFYSQAELEGLPDLAKYLLRTALIAGSYLVEGVTADTRNAVKRSIPAMP GEPIDVIRTFGVWIAWKRGNEKAAHWTRPGVVESNAKLSLAEKRPAGRPSRRSERNAD NQRTYAERQAVQRLSERPAVDIQKDSAPGDESVAPLANNSPPAATSGIPVPVMNEDTS QKHKTASRGSGLGPKRVACDACRKRRIRCHHKEENNGASGSQMTVSTSSLGHHTPTAQ DAASALNSLAAIASGAGFQNGLHSIKGMDRMDASANFATSISATPHGVTLKVGDGSPD GLNSAKKGRSKACDDCRKSKRRCIHDEYGRIDPIKAQERSKPRATSLAKRPRVHEEAA PSSANKRLKQESTSPVAQPVHSSHMDTETPTRAQDSVENGVLDQYPRKSNTQHADGLP AEKALLPDQSSYASPPAFQADAVATKELPATVSKPAAVLVSPPTSLADEMDIHDQVDA GGEHVSVIYTPSSGSRQSSRQPRQVERYMPEVHFAKTAKSTTTTPQTTRRSSFGSSGR KTTPGLSSGSKKSGSRPSSSHGKKSLSPSVEKKAERHAISSAPFGQHGRGSKSEHGTS DVDPDAESLRLIREIQEQEFGLRRRAGRA ANIA_07456 MALLRTSRPSRLESFIFSSFLLSSSFSLLSIRTISDPTWVSDLS SLTYYFIPSDIFRLRMSRKLAPEANRILFVKNLNYNVTAEQLFDLFGKFGPIRQIRQG IANNSKGTAFVVYEDVHDAKQACDKLNGFNFQNRYLVVLYHQPEKMLKSKEDLAERQE NLERLKQQHGIE ANIA_07457 MAYASIPLFSAAILVSALDIGVNALVSPTGVITTPSPSLSTPAA SYSPTSSSSSGHDEDNGECRLLGPFSLVVQAALGMLALLSLVYKRWRERPQRPVKVWA FDASKQVFGSSMLHLFNLLMSMFSAGQFEITSKYKPNPCSFYLLNLGIDTTLGIPILI VILHVLNRLAKYTPLANPPESIESGNYDDPPRVSWWFKQSMIYFLGLLGMKICVFFLI QMVPLIVKVGDWALRWTEGNTAIQIIFVMLIFPVIMNAIQYYIIDIFIKKPLSVSHDL ESADTASDNDADDRHALLAGLEDDDTFDRDGSLGSDERTLHSPVQFKEALDHLNAAKR DPVGSLDSASTPTSSSARENDDTTLSTEINVQPKDM ANIA_07458 MPNEGQKKKNAPEEQVLEKDETEKRLESLLFGDGDGFQDALKTG QDINPLALTTVSDESADEAEEGLRDEDLDEMDDADLFFLDSGAGPVSTELESSATPAE VEDKDEDEDSLPALWHDSDDERITISLAGNNRLRKLRVTESEDIISGKEYIRRLRRQY LQLHPTPDWAKPNSNKQADENEDDSNYEDEMDTDEEGEISAQPLAKLLQGIDFTKIET ESATGGRPKLRKEVISIQRLKDIGKDQPSAVTSLTFHPHYPLILSSGPASTLFIHHVS PDAPSPHPLLTSLHIRQTPISTSAFSPDGHQIYASGRRRYYHIWNLNSGKVDKVNGSA DRREEQKSMERFKLSPCGRFIGLVGSTRKGGGVINIHNTVTAQWIAQVRIDGRGGIAD FAWWSNGKGLTAVNLNGEVSEWDAQLNRIVARWKDAGGVGTTVLRLGGSTENDSLGGD RYVAIGSKSGIVNIYDRVQWAVNYASLLSKGDTSTAISRNPEPLRALDQLVTSISHIE FAPDGQFLAMASEIKKDALRLVHLPDCTVYRNWPTQSTPLGRVTSVAISPNSEYLAVG NDRGRIRLWQIQG ANIA_07459 MVGVGPKRPPSRKGSMSDVPQNLLEHIKHFEEIFTVDTATLKKI VDHFVNELTKGLSVEGGNIPMNVTWVLGFPDGKETGTFLALDMGGTNLRVCEITLTEE KGGFDIIQSKYRMPEELKTGEAEELWQYIVDCVEQFIQFHHENENLSKLPLGFTFSYP ATQDYIDHGVLQRWTKGFDIDGVEGKDVVPPLEKVFKERGLPIKVAALINDTTGTLIA SSYTDPAMKIGCIFGTGVNAAYMENAGSIPKLAHMNLPPDMPVAINCEYGAFDNEHIV LPLTKYDHIIDRDSPRPGQQAFEKMTAGLYLGEIFRLALVDILDTQPGLIFKDQDTSQ LRIPYLLDSSFPAAIEEDPYENLIETAELVQNMLKIKATRSELELMRRLAELIGTRAA RLSACGVAAICKKKNIESCHVGADGSVFTKYPHFKARGAQALREILDWAPSEKDKVTI HAAEDGSGVGAALIAALTLKRVKAGNTAGIRDAQAMLAMC ANIA_07460 MNFVYLFLLAFSAIVSAEISIEASILNRLLQLDIGLYGTFILLD RFDDGANVTLSDIVVFYNTVTAPGQSSIQPFSSPCDEAIQFSICQAYHSFALTSIKLY NEFADGADDFDKGLRNNLREGFNRIYIENSGFVDNIAPVGLPLCFESIQQDCWALNKV FLQAWNALDPAAKQ ANIA_07461 MGNESSTLVDEKTPPSVLEARTVEAVAKYVKEKPVRRVVVMVGA GISTAAGIPDFRSPDTGIYANLVHLDLPDPEAVFDISFFRQNPKPFYALARELAPGQY RPTLAHSFVKLLYDKGKLLKHFTQNIDCLERLAGVPGDMIIEAHGSFATQRCIECKTA YPDDLMKEAIAKGEVPNCAECQGLVKPDIVFFGEALPSAFFDNRTLPETADLCIVMGT SLSVQPFASLPSFVADGVPRVLINRERVGGLGSRPDDVLILDDCDNGVRKLARALGWE DELERLWEEANPNQKSREEELATPRTREERLENEISRLTAEIDKTLKISDAYQKRVRE RLEGEPLSSPESNGTGLAHVFPHLARR ANIA_07462 MATVSDAATAGRMFNSTVNVVNGYSETELTTQSSNSFSSDIYNA SSVAEIKATLTQLHEQEAAVTARLDALVASQKDFSRELGRLDLLRAHLGSQTSTTRAI SNGMLVGAAATADRISSAVRRLDLEQSRVKKTLEVVEQVSELKACVLGVAGSMGAPQD WERAASYLDRAAKVPPEVVQGAFAAEMVPTAEVPDPPSVTLDNAAESLCGLFLREFDK AVKESNGAKITRFFKLFPLIGRSEVGLDVYGRYVCQGVAAKARSNLNTGTGQQDGFFY ANTLTKLFEHIAQIIDGHGGLVERHYGPRKMNRVIERLQLEADVQGGIVVDTWSDERH IDRKLMDIKSYAFTFLVQSFLPPQRTATPHANSPATQQSAAEDEGVDMKEIDGLLNEM TVMLSRWSLYTRFLAETCNANEEQKFELPQFLKESALSKKIEDRVISPFNTMTTFFFR RSVEKAFQLDEQPTGLTLNLQRPLKADPPHITSAVDDIMYIVNKVLQQSLSTSQIAVV TSVVPTLSRVLGSDFIGMTQRKMRDECYPRAPHQGASPPEHIVISFLVLINNLDVAVD YIRRIVQNITETKKTITNPDGQVEETGQLHTLFPSPADATLVSQTLTSLLTSFEAKVT DLLADGIQVVFNNIIKHRLRPILSDAFRDIEYQPASDSTPLTTYHSDLDSYSDTDSIP HDNDPESPREIVRPRFSAAWTELLGPILRILNPAAFDRLLTVTTAYLARLLEKRLWAY HGRINALGATRLERDVAGIVSAAVSVDVPGSAGVSVSGRYRHRETFGRCLQMVLVMGM DEDEWDDVLRGGETADVLDKLSGDERRRVRGMVRR ANIA_07463 MASEGMAPFTPEYASYNWTGAPSDYSSLATNELGGNSRVENLNK WYQSGDQAYIIVASAMVMLMVPGLGFLYSGLARRKSALSMIWACMGSMCVVTFQWYFW GYSLAFSPTATNGYIGNLRNFGLMKVLADPSPGSPLVPNLLYAFYQMQFCAVTAAIIM GAVAERGRLLPAMVFTFVWATIVYCPIACWVWNVNGWAFNYGVLDYAGGGPVEICSGL SALAYSMVLGRRQERMMLNFRPHNVSLILLGTVFLWFGWLGFNGGSSFGANMRATMAC WNTNLTAAFGAITWVILDWRLARKWSMVGWCSGTISGLVAATPASGFLPPWASVVLGI VTGIVCNYSTKVKYWIRIDDSMDVFAEHGVAGIVGLIFNAFFADDAIVGLDGVNTGSE MGGWLIHNWKQLYIQIAFIVAASAYSFVVSAIVAYAINAIPGLKLRASEEAELLGMDD DQLGEFAYDYVEVRRDYLAWTPQKHDQHADGHEVPAAARYGIGEHSEMMLDGEAPTGA TNSRGSEVSGVQEINVKEESQRPAPPTDAEKAAQGL ANIA_07464 MSRSATPALPFHNGQATGSISPLAAPAGGRSNAAPSVSTSTYSQ LSPQETADRLQTSLTHGLTPAEAEIRYIRDGPNELPHEEPEPLWLRFLKQFKETLILL LLASAAVSFFMGNLDDAVSITLAVTIVVTVGFVQEYRSEKSLEALNRLVPHHAHLIRD VPSNSPPIVHPTTAIPDDEFELRELRSKSPSSGSVSAAVKASTTVPAAELVPGDLVLF TVGDRIPADIRITAATDLTLDESNLTGENEPVVKYPDAICNQKNIPTSKIVTPPRSPF YDAPASGTVGADLRLNEQHNIAFMGTLVRSGYGQGIVIGTGAKTEFGSISASLQEIES PRTPLQLSMDRLGQELSYISFGVIALIVVVGLIQGRKLLDMFTIGVSLAVAAIPEGLP IIVTVTLALGVLRMASRGAIMRRLPSVETLGSVNVVCSDKTGTLTLNHMTVTKMWHFD CAEPFEVHHDIASLTPGPAARTVLRIGNIANNARLSRVHANSPASASSAAVLSSTDDD ASGSMKSRWVGQPTDVAILDLLDAFGEDDVRDRISARVAETPFSSERKWMGVVIGSGV PGDSTAPIGGPSMAYIKGALEQVLARCDTYLTKDGQEVILDERRRQVVRQAAEQMASE GLRVLSFASGAVRESRGRALGSRSGTPSSKVSSGDEDDRYSGLVFAGLVGMNDPPRKD VHKAIRRLMAGGVRVIMITGDAETTAVAIAKKLGMPIVETPGVRNVLCGQEIDRMGTS ELSQALSSVSIFARTSPDHKMKIVRALQSRGDVVAMTGDGVNDAPALKKADIGIAMGK LGTDVAKEAADMILTDDDFSTILRAIEQGKGIFYNIQNFITFQLSTSVAALSLVLLST MFGFKNPLNAMQILWINILMDGPPAQSLGVEPVDPSIMGRPPRPKSARVLTKPLIQRV LTSAFMIMMGTLAIYIYEMGDDDDVLTGKRSRVVTAHDTTMTFTCFVLFDMFNALTCR SEGKSVLRGEISLFGNKMFNYAVLGSLAGQACVIYLPFLQRVFQTEPLNAAHLFKLVC IASTVFWVDEGRKYYQSLQRRRAVGVGYSVNV ANIA_07465 MFYSETLLSKTGPLARVWLSANLERKLSKSHILQSDIESSVSAI VDQGQAPMALRLSGQLLLGVVRIYSRKARYLLDDCNEALMKIKMAFRLTNNNDLTTSA VVAPGGITLPDVLTEADLFMNLDSSLLIPQPLSLEPEGKRPGPSMDFGSQLFPDTGLR RSASQEPALLEDPGDLQLNLGLDDETNLSFSHDFSMEVGRDAPAPRPMEEDNFSDAGK VIDVGDLGLNLGEDDTPLDAVNFDANEDNFLPLDEPMDLGDDTVVADGNDERFEREST LTEVSEDMIERLNTEHEGDYMHDEEQDDETIQHAQRAKRRKQLPTIELDEAVEFKGNS YFRIQQEQLSETLKPASFLPRDPVLLTLMNMQKNGDFVSNVMGGGRGRGWAPEIRDLL SFDTVRKAGELKRKRDSGISDMDVDAAAAPALEIEEEAIVPVDEGVGMESTLHQRSEI DFPGDEEDHLRLSDDEGAQQPLEDFDDTITPVDSALVSVGTKRAVHVLRDCLGNAEQK KAVKFQDLLPEKKATRADATKMFFEVLVLATKDAVQVEQRSNTVGGPIKISGKRSLWG QWAEEDATGEVSQAQVAA ANIA_07466 MSENQTAEFPAHAESPKASPNWQNSESEKTDEGGIDIGMPDGVA EDGFEPIKPAATTDNSQLQKLHSTCSRPVERSWSLNDGYSCNNEVDVEVNTGDSQGTE DTASSDFVVRWDENDPMNPRNFNKVRRWIIVIICSTGSLCVTCTSSMYTVTYDQLTEE FNCSRIVATLGLSFFIWGLGLGPLVLGPLSEFYGRRNIYITSFIFFLIWLVPCAVAKN IQTMIVSRFFNGLAGSAFLSVAGGTVGDLFDRHELSAPMMLYTASPFVGPELGPLVGG FINQYTTWRWTFYVLLIWTGTLLAMLVLFVPETYHPVLLKRKAVKLRKETGDDRWIAS IEKMDRSIAQTVLKSLYRPILLLTLEPMCLNLCVFSAILLGILYLFFGAFQLVFGNVY GMELYQRGLCFLGMFVGMSFAIASDPFWRRIYRKLEKKANDVGEGFQPEWRLPPGVYC LNPGGTRMLVETLLTVQPLPGDPWSQ ANIA_07467 MLNLHAAVLGDAQDNQQDDAVLAARFQLRGGDSSLKHILCHIFY LCIQITYVQPASHKLIKVGDAPIGERQPEHTKKSNASSRRGLAERPMQGRLNSVPQRS AQAGVREGCWKRKLNKTILTRAGEKTYGNGAITSVRKASNEVAQ ANIA_07468 METETGKGATAPPAESSGVEQDTAAVGAPADQPPKTNANATSNA NGEDQPANGQKANPKDPSRPRRKKARRACFACQRAHLTCGDERPCQRCIKRGLQDACH DGVRKKAKYLHDAPDGALMPGIGGNNFYNNNSMSNGVPSGGINMNGANTVNSAASTQN SSANFYPTPQSNYSLYQENPINHQNSFPSQSPVSPTFSLKTNPTPRNTAPNNNNNNAL TSSMPQPATTGVSNAPNQSQNPFAGPFFDPSDPALFNFDLSSMNFENRYGALEFGMLG HMATGAGDSPDSGTHRGSMGRSGSTQFASTPIGGTTTFGESPQNQQPFMFGDPLLNEW PSGQTSGQPHVNVGVYPQSSQGNVIPGHLSKPDAPHAFAIESGPNNFTSPGAATSPQI NSGGYEDANAFNNVVTKSNGLSVNGQQRPPTISTPSLKHQSLQMNKRRHRNPSAVYES VKEPYAYTSRFHSLTAFIQRRFSPQKTLQIAKALASIRPSFIATTKTLNRDDLIFMEK CFQRTLWEYEDFINACGTPTIVCRRTGEVAAVGKEFSILTGWKKEVLLGKEPNYNVNT GGSSAANSRNITPRSSVESTGRPHPVFLAELLDDDSVVEFYEDFARLAFGDSRGSVTT RCKLLKYKTKEDMEAAQSDDNGQRWNNHLRKGGIANEAGMNQLGFKDGKVECAYCWTV KRDVFDIPMLIVMNVRLPLP ANIA_07469 MRPSNPRPPVTGPDSGPEAPFPIRLSGPVIKGFGRGSKELGIPT ANIPVDGLEEVLPKELGVGVYYGVVALDPATAPAPSSSDSTSGDAAPILPAVLSIGYN PYYKNKTRSIEIHIMPSLTLPSPTAPSEEKEKVKFHKLPDFYGTKLNLLMLGYIRPEY DYVSMEALVEDIRIDCEVARASLLRPAYRVYLDGNEDETVSAQRDWLRSF ANIA_07470 MSRLFSHIRRRSSTHRPKPPTPRRVYVVSNSPTFDTASLRRLET EGFSVEYVPFLAESDDIDRDRRELERIIHERGDDLEPGERYAIVAFNRPAYLLFRSHH QSSSTNPFPRLCAFIAFYPDAPQVASLNSSEPARLPPSTTAASTSTSMAFKTDANLAI QIHLAGSRNESFAMWDDSNKRHHCHLLFYPESQPGFAESAASSTYDRTSSRLAWSRSL NCLKRGFGWPSGGGDWGAPDPELVWEGYWRNIHESAKNPPSTSSPDMLGLMVGGGAGN RQFDDRTCVNCVPSGVGGSSPSEITTFYSTKFVPSGPPSQRIRLLSRTSGADRVVDEL LLTFEHTEEIPWMLPGVPPTGRSVRIPLIMTAGFLGGKIANHNIYWDQASVLVQVGLL DPTLIPTSFKAIGPNREGKDTIERMPVVGEEAASSVLY ANIA_07471 MTYVTVAGFPYPESVALASLLETVVRANGAVPATIGILNGVARV GLLPNELIELASRAEKKDALKVSRRDLGYICGLGMTGKPFHGGTTVSGTMVLAHLAGI RVFGTGGLGGVHRGAESSMDISADLTELGRTPVAVISSGCKSFLDIPRTLEYLETEGV CVATFADGRQGPVDIPAFFSRDSGIKSPKIIENEAEAAAIIYAQSKLPVSSGMLFANP VPVEHSIPQAEIDAAINKAVHLAEVEGYHGSDNTPFILAKIKELSGGKTVAANRALVE ANVKRAARVAVELSKLEQSMIPSEQHMPAILPIGRADQATSEIKSEPPIRAEPVEKTE ILVAGSLAIDLACDYVPAAGQTTPVSRTSNPAVIKQSLGGVGHNVALASSSLGSSVMF CSVVGDDLSGHAALTFLQQENLPTSGVKVLPASSGARTAQYVAVNDATRDLHVAMADM GILQLPAETLDFDSFWEPVVSRAKPQWVMVDANWSPELLSKWVAAANKHGARVAFEPV STAKSQFLFKKGPEREAAVGESACVPNNTVSLATPNEFELAAMYTAARENGLFETAGW WRVIDAMGMTSSGSRERLVAMTSAALVDEGIPQQSIQLLPFLPCIITKLGARGALLTQ LLKPGDSRLTDPEYSPYILSRTVSTGDLIGGVYMRLFPPAAKLTDDAIISVNGAGDTL LGVIISGLASGHGRVEDNATCSFFAYNRHSLDGVLSFAVWPDCFETGWDKGWV ANIA_07472 MRSFATVVTAFCGLVLSSSSIVSAKSIESKLLPADFKPPQVFKN VNLVRNTNLEKGYARETINVVIENVDKQPQSEYYLSFPSDIFEQIGGLEVRNKKEADK GRFDVEASEVDPFSDVRYFIVHLPEPLAPSSQLTLGISYSLLNSLHPRPAAIKQSDRQ FLTYSFSAYVPSAYQTVTQKTKVKFPSANIPDYTTTELKSGADPERQGTTYIYGPYET ANVAPGTSYPITVRYEFTKPVITVSLLERDLEVSHWGGNLATEERYWLRNNGSKLLTQ FSRVDYTVANYQQSPSTAIKELKYPLKPGSVDPYFTDDIGNVSTSRYRPGLKNREANL EFKPRYPVFGGWNYSFRLGWNNNLSSFLRRTVSNDDSYVLKVPFLEGPKMGEGIQYEK VVVRVILPEGARNVRYEIVEGPTSNGLPSASQIQSSLSTHKTFMDTLGRTALTLTVES LTDEARDSQLVVTYDYAFWDGMRKPITITIGLLSVFAAAWAVANIDVSIKKR ANIA_07473 MPANGDIARASPATSGSGGFIEASGYKFSEKDTKPGKIKLKKPG KLGKKKDKEPPNSPDSSPILPEIDEKTMSVFPTGKPREEDHLETVVCKTCKRPVLKQN AAEHIRGCIRAKQEKARKRKELRDATNRAKAGEKEGDDEGAGVDKGGDGDDSMKAQKS AKKSAVKGMADDGTKKGKKRKAEGEEDNKDKEPKKKKKKEEQKPKTAKPKGPVDVEKQ CGVPLPNGAQCARSLTCKSHSMGAKRAVPGRSLPYDMLLQAYQKKNQARQQKAAIDAN APLQDDLENNGPVDSDEEKDAVMAAISRSQPQPLVTHTLISTKKKYQFVRIKEMLSHA LGGARGGGLFSTGDQLNSPIEGNLFQPIDDVNMADAPDDLGNSSNLPTPDVARKTPVA AGSYYLLLSSALPRNVGMKAGPELADQFRREIASLLGRKNLSFPGAQPVSFSRRHLAE LQREDYYVCEKTDGIRCLMYFAHGESPDQEIHYLIDRKNEYRYVPGLHFPLPGDETFQ HFHVDTLVDGELVNDTYDDGTQQLKYLVFDCLVLDGQSLMHRTLDKRLAYFKEKVLKP YNAMYERFPQEKQHRVFVVEDKSTQFSYGIEMMFREIIPKVKKIHGNDGLIFTCRSTP YRIGTDEHILKWKPPSENTIDFRLRLEFPVLEPDSEDEADGISEPYPDYDALPIFHLF VLHNNDQYQPFGEMYVTPSEWEDLKALQMPLDDAIVECSKDESGRWRFHRIRDDKADA NHYTTVDKVLESIEDRVTEEDLIRAAPAIKTAWKKRAQAEAEERKRASGRAAPPAHVN GSGVKRKFEE ANIA_07474 MLPVSRPEGHMSLNYIPTTQSISGTSTGRSSPSDPSANTIIRPP FVSSNILNGNTGSIGSARLGAGSPSHELGARLYSKRAREIQAEEGVSPFWGPPTSGHS TPLRENIPESPSQEGFPDLVPSTSGAMNGPARRTRAGTVPSRFSPVGVLNEANLQQPF VSQSSRPTPSTSPFRPTGMSGIDTGVKPPTTIGGGSTGSLSRLRAGSMPQRTNLLGSA GPFGPSLFSTNWATGRDRATTLTSIRSSEGPASPSQSSFSRDGLTDSDVKTLDYLGLA ETPQQARTNFVRPSVDVLLQQQQQQQQQQQQQQTSSLPPLLAELAMIKNNSRIRSYSV NAKEKYADDEELEYESRYSQLPSGAITPSAAATAAQLAATQAQIHQHNLAVQAFANHA TVNRPRARTAGILEAPPQRSSIRNYLATPSRLENSFSAADLNIAETGEYDELTEAVQM MHLGGAGGANLGLRPTAEVDENNQDGPTRALWIGSIPVSTTVTSLEAIFSMYGKIEST RVLTHKNCGFVNFERIESAIQARSLLNGKEIFPGAGAVRIGYAKVPGSSNAGTPGVNG AQSSPTPDPNSKSTIPDEEKLSSGSNVPQIPALPDLQPEIVQIVKEFGATEDETTKIN ASIQQAISYQEFSDEIPPIAEPNQTRMFDAPRLRDIRKRIDNGNCSIQEIEETAIAML PEIAELASDYLGNTVVQKLFEYSSEPIKERILVPIAPHLGEIGVHKNGTWAAQKIIDV AKTPAQMRMIVDALRPYTVPLFLDQYGNYVLQCCLRFGSPYNDFVFETMLSRMWEIAQ GRFGARAMRACLESHHATKDQQRMLAAAIALHSVQLATNANGALLLTWFLDTCTFPHR RTVLAPRLVPHLVHLCTHKVAYLTVLKVINQRNEPEARNIVLKALFFSPGDEVLEKIL SDQTSGATLIFKVLTTPCFDESMRPEVVKNVSKVLTKLKATPSQGYKRLMDEVGLSSR GGSRDNHHRDNTSSSEKQQHRPASRQTTAVNYASQPSLERQYSGQFPTMSQNLDNARP IPSEQSHSIPYEPYSVNGVNALNGLGAVNGTGFTQEPMMPLAQQQMQYQAYLAAQSRG VSPGLYQALGNSTYGYPAGSDSLRPMQAQPGQVNSGSMLNQPPYPPQQFSPIMGSAQM YQYPPQFYSQAAPVQGQPSGGRRGRVSYS ANIA_07475 MSRDGERSSSEDEAPVQSLIQGREKRSTAGRQMSALLDAEADDE LALLFEEVDDDNEFAADAAEDGAEEDDMGLDSSSDDEDDQGPNAQADDLEGERQLAKE EKEEKRKKRAREDLRYRITSKKVKIDPTATPKAPTTPASRPRKKSERVSWIPTPDEGP TRSSSRRQTMQNKELTHARLKDSEEKRIRLIATMEEAAKRKAKNKPKELTQAERLAEA ERVERLNSKSLNRWEEMEKRKAEERRAKIEALQNRRLEGPVISYWSGVATWADGRLTR VGKVTITPKPEKDENSRKKSKKLDKEGKPETEQKPGDPAGPGSPQGVPVTLANSIPPT TETEQEQSVQPAKPAIDAIQTTTSGNASTGSQGEVATVPQTINTPSAAPDVQLPDASS ILPGADSPQIITKETSEANEDTQAASEEPAKVINSETVQESSDRRTSQGPGSEPEAPV EKDGNTSNTEIPKVEDKPNGVAQELTVKPSSSPESASEPIPAMVTADGAASALPDGQL EGQGSAGDPMQIDQKPNTEGEPAATTADSQPADSQPAAPPAVIEHTGRCLTILENFDD KTAQSRDYSIYFNAKKPPRLTKISSSLCVITSLPSRYRDPDTSLPFANSYAYHEIRHT TAQKYTWSPMLGCYVGPAGIAARGVPDRFLDPNAKESTAKPSGTVDQPNGPDKEGDDA KPVGGGNSITPTAATKSTSAPTPTPATASAPPPPPPAATTPAPAPASTTGTAGADKSS LFYYLSALLKIVNQKRRSSPRLDLIEWFSAGVPTFSDTVDVLTIGLPTHESES ANIA_07476 MTQSHALSQHRRSSSGSSSSKSRTSKPSSYRKGVSHSIAKLGSG QVRQTIEPDGNWTPEMAPFLNYCGMCEKQITVPDDRLLYCSESCRRKDTKKPLSASFS SASPLPTYSPCPPRVGTPDIVKPLTPTKAPIMASQPVRIPTDMHEVKSDIDPTEWKPV IPTDDHASSIMASDAWKYLSKFHGDESVLPIRRAKGRNTSSTSLSTLPSLSNTPSTPS SVSSVASDYMSHMYESAHRPLPPRHKPCFSGSGSVTKGVDLVVPHISVIPDSPMDTAS TGSIVPAGGGPWKTKVGAIPAIKVLEGTGNMH ANIA_07477 MHIQALSFSIVTFLASIGVRAQVHKDPYHISAFGRPNGIDAGNK VCGGACVTDPNALACKHIEFRPQLGCFECCLSDDDLDHLDFHNKAVPDFDDDTDYDTD EDWD ANIA_07478 MAICEVAQTDPGAAGGDFSRGRAESRSQVSFVPPFLPPQRASWT LVVRKARSCVSHGLADSKRPVSSQSNGTGYNNEQAGGHQAIEREIESLEYYRTFLARL LDFVSHGEPGAVDRLFQFIRSGASQEQIFDTLAELRAVAGSGQTENRG ANIA_07479 MASIYIDEDAGRDDQTANGSESAPYKTLLHAFLQHDPSEGTQYL TRKSLTEPTGEGADPESKLEWKPATKSAIKKATNLLEQRKKKAAKEQQLAIREKEEAE KRRLVLEEAKKVVIKEDTSLPKPVRIRLDVTDPAIVKLGSPESDTPGTRVRVLGRVHR LRSQKDMIFLTLSDGYGYLQCVLTGDLVKTYDAMTLTLETSIALHGEMRAVPPKQHAP NNRELHVDFYTIIGRAAGDKEAITTRVAHDSDPQTLYNNRHLVLRGETASSVMKVRAA TLKAFRQTFDELRMTEVTPPAMVQTQVEGGSTLFKFDYYGEDAYLTQSSQLYLETCIP SLGDVFCVCPSFRAEKSLTRRHLSEYTHIESELDFIEFTDLLDHLEAVICRVLEIVLA DPVAKPIIAQLNPGFQMPSRPFLRMKYSDAIEWLREHEIPNEEGKPHEFGDDIAEAAE RKMTDIINRPIFLTHFPAEIKAFYMKKDPEDRRVTESVDVLMPGVGEIVGGSMRMDDW DELMAAYKHEGMDPSPYYWYTDQRKYGTSPHGGYGLGLERFLAWLCARYTVRDCSLYP RFTGRCTP ANIA_07480 MQTSDASNIPSRLKFSHLSADSTGFSHGAYNSNNLPLQGLSDRN HRRINIPAINTTATSSTADNMATSGTAFDMNFTPLLPSQLLLGSPFQPGTPSAFTSPQ FTNYGGFPQNPSGQGAQNQLGSPTQGSHNAGLYSNLLAAEGLGNQQILAGPQSPVGGL GGLGNTAYGSPAAAVTPGLLSGTSRTVYLGNIPPETPAEEILNHVRSGQIESVRLLPD KNCAFISFLDSNSATHFHSDAILKKLAIKGNDIKVGWGKPSQVPTSVALAVQQSGASR NVYLGNLPEETTEDELREELGKFGPIDTVKIVKEKAIGFVHFLSITNAMKAVSQLPQE TKWQTPRRVFYGKDRCAYVSKTQQQNAAQFLGIAPGYAHILNSADRDLITNALAQQSV AAAAVATSAGGVNNLGNRTIYLGNIHPETTIEEICNVVRGGLLHHIRYIPDKHICFVT FIDPTSAASFYALSNLQGLMIHNRRLKIGWGKHSGPLPPAIALAVSGGASRNVYVGNL DESWAEDRLRQDFSEYGEIELVNTLREKSCAFVNFTNIANAIKAIEGMRNREEYRRFK INFGKDRCGNPPRQTGNAGQQTRNGGGFEGPQSPSPALNGFHTLGQPGAQPSPTRPAL SPAPGSTGSQNGQPNRHPLQTVTSPSSILNNGPNNPLTMYLNQMSTQQVQEQEDRLND SLTLTALQSQPQPSHHHQQSLYNGTTSELTNGSIDAPLHQHKPSTNGFLNISNSSASS GHHATASTSSLSVPRAQHSRAVSLPSFSQEPFGPISGQPGPGRSGASHHPQSSFSGFN SALGGLNHSGFGLAIHNENSLPGWAEEEIGAK ANIA_07481 MSLPPEQISIKRRREEEPVDTLYIQSDLHQTKRRFTDFVFQRVT VNGNNGYNAHGTSSAHLSHSGPQRVIRTPRSVSSLHQPKTTNTASGPVVPMVRATSPG AELREQKRIAAARKQAEDKLANALHGSPGSLKPETEATTTISHKSDSAPTREGVVSSA STERGTPSIRRFQISRSGTPMSPLRTTGGGVQKRRADSAVVVLVEKLRREPHSRRASL VADAAARADIAMSLAQEETPSRPRKRPVVNQAEKKWRAERQKAISAAKDHISQVLEKE AQARQSNWEEESDRLASQFERIALELDGEMEAEPTSSNQYAAPSPTRPAVPKPPLKHQ PRVPNKHRTAPPAPEPERVNHQSEHEDGGESDEDYVYDTYIRHRLPDKGFMDPLASIE LDQETWLRHHGIDSSRQDVGVIVITPEDEMYWDDFAEDEDDEDKWDSEDGDSNGELPS SLMRMPEANGTALIAENNPANDYPDEELSWDDEEDDAAAIYRKYRHGSDDEEFDWDGS GSEGGADGLGHRYGRSHVESDEDW ANIA_07482 MTELSPRPSRSMAIDSLLNPQGEAEKAGSISLPSMHYGAGSGPL TPHSQYPPYYPRSPTHYFHGSENQHHHRNHHHHYNPYQHSHSQIQDHRDPYASYHHST NSSPEPYSRDRYSSVSSSTSSSNGHHSHHSHSNGTSVSGSGGGREGRRQPRPKYEEEE MYFIWYQRVDLGQEWKEVRESFNRQFPERPRKGFQGIQCKFYRFIKEKKCPTLREQRR MRDGEFVSGQAPHALSHPSSDSASGYGGKPQFGVVEWMGVWYPWMRENQEEVMRKRLA R ANIA_11564 MICLLLGTDTVRSGVRNLLVEKRQSPHSTAWRAAARI ANIA_07483 MSRLLHPDEYELDLRSSIDSQGTFNLDEADFESQSIPSRSKLLH RAPLISRIFGSNASGYRRLDPSKPFIRASRRSNCHRRCLSRRACFYLQSFFGVLFALV LLTTAFFPSYSRPPPHYDALRDAVNNSPAPGRGNPRNQKIFIAASLYDRGGDIAGGQW GKDVLRLIDLLGEKNVFLSIYENDSGPAGAGALLDLEAQVPCNKSIVFEDTLDLDSLP SVTFPGGSKRIRRIEYLAEVRNRALRPLGAHPEVVFDKLLYLNDIVFDPIDAVQLLFS THVDDNGIAQYRAACAVDFINPFKFYDTYATRDLQGYGMGLPFFPWFSTAGNGLSRKD VLSGTDSVRVRSCWGGMVAFDAQYFQFQTAGPARNETLRFRASDDAHWEGSECCLIHA DLQDVPIDPEEITDTGIYMNPFVRVAYDSSTLSWLRLTRRPERLYSFIHKFLNPLVGL PRFNPRRTEVLGQRVTETVWIPDGNNDGGGSFQEAKRIASNDGFCGRPGLQVIVENRK EGQAGWESIPIPN ANIA_07484 MAGFASANLLERVPEDEVLPAEFTVKAPPETDIWAKPPSTERFN APILYKSIPLDTFKRARVAFSANWSQKYDQGGLIIVLNGNSGDRKWVKTGIEFTHDKP HLSTVAKDRWADWSLLPVPSGGTGATLEVVREPDDSLWIYLIQGVQKSPIREVTWVFA EEDVRDAWIGVYAARPSSAGGDLVVNFASLIIEVTDSTTK ANIA_07485 MPLLEPSATAYGTFGDMRPDTEDEGERLLTDGYVSDDDGSAVTS VDSVQEGVRKIEAINITWTTRSLVIAYISIFLMAFCTSLEGQTIMSLSAYATSAFSKH SLISTVLVVQNVVNAVIKPPMAKIADVFGRFEAFCVSILIYVLGYIQMAASTNVQTYA SAQIFYAAGSTGLQILQQVFIADSSSLLNRALLALLPELPFLVTVWIGPTIADVVLEN SSWRWGYGMWSIILPASFLPLALSLLLNQRKAKRLNLIKERPHHRRGFVAAVRRTWYD LDIFGLALLSAAVTLILVPLTLAANTKNGWKSNSIVAMIVIGVVCLILLPFWETSKKL APKPLLSLHLLKQRTALAGCCLAFFYFMAFYFSVQPYLYSYLQVVQGYDVATAGRVTQ TFAFTSTIAAFGVSILIKYTRRYRVYVTLGCVIYMTGLLLMLLYRKEGSSPLQVLGTQ VIVGMGGGLLNVPVQLGVQASASHQEVAAATAMFLTSMEMGGAVGAAISGAVWTHNIP RKLNLYLPDEYKSEAGAIFGKLTKALSYEMGTPVRSAINRSYQETMNKLLVLALLATL PLIPLSLLMSNYKLDKMSESSDHDDASPRNGLGPGERAKRT ANIA_07487 MVVATIKCVVVGDGAVGKTCLLISYTTNKFPSEYVPTVFDNYAV TVMIGDEPYTLGLFDTAGQEDYDRLRPLSYPQTDVFLVCFSVTSPASFENVREKWFPE VHHHCPGVPCLIVGTQVDLRDDPAVRDKLARQKMQPIRKEDGDRMAKDLGAVKYVECS ALTQYKLKDVFDEAIVAALEPAPKKRSRCVLL ANIA_07488 MKLTWAGLWALAGTALCCSHHDEESVPQEVREELLKKWNQEWSF SGHASFAHLKPVKCLVEPDEKYDIAIIGAPFDTAVSYRPAGARFGPRAIRAASARQMA GTSYNTRAGINPYSSWATIKDCGDIPITPFDNGLAERQMYEAFLELGTRSPVTPASSE YGSKGISAGKSKLVTLGGDHSVALPALRALYQIYQKPITVLHFDAHLDTWNPVRYSAY WQSEQSAFNHGSFFHKASREGLICNSTSAHAGLRTRLTGIDDSDYTAPGTPEQGFMRI HADDIDELGGPMGVVNKIMERIGLDLDQPVYLSVDIDVLDPSTAPGTGTPEPGGWTTR EFIRILRGIEKLNIVGADIVEVSPSYDNKGETTALAAAQVAYEIITSMVKNGAGKDLG GWYGRKESVTQESVPVVDAEAEVEAEVPEPESVLKDEL ANIA_07489 MILQLSPSISNVSTSRKGRSITGADASKQGVRRTWKRLLDGHCG IVNIRHRDARYADLPCEIAAVVPSGARAEGRWTASEWLSKDDERKMARFAQYAMAAAE EALEDAEWKPKAEDQLEATGVCLGSGIGNFDEIYDAVVAYDTKGYKKLSPLFVPKLLI NLGAGHISMKYGFMGPNHSATTACTTGAHSIGDAARFIACGDADVMLAGGAESCIHPL AIGGFARARSLATAYNDRPEKSSRPFDADRDGFVVGEEHAKARGARIYAEVKGYGCSG DAHHMTAPKANGGGALLAMKKALKNACLEPSAVDYINAHATSTVIGDAAENAAIKALL LGPGGKQKAADVNVSSTKGAIGHLLGGAGAVEALFTVLAIHENVMPPTINLDRLNDGF DFNYAPNEAQERRIDLALTNSFGFGGTNSSLCFSKHGL ANIA_07490 MKSDSSPILYQNSSSTVFLVDIPASIARAQDLLPQPHPDISDGT RSTPTAYLHRNKHKSRHLLSTLPLKQPYAVPNEPKSKSAQAKILARIPQSESEFHEAI RPVVLDALSEIRQNYSQGSDWCLPRHLLGGANGFNPGANPKQNEDVRFKQLDKTQEGN NTRENRKLPKRGYNHAFNREEQNHESLAIQVLPRLRGSGFTADTYDTVQIQHQPPLIL SPGKNRFEYGDELCNKLVKNTSSDAAIIELRSLSTVKSGEWLSPDAEHIQNQGQVYLI PPLSRFILRDLPISKELDYTNSSPIPGLDPEQKFNLIVLDPPWANRSVRRSGYYQTQT YHDNELLMGYISSVLAVHSYSHPYLPPQIDDTADLRQYRSQSGSNLSTAAIWITNSAK SRAIAHSALNESGFSVCEEWIWIKTTVQGKPVTPIEGLWRKPYEVLVIGKREPEPATT CIRSTGAKAVLRRVIAAVPDVHSRKPNLKAIFEKIFFTASSESADIGLDTVTGISDQI IRYTALEVFARNLTAGWWACGNEVLKFNSESWWVDEDG ANIA_07491 MSDPDVPESNKAFVPLENNPEVMSHLVHQLGLSPSLGFTDVFSI DEPDLLALVPRPSQALLLVFPVSKSYESSRIAEDSSLPEYTGSGPNEPVMWFKQTIRN ACGLIGLLHAVSNGEARKHILPGSDLENLLKEAEKLDPIRRADLLYESKALESAHADA AKLGDTKAPGAEDDVDLHFVAFVKGEDGRLWELDGRRRGPLERGVLGDEEDALSERAL ELGVRRFLDVEAKGGNPDLRFSLVSLGAVFD ANIA_07492 MDSNSGKKVAAEALISRFEITKLLKQDQNGRRIVIQGSIDGQQG LLIAERAAFATESLAVLKAFHSSITRIHNLGDNDIYRWYLASSGIDCDGHQSHDLKLN VIWPCTEQHIKKYSDQVLRMVTETPEIYRDYVRPYMQEKREEGRLNWVFNILEGRTEQ EDVMLRDDGHGAEDAFLMLPDLNWDRKTLGSLHLLALVHRRDLWSLRDLKKKHVPWLK YLRKRVLEGTVKMYPDLEEDQLKLYVHYQPTYYHFHIHIVNVMLEAGATQATGKAFGL ENLISQLETLSGGEEASMADVSLTYYLGEASELWTEIYAPLKRGEKLAGK ANIA_07493 MYAIQPKQTTSESKDTESGHEFTPNILPCRIHYDGSVESLNRYW TPSTDEKDKDLQTAYFRGRRLRGRRVQLPEGYEGVVVTHTEREIPNATDNVTVSKEGE NNKAVKLLEKQATFGDYVVWGHEVIPAADDSFVKGVEEWVKFAEVMHSDNGYEKKAT ANIA_10955 MELKALSSNWKKLQETLKKDVSTPPTQKRKRSDREPQNDLVKKR KSAAEAGKRNSTSRTVQAPKKQKRMSQGTPDKNASANETSNAVVSRENEGRSPNVEIG KYIAMDCEMVGIGPDPDNDSALARVSIVNYNGDQVYDSYVRPKEMVTDWRTHVSGILP KHMAEARSLEQVQKEVAEILEGRILVGHALRNDLDALLLSHPKRDIRDTSKHPPYRKV AGGGSPRLKILASEFLGLNIQAGAHSSMEDAKATMLLYRRDKDEFEREHLKKWPVRAL PESKENGGDEKKKKKKKKKTRKR ANIA_10943 MSTLVQAPQQYGQPSRKGKKAWRKNVDVSEVQEGLRLLKDEEIK GGVLAEKPSEELFVIDKKGSSEIRDAYRKQHKKPLKSDEILAQRSAINAVDTRKRANS KVTDGVIEPKTKKHKSDWVSRKEWQRLKQVAKDGNPLGRSSESGFFDPWADEADPTPY DDPQFDYLEKPKQKVAPVTLKQAPISLAANGKAVPSVRKPTAGTSYNPTFEDWDELLQ EQGQKAVEEEKKRLEEERKERERQRLIAEAKDDDGEAKSDDESAWEGFESEYEKPEWL NKKRPERKTKAQRNKIKRRKEAERQAKWEAQQKKKEEQLAQYKAIAERVKQQELERQE NDSDADDSSEEGDDTKLRRKPLGKLKAPEKPTEVVLPDELQDSLRRLKPEGNLLDDRF RTLIVQGKLEARKPVTQPRKAKKEVTEKWKYKDFKVPGLP ANIA_10944 MPVVKGGVWTNIEDEVLRAAVSKYGLNQWARVSSLLARKTPKQC KARWVEWLDPGIRKVEWSREEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQKLL DEAEARENDELGLGGPGTEASAPSADDVRRLRPGELDPDPESKPARPDTIDLDEDEKE MLSEARARLANTQGKKAKRKARERQLEESRRLAVLQKRRELKNAGINIKIVTRKPGEM DYNADIPFEKPAAPGFYDTTEEEARNERQREMFDPRKQQLANKRKGDQDEEAERKKRK NDKNSNSAAFAAAARAGQMQKIREAEQSSKRRALVLPTPQVSESEMEDIIKMGMAGDK ASKMVGDEEGTKGLLGNYSAMVGGTPIRTPRAPPEEDHIANEIRNIRALTETQSSLLG GENTPLHDGGSSTGFDGIAPRRQQIVTPNPMATPFRQGNAVSATPVPGGAGPGATPLR TPRDHFSLNKEISGGLPIGSTPREIKMRENLARQSIRGRLAALPKPKETEWELEQLPS ESAEPAGATEYPEEDSAVRDAREKEIRKRAAEAEHKRQTQVYQRSLPRPVVLDIDALM ERASRVMDPITGLIAKEAALLVANDACKFATPGAKIEGKPRKLERLDDKYLEEARAAI ASEASSGKLEEWSNEFDAKWSSSRQDTLPGLSNYLDDDEEDAYQQEQRIIGVFDNVQA SLLATAEDGNKLEKKLALHYGGYQNRAKMLRAKITEAHTALEKSKHELDAFRTLQISE EAAISRRLEKLREEVAFVMRREREAQEQYRCRKDELDDLVASTGGMVNGWH ANIA_10956 MGVRDSHGEAAGTPDPVEKGIATLNTIRIGVKAMVHKDGALRKA EILSIKQRKDGLAFYVHYVDFNKRLDEWVASSRLDLSQEVEWPQPEKPEKKKSGPAKA PSKNKRVRAGSRDVSATPDTLTGKNTNVGKAQRPSKAGGKENRGDETPADLSMLASEA VSADGTPKAVSEDIDMMDASFTDAKEIKEEERALGLMSREEEIEKLRTSGSMTQNPTE VHRVRNLDRLQMGKYDIEPWYFSPYPASFSDAEVVYIDEFCLSYFDNKRAFERHRTKC TLTHPPGNEIYRDDNISFFEVDGRRQRTWCRNLCLLSKLFLDHKTLYYDVDPFLFYCM CTRDETGCHLVGYFSKEKESGEGYNLACILTLPQYQRRGYGRLLISFSYELSKREGKV GSPEKPLSDLGLLGYRQYWRETLVEILLDSGRETVSENELAMLTSMTEKDVHETLVTF KMLRYNKGQWIIVLTDEVIEERNKRLEKEKIKGSRKIDPARLQWKPPVFTASSRTWNW ANIA_07496 MAEQNGHDVVNLTLSGGDPSPSDVPASTTDKEPAGGDEGETNTI ATTSSETKTNIGSEDQKTHEEMPMGSSDKDKGGEQTVADASKQGPSSVAAKVLEMNGV TSTSDGGEDSASLGGSESDASRAESRVNSRAGSTKRPTTFKPVSFAKFSVPKAPGTQP VAKVPEKTPLSSGTPLGTPSQNPRPRLVAKTTSSLHSLSKTGTSGTRLGGGGPDPSQV WNRNRPVQQTPPKHLTDEELKQQYGIHMTSRIQEDGGGTESKWADIDDDEDDWAPETI EWNDGTKTDLKAEPAHPEPAPTTTQPTTKTATDSKPASPVVEQTTTAKEISKKIAKPV TSIGPNPTVLRLGASVERQARTAAFSAKGPNNEKPPSSSTSPAPPTKSPWAPIPPVEK ASPVMPPVQVQAATRPSPREKPNDGFGMPVAPKEIAADDFNRSWKETQPGTRELYNSR SGRYEPVPEPRKGGNYRNEQSFRAPSVLQRPTAPDEHAGPAEPSAAFQTHRTSGQDGG HWTRRRTSSNVSGGSGSFGRRMSIGRPDLAQRTFEARRGSQANGAGEPASHAREYSHD PQLRDVSPMRHGVGPSWPSRDSTMAAERGSSMAAGGTQPPATDGQPRVPQPAPEDAIA MQERIMKEKRLEARQRRLEQEAQEEAAKRERIRQKLEALGPPPEKPRAKSKGSPEVNK VESTTTSSAQSPPKPPVPEPTGAPKQYGMMKVHHPDTVKKLIERERNQDKPAPAASSK RPPSPSREPKADAVATNGLQPAPESTGQAEDMAVEQKVDEENAQWKSGINVPNYTPWT ANNKLVGPAAPITNPWKPFTNDKTLGNGIFDQSLGNFPARDLTLRNHLSLEQSSLPPS SQPFPQTTRSAQESTTISPLPSPETRHASYDTLSPIARPGPIGPPRTQQSHWQHETRT AAWNEFHAVATKREAEDNEKLRSDLNARENQTTLPATFNETWRQVRPGDQAGQRQVVS ISRSTDGNPPLVNALTGLEHPVGSLPFVEAHARPLVSMPTRSSRFFPQATENRKPVFE EVDFLRSPSPPPPEEVSTHPAYFGDSNRPLVHLPAPKPVVKLPPKVVVPPPPPPTFAS MVASNTRPNPASTATNWQERINTLFGKKTVPEKRHALAVSSASKEPLDVQLHVTAVSV SLPFNGETQFGDGELTARQVEEAEAMFEDREVGSLPVVRVPTMAPPAAWRAAPAPSQA RLRAKVLKTMQIQSVEPFWIGYRDKNASAVNQILIRLPGAVEAKSVAAPRKAGSYPSP RPRGQSSYKSRKNTKPRDGASGSNFKRAASQQTNGNSQSQRQLRSAQ ANIA_11565 MSYIARRGLSTLIPPKIASPNAIGAAKDAARMDRVVNFYAKLPR GSAPETKPTGLIGRYQARYFGDKPSAAPLAHAIGGILILGYSMEYYFHLRHHKNHPH ANIA_07497 MSFMRAGNIACSRVGRLVTYRNTRMLSATAFRAETSVKPSSSVA DAPTTPLSESTLIQKETPAEAMTRHQPDYDATVDHGTSKFSPVPKRVMDGSEPGETVP AAVLSGAPTDLQARTVRIFRPSKPATQSGEWHSHHWRMDWDILQKGHRWENPLMGWQS SADAMQGTHLNFKTKEDAILFAQKQGYEYFVQEPNERKFVPKAYANNFVHEPKKLKHI RTK ANIA_07498 MTTDNLNSADTTVQTLRNVLTSETEPLARRFRALFSLKHLACLQ PPTEKTLPAIQAIAAGFSSASALLKHELAYCLGQTRNTDALPFLLDVVQDTQEDSMCR HEAAEALGALGYESSLEVLKALRDNENEVDVVRETCDIAVDRILWEQSEARKAEKLKP SDFTSIDPAPPMPLTAKEPSIPDLEKTLLDTNLPLFERYRAMFGLRDLASPPDLPTAK QAVQSLAKGMKDPSALFRHEIAFVFGQLCHPASVPSLTETLSDLNEVGMVRHEAAEAL GSLGDVEGVEDTLKKFLNDPEKVVRDSIIVALDMAEFEKNGEIEYALIPDSGNPAAVP AA ANIA_10970 MSTIGSLVFCTDCGSLLEGSVGDPTRILVCDVCGTRNKDTVPKT IVSESKPSSFPSSLRAKRSAIQTLTQADKRTEALIEKDCPKCGRKEMYFTTVQLRSAD EGSTVFYTCVCGYKETTNN ANIA_07499 MDPNWRPAVQVVINSHVQGQAGVAMGQAQRRERPLAVEEALQYS PMYSTPIFGLDCILRPDVGRPPATTSINHILQSGRTALNDLNDDIRSGCHESSRLETS REYLQHLLDGEKLTEFKFKLPTGNRNTTPSLNASHNLVSSSNLLGPFSKMMLDSTDIA YRYPTPSDMDAGTQLKNSKSNPPQTHKLIPSTPKHPNQPASQLSVVIPVKPLPTAEQT QVAPKKRNESTGGDDNVTSIRLRAQKEEADAALVKLQEILHEIFEVEDQLDSWGSDIA PDGPNMIFTSLQSLESSGPILSPDAHSRLQKAMKKVVSFNRLQDIPSDYLNRIQKFCE RPIIAAQAPDLKLEDASDETASQDWLKKLDDMHNALLAVNTLLQTMSGSQTERDLCPE DLIEAIPNLLNQVFDNCIIPAVEARPGGKDGKFFELCSLQRRAIGGVIQQSKKVLSLF AGFLARIDVSEGAITATEFFAAKLIFVENSHTEKDSAVGSQKYESVRRGAMDILAKIF SRYPSQRAFILDEILVSLEKLPATRQSARQFKLADGKNIQLLTALVMQLVQTTALDTP SHRSAKTKRKIVKSEGEDDGTDDADETIDDGDNDQSDVSLARLAAKVNGLYDNALRSA QYIIKFIVQRAMTSTKTGDQPYRNILDLFTEDLIGVLSSMDWPAAELLLRIMASHMVS IADLEKSPATAKSMALELLGWMGSAISDLISTAQHLLPAMEESETELTDSLKQLFDDY TNRALHPQDLVVPNGPYRVALEYFLNDSNSNNWQLTSARGFFLTQWAKTFCSIHYNSE VKDEVTYDDATEGAVHLFAKLFSDSRWLETNRNFDNVSAAQGKFAYILTVLNSSFCKA FDTILKVLLNSITSDQAKVRSRSLKSVIYMLEKDPSLLDRDASVMRVILRCATDASPM VRDSALSLIAKCIVLKPNLEEEGCRSILACSGDAAAGVRKRCIGLMKEIYLRTSRVEL KMAILDSFLQRTVDLEEGVAALARQTFEEIWLTPFYDLVDAAQDIPKLKVGLAERITL FVHLVQRSDTALEALGPCLGKLLSDSSKTSTMNFKVCKAMVATMFEKLIEGNDSRKEF QQALLQTMTVFAKVNPKLLRPDQLDALHPYIGNLATAEDLFLFRSVVIIYRCVLPYLS NSHNTLLKDVQNDLFKSVAKLARAELNEVMACLWTINGVLRNTDRLVKLTISVLKPIQ QYKSVDLSSSSNSVILARAKSYIRIAGCVGRHCDLEKYEPHFRNAVPGWKGGSVAGLM IDSIIPFTLAKQPLEVRVMALESLGSICQSWPAHFGREEPRRVLAAVFEEDNPSLQNI VLKAFADFFAMHEGKAEKSIVPVSEAAEQQNTTRLGGSLKASDNDGAAALIAQHFLKN MLRVAQSRQDSYGLTAIELIASINRQGLVHPKECAGVLVSLETSTVPAIAKVAYDTHK MLHQQYESMFEREYMRAVQEAFYYQRDVVGDPSGATARPYVAKLAPLFDIVKISNSRY QKKFLSNLCSKVNFELKKLNSSGNPPEHLLLARFISQNLAFFDYNQLAELVATVGCLE RIVSATGTIVAHAIETEIFPPKQEAMQGDEVSMAVSAETVSSLPLPNVNPATLRHLTV AAASLSMLWEARTHLRRLYGVTAHVRNDAKAAAKETNKSATKVQGVNGDRFWEAISRN MSSLDSQQNMIDKCREFATLLSIDEEFKIGSDEDAEGDFDAAVEAEDSGATWVAGQRP PKRKGSTSSQNPPKRPRGRKSGSGKKRSSASATPDGDADQEH ANIA_07500 MSATLLASRADTIALRRIGLLSRRLYSSNSPISSRVASIQLANY NHRKPIPQCLASRAFFEPRYSLRHNSTATLKEHGFARRFRIKNIVVKTFAYCGFFIIM SSAAVIAFFIYDATTYRESSSAEDIPVSELALNPRRGGPKNLPIAEVLIGDDDSEAMQ AQKDKPRLVILGTGWGSIALLKELNPGDYHVTVVSPTNYFLFTPMLPSATVGTLGLRS LVEPVRRIVQRVHGHFLKGEAVDVDFSEKLVEITQINHKGEKEQFYLPYDKLVIGVGC VTNPHGVKGLEHCHFLKSIDDARRIKNQVLDNMELACLPTTTDEERKRLLSFVVCGGG PTGVEFASELFDLLNEDLLYSFPKILRNEISVHIIQSRSHILNTYDEALSKFAESRFA RDDVDVLTNARVKEVREDKVVFTQLEDGKTVTKEIPMGFCLWSTGVGRSDFCSRLSDK LEAQNNKHALETDSHLRLIGAPLGDVYAIGDCATVQNKVADHIVSFLRTIAWEKGKDP QKVHLTFREWRDVAARVKKRFPQASNHLRRLDRLFEQYDKDHSGTLDFEELSELLHQI DTKLTSLPATAQRANQQGQYLGRKLTKIAAAMPGMQANQIDYGDLDEAVYKAFNYKHL GSLAYISNAAIFDFGGLNFGGGVLAMYLWRSVYFAESVSLRTRVMLAMDWAKRALFGR VYCSMGMQSAISHRLCQSSY ANIA_07501 MSATNYVSPTSSTSTPTWHHFERKVDEVKPSKTDMNRLVMDYLV TNGYPAAAQKFALEANIEPVDVESIRERVEIRTAIYSGNIQAAIEKINELNPQILDEN PSLHFSLLRLQLVELIRSCSVNPDGDITPALEFATSQLAPRAPTNPQFLEDLEKTLAL LIYPKENLTPSLAPLLHSDLRRDIYAKVNAAILQDQGARTEALLCDFARLYAWAEQKA REAKKDIPDKLDLGLGESNNSNSTLDNTANAPQLGASNDTVMTNNGDVDSMIS ANIA_07502 MESISPVYSAATKSDKRYSPPWADLSIIGIAGSSGSGKTSVAME IVKSLDLPWVVILVMDSFYKSLNPEQHAKAHRNEFDFDSPDSIDFDVLVQCLRDLKQG IVELLDVKAVELSDGSQIVLRDVKERGRDIDGIIKQWFNYVKPSYKKFVEPQRSVSDI IIPRGIENKTAIGMVVQHIQRMLEEKSERHSATLQELRRITFEEQLSQNVIMMPQTPQ SIGMNTILQNPETEQVDFVFYFDRLAALLIEKALDYTSYAPKEVETPQHNMYSGLKQE GIVSAVAILRGGSCLETALKRTIPDCVTGRVLIRTNETKEEPQLHYLKLPPGIEQHSN VMLLDSQMSSGGAALMAVRVLIDHGVPEDRIIFVTCAAVQSGVKRLAAVYPHVKLIVG RIEEESEPRWMEHRYFGC ANIA_07503 MSDRKKSKDGSRGKRAAGPVITDPRFANIQTDPRYRLPSKRHTH VKLDKRFAHILHDKEFSRNAAVDRYGRKLARDDTKKHLERFYRLGDDEGEEEDDGEDD NVSVDDDEEVLKELKKADTKAAGYDPARDGGFSESSSSEDESSEDEDEEEETDEDALG GEELDFPDKQREDVPVGEVTNRIAVVNLDWDNIRAEDLMAVFSSFTPTGGKVTKVAVY PSEFGKERMEREETEGPPREIFATQDEVNDDEEEDEDEELDSEEEEEKIKNSILKEDQ GEEFNTTQLRKYQLERLRYYYAILTFSSKEVAKHVYDNVDGAEYLSSANFFDLRFVPD DTDFSDDQPRDECERIPDGYQPNEFVTDALQHSKVKLTWDADDKSRKEAQARAFRGSR KDIDENDLKAYLASDSSDDEEEGGVDIIDGIKGEGSKTSQKEEERKRMRALLGLSEEP ASASKSDGPVGEMEVTFTSGLAGEPDRDTVFENEPEETTIEKYLRKERERKKRRKEKI KGNKGDDAADDDNKDAAGEEKQQEDEDLGFNDPFFLDPSDKAATAARRKEEKRRKRTE RAAEEAAAAAKRAELELLMMDDDDAKSGMRHFDMNEIVKAEKQARKKKGKGKQKGEAA PVPDNFEMDVGDPRFSRLFQNHEFAIDPTNPRFKPTSGMKALLEEGRKRRRDRDEGGD EEDESRNGKKQKQRSKKSASAEDGTDDLKKLVEKVKRKTKA ANIA_07504 MLGRLLNTAASTLNPSAYSSRPAPQLESVTEEEHTSGLLFPDAS VLRRSNTHAFPLQTAFNSPNVSAAGAYDDRGGVELDPQKDFRVVIAQNSLGDREPCIL LDTKAEAAEPGPPGPGIDSQAFENPGHRHARTMSSLSRGRRGHLTQSSLIDQSPLATA ADIRRASPTSSSAFVRARGRSSTMAPAGGLQEPAHSRQPTDMNDAGLLNCIFGSSAFS YRGSSTKMHIISGDDDAIPTGNASPGTRNSFTRSYTTGGFASTGRTDYKPRSKVTILL TRMFSVNLPEAGTASEGRDLGTSLIQESLPETGYPFPDVTKRKKIKEKKTPMYAVAIT IRIPVLSRNTARPVSRFSTQGQDSPKPGMSCSLDSDYRWRGGFFDENLASAPASLDER IDMLVDHWDVINRTLSHLERLSRKEILFLLKRADSSGIHPKPAKPPNMQRTNQTFLHL PANVLALNSRLKQEASRSARRISTALQIPKVITGQSRWGVWREEGRSIVRSLGDKDHS FFFLVLITAFLGNHTEWLNALGPDWYRRRHYLQQKAQQDAEPALPYRTVIVSPDKMTA RRLIFLLSAFLPPKQRFEPLPSPLRPGTSASTRAVSQSPPSVPVLRQEPLRRAIERRS RAQRLNLADRDHNRSVSASSNETAHRSTDDAEHDFSIYRRGSDARSVRTIGIPMHPKD ARNKNTSTATISTANPGGAVPVPHFASQGREPSDQTCGEGVDSLASETLLKSLQRSES STNSANSSVPTTGGRWGSLFSGLWSSRQESSTDYGTAGQPLEHRRRSTSVLNVPKRNP TTLQQMVEEASNEETHQIATSATISIPQPPNAQRKFEEDAPDLSSSTTDNPRETSLKL AVREEDGIVDVELPLPGFVSLSSSGESTMASPKKTRTSITSLDALGSTHSSGSGFHYQ TKDVDGPTLNVAGWLKNFHDDFVLQGVRPYSSLESEIRRAMQSEPTPSNAVAADTDGS EKWVDVATTLIADVRTFSVKRLRLKRRAIGGGSVRSPMSSSGMSTPGTPRQVPGCSMS TSQLSGYFSSSMASATPSPRPRFDGYNAGEVEERFVEEPVMDLDGTLVDALERVLAQS GPSSLAPTRASSPSRSRKENDRPAEYTATRDEVPSLEAPRTECRRLVLGALEEVVRSV TAEIAVRMMESLIWRIESANV ANIA_07505 MKFTEGMWLLREGIRIDWMSNVERLNVDKDTVNLLLNKFQRHRG DTLNSSTVSARVTSPLEGIIGVKLVHWAGGLDNGPHYELNTSAGHTEITHEKGKNLKY TSGRLELDINIAPNELAFTFTTGADGQDKRKKLTGHSFRSIGYVGDSTTPKSQLSDGI FYERQGYTLAELDLSVGEKLYGLGERFGPFVKNGQSVNIWNEDGGTSSELAYKNIPFY ISSNGYGVFVNHPGKVSLELQSERTTRVNVSVEGEELEYFVIEGKNPKEILKRWTDLT GKPALVPAWSYGLWLTTSFTTNYSERTVTGFLDGFKDRNLPLSVFHFDCFWMKSYQWC DFEFDADMFPDAAGYLARLKERGLKLSIWINPYVGQASPLFEIGKREGYFIKRIDGSV WQWDLWQAGMAVVDFTNPAACSWYTGHLKRLMDLGIDTFKTDFAERIPFKNITYHDGS DPARMHNYYALLYNKVVYETMTSISGKSNSLLFARSTSVGGQKYPVHWGGDCESTYEA MAESLRGGLSLGLAGYIFWASDIGGFEGTPPPALYKRWVQFGLLSSHSRLHGSSSFRV PWIYGEDCSDVLRDCVKRKISLTPYLLAEALNGHRSGTPLMRPMFMEFPEDLNTYPLD TQYMFGSNLLVAPVFSDEGIVTFYVPRTPEEEGRKQWISWFDHGKKYEGGRWYTETHG FDTLPILIRPGSVTPINYKLEKPEGNPLDGLEILVNGSIDKEVEIEIVDPETTHKVLK VMTVSERETENGVEVIARLDGVDGNENSVKVNWVGHGVTK ANIA_07506 MSMRGSMRRSHLRQVSAASLDSVSSRSVDTAQHDALQLSDHPSS SDGKTYKFSSTGLDRRQCSLWVHDETFSKEEILFNQAAFADTGVRDGDLIEILSARTV VDGPSSGQSQSHSFNLAVKPDMRTRSMRDVHGHGHSHVETASSSRSHAMSKFKTPLQT RCLFIAKPLPNEIRTKHPKLELSVTSSVANIFGFKNRSTVYISIVEQTQCAASHVDIS FRDQFLVRSDMWRLVMSELAGKIVYKGQKIVFMGSIKATVKNIFIRGKKVLSGFFSPQ TIPVFRSESAKYVLFIQMSREMWDFDSEGTGDILFSRVINGFLPELFKRWANADARHL VTIVLFTRVEYDASITGLPSTLNSENLKNTSEPNHAPTRDFYRVVVNDMASGHWTTIL DELKKDFRTFLRDVSILKVPEDPVASTSASTTPKATIGGRPSTALRGNILEAIHLASS HLAFDHIDRDMVHTGTSIIVITPGSGVFEVSYESLASTTEALTNRGIAIDLVCLSPMP LHSVPLFKYREPNRGGRSSFSYGGDIQGGGYSPEMRHPFASLTNRTHFSPKSTFSSTS PASGPRGPWTRANDWSYGIPHWLDISYWNPETYRESRRILKKDPNAPIPFTVTKKSKL FKSRVRMYEIQMGGVMESEQSNISIPYLLEDQITSRSLNVPPKTSFRRNSHFNHQLSD SLRPGSFLGNMTNPKETILSRSRSASATAAWMDNYDDNVFRSFSKRNHRRKQTKPKRP SEPEVQVSTTHDRLSARSITHLREHETKPNEWPRKEAAPKIPATKAVSPRKPALKAPS KTKVPRISRTISFALRGLGVAPPRAQASTEVNVEHATGLPSSNTKRSAGSLRESKSIE SLSAASDSTSTATVVEASPMPSTPPRQRKSPTITPSRPISIRVPLKTPIEDSDQPTRS VAESFGTNGADLPITEKASLEHQTRKSIPRFELTTSPGLRESSAKNLQSRLLAPWVRS INPCNTPREVSRDTSWFGRWQHAYPRPPHVAVVKWKSLKSPAVLPLTTEEFPTASELA SDYLQTPYRVFPNDDAEGIEAPKTTGLLMREMISLRLSHGFQIVVGNNVVEASGRYAL RSPNVFDTQTLEMDGATVFLSKGHSIHRLVCTGGNEIEVTRFVHRSLSDLVSEKKNGL DIVYSLAMRTIMSREYDIKHINLRSSAEEYNWNYADNYIAGHRDYLFNPAQQLHFWRV RFVLIPVRLHVHARRHMQPINEDNEEEIHLLGINQLTLIWQRHKYVPPEEKRIETSSK SRDQNPLNILYQTRNPSEVVAAELNLLADPGLESSPAQLLPESELLERSSISLSSLAQ IVQSDKGVRMMDRRWHWRLHYNCFIGSEFTTWLCQNFRDIETREEAVEFGNKLLELNL FQHVEQRHKFRDGNYFYQISSEYRVARPESRNSWFPQIRPDKSIPSTPATADNWKDSP LSAHSRSASVDQNAPQTPTTPSRSKSKASVMLSKTLKYDVDPRKRSNRPEVIDLHYDR LHNPDNCFHIELSWMNTTPKLIEDTVQSWAATAEKFGLKLVQVPIAEASAIDQTQPFR QPYRVKLKVPPPKGPSSTIFNAASFSQQDAPDPHYFQKLILKKFDFVLDFEARSAFPP DVEVGYSWGRPDYRYSQYIHQSGTLLVQITDEGDFLVLANRLVSTRTVPFTGTRDRDH RARAGYDPMGTIERDRLSPRLSPLVRPIHDIAGPASPMAHSSLDSASLYRAPEHVLHG FEEFCNDPVRLGQLYSESFVYPVSAKAAPTTASCVDSSIPSLELPAPVIGHHISPPPG TPVRPETRTRAASTSANGSLPLIDPRSRQREESSVARGSPRSASIML ANIA_07507 MGDPFPFSSTPSSQFPENEPVYGWVASGFSQTSFPSQGPFEATS NQAEASNASAIVPPEHSLNSKVAIPRSVSSTAAPTRGRVSRACENCREQKVKCSGQRP SCQRCQESGIQCSYGDRKREKLARRLSDLTTRVESFETLLQIIYPNLDSLSAQYVEQI LSDQYDSDQPLNHLPSELPYPLFTTDPGSPIGTIDFTDEDFNRDEKIQAMGFVGEHSE MAWMYRLKKTLHHFQQGPLGKDLDRNYVTKLNYFLDDTEVVIMEDVDLFQRPLPAVAD RLVESYFHLVQPYFPIVSKATFLGQYKSFYSTPSVRPGKRWLAILNLIFAIATKYVHD SSKDTEANAHDHKIYFSRAWKLSMGDVTLLDHPNLQQVQVEGMCAFYLMTVGQANRSW RLSGISVQSAVTMGLNLRNESNIIFYSSREIRYRVWWAVYVLHVLLCVMSGRLPNSTE DSCTTPLPVPFAEEEFSRNEVEQLIADHEARTVFMQNLVSRSSTQSAERALIPELTRR QTPSPGRLSDRIASAAAHVLTPNVSLHFLHFVELGLIMRRSIEALYAPGAGRKSWRSI EMVISALNSRADSWLAKLPAEFRFLQGAPACERERLNLAFSYYSTKILITQPCLSRIL SQASWDNQNESFCTAMAAMCIDMASQVLDLLPNTLDVAWVCRMSPWWCIVHYIMQAIT VLLISLLIKEKANAGQNSRTIDNVSKAADWLSVLAVKDPSAQRAWSAIQDLLAHRGFE TVMKSSRT ANIA_07508 MRSSIACARCRRSKIKCVNSGIDTTCRACESSGRECVYPTPAIG VGGAKRDLAALADGEDRNGDWDSPKRQRSRKAVGPSSAAKDASRASLDALDSSILTLK VWEAVLDLFQSHYATLLPFLHPASFMSQIRQLSGSQSSPSAPTNASISNPQDPPRDQA PKPSAPPDPLIPLGVLALTARFHPQLVAYHSPASPGHPTNPLAASEFYATALRSRLAG VDGASLAVPDLTRVQALLMLALHEWGMCRGKSAWLYVGMAIRLSQAMGLPFELENDVV SRDVPRSPALKMEADMFGLPRRPEQKEQTSDEVISQETKRRTFWACFILDRSLSSGKY RPRMIRVKELGIQLPSDNAFAFGERVRTSRLSDPVGRRPQSFSSQGVQIPGIRQSIGG FGDEKLIPNGSDNRPWSPVSRRKDSADDEIDRWEIGAEESVLSRAVRIIRVWGSIAKW SCAGGRRNEQLPPWHPDSRFSRLRTSLAEFRDALSRNLQYSPRNTDTHINMYKNTLPP YTIMHLAYFLSVIILHRSYMPFLPVRCTEPIGPLDEPVDKTGMPEGFWRDSARELFAA ARQLMDLVVTCQEQGVLVENPLVGFAIYNAAFVGIYAAHFPHMDVDGVLAPVYRGDPQ GPVQSRKVLGILREMRPRLKMAAGWFRTLNRLHSYFSKVKRDYRRNSRRSDMLPPDAL DPHSGNGIRPVREGGAGGGLEEFKLLEKLFLEFGSIDDQLPDSQGSEEDGDRATNISE TGSNHVRSDPGDLGEGPLDGAGGRRESWVPVNSPGLPLPSIDERRPSLPLPPSRALQS QSPFSLPSLQHQHQHQHHPDGQYNGSSPTLPSLAPANAYGGLPPGPGPTASTPASSAR LQPINSWLNSRSQPPPTSYSQSLPPISAAAPTHHLQMLPLPGSVGHPAASPPATIDGL ESFNTLWSTSLGGDDVLAFLEGSNYAQSPSSGAGVAGMSVPSEVGIPTGWLSTVWTEF AR ANIA_07509 MTPDKAYPQAERDRLIGIASRPATEVPRAFNRSRGTPQVSAAIW LEAESYCCAAIGQPQGSVYPISHTQVTSPRQLRANPSTVTLARYLRGKNGENGDSRPG FSGDSPMLNGVNITAGSDSCPGRFLARNPGAARVVGSQTESRAGMKGLGTDDRPAEEN VIPVKGARLRAPASRGFVHAFLDLLGLLVGPVMLQDDNPSRTTRRRSTVRTDRPASRR MASGDQGHGDLTSPLFTAIHGTGHLPLRTSYITTRRRSVAHPTRHPGISGL ANIA_10968 MPGRRAGPTGCQKRTGAGTELESFLQIPSNEPRKFRLEQSSASL LRRGSSLSFAARAIPFDSGFDSRIFNRLHKEIIKSRSWTLGWPTTATSSRMIHVAKAR TKVEDRVCSVGARTKAMRHSLRPGPEYLPDGSSSRTR ANIA_07510 MPRHLAWYDQDGEPTIHNPFKKFRRSPRRTNSIQLESRLTQFSS AGAVRPEEEIRRNREIREGLGGPAHSDSFPPGTGTTVDSVARPESMEKAEPEPSMSSG DPINVSTRASPAGESEGIPRKRTRFLRLSEPSNEEGKEISEDELRDKQKFTPVGQFKA TVLNSWINILILAAPVGIALNYVDVDPVAVFVVNFIAIIPLAAMLSFATEEIALRTGE TIGGLLNASFGNAVELIVAIIALVHNEVLIVQTSLIGSMLSNLLLVLGMCFLFGGINR LEQHFNPVVAQTAASLLSLSVGALIIPTAFNAWSDADKSKTAPLSRGTSVILLVVYGC YLYFQLSTHVEMYNAPSQKSEKIRQKVSEGDASRGIAQIGHMSAALAGANNEPVQDPD DEPEEPQLHIYVAIATLCISTALVGVCAEFMVDSIDALTDRGGISKTFVGLILLPIVG NAAEHATAVTVAIKDKMDLSIGVAVGSSMQIAMLVLPFIVVLGWIMGKDDMVILLFVS VLLVNYLIADGKSHWLEGVLLMMMYIIISVAAWFYG ANIA_07511 MRFSLALAGAALAGSALAADLPVIASKGNKLFYSNNGTEFFIRG VAYQQEYSSNGTASDNADYTDPLADDSDCERDIPYLKQLRTNVIRTYAVNPDKNHDRC MGLLADAGIYLITDLSSPSESINRADPAWNVDLYNRYTSVIDAFANYTNVIGFFAGNE VANNNTNTNSIAFVKAAVRDMKAYIKKNHRDTLLVGYATDDDAELRADLKDYLVCGDE DSRIDMFGYNIYEWCGESSFAASGYKARTEEFKDYPVPAFFSEYGCIDPRPRKFTDVP VLYGDQMNDVWSGGIVYMYFQEANDYGLVSIDDGDVKTRDDFSYLSSQMQKVTATGVN SKTFTASNTAIPTCPSVGKAWEASSDLPPSPNANLCSCMEDTLTCKVKDNVDEEEYGE IFGYICGLEGGKYCAGQKADAVNGTYGAYSVCTTKQQLSFVMSQYYKAQNSDDACDFD GRGETVDAKDSSDSCKTLLDEAGTDGTGNVNTPDGGVGGDASGSGSSSSETSDGAAGL GPRPMAVYVGNWQLGAYIMTSVVAGLGMLLL ANIA_10958 MNARLPCLLFINLYQIKLGLEVTMEDPEKVASSSSSPGTRSASP SPSTVRKPPNTHTSGFLLPKWHKLIILFVVSWMTLAVTFSSTSLLPATPEIALEFNTT TEILNITNAGVLLAMGLSTLFWGPLTILIGRRLSYNIAVAVLVLCSGLTAGSRSMGMF TACRILGGFTGTSFMVSGQTVLADIFEPEIRGTAVGFFMLGTVTGPAIGPCIGGIIVT FASWRNIYWLQTAMAGLGLVLSLIFVPEVQQDISSSDTQKQKEKEKITLRYALKVFNP VRVFRLWLYPNLLFSFLTCGLLATYLYALLSSARTIFNPRFNLTSALVSGLFYLAPGS GFLVGSVLGGRLSDLTVRKYIARRGFRLPQDRLNSGLIMLSLVLPGATLVYAWTLDQE VGGMAMPIIAGFVGGVGLMGSFNGLNTYAAEALPSHRAEVIAGKYIIQYIFSAGASAL VVPLVDKIGVGWTFTISAFFTLTGAVLVLLIARWGIDMQRWVERRVLLPEKS ANIA_10957 MKPARQFRCERCHSHKIKCSGDQPCTKCRSVGCADECQYPARDR QVKVSESYLEQVLAENQRLRAQSASSANTPEARPGAEPSHSRLCADGSDEVESEDEGN TGVRNPIIGDRAWFHAYDPSAPPIFVGEAACTAFATRFRQFLTGSNATAHIRRTQYVK EENIALANAGEIRWPSLQQARLLVQIAIRQISCIYHVLLRKSTLDKLEEIYRTGDFEC TVNQCKFFALFAFGEAYSMRSEPASGSRVPGTSYFARSLSLVQVLPERTSITHLETLL LLSLFSYYLNRRHSAYVLIGSAMRLGLCIGLNHNIPESQLIDPVERQHRVRIWWTIYI FDRMWASKMGLPSQILDDDIHLDMPSSTLPKQIYEEQFTDAEYIKANINLARIVGETT AKVYSRRKYNETFLQRVQKLLKALKNWVDTLPEHLRLNVEDPEMNTKQVTSIHLAFNQ VFLPGLRPYPTKSTNADIQCVILTTRPTLLHLLRLTETGNTTTSSTTTTKETISQPLQ TLGEACIHAARHSHSLILTKWINGSLPVFGYFHAHYLFSSSLVLAMSAFVPLPLGSPA DLNAFETGLEVLASMSENGNLAASEFYHNLVRVKECLDSWRAKKGLANGSGSASHNRG SQSQSQGPFGTATGSSAPLSPSNSLVRPAGQGQNSMPASLSGPIPGLLPTYNNTSIIA QNPNHNPTSSAPCALDGNDSSQSQVQGRVAGGFTTAMAFMEPTMQDFLAQTDLDLGLL NPVETFMDHVEGLYSGHEFS ANIA_10945 MTDVAALEAEVKEFKLQLETVQSSLQVDPDNTELQSLKAELEEL INLTETSIAELRPATPQPTTAPAKLKGSRDDNASKPGYRNSTAEQTDDNTNSGPASFS VNEHVLARWVSGDNSFYPARITSITGSSSNPVYIVSFKSYGTVESLTAKDLKPISNSD SRKRKADGSPGSSNHSPVPQPPHASVISAAADINPALANQARKEPSKATDGPARPAKV SRKVKANKELEAGKNKWKDFASKTKIGRKDSMFRTGEGVNARVGFTGSGQQMRKDPTR TRHVYQQGDDDNY ANIA_07513 MADYNSLYQHGLYLSPDQQDLLLAALSSNNPPSKQKQNVQKPEL GTNPTNTPGQASTGSFNTSPAFDGSHQFDNLNYDESPFLDFNPELEWDFPGSENLIGE LPGSATSDDHEVGEKRKDSNSNGEVNGKKRRESDDKSDDKTSKKPGRKPLTSEPTSKR KAQNRAAQRAFRERKEKHLKDLEAKVEELQKASDSANQENGLLKAQVERLQVELREYR KRLSWVTQGNALSAINSYPGNANRMSGLNNNDFMFDFPKFGDLPGGRIFNGSVAKTNQ NKKDDTPIPGILRHSALQAANGRASSSASPKTVTSNNPATKSPVTADGRLTSHTSSVY NYHQPGQGHDTSTSDSPSSSSDSHQFLSSSGTSPEPSVQSPDNQAKESHEGHTCTIDG EKSFCAQLGMACGNINNPIPAVRQRSESATNTPNAPSSTDNVPGIDFMAQQNGGQFDP LLFGDWREPQDAVLSQDFNTFFDDAFPLPDLGSPSHNLTEVGLGAQQKKSILEEMDNK EEEEVVPGEDKAQMLSCTKIWDRLQSMEKFRNGEIDVDNLCSELRTKARCSEGGVVVN QRDVDDIIGRV ANIA_10946 MASPRPPHNFAPQGYPLPNGASGPVPGAAPLLPNNGRIIQNGPV RILCIADVRGNLKSLNELARQARADHIIHTGDFGFYDDTSLERIADKTLKHVAQYSPL LPEGVKRAIAQTPPQQSIKSRFTPDQLPLSELPMLLDKRLTLDVPVYTVWGACEDVRV LEKFRSGEYKVDKLHIIDEANSRLLDIGGVKLRLLGLGGAVVMHKLFDNGEGKTTIAG GQGTMWTTLLQMGELIDTANRVYDPSETRIFVTHASPAREGMLNQLSVTLKADFSISA GLHFRYGSSYNEFSVNPSLDHYRGKLAASKASFNDVWETVRGEVESAISQNDAQKTLL ENALGVVEKMPSVASGGNPFGGPTNPGSGSGQVDESAFKNMWNFNLADAAFGFLVLEI EAGRIGTEMRAQGFNFSHRTGKPPVSGAPQPAAQMASAPVSGPSPVNAVRPNVATPQF GQAQAIPTRAGPPTQQPAQGKGPAAPARTSPAPVIPKSVTPQPSTTPAVSQSVDSAES SATLDANGSTHGEKQSESPAPKPERKQSNALFISNVDNEQAARDLFSEEDKAKLQKVE KWGKYNHVATFSTVEEAKAALDRLPMENKKPSPPGQRKPNVKFFEDRGSHRGNAGTWQ GSNRGGSNTSQRGGYQSGGASDSETGRGRGFGGRGGGRGRGDRGRGGRGGRGGFSKGP SDSSAPSTSTPSGDKPAASGDA ANIA_10959 MPLPAGSMAEVLRRWRKHKFSMYLFLGCILGIALLATATLTIPL SEENISYRPTRPPSYPLAVRNPYLSTWMPSDQVHTLPYAEPQFWAGQSLSWSVMARVD NRTYSLMGITNPGDRIHPAAVRTAEYTATHSIFKLAAGSLDFTLDFFSPISPSNYLRQ SLPFSYLTVNVSGADANNVQVYTSIDGRWTGVEQSTVRDFHREGTTLAYSLNVKDAIP YTENSDMATWGEAILSSRQIPQSELSFSSGRRGRVRSHFVNNGRLSRSDEPWAPGGIV AFSHDLGTVSGEQSVNFAVGYVRESAVNYLGKPYTGYYRAHYPNTYKALSHFFDDYPA AVVESKIVDSEMDAQAAVAGGSKYADIVSLSARQAWGGIDLTIPNDSLNETEVLAFVK ELSSNGNLNTVDVIMPAFPIYYIMDPDYIRHLLEPMMRYLAAGRWRLPYTIHDMGTHY PNAIGHDDQKAEPMPIEECGNLMVLVLAYTRATGDRAWANQYIDILTKYADYLVENSI DIELQLSSNDAAGPLANETNLAIKAAVGLKAFGEISGLSEYARVGEERANLFFNQGLG TDGTRSHFVLQYPDKPTSWKTPYNLYPDVLFNLKTFPREAYQMGNTFFREVRSEYGVP LDNRQDWAKSDWNMWLAGTFDDETTRREFIDDLWAFVSNGKHNWPFSDRYVATSAKGR EPGLPVLCRARPTVGGHFALMALQGPKFLQIAVNSKLEETLRATSDGFPEEVREDL ANIA_07515 MKLSIAAISSILLAGGALAAPLTEARRQARALRGRGPSNRASHP PYKVGSTELLSLSNETQVEYSSNWAGAVLIGTGYTSVTGEFTVPTPSLPTGASRNKQY CASAWVGIDGDTCSTAILQTGIDFCIQGSSVSFDAWYEWYPDYAYDFSGITISAGDSI RVTVDATTLTAGTATVENLTTGKTVTHTFTGGVDGDLCEYNAEWIVEDFESNGQLVPF ADFGTVTFTSAEVTNDGKTVGPSGATIIDIKQGNSVLTDVSVTDDSVTVSYV ANIA_07516 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVQEMANIL LAKHGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSY ASLVDQKMRLGISHINKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKL SIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALN QLIKGCQIAMQKGILLEQENRALPHDNGLSVQEATELEEAHNASFQAIPGPCGPPAEG AQTPKARALPTYPRCNTL ANIA_07517 MATARKVLLSPRSDTGVWSTGITESAAKTASAALQEDLEKHHVY FNDMGFHDHIAHQILTLYALGASPDEIQAAYDRNKTYQRKALPMHEDVVNGLHQKDKF KDALGKEKNYPDFLEFFQREIDKKGVEAVLSEYLFKQDENAESMMARLFGGLLHPIIH LGFGIEFNQPAIIAEALAQTAIHEDWTGPRFLFPAEQVAGGIGKPGRKTMLQLLEEAQ ENTKLTNSVRFEDGNKLRDGVLKRAGKDMIELAAQYTVSEEQMAEKYAEIVDVSVYFT AASQRPTKSVKFDFFYIHTVNSSIFFAKILVLPFLHTATKLRLLEMKGRMDLFMYVSR NAPQLYLGEVTKYPINQTWESIIKQSVQHTHDDGHLVKLIRALKHGEAVCRTYEGQGR EKGLKITGDSWLRIGNMVVVIDSVHGRGEEKMWVRSTGFDEAWDAVEDRARL ANIA_07518 MSLHSLSSAEYYFGHINPQKREGKGKTAESLPGPWTRPFVHFQL SRYRIQQYPTRQTLTRTPLNTLHYVKTYIALPASFGPFLTNHQQLFWYHAIPRRLDRL IVLGFWALCIILACVDYQSFDGNIGMTSLSQQNWQYSSDRTGILSYACLPFLWLFGGR NNIFLWATDFNTQSFNIFHRHVAWACTLLAIVHSINYSVFLLTTATILMSFMLVQSMT ILRHKGYETFLILHIVFAIVVVYALFRHTSFDGTKWNGYLWPMIAIWAFDRVVRLIRI AYCNLNPVNLKGWENHPFTLGAYVPPSLSLATGSESIQVHEKRQQDSKPIFYAGRDGC ETNAANLDFMVVGGTGIAAAVPYIIDHISRSGGAAKTRTTRLRLIWSAKTNEMFERVF CDELAGTLGHEDIMTSFYCTSSKTSFSSSPSSSAAVLELSLATKEKEAGVSVLPTGEK SPSSSNLSGSSNDNAILDARAPSRDPPLPEAADGEASANTSKLATARNPVEFLSGRPN VRAIVTSEAQKAKANSSRLAVLTCGPAQMADECRQTVYEVMRRKNGLRDIEYFEEAFG W ANIA_07519 MSCIFCTHASVPVRMSDLSPDVYICHLNRVSHRPARPAPVPMRI PPSIRTRNPEEVSVSCFMRTYVLIVEKSRRGGHLAFLPEFYLEKSSESCLRLSVLSLG YLALFNNRHQSQTIWIQARKHYSAALAALAAVIDTKESAVRDEVFARPEWRVEDATGR SYPWGACPHSSQGSASLSGKHGCRLLAWAFNQLQIQAIANNEYGYAHLPSLFEDLERP DSVCQAIKLVSLVSGFCESTRETRHPPTYPQTLPQSLEEPPDQSANIWTACFMALITS STLLFYVRCLDYFPAFFLTDCESRPADGELYFPVFYRYDIYRRIEQSLNTICSSVRYA LGDLDVYGTFHLFPEINHGIAYNLRWPISLVSQCGFASTEQVLLCTEVLQHTYSATAR LDSVRTLLRRAVAHVLAEYQFSEPTVLGQTCLSSLAGMEPATAPRVKGARGSVVTSQF EDILRAAPLLIILSPRVCSTLLPDLDPRVSRTIPGGRRIAEKGSGEDSIR ANIA_07520 MKLPVLYIPLLLSPPVVSIVAYESTLTPPLQNANHIFNAIHASM RQRPAITLRRRHLGWNIGHWTLDSQDRILFNDTITGGISSEDQRARAVCQLAKDEWED RLDGVIRMAAGFEIILCEPEVNLVSVRVMPVPSKTKDGEQKQMRDREHLQIGSGGNGG KAGKAGKAGKAGKAAPFQTRASFILRFIPCDQNSVEAAYRRLDVEQERFMSLALWLWQ QRTNSNFLRLWLRAWNRAP ANIA_07521 MATSRPTSTSTWSRLALALSASFIPLPAYAQLYDKVIQTEYGPV KGFKYFNKSTLEKNWGSSADGSNVAAFLSIPFAADTAYENRWKPPQPREPWNETLVAD TWGPGCPTSYATDYSEDCLTVNIWTGANSSSDRLPVMIYNQGSDEPSNSAVYYGGGVA RKGVVAVTFNRRDDVFGYLAHPELNAESEKENGHSSSGNYGILDFLELLKWVQRNIEN FGGDSTSVTIVGQSFGSAQVYHAVNSGLFKGLFHGAIAESGVRYPYDTLLAGLADSYV TMPKAIQNGLNYTASHNASSIADLRKLSTEEIMVGSGDRVTDADIWWVTALSCMYPLK YKPVLDGYVLPEKYIDSLRNGPANDVPFITGNNKDESGAATSTDYTAKEYHEYASLKY GNLSSRYFALYPGNNETQASRSWNAAARDTSLVSSWLFAKDWAKSASSPFYTYYWDHA PPSQTQGAYHESEVFYTMDTLYANADDHEWTWYDYHVAEIMSSYWVNFVKTGDPNLGG TYPWKNLTYWAPVGSGKNETVFHVGDGFGEVPLAKHEQVELITEYFSRQVPY ANIA_07522 MPLTLSLILWPLVGFCLYVAIITTWYTLFSPLRVIPGPKSWTFF PILRHISAILGRFDTDMRRFHAQYGPVVRFGPREVSFITADAWKTIYGHGHTQLPKYM HSAADPQSIISTNDTDHSRYRRALSHAFSARGLQEQEPLIQSYVDKLIVRLKGVAESG RPEDMVKWFNLTTFDIIGDLAFGEPFGGLDSAEYHHWVSTIFQSIKVNPFILLKDNYP MLGWLLLRAFVPETLRQAKKRQIEHSRVTVQKRLSSDIHTRPDFMDSMLRSRHYTYNQ NDWKKAPDALTDSEIVSNSNILIIAGSETTATLLSGVTYWILRNPRVLSRVVCEVRSV MKSEADITVQKVSTELPYLLACFDEAFRLYPPVPTGLQRRTLAPTFISGYEMPPGTIV SVHQSGAYRSESNFHLPNNFIPERWLPDAKTNPSSQFYHDNRAVVQPFSVGPRNCIGR NLAFAEMRVILARVLWNFDLELCKESENWSDQKSKLWAQPDLATEEAIRLH ANIA_07523 MAISADDAPKLAGSVIALTSLALITYSLRVYCRVSRRLWAAEDW IMTAAVFFLIFEVGYCAAIIPIKLSISWMLIRIAEGRKLYIYIQYIIIALFTIMNVIA LIFILTDCIPVEAAWDKSLLKNGGRCQPPQVLADVYYACTAVNITTDWVTALMPIPLL WNVQLGRNSKLSVMGLMSLGILASLSACVRLNYTVNLTNQDEFLYAVANVVIWGFAEN AIGMTVGNIATLRPLFRTLFESTGRSGYSSRPSRLESGFELSQQGKGTAAYTYTTTLT EVKGAQAQSGRESKDQDSQLSDSDSQKMILEGNKTGQGNNIMVSRQVNVSYE ANIA_07524 MALSSLSIGVALTPTVVSTLFSHYANRKTLHNKPNVHLSYDEGI SVIREFIDFSSKRPIEYIQEFTAQRVPAPHWVRIERVSVAEEFLSESAALIIKQLGPR GVAQVGGEKWWQWRGPSGDKNNGELRGEWIEMHSDYNARKHAAKTDSSNRRIMLYIHG GAYYFGSVQTHRYQLQRHARKLKGRVFARMLVICRDQGLPLPAGAILISPWVDLTHSF PSIVKDNPGDYIPPHGFLHKPSLAWPPPNEDEIREINEALKKNPATTDKKNEKNEPPT GEANVFKVSESEPTLGHGRRPPSFVMNGKTIEVKDQIHMYTTNELLFHPLVSPIFQPS LGGLPPLQIISGGGEMLRDEQFYIAHKAANPVAYPPNDSLLAELDPKREIVNKYPGTY VQLQVWDDLCHVAPILSFTRPAKYMFRSIAQFGAWALARAQDTTVDIVNDDEVSPISS SESASPETSDNEGREKGPHDRPGIAVGKAGDSLPPFKDRMIRQRVDKRGHIYPLPPPR DCPALQLPPSSVGTINPGLLMKWMLVKEEWDQKFAKEKARIHQLRLAGLASGFQDFDG DTPPPSALAARRFSPGALPPKGLRTSYPMTMWARFATKHDKRTIKREQEMDSRSQRSN RASVDAGRAGASIEHQETKDKAASIPTEPAQQLNDKVPYSKPPSNDNKPTDSNPSLAQ ASSNKSFVPGPFIVLPNYEGPDKSENASTRALFHVPGTIKPTAQTAGTSKSSQDLDQR PSSQGGSATIRSGFTVQSDPVTDDAGSTIGDEKSLAVTTLGGVDAASTRAVLNADGVL RPSTDNNSVYVSADSLPLTNGADHEK ANIA_07525 MATQRRLFSTFRCLQHDNPLGLPRSGTPPSFPRRRGLPEKRKIR DVKKVIAVSSAKGGVGKSTIAVNLALAFARRGIRTGILDTDIFGPSIPTLLNLSGEPR LDEHDRLIPLTNYGLKSMSMGYLLPPPPSLTPETPQHHSRVPMDTTPISWRGLMVTKA MQQLLHSVSWGPLDVLFLDLPPGTGDVQLTIGQEIILDGAVIVTTPQDIALRDAVRGF GMFQRMNVPVLGMVRNMAFFACPECGTKTKIFSAGLHHHGPGDQGGEGDWGVLAECKR LGVEFLGDIPLDARVCEDADRGMPTVVSEEGDRSARREAFMGVAEKVAKKVGVEW ANIA_07526 MAPKSLAEQIADLEDLTPRDYDPEDIERGGNSSDEDVEVKDANA GREHYQAVGKAKLRNEGPVSLGKQYAGSRVSRDALEAESDEDPFRARSSDEESEDESD LEDEDEDGDEDEDEDISEGSEDERPSKSKAHSLKGREDADVGMDSDDSEEDEDGEGFD DGFSDEDEDISGEDDSEDDEDAKEEEEEEEEEEEEEEDDESEDEEEKTAKPKRRVQFA NAKVADTSDDRAQLRQLLATDQKTIAATISQAAKADATKGRAVKHQRATFDALLNARI KLQKGLTAANQLATRTQPSEDADTDAFKSAETAALTLWSTLEDLRLTLVDAQTQDDSK KRKRPSPATTSTSTSSLWKRMAELESDSLAHRRAILDKWSLKVRGSATATTLANSKTK LLGTSGAQQTITAVLDAHVASETTDRSSKRLKSTQGSTSDPNEVKVYDDTIFYQSLLR DLVSQRMSSTDAITNGLDTLHILPSRNGAGAIHPITGMRKDKVKREVDTRASKGRKMR FDVHEKLQNFMAPEERGTWTKRAREEFFASLLGRSASGILREDDVSDDEDGEKSDEDV EEGGLRLFRS ANIA_10947 MSSTTHSPIPPTMKSWLYSSTQPSVISNLSFTASAPSPPLPSYA NQLLIKVFTTSLNPADHKVPQHATIPFTGGRTLICGLPASPGLDFAGKIVSVHPKNRT EFEPGQLVYGCLARPRTFGTTGEYILADANDVAHLPEGVSVDDAACLGVAVRTAYQSL KNYLDLSKFEGGSGPRVFINGGSGGCGVFAIQIAKMLGCQVTTTCSGRNIELVRDLGA DEIIDYTTTNVTETLKTKGLVYDHVIDHIGLPGNLYAECHHFLKPSGVWVQVGAGSIL TAFWRAITPRFLGGGRRWFVPLMMANSKEDLVEVGNLLKEGKLRTVKDGNRVWEFGDV KKAYELLASGRARGKIVIRVAED ANIA_10960 MGPTQLPASTSPTLTLAHPTVAETQQIWSQTSDIWTDALSVPQY LEEYAYLLTVPLARDHGITQWILVDSLDKPTVPANDLNGREGTRRILASCETFRKRAL LANRLASDDTKNRCTDILVHGVASVFCDPQLRGRGYASRLFKELSHILPQWQAGEVEK PRGVGSVLYSDIDPAFYKRQGWVPFPSYHLEFEPVQLEHTAEPLYAENLASLCQRDEE ILRASMSSPSTMGDRGKTRFAIIPDHDHMLWHHAKEEFGAHKLFGGSKKPEIKGAIAG PAGNRVWAIWMHRFYRHPQGNDSAANTLYILRLVTETPSPASESIRAVLRAAQSEAAN WGLGKVKLWNPPRDLEEAIRASGVKFEKKKREQDSVPCLNWFGEESPDEMEWVLNEKY AWC ANIA_07528 MPSFYKKSSPSLGSAPDQVRAPTTESKLSLRSLFSRKARAPAVT EPLAVKAHSRQAPAPALVPEPDREQEPDSISSALPPTSSLPSPSSLRPELDSEIESPK ESQTQAQTTWEDIDPPTTLLSLAADHSISSASIASRYQQSTQTEPTVPVPTSDPTTTM LTSSSPSPSTPSLLPSYSDVSGAVIVDAYGTPRFLTPQEEQERKEALAQAVRERMMGL PRRTDFSWEASATPVLPSYNASTPYRPVYARSAGY ANIA_07529 MQLPSKPSSKPEKQMLTRADRHNALHDIVDRYRPDLQQYESVYR KIHSAPELAGQEKETSSLAASHLQRLGYEVHTNIGGYGVAGVLHNGPGSTVLLRADMD ALPLEEKTDLPYASHRIIKNKAGAEVPVMHACGHDTHVAGLMGSAELLHSARDHWSGT LVLIFQPSEEELSGAQAMLDDGLYDKVPKPDVVLAQHVMRMKAGTVGIRAGRLLTASD AFDVRIFGRGGHGSSPHTCIDPIVIGASIITRLQTIVSREITPGELAIVTCGSIQAGY APNIIPDECNLQLSVRSYDPKVRERLNTSIKRIIEAECDASDAPKKPVIKHTFTAPAT INDEKTVKSLLRTFGSYFGSNLVEVEPAAASEDFSLLATAVGAPYVMWNFGGIDTEVW DDAVRQGKRDELPGNHSPFFAPAVEPTIVTAVDAMALGALTFLARDA ANIA_11567 MADLYSLYNRFLSFILIHRPTARWIILFYTMMDMFWPGWDGYPD DVLGEHDGAEHEQEWDWEDDAFFSEYMIGYDPFW ANIA_07530 MPAPIVTNEPSVLPVRELADDEYFVMMSFERHADHCYICSDPRR VQEDGETLCRRGTEYALDVAAYLYSKNRKSYSVVDRDLNQPTLVNIPRRCIATRDLLL ALEDGLSLRKDKEKEKEKEREKAPAVQKPVISYDRTYHVSPRRSNSQRMTATEIIERE PRTVSVKTRRVIVYPSSQRGSPARGSPSRGSLYESDAADRVERVKESSRIYLRPTDYY R ANIA_07531 MVWKILWRALCRLEWSVTTGCCWCAFALASPIVARLAFKLFSVR LTGNRRVTDCQEVVSAVLAFSWDSHVVTHHTTNQPACGLSTAERTGSPVFHTLWSYVP GLSGLKNISLGIGFKASNPTWKADALNLVPATAQKEQNRNPNVKLGTGNTQRPSHVQH KGYRLLQRRSGICEEHELTARNRKKQEYTGCGCIGCMSIHHALNFALKRRYSSGGIRH WTMLTRRDGEGSVREAFLAG ANIA_11568 MAKKAKSRTMAVRLISMAMTGYYRTMTRPRAHRPLSMLKYDPVV KKKVLFLEATKGGRAK ANIA_07532 MSPTYLYSSLVVSILVAQSAAQGEYDPNDIEALNAAGAAGKSGG GGMSTGGMIALCVIVGVVVILGFSSAALFYIAKKRQWAMREALRRSAKQVVRAVKTPL TPRFPRSQRPLTPDGDSDAADRSAPRVKPARKPTDVEKDAVITSNEASKSSETKPRGW SSYFSFNRS ANIA_07533 MPSQSRSRDRYGRDSDRDRSRVQPRRRYHVSEDDDDDDDFDDNP RDRRYRRDGYRRAPVDSRAYDSHDDYEVVDVEEEPRRYRSDTERRRERARASPGTSPR KRERTRDSGGGHRRRRTEESDGSQAPQAHRDRRSRTRRDRGLDDEDLEDAARRLRRRE RERERERRAETSKHKSTDSSNSSAGLLNANALAKLRAQHEELDRQEQRRAEKEAKAER KRRRKRPAVEGQMRTLDPFPDEVPRGQSKGRIVSGAYLEEGRAPDMEVRLRGGGRGPP RERRWEKDSDGSAPLTPFWKRKKWWWIGAIVLVIVVIIIVVAVVVSNNKKSDSDSDSD SNSGSSDSWGGDKSSLNGLDHDSIPKSAQGTVLDPWTWYETTDFNVTYTDETVGGLSV MGLNSTWDDSVAPNENVPPLNKPFPYGSQPIRGVNIGGLLSLEPFITPSLFEGYSSDV VDEYTLTTKLGDNAARKLEEHYATFITEQDFADMAEAGIDHVRIPFSYWAVNPREDEP YVAKISWRYLLRVIEYCRKYGLRVNLDPHGMPGSQNGMNHSGRQGSIRWLNGDDGDTY AQRSLEFHEKISKFFAQDRYKNIITIYGLINEPYMLSLDVEKVLNWTVTAAELVQKNG ITAKIAFHDGFLNLSKWKTMLKNGPSNLLLDTHQYTIYNVAQIVLNHTAKVNFVCNDW VGMIGEINSTSEGWGPTICGEFTQADTDCAKNLNNVGRGTRWEGTYSEGDSTMYCPTA EQRTCSCTEANADPSEYSDDYKLFLKTYAEAQMYAFEQAQGWFYWTWHTESAPQWSYK TGWKNGFMPAKAYNPDYKCGDDIPSFGNLPEYY ANIA_07534 MASLMVSSSRYVAAIVVFLLIFNMYLFHRVIRLNTKNESLEMSL QTSLPAAITSKIDSATVTVTATVTATKTINAEKTANAPAPANGLKSQPDEDTTTGIKA LLAHEASPTFPAKIWHKCGPKGVNEHAQKLMDLWLEKNPHFRHELLTDESADQYVREN YADWPDVLETYMALQVPILKADFLRVLILYADGGIWSDLDVQDEVPVSEWIPEKYTED TEHPVNVVVGIEFDGWQFTSWTIMAKPHLLHFKNVIEYVVKQLKTTAIENHVSLSQVT KAMVGEVVAVTGPQAITMALLQSVSEETGQDITKQHIRQLKEPKLLGDILVLPQAAFA ALQGGNPTDQGPYLVSHQYAGSWKNDVGGEEGQNPPAPKETAASS ANIA_07535 MRSPDSWLSLKPRKTAWVALAAICLACTLLLLFHQYEDIPLSSS SNLPATKVLETQTSGDFRNTPTTPSSSSSSSSSATKYNPSNAVQGDRRCQAFADPGNV AFVIKTGATEVYEKLPTQLLTTLGCVQDFLLFSDLDEQIGPYRLRDTLADFNETLKAT LPDFELYRLQHEFRRTNQDMAPLKGEHAWKLDKYKFLHMVEKAWLERPGRDWYFFFET DTYIVWTNLVLWLQRISPPTEPLYLGSVAYFMNDPFAHGGSGIMISGKLLEQFIGGEP GLANKYDDAFTSNCCGDSVLANTIRAELDIQVQNMFPQINGEKPSTLPFGPTHWCQPV VTMHHLHPRERTAIFDFEQARPDLTQPLTFAELSHLSFPNATMLEFEEDWDNLSNIGI EFAGALSPSLEACRDACKGLKQCFQWRYDTEGKCEVSTQAFRIGGKRWPTKDGKRVFS GWNVDVIRQWREENPCHGVKWVDPNPPNS ANIA_07536 MRMPLPFPFSNAENENNPPSKYTYDMNPDVDLSWNDVFFATLWN ENRASQGGNPYFSSSYFRINASSSTTTTSTQAPSSTPVANNTDTTADSQSTIPSLSGN DGESGLDAGAKVGIGVGVGLGVPALALAGLAAFYFRRRAKIQAQQLQMQQAQQYPPGV GAAGQYGGQPSQPVSELSGPAPYKPPTNAQYRAPSEIEASNVGAGVQSRFQELSGN ANIA_07537 MNEKRGGHELWSAMEALQRSQSPFAGKINSQSSNTGCKRPASIN FPVASRRTLLFNHISFRPHFSFLPLPKPRPPDNPSTSSLSLLAPPFLHSGLDAVNLQL NPAVRCSFRISVLFPRSPSVNHEQPRPQPVRFSNSYHNSDGRLSQMGNSQGRQVASDN EVNLNQFRLLRVVGKGAFGKVRIVEKKDTGLTFALKYIRKEEEYMCVFTSGDIRTVAD FTSYIVVDLMNGGDLRFHISRKCFTEEAVRFWLAELGCALRYIHSQGIIHRDVKPDNV LLDSEGHVHLADFNVASDFRPGKPLTSRSQDTLSENIKKAQPKYYVTNPAVSIPCLRA MSALMEKDRSKRIGAVSFESFTSHQFFADIDFEALERKEVPPVFRPSSDKTNFDATYD LEELLLEEAPLEARARRQKPRAELREDATAKEIREDELHRLIETMFEPFDYTAVTYRG NAAEAIASVANPEDCIQTAASSTHSRHYSQPDSTRGSPARAEGSPSRLTLPDNQSSIG VALEGTTSQPLSPASQTPPPATAPNFARPFVPPAAARARPTARKTSKGGGVQMVLEEA GSWSELADQSATLPAEGFDASGKGKSTNSGMLSFLSRKKGRDRSPKPQEPGVLGKEGA RQIIS ANIA_07538 MARESNAPIDLTTPVKPKEQTNRPLPHQPEFGVPSPLGRQPYPQ NHGSSNPFNIPKQNRPRPEHHRPQQNYQRPHNPLHQNASRPNFPPSHAASTPKNREPF DPFKPVRPSAYKNDGMSRPVNNEVFEIRRPENITFGTPRAPKTFFANKASPLKQNTAA KNLQNFVDLTTTTPGGGFSTSRTSRNPGFGISTANDWVDTAKANENIKALLEGAFEDE DEKQPKPKGKKKKNKKNKGKKQNSESPKAKEESSDMDDLATKLQGVSVNESQQAENDD DFTTKESTNETDEQEVEDEKDGSDAGSETEEDSEDEDDDGVVEGLRVQLLPHQRQGVN WMCAKEIGSLKPRKEEKGVLPKGGILADDMGLGKTVQAIALMLTNQKPKDGSRRQPAL SDHDRKPEDTDEESAESRNLPKGLSKSTLVVAPLALIKQWESEIKTKVEPSHRLRVLV YHGNARAKATDSLDDYDVVITTYGTLTSEHNAVTKNDKKAGIFSVYWYRIILDEAHTI KNRNAKATQAAYALDAEYRWCLSGTPMQNNLDELQSLIRFLRIKPYNDLANWKDQITR PLANGRGGLAIERLQVVLKAFMKRRTKDVLKLNANLKPGEEADGEKKNPGFQIVKREV VKVAVDFMPGEKNFYERLEQRTDNSLEKMMGDSKIDYAGALTLLLRLRQCCNHPDLVR SDLAKDKDVLLQTGTSNSQSSSKKQDELDDVADLFGALSVVSKKCDICQMTLSQVEST GGGSRCSDCEKDFNTPFVADGAKKKEEEEEEEKKKKKKASEHIADPTASPSERRLQNR MARFRRNRKIVMDSDDEEEDDGEWLVPESQRTGLSLGKVGGSDDEDAEGGGEWLRSDD SETDDEPESPSQRGAHRKPQVEEDSDDDIYLNPGDDENQVLPSTKIRQLMKILRREAP DFKFIVFSVFTSMLDKIEPFLSRAGIGYARYDGSMRNDLREASLEKLRNHRGTRVLLC SLRAGALGLNLTAASRVVILEPFWNPFVEEQAIDRVHRLNQTVDVKIYKMIIKDTVEE RILDLQERKRELANVTIEGKTAAAKLTMNDMMALFGRDAESRYTGGQSSLEFSPQKGN LLRSDYESSTQNRSNGAPEGSRDRKGKQERRVPSTENSVYARRW ANIA_07539 MKVATALSVLAVAGSALASALPSAANSEKRQSDCIGPLLCCGSL TTPLDPLVDPILELVGIDAAAIVGSVGLLCHAYDDTCTSEPQCCTEANLLGGTLGLGC GPLEHGH ANIA_07540 MAPISIADIVAALPAEDTWGPVTSADNMLDGVPYAPFSKGDKLG RMADWTADSKDRDRGGRQAYNRNYRDQQVYGAGSSSLFAVQVAEDESTFSVVDNTRTS AKRTFGRGGGTVFRGRAQRGGAGQRGGRAGFQRVGAGRGQGGDRYYDNRGARGNRGRR FGWKDYDKPQRTREPSVNIRPDWSMLEEVDFNRLSKLNLQAPEGEDVDTYGFLYYYDR SYDKAPVKNAERRLQALDRAAYNVTTSQDPVIQELAEKNQATIFATSDILSMLMCAPR SVYSWDIVIVHQGNKIYFDKREGASLDLVTVNENAADAPLEVAESSGKQESINTPSAL ALEATFINHNFALQTVVEANNAKVDFNHPNPFYNAEEETEPLASKGYKYRRFDLSLES DEEPLNMIVRTEVDALVKNPVNGEDQQLVVKALNEFDSKAQGSGGSLDWRSKLYSQRG AVVATEMKNNSCKLARWTTQAILAKADGMKLGFVSRANPRSAAAHVVLGVAGYKPREF AAQMNLNLGNGWGIVRTIVDRIRALDADEEEDKVTKYILVKDPNRPVLRLYSVPANAF EEDDEAAEEEQEAKGEVEEA ANIA_07541 MHFKLLSLAALAGLSVASPLNLDERQHAVGSSSGNDLRDGDCKP VTFIFARASTEPGLLGMSTGPAVCNDLKADASLGGVACQGVGPKYTAGLAENALPQGT SSAAINEAKELFELAASKCPDTRIVAGGYSQGTAVMHGAIPDLSDEIKDKIAGVVLFG DTRNKQDGGQIKNFPKDKIKIYCATGDLVCDGTLVVTAAHFTYVANTGEASKWLEQQL ASMPASTSTSSSSSSSSSAPASQTSQSSGLSSWFSGLGN ANIA_07542 MTSISSRPIPLDLNAMDSLNRQSLAQSASPAGQDTPGMRGSIST DFFKFFGAGGQAKKTTRDGQPAKRRGPKPDSKPALTRRQELNRQAQRTHRERKEQYIR ALESEVARLREAYTQEISAANLAVHQHREMANSISEENNMLKEILVAHNINYDAELER RRAERQMGSGFHSSPVGAGSVGSQTGIASLTAHTYSTPPTTVSSGMSPKFNGVEKFDF SSVPDVGPNNQGIATVPCDALAAIDRRPPIQGAGIFEDNPQLQIDFILALESPCRDHT DYLCRRSITEADDEDMPFSGHALMATCPPPSYIANTTSEQTYPHKTYDLPHANLTTLL NLSRQLVTEGQVTPIMALQALKNHEMYTKLTRDDVKLIMDTLENKVRCYGFGAVLEDF ELMDCFSSVLGSKVEGSGPVGVAFSRHADETMYS ANIA_07543 MDRSEILVHISAPSSAVDDARYRAQVEAILNFQSHSRETITLTS PIPEEADPRTINDPSLLDQAAQLNGSQGDRTSLETPVSVIPDSQPELQQSGTQCESLT LLPVAENTSLSSCIPSKRPRVDSPPPVENSPHENVQGLRDIPAGGRNINVEHASPTST TNTLATPEEGHEKQVDENGIQPPSAEQVAGLEPGPEPDPETEAEASSPPNGRRKGYSP ILPSLPLEIRPPPPPISSSPFTTHITPTLEMLSNRLKSPRTYNPVLQTRDLDILERGY WYLRLTIVPRAESEFENQNQTAARTSSKVLCWDKPLFTRFWTFLSDFIGKEGRAGWGV WCILEERERDWDRDASGEDTTSAEPIVAQSVSLKVYAWGEIATHIYLLLFLASERRVR KLGAQWRDGRDEVVIQMPESGMGM ANIA_07544 MIDRERAQIQAAYDTYKVDLQVKMARAFVQQHKNDEWFKERYIP EIRDPLRRNLMEFRVGAYQQWERDLDGGLFDEFTLEGIYKSESDGAGGVIEKEEGETT AVGETLGVLDLLPARGGDLRDEALSQPALLIKTLAPNVSRQKIEEFCKEHLGEQDGGF RWLSLSDPNPSKKYHRMGWIMLHPAPEVAVVERGDGREEEGEMDQDNVANGSGAATVA EKALEATNDKTIHDPVHGDFVCHVGVHAPPAQLRKKALWDLFSSPDRIERDLELARRL VGKLDSEMGHGADGYAKVEERVEELRGKGWLQPPVTGPVSVKRRKSNFDADDVDEGEA EEGEEQEDWADDEVDDEELLAKKKKLDLMVEYLRRVYNFCFFCVFESDSLHELTRKCP GGHLRRPRSGLTTQAKAVAKASALGQPFPVKRKDPSEEGEEQAPSSEKERRSQRYSSK SEQQLQRAFNWVKTFEDKILQILEPENVDIVKLGGKPVDEALEEELAKHVKQEDESKF RCKVPECTKLFKAEHFWRKHVEKRHSEWYERIKNDLTLVNAYVLDPARIAPSRSDANS NGHFPLSSGQNQAGTPRGFSLAAMPPYLANGAVAAGLQGVPGGLPGFVSNQASWAANG MAGGELHQPGVMRRGGNRYNNNRSGPYDRRGNRHGTQGFGRMSPGRGMLNMGSGGRLP AAAGAPYIPPGHPAAAFAGAGGFPDAIGSGASQQAMGPREAVQGRSLKSYEDLDAVGG AGSGELNY ANIA_07545 MEYSPQYQQQHGQHPHPASHMTGAYPTAPQNPGSALGSMTSPTN PQTHIQQAHPTHQASPVVPSQSHYQQAQNAPGSMHQQMNFPQSYGVTTAMPPQPYGIS PTQAAAMATAAASGQFYPTHGDSIAGQMAQGPRGSPRMAGVPVKTDRNPRSPPQIPGQ MPPMGSQVPLTPTAQMQQRRMSHVGSPHVQNAQPVMNHVGRPSVPPPMPPPQHPVQQT QTSPDMMPSGAEESPLYVNAKQFHRILKRRVARQKLEEQLRLTSKGRKPYLHESRHNH AMRRPRGPGGRFLTADEVAAMEKKNAAGGQENADPNASKAVSDSSPASQKRKASDGNN ENPNSAKKAKTGAQKASNNADESEAESGGPSDEDG ANIA_07546 MCSMLALPRWDCSYSQTLSCTPTRISKADSKSQIRKDAPTPTAP NCTFDVSRQPRVRGFWPFSGVPFRLRSVFQRSQSTRGEGKCSEPGDARLAWEKKVILS PSRYSLVLSNHDSHKPRASREHLKQLPAPSLQPPASSGSVHWQPPRRLETIAISEVPG CRI ANIA_07547 MAPGGGGNIKVVVRVRPFNSREIERGAKCIVQMKDSQTILTPPP GAEEKSRKGGNKAAAEGPKTFAFDRSYWSFDKKAPNYAGQDNLFSDLGVPLLDNAFQG YNNCIFAYGQTGSGKSYSMMGYGKEYGVIPRICQDMFERIRKIQEDKNLTCTVEVSYL EIYNERVRDLLNPSNKGNLKVREHPSTGPYVEDLAKLAVRSFEEIENLMDEGNKARTV AATNMNETSSRSHAVFTLMLTQKRHDAETSMDTEKVSRISLVDLAGSERANSTGATGA RLKEGAEINRSLSTLGRVIAALADAASGKKKGKQVPYRDSVLTWLLKDSLGGNSMTAM IAAISPADINFDETLSTLRYADSAKRIKNHAVVNEDPNARMIRELKDELAQLRAKLGG GAAGGATAGAAGGVVADEVYPPDTPMEKQMVSIQQPDGTIKKVSKAEIVEQLNQSEKL YKDLNQTWEEKLIKTEQIHKEREAALEELGISIEKGFIGLSTPKKMPHLVNLSDDPLL AECLVYNLKPGVTHVGNMDQGNHVEIRLNGSKILADHCKFENVDNVVTILPSEGAAVM VNGVRVDKPKRLKSGYRIILGDFHIFRFNHPQEARAERVEQSLLRHSVTTSQLASPAP GKAHERNVSKASDLDWDSSRADSPMGFQRGRESDWFYARREAVSAGMDPDRLAHMPDD ELDALFENVQNVRANRRGLLENEEDSDSLSSYPIRDKYMSNGTIDNFSLDTAITMPGT PRHGDEDATLQSVRQDMQRQLERQKEQYLDKLRESEASPSQGIDELRSEKARMEDALR VAKEEYEEQLRKQKEAFETHMKALGHPVPRIYENGYPKLSPRELEVARSVFRHWSQQN YVRMAEKVLQHASLLKEAQVMSHIMDKNVVFQFAIVDHGHNMASSYDLVLNGISGDED IILDDAKKPCVAVRVMDFKQCVIHLWSIEKLQRRVQAMRQLHQYIDRPDYIQHFKLEN PFSEPCSPQYSLVGDADIPLTAVFETRVQDFSVDVISPYTQGVVGIIRLSLEPSSAQA PSSTLKFNVVMRDMAGFAEWEGTDVHAQLFVPGISEEGGATTTQMISGFNETPVRFES VHSMSLPLTSPRNAALKICVYARVTTMHLDKLLSWDDMRDLAEQGAQDQKTPRIAESE YFSEERHDVFARLQVLEMTETGDYLPVEVVQNSPTEVGTYQLHQGLQRRISVNLTYSS TEALPWDDLTNIRVGSVRLLDPWGKIPDQDLQTPDVPLKFIQEPMVKDNADGTSNITL VGQWDSSLHNSLLLDRVTAEKYRVQVTVRWDLQSSRLQDPVSFEIDLTLQVQGRTYIR PQSMFKNFFSTTRVVHSTVRMYSVAVRPVSAKRAADLWRMNTQNDYVKGEEFLTKWAP RKVSLVRDYITSRRRRRRIAELNAAKGALSANSLTVASPPRSGRSTPLRAQEPDRRVK LLQKYVDLWTARTDPIDVILIRDNTEPPERGAAFASRGKSPSSNNTNDNEEQGSLTPR FYATVQTLPKNPSASKTGYLLMPDDTYTHWARRFVELRLPYLHIYSVPEGDEINAINL RNARVDHAPDFARLLDGPGADGSSQGRPNVFAVYGPQNTFLFAARTEAQKVEWILKID ETYFSNNAPRAGATTNRSGR ANIA_07548 MLGSKSHSLTLRFLGAGAALSLTLLTLLVFRRPSYFGHGGSGPY TPIDPASTTTQVYQGSTTRTSGETGVNDTQQSRWEFNVHRDGDKHGLSESECSLAFPK LFTELERTAEFWASNGGISYGDVDDIARGGGIDGNGNGLVRAAVKDGELYIIDYGPQP YTFTRGKATLHSLHRALSSYPDRHSLPDIEFVLTTDDFSTRTSTNPSPIWAYTKRQED EDAAIWLMPDFGYWSWPEVDTVGEYKDVRRRIFAKEEGLPFSDKKKQLLWRGSVSANP EIRKALLDTVGGKSWANVKEISWADSRFQPNSKSNPSDNEVLPIEDHCTYAFLVHTEG RSFSGRGKYLLNCKSVFITHKLTWLEAHHSALVSSGPDANYVEVDRDWSDLERKVEFL LDNPQSAERIAENSVKTLRDRYLTPAAESCYWRALVRKYGEVSQFAPILEKGRTGEKT RGSRFESWVLGV ANIA_07549 MASAPTMANATTFNTTPMQTLLNPSDTALLETFIPGYSMASRIL STYLQIDLSSYIPYLIFMGLIAATARYIYSQLYNLFQEHCISTAEIRHDDEVYNYLMY WLAQQPFTNRTTHFVAGTRISGSSWCYEPDSDSDEEGFGGADEIDNEGNVVTDFDAYW AKATARDKLKKLRFTPSEGTHYFWFNGRPLAFIREKQDDKSSGGGYYGYGTKAPERLY ISCIGRDPAVLKELLLEAQRYYVAKDKNNTVIYRGHKSGSYTEWSRCMARAPRALSTV VLDKAQKDAFIDDIKDYLHPRTRRWYNNRGIPYRRGYLLHGPPGTGKTSLCFAAAGLL GLELYLLNLSSKSLDEDELMALFTDLPTRCIVLLEDVDCAGMSQKRTPGSSSNDDNGN SASPELQEQGEGNSSGTTTGGTGVFEKQGVSLSGLLNVIDGVAACEGRILVMTTNHPE KLDPALVRPGRIDLSIAFGHSTTSDIKELFSAIYSTLEGDLRVSPAERLSPKLRARMA KRSSTSHSTNSTNANVVIHKETRKFSEEQIRLWAAEFAERVPAGEFTPAEIQGYLLNH KTDPERAIEEATRWVGEVKEKKAKTKAKSASANTAKVDGDQEEDKREVNGVKNEGKTQ LTNGDAQAHTNMDKPCPTSICRETTN ANIA_07550 MSSRTRRDLLSPRDYDDDAESLRSPLSEQDSDSEDDEFLAQSRT TLELAEHDRAVLDEEEELEKLLTRSGPTHDIRRIFSPNRSNVRIGKKEEARRQRREKE RIARKARRERRHGDDEEMYEMEEGHPEDESSLLSSSSSDLDERIKEQYADIQNRQKVS WQKMSLVFSAMFVLFLILLLGAYKASAGFRASHSSVKTLLSNGTALFAPTTILISLDG FRADFLNRGLTPTLNAFISEGVSPPYMHPSFPSVTFPNHFTLVTGLYPESHGIVGNTF WDPELQEQFYYTHPTVSMQSKWWNAEPLWMTAENQNVKSAIHMWPGSEAHIGGVEPTY LDKYNGSEALSRKTERILQLLDLPGLEQESTSSPQRPQFIAAYVPNVDADGHKYGPNS TQIRKTISQADNMLADLFSGLRERNLTDVVNIVIVSDHGMASTSTERLVQLDDLIDLN LTSHIDGWPLRGIRPKRPEYLKTLQDQLERVASQYSDAIEIYTRENMPERYHFKNNDR IAPLWVIPKTGWAIVERPEFDAQAALQNGQFYHPKGIHGYDHEHPLMRAIFIARGPAF PHPPNSRVDAFQNINVYNILCDSLGIKPHPNNGTLRLPLKPNGLHSDEDAPVLDSPSD PPATQATKQIATISSSATSSAVSSTTVSSTSISSDAGSVLVSPSAKPESDAEDEPDAD WWESIWDKVEDIKDWANDLLDDIKDDFSQSR ANIA_07551 MHLFNTYPLIALALAAGTASAHASEELKNAAESTAIESHVATSA TADQATTTAALKEVTSAGGGEPHETSSAVTSAPSEEVTTYVSEFHTTWVTTTTTVCDR TTCHEKHHSSTVVVPSPQSSTTTTTEPSVIPTTQPTVQPTTQPSRQPTTQPSIQPTTT QPSRQPTAQPSSQPSVIAEHHSASAPSSSNAPIPSSVHLPESSARVSSPAASAPIISA SAPSASSTPLIRPTLTPAPSKTTPSPTPSSSAHKTKTSAQHKPTSTSPHGDNGGEDGV HSGPEPTETPVNPGSKLIIPSLASLAGVAFGLFYLA ANIA_07552 MDSIQLRRAKRRMPTGSSGSDRPRAQLTIPSVPKFPLSSPITDE ITSPAGTSEGSSEEDRDLELNQPFEFLSKVTSRNPFVSNAGRAAPDRIRDFERRFATH AQIHNPERERPVGLNLEIPGSVPQPELQQPLRKETAGAFVDLNDLKVLSQAREEERTA QKIKGILKKGDDKKERGSTKGFQRLADDSSDSRGGKRLSLLDAVSKVSKRKTRDDGIS PTDRPIVIGFSVPYDSPELQDGKDKEVDSAGTQHTPLTPSIIVTPAREDGFWGHLSPE NMIHARPRAASSVYSQPSPRLGHNHNVPPVPALPAFYSTGNDSSATARVLGSVRKRRS MSTGAVSEKGEELPAGRPRSQSNEKVSRISDRLTINTSLNARASQGWWNYLLSPLLSA SSLLSPKTPTTTKTRPHLPTISTSSSGLTDEWWDEKEKEKEREVERSYFSPDTPEATR RISSWQSMTGNPFADFDWSIDEKQPQSQDQLENQSPEAQDRGAASMMFSGQRIQGSAA EYYQACAHELFSGTPYFECVNHICSITPKDKIPVAVDGTPLQDQDTQGARGLLIDVDD MPRSNHLESPGSGITSINSSPSDGAGRLSVELRGSLKSSREPPGEGTSGTPKVNSPTL DTHGGTRQSGSSAPINPFEQPREPSVPPAPSNPFLEQPREPSPSPPQPPTQAPAPATI HIESAAPPPPANIHIEAPSAQPAPNIHYGAPAPPAPVIFETPAPHGTSRAPNPFEYAP GPSMVALAPEPVPRHRSVSRTGPPPIAMPSPEQVPPPVYGAQAQSPYPRSPESLQEST ERGAVRLSNMLMPSGPAPAYTPHDNSALPPRAIPITRDILTHPVSERDRVETRRRRYE REDAISRRAGGLWRGRGPFSNKGCFGRRGREGRLRRRWYAAICLFFLAIVVGAILLAT FLTRKGDGTPVQSAWLNLTGYPPMPTGISTIAGPENTVQDSGCITPNSMWSCALPKEQ QDANEPYATNQPNFRVEIRFQNGTYDHSTTLASRSIHRRSAYQLFNPNPDPPSVEEQA FLGQYTDKTSSPYAGEETPFYITVLSAEYLSSSSSSQYSKRDNDTSTTNNTSTFPDVT SLIPSPSKASDGTAAPATLYPLPSSQPVRLYNRGKKDEHYGFYTYFDRSIFLSSSAAL TGIKENNNNDTDGGSTKEDASVRCTWAQTRFLVQIWTKGDELGRSVFARSVNSTTSTS ANSTSSSPSTAVSSATDFTRPGSFPYPISITLDRHGGNVEKKNLYCYGLEENARYNAS AVKLQLEDRSANSTNDEAYQNKDYGGYDGGMGGCLYIHDAFNGPVFPVSACTKRSPGF NAKDR ANIA_07553 MNQGISSLAINPTSPYHSTNASQTSIVSSLQRERGISTDNNTYR GPRYSGTSPLSPLSSREHRGFAAGRTAPAISSNPRSEIYNAEAPTAGLAYAFPDPDVS TRTQSFDRASFSRKPSATESLYKLPANAHHHHTLQHKQVRGLIGEAEQHSGSTPYSRT PELRVTHKLAERKRRSEMKDCFEALRLRLPSSQNNKSSKWETLTRAIEYINNLEKQVA NFRRDNELLHQELQEMRRQLNQQQSNGQSRTASIFEHHQMPVQSNGQVHGPAHGPVYT GYSGSPGAAEEQPRTLPPLMNGSVAPMQGVQYTDDRR ANIA_07554 MKRKTNSRESVDGNGQPDTKKRALSSEEVALRFRDGLFEPSVQE NYTKLYAESGPYLHGVIHPLIEDSLLRAVRDEIQAHLHFTEKETDIYKIFQSGDLANL DGLDDGSLSRLPSILKLRDSLYSARFREFLSSVTGSGKLSGQKTDMAINVYNEGCHLL CHDDVIGSRRLSYILYLTDPDTPWQAEWGGALRLYPTTTKKDAKGEDVKVPSPDFSLS IPPAFNQLSFFTVQPGESFHDVEEVYHPAENEDKSKKRVRMAISGWFHIPQEGEDGYE EGLEEKLAERSSLSQLQGRADIYDLPQPKTVICEDEAEEAKEDPKGKGKAKAEEEPGA VFTESDLNFLVQYIAPSYLTPDIAEEMSDAFLNDSSLNLEHFLSKKFAAKVRSYIEEQ EKKELPASSDEIQASTDWTVARPPHKHRYLYQEPSAEAGHSKTPLQELLNDLFPSKPF RKWLSLITGSDRITSYNLLARRFRRGKDYTLANSYVGEEPRLEFTLCLTPTPGWEKED PEEEEEASGDANGTSEASSSKKASNKKSESKSDQDDHEISVGGYEIYMAGDDEEEKSG AADPAIYQSAADDEDDGILFSTAAGWNRLSIVLRDSGTLRFVKYVSAAAKGDRWDITG EMGVEFNEDDDDEEGEEGEGDDGDEDDEVEDEDMDEDEE ANIA_07555 MDQRHSISPVNTTAVPESAINRPSSDSTGPTASQQDHADQVNET QRPGTPPRPPYSPVTPVFAHLAPVQDPSTNGMTQPIVPPTTSPSPTSQVPPTPPNPSS LPPRAPPTFAPEPPPPVPISESDNPDAIALRSAISILQLQKQQSLRDIQTLERMKEAA AKDPERFARELIDGKLARKEQGGFIDFNHEEEDRDGDTETAEGGSRISSELGTLPAAQ NIVRMPAINWAKYQIN ANIA_07556 MMLHGQRQAQITPFFAQSQHRPALKRPFALSASPSPSCSPSSLS PAHDYGSQPTAKRNLLTTFEDGSNDKTYSQRFRERLEGYRACKEKELGDPFNPDRISS CNCGLSPSPDRTVCEEDYDDECYDDANYDDNDNGEILVQESVLLDEGDCLCDSEHGHY TAIVRGDSVHPQQHSEPQPEPQPQFIPEHETERYAPLSNEAINNILLQCAPSSASTQT WIRTHRANEVDKERKKALFKQRDDLRRQVLQLRPKSEWTMNRAVKAPVFKLLYDGIEN GVGVGDFGANSVASPEISLPSEASSYGQDNNLIGFSYRGRPYILDEKDKQWMKVFRST GRLQVAVCYEEASIVPSRTMQEFQKYFSISDQW ANIA_07557 MSSVGTDLIPQGTAYGLLIGLGVLFCGVILIAIKVQKAYLSEDS ATSEMFMVANRSVGTGLTASAVFSSWMWINETVLCAAMCYRDGLAVPLWWGSGLCFQI ALMAALGVMAKIRVPYAHTSLEIIKMRYGTIGHLVFIFLNLVNNVFGCASMILTGSQL VSGVSGMHFVAATILIPLGVVLYTAVGGLKATFLTDFLHTAVALILIIYSTLSVLTNE HIGGLGGLYDKVMATASENYIPDNYEGSLLTMKSKGAIIWGLVLKFGNLALVVMDTAF WQKSFASEVNSTVPAYNLAAIAVFGIPWGLGTVLGLSARALHLTPIFPTYPADITETE VSTGLVMPFLVKALIGDSGIVAFFVLLFMALTSTVSSSMIAVSSILSFDIYKTYFNPK ATDRKLLRASHVTVVIHAVFITGISIALNYGGANMTWLGYFRPVLSCPGIIPLGLTLF WSGQTKLAAILAPVLGFFTGLAIWLGTAHALYGEVNMITTGNPLPALYGAIGSFFSPA IYSVVISLYKPYKFDWRIFLRIELAAEAQLHSADKSKATVIESEVKEKSGTNTPKRDT SDAAAATTATADPEHAAALEKPNPASRANSTPVSESSLDDIRHPFDEKTLRELYRWMK IAWVIFVVIVLVTFILWPMPLYRNYIFSKSFFSGWVSVAIVWQFFAFSAVVIYPLYDG RYEIDKGARE ANIA_07558 MSTTNQPPQPPKQSSYTQPSNPVTQNPAESQQTEQRSHTYGTAP ATITRGTSSNPSNPSNVSSTRTKKEQSGKSLHAHGDVDTEYGVEQQPSEGDIANAVEG RSRHRAQAGAHAGPVGTAQGPGMPARGEGVSNLQDLDRKAEEHQRILGDKVGRSPPIE GEEVEREKLRERKLRQDRKLHTSDAVSEATGKPVVGR ANIA_07559 MSDAPRPAIKLTFGKKKAEPSQSQPAPAPSSDQPPPTAPQRKLT LKIARKPTDDESQDKAKKPKITIKKKKRPADEAAPNEPSAGGASETTGPKRLKLNPSK KPGVQSIRIKNKGLVPNRPTGVGYDSEASDTEIDPAIEEQFILRMLPGEDCEYLRRAI NERRFDRSEFSFKPLNREGRRAVLKIRDKQYAAALVDLPCIIEGMKSWDRRGWYKSAD ICQMLLVLGQINNETDALNYPLPAEVQRLDDKTLQYPHGLTPPLRWVRKRRFRDRIST RTIEQVEKAVEDLIAQDEAALGPPRYELVDKTSLDRAEGLVQSGEYDDYEYDDEQDAE GEVEEAMDDVDGMFEDLEDTLAAEMEAALAAGADGQTFEADIVETPATPGVYQAGTPV ATKPSTPAPDDTGADTSGDESDASNDEESPEELDEEQLEQQRQVQQSREEIAELEALI RSETAKWETMNNQILKGKLAKRIHDLKMEVSLKKVSIGEGDDADS ANIA_07560 MVYPFELPTTSHLSFQSALSSHTHPSLPQSATTARHALRLALKA HNRLPRGFQRDSHLTSVLSAINDYLPYVVALAQGLNNGKPIDTTTPSTTTHLEEIRVA QRAELEPEWRATLASSSLRRPSTNRTRGTGVQYELAFILTTLGYILSSLARSGVTRTL YASTTPSAEQRTAAVQTATKHLLQASTIHSFLSSSPYFATVSEAATLPDLAPATQAAL SSLALAEATLLTVLKDDSYVVACIQARNPNDKDWMVRAPEIPKVRAHLFARLCIRAAE YAEQAATGLSSVAAEGRKAGVDEDVARYAHVLGLVARARACRFFGVDAELAGKVGEGI AWLRAAKGALGLRNTGSASAEEVTTKSRGLSKLKLGFRERREERKLEKGAGGERVDKG GLGPGDNAGREEEGRVLEMLETKWVRANDTLNTQLIPSSADYVANLPSGRDVLPPQPP YTPPSLDEERLFQMRGPPTELELNPGSDDGDSDFESQAAGDAHTPGAFPGRADNAYY ANIA_07561 MPTPESAAFLAKKPTVPPTYDGVDFEDNVAVHNARDAIIREQWV RSMMSRLVGEELGKCYAREGVNHLEKCGKLREKYFELLSERKIKGYLFEEKNYFATKS T ANIA_07562 MSLPRSPSPSPGKGWSSPRLTSGSGRSTPYISLYPPNPLDPWLV AKAKSEDVRGYPSFSTRKSGFFLRFKRQIIETLPKFNMWSRPPKEYTEKDDSIYRRGR GWRSLWFGRTALRRRRSRLFLALFLLLFGYLFLWTSFVELFRRSIFGSGQKFVIILQS NTEGGVMEWKGAREWAIERNSISNKKEYAKRWGYKLEVVNMLAKKRYSHEWREGWEKV DILRETMKKYPTAEWFWWLDLNTYIMENSYSLEDHLFDRLDEVVYRDINEYNPLNITH PPTQPYLDEVSRSAIGDNDPGSIHLLLSQDCGGFNLGSFFVHRSLFSERLLDTWWDPV MYEQRHMQWEHKEQDALEYLYINQPWIRSSVAFAPQRYFNSFPPGACGDSLDPMVHYT PDARDFVVNMAGCDFGRDCWDEMYQYREYSKWLNRPIWERLRDRYLELYHALFGEGEG PGYFVDTVQGY ANIA_07563 MEATQESTQPYTDPRRGGLNASGLLEEDLSDVICILHPSSPQAL EAVAATARVAPWHILQRDDLEYEVPSTAALDIALRLSSNVMDPSRGFSFGRTIGRSDI LLCADNGSKRISNTHFRIYITTDSILMLEDVSTNGTIVDNCRLKKRDRENSRMLTNGS VISVVNGDYATNEVKFVVRLPNREGFTDLYAKNLQNYLGRVYGGAPGTVQPGRRHPSA LSIPPWNSHGMHWSGGPTYNVTGQIGKGAFAVVYKLATKQHGAVFAAKELDKRHFMKN GVLNQKVDNELKIMKDLKHPNIVQYIDHHEHDRWIYIIMEYVPCGELSTYLQNMVNIP EDMVKTIARQILHALKYLHKRKITHRDIKPDNILIASHEPLKVKLSDFGLSKVVQEET FLKTFCGTLLYCAPEVYPEYDMYRRGEVRKRRRVGDPLPKTSPYDQSVDMYSLGAVLY HLLAGIPPYTGRGDDRGAQMLRTIMTTEPDYQILREAGVSEEGIDLVSKLLNRDPQLR PKELECLKHPWLVDIPDIDEYEDDDLLSNYSDGLSAIGEVAEDELDASQLSLEENPDF GDDLAENDSDLAQSKRPRINSPPPDVGYPSLPKLEVSQDHQQISHATPRRLFGEITSS ALRSSHALGDNMDAFEDDHHSVQDFISSTGESMISYGGSLNSVLSLPENPVAGSALSL LGAEKLVRQLNMNSWHPGTSRNPLLSTEAASPQPSEDDGLADDKVQKEFPQAGSLMTP KAAKFKRRIELPLPDTASERSGSDDSNMRDADGQYRNLTREPEIFDIELANTIDAKTG QLIPDYAESRGFQPPADPSRAGGPVGVLRPLPTPGESRPLLGKLTTLPGSIFDLTLRL EDRMTSWGRGPQASICYPDPMDARIPAYALEITFWAPRLEERIASGQDWMTIPGTMAH LSTKTRKCIWVNDVELQKGPEGGFHFGKLYTGDIITVYRHRNKFLKFKCEFYHGDSAR ERPENEKGFVVRKVLTSKSTAVNQQPVRRNAISKE ANIA_07564 MKRFVRPVLQSSRFFEPVVSPLQARRGLRLVTNMATSNAANGKQ TTWHGAGAAEFDLRSDTMTKPTPSMLEAICQTTLLDDVFEEDPVTNELQNYVAKRTNH EAALLVMSGTMGNQVAIRTHLTEPPYSVVCDYRSHIICYEAGGVSAWTGATVIPVIPK NNTYLTLEDVQKKVVISKDVHTCPTKLISLENTLDGMIMPLEEARRITEWAHENGIKV HLDGARLWEAVVSGAGSLPEYSSLFDSISLCFSKGLGAPIGSIIVGSELFIKKARWFR KSIGGGARQTGVLAAAARVALDETFGLDPSGKDGKLRETHIKAKRVADMWTKRGGKLA YPVQTNMVWLDTEASGLGPNDLAETGKEKGLKLLGHRIVIHYQVSEDAIDRLEQVFDL VLTGQHQQSTDTSKPYGSR ANIA_07565 MNSEAIDLSKFTRPAVLCQCSRCLSSLAVLENEWVKLSNSYSVV SGWLSCQLQRISISTEKKQIPQSSELSLIRGRIVQEIGCKLCHQKLGALCALDNGPNI FWKLPKVSLLEIVTMRPVEPVFKEGAGVLEDLLFPKPKAIGFGADGPSSRPGPLISVG SNDNREISVEHHIQQQGQSLDHISSSVSTLHDTMHELKNAFTAMRIELNGPNRFLNEQ DLANTDFNMVTTVLKELKSKADEIEKLKLEIEALKLRNRFVEEHTARQTQHSLVVEAP LPEVRSPGLLQGSRKRPFPFPEHYNGVRERPASDSFDEEDVLEDNLAGFSLTESSIPS VKIPLKDHETTREPVHESSPRLQIGVDNSTYQTPQSLPSDEPAVKRPRLSSEVSVSNK RPRGRPSRKSLSQADSTQNPGPRLPDEQGSSSKNPQATPNIEQKPMEDLSARSHRLRS RSRAASLSTRNQLSTENADKSQQDSTTSSEPSTQLAVQLGKENAELEFTRLKADEGKR TKAEMNEKRKAQAAARDNMVKLAMQREEAMDTEEAR ANIA_07566 MPKRKHTDYGYSDDAAPVMADPGSQGFGLGQTLSMLSKSTEPAT DASTGSTAQPASGGSPDDADNGPDGARPSKKKRLNGEKIKYPTLTYVEGRTLQSSIRI ADLQNLLLFCFADGVAPQWIAVKNTTRIRKAVFLMVPGLELGMLNGKVPLDGSEVKEV KGADAEDGTEDTRAAEFARWKEGLPPQDRSHLFSPRPLSRDNVPEPLQPLADVFPHAW PVRAPGDSKYNKVHSPLQAVLLTSVPKEKEKKTKGPKPPRVDKNYTSKRTPITAFISG ADELRENDYPLHPALCASEDEKLALKNARKRAGQSAEDGWVDTHVESLEEGNVPEAEI QQGSMTAGRDVLALDCEMCITEGGSSELTRISLVRWDGEVVLDELVKPRLPVIDYLTR FSGITKEMLDPVTTTLRDIQQKLLNIITPRSILVGHSLNSDLNALKLTHPFIVDTVFL YPHPRGPPLRASLKWLTQKYLGKEIQKGTTGHDSIEDARAVLELVKQKCEKGEQWGTS DASNESIFKRLSRHSAPGKPNVAAGGTGRTGAVVDWGNPERGLGAQATVAIGCSDDEA VVKGIQAAVNGDESKPSIPGGGVDFTWARMRELEIYRGWCNRIPDPSNANTSTLIAFD SSSSSSESRTLPTVVSQTVSRIKEVYDALPACTLFVVYSGTGDPREVSRLQAMHKIFR DEYQSKKPWDELSVKWTDTEEQALKKACERAREGCAFMCVK ANIA_07567 MSTLFEVTSEESFGPHLASIPSDTLIVLYFHAPWAAPCAQMRAV LSALASQYPATTPPTVSFISVNAEELPDISEEYDVTAVPYVVLLRNGQVLETISGSEA TKVRDAVERYAGAGSAGASANGAASAIPPALTAVPREDVNTPTTATQAPVAGAASGAG AAPALTPEQSKEALFARLSELVKAAPVMLFMKGTPSAPQCGFSRQLVGILRERSVKYG FFNILADEDVRQGLKEFADWPTFPQLWVNGELVGGLDIVKEELENDPNFLDSFSINK ANIA_07568 MVRPFLHAPLRFRRIIVPAVPGPCRRTPAHQSINTVNAVCQRSF ALSQRYNQGGSNSIEKETAVRSGEEKVNAEPAGGATSALETSASPSKEKLRSIPKHKD DKDASSAAPKASSGRPAVVLDEQKLVLKLPSKDLAISYIQLRDSCRCPLCVDVHSKQR NYRLSDIPPHIKAKSLEWEKDVLKVTWEDDIPGFDSSHVSRYTLPQLKLRHPFPVTSA TGIRRKRIDWNKEKMTKLQHWISYDDYMHNDEKFTIAMRHLATMGLIFLKDIPDSREM VEKIATRIGPLRNTFYGSTWDVRKVPEAKNVAYTSQYLGFHMDLMYMKDPPAFQLLHC LRNSCDGGESLFADTFNVAGYLYRNRPEIFQILAKTKLRYEYQHKDQSYSNAWPVLER GPLDKGHFLARVAYSPPFQAPILNDSNADPEYIAKLQTQLGALKYFASSLEREDNMFE LKLQPGECVIFENRRIVHARRQFNTATGERWLAGAYLDEDVVASRFRVLQESHQDAWV NFLDMSASDSEPSRGDSEDLSFLWTRNASAAPETRVASPAQETAEGQ ANIA_07569 MSAGGEHLKDEGTRRQVVLAGGIAGLISRFCIAPLDVVKIRLQL QIHSLSDPTSHAHITGPVYKGTLSTIKTILREEGLTGLWKGNIPAELLYVCYGGIQFT TYRTTTQLLAQLDPHRLPQPIESFISGALGGGIATAATYPLDLLRTRFAAQGSGDNRV YESLFASLRDIAKTEGTVGFFRGCSAAVGQIVPYMGLFFATYEALRPVMATAPELSPI PLPPGSGDAAAGIVASVLAKTGVFPLDLVRKRLQVQGPTRALYVHRNIPEYRGVFNTM GLIFRTQGLRGLYRGLTVSLVKAAPASAVTMWTYERALKLLREHEIAAGRDE ANIA_07570 MRGEVCHIHIGQAGTQLGNSAWELYLLEHGLGADGRLDPEKGED INAGGSFETFFTETGSGKYVPRSIFVDLDPSPIDEIRTGPYRQLFHPEQLISGKEDAA NNYARGHYTVGKELVDTVVDRVRRLSDNCSSLQGFLVFHSFGGGTGSGFGALLLERLS TEYGKKSKLEFAVYPSPRVSTAVVEPYNAVLSTHSTIENSDCTFLVDNEAVYDICRRN LDIPRPSFEHLNRLIAQVVSSITSSLRFDGALNVDLNEFQTNLVPFPRIHYPLISYAP VISSNRSSHESFKVQDLTLQCAEPNNQMVVCDPRNGKYMAVALLYRGDCVPRDCTQAI ASLKAKASFNLVEWCPTGFKVGINYQKPARVPGSELAPVDRSVSMLSNTTAISEAWSR LDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVAGDSLDMEGEEAE Y ANIA_07571 MTTPVNEVPEPSYPAAERRRRSDSDSSPTVDGNAYVHDESQPHD GAYAGAQYGSHLQVDTTFQDFDKTSRSPSAAREQAMRLEDDLAVLEAERVASRSTHGT EKDARSGDVHSLTRSRSRRAEDVDEFDEATNPLHEKAAVYNPPENPSTGVSKFIKRVH ESSFIVRYFTYIVPLVLILLIPLLVGALAYPDASVGGVELLWFSVWLEIVWLTLWAGR IVAKLLPTPVNIFASIFTNNSKKWRDVAKQLELPVTLFLWWLGVEISFLPTMKNHHVD GNSRTRDWENTLNKIIISVFVWTILNLIEKFLLQLIAMSFHRRTYSDRIEINKFQIGS LTKLYAFSRNKIAETDEAFEEKQDKSGSGAKTPLRYAGKARGLALGALNKVGDVAGAV AADFTGRKANSSSHPSQVVIALLRTTAGCQTLARRLYRTFVREGFDTVFPGDLKEAFD DNEEAEAAFSMFDKDMNGDISMEELEAVCVEIGRERKAITASLKDLDSVVSRLGNVFE FFVAVIAIIVFLTLISTSAAGVLTSAGSSILALSWLFSATAQEFLQSVVFVFVKHPFD VGDRVTIYGNSGEAGLGDDYYVKQISLLYTEFKKMQGHIVQAPNSYLNTLFVLNQRRS GALAEAVPVVIKYGTTLEQMDALRQRLLEFVRSERREFQTNILTELRAVTENFSVTLN VVFFYKSNWQNEGLRLQRRNKFICMLMVALQEIGIEGPRMNLQGASVDIPYHIAGFPP PYQARDGPPVNDDGGQHPPHTPSSGDDQHHQPGHSPSYRRPSILRKGMVAANARARGV SISSRKHVDFSLGMRDVASNDIMGDVFEARSPAADSAVRQVIAERRIQEEEAERQSVE SSRQRNSGSRTNLTVPTSTNEARHSIDSQGASSLSSISRNRFFRHRSHSHSTRQEDLM EQGRSSEQGSSSAAAIRPISPSYHPDEKKL ANIA_07572 MAGNDAENVQYLTPPAVNALRQEARSVDPKNLPTRTLSSDTMRE ERENLREAAEQTLNVIVDLDLEGRVKWVSPSWKQVVGTDLDTIERRLISDVLVDNKSA FLDAIESLKEDDSRSRFIRVSVQLGPDSVFKYAPAPRPLKREQTDGADSAAEEPSFEM EEDGPAILNLEGQGIMVYDRTAEGVAHTMWMLRPYSKPREVTIDLPSSLVESLGVGAE VLANYLTVLAEAAANEPDQSKHPAPEPVLCRICERQITPWWFEKHSDLCLQEHQAEMD VQIAQENLNEHRHAIVRVLDALEARQGRPISSRDGSSPHLPQPEYKGLPIGPSPVASA PSSGSTSTTSSAPATPPRSRDQSVSGSGHIRARSFAVRRPLARVVELILDLCDTALEI NMPVLRESRGDNGDEFRTMSPQSESRISQVLQWHSPSSNTLEQEQGLAALCTDTEQVA RSKVDAVIRHRRIVEYAERIRIEYTVLVEECITAALRKAERIAAGQLSDSSSSEDETP SDETTSDPSASSASILVQNAGHEPELPPPVSALTMSMRNATDRFQSGHSSEGKSSSAA VSTGPSSPMECPTPRSHKSVANLLGTSQPSRRGLSLADIDSGDQSDSSIVSSSITGAM RTDSPSSDRSLERKRRSLVLPGLSSSPRRQHSPARVSGPHSPLRMPKPRLSTGAESLS SPIVSPSSNLGELALHHYRHHRRQSSTTSSDLPRPPVSPHLTTASQPQPRPSPPSIKD FEIIKPISKGAFGSVYLSKKKTTGEYYAIKVLKKADMVAKNQVTNVKAERAIMMWQGE SDFVAKLYWTFSSKDYLYLVMEYLNGGDCASLVKILGGLPEDWTKKYIAEVVLGVEHL HSRGIVHRDLKPDNLLIDQKGHLKLTDFGLSRMGLVGRQKRVLKSMNEQSGDILKQGS FPRATSIASSRSASFDFQGSGSPGSTPLITPDVAGSMPQPSYFNLNQSGLSRQPSRRA SGYRSDSGGSENLNAIFRTLSLNEGETPGAMPIPPPPPSDSAHEEEGQSEPAESPYLQ PLQPTHSNVSTGTPPQQTMMPPLMALFDPEDHNRRFVGTPDYLAPETINGVGQDEMSD WWSLGCIMFEFLFGYPPFNAATPDEVFENILHRRINWPGEVEELASPEAIDLMNKLMA INPRERIGANLEEKYPNGGAEIRSHPWFADIHWDTLLEDKAQFVPNLENPEDTEYFDA RGATLQAFTEEDESSPQAPVTTGSYIDRPHDALYKVRSQVNSIKRPLMPLHIPPHVRE PRSRRLSEPSLADDFGNFAFKNLPMLEKANKDVIQKLRQEALQAQQRQSSNAGTQQQP QTQGQTQATGPTPPSGPSLDGSPLPMSLQRTLSQSKGNNRPASPSSLSQANSSPSRPS QPSSPLLVQFSTGHNHERRKTSGSSSTTASHQSSGSFQPASADQTRVANSNKFGSASS SPIKPNRVGTHSPDKSGPGPHRHGSAPSTRTRSQTIGSQDGELSSSLARETYVPGHHK RRSQLFDVSPSSSDNEDPRAKALLKVQRRRQSSRRLSQINFADGPFFRPLDILICEDH PISRLVMERLFEKLRCRTITVANGAEAVRYALSEVQFDIIMTEFKLPQVNGADVARMV RETRSANRHTPIIAVTSYLKDLPETHHFDSLIEKPPTLTKLTEVLCKFCQWKPPPKDY NPSMFAARQTPPTQSDHSPSSTVSSGFALVPSSSYRGSSREESVGSSYFGDLDSIKAE EVPVIVSRQTDDWSQGTGGLGISEDSTSGQGSADSNRGAQNSTVPFPGLPHAAHSPPA LSPSGMITPRKQRSSEAIRAKRESLERKRYECAESGDDEDEELGNLPSRTQSPRNRAS RPGSKLGIEMMRTNSRGSVISTSEDALKKDKEAGERSRSGGSDDADEHARDKIRSGRS SLESKFEDLNIPEEAILPSIEDDLSPRNSPSPLALASRSALLTSDTKPPCSRYITKIH KRADSTASLESPKRGQITPPVVFPSQSQNPSEAYPSTPPIVFDGPADSGPYQSSLTPI PDSDATPRPMHTPCPNPDTEKTPRPGRLSTWHWFQSRKKPDQNLLQDCCIYMLASPMQ CILTGTIYTVADAGKERCFPRLSARVRARE ANIA_10961 MHDNVPHTTARKFTACDVCYRRKIKCDGVRPRCNWCLHQQLDCT YRRPFPRKGAKRTAASSTVTNRASLIERIRRLDERRTDAMSSDINDCQAGAPNLLPEG LRWIKSRTGIAISLPTSHHAPWEKPPSSTDNLDLWPNGTALELPTKPILRQYLDAYLS SLMHKVFPVVDSSLFPLTIRAAYQQRGPGLESPNPSARACVFAFTALVSCLGQVDPGS SVARPPPVPCREYIMQARRILPAILQEPPNLDALQTTLLLALISVITGELQIAVYYTS IASRFVIASGAHTMTDTLAPPSTPDVIAKKHLRTLFWLCYTLDKDLALRTGQAHVLKD DDCALDIPPAYHEHLHSCLDHSPGTDADIRGPIFPVDLRLSMIKARAFTALYSYNGLR KSDTELIRSIRELDEELECWRTSLPPHLRPQLSFMPKHEKPKNTFLIITHMSYYSCVN LIHLASSRCNAWRSPSLDGGALIHGLQSSLTVSVEASRSLLLFLRDSEFRRPDADNVE SDMKLLALAEQTTGRLFLRRNNPMDRVIDLAPINEFITWLRKHAERAI ANIA_10948 MPLSVFEKSGFLNEVGAAIHVAPNATRILKAWGCKLDWLQPVHC ETLQIWDAKGNHIRTPIVTREHQRTLNVHDEWVLTHRVDLHNVLRAIAAQEIDGRKDA EAGEVVLEDGTKYTADLIVGADGIHSRSVHGIVGADRGSVSTGQNCYRFLVPVHKMRD NPLTADLLARIGLNGVHVFATQDRRLVMYPCRRGELLNVGGIFPSASKTQAENDAVWH NAGSLSQLLETFHEFGEELQEMCRMAEDVKLWSLASRNPARIFFRGKLAVIGDAAHPM LPHQGQGAAQALEDAAALAGVMTADTTVDQIPQRLELYNELRYAHAVTVMMMSRINDE RRGEMLDELRRYVPNAELPHEMFEYTWPSDPMKKAAHLVATAS ANIA_07574 MTGYIWAFRENRDVSSGQFALTWMAIWLAMHVHFLFIDSAISVI PMPIVPFFVLTWTILNVSSTIGPFDLSPGFYRIGYAFPAHSLYELLLQNWMDGCNPHL YRAFPILWSLSIIGER ANIA_07575 MTTKRPTMTLGTVLVVGGCGFLGSHIVDQLLNFPTETDPSASLP KPQGDKRFDYPKLGDRYPVCIAKVAVADLRTTNNRLPGADYYEGDLTSAESMLSVFRK VKPDVVIHTASAMLTDKNLLYQLNVEGTKTLLEVAGGARGDWGGKCKAFVYTSSASVI HDTQSDLLNVNEDWPLIRGKLQQEYYSDTKAEAEEAVLKYNRKSPSSMLTCALRPSGI YGEKDGQLIIKMLSHGVNASPTVRKMQLGENNNLFDFTYVGNVAYSHLLAAFRLLAIY KRVESGQGDLLDYERVDGEAFLITNDQPVYFWDFTHAAWALADKVVEPHQTWQLPEWL LGPIGGLAEAVLGLVGKTPNLTRRAVRYSCMTRYYSCDKAKDRLGYTPIVPLDEGLAR AVGYFLERWRLEGEKKGQ ANIA_07576 MPPGDVSLPDSLVPGNGAVRPSLNTSGYGGSNGGSFQTQTPTSP ADSTVPFDSPRTRPWNASSTGPDSGQSPVDGRPIRRLDSNPVDARDPTTPQDRTNHWE NSGPLERPRPNGRPHAKSPGSSSRICKKCNEPLTGQFVRALMATYHLECFKCEDCGQI VASKFFPVDAEDGSGQYPLCEIDYFRRLDLLCHDCGGALRGSYITALDRKYHIEHFTC SVCPTVFGAQDSYYEHEGKVYCHYHYSTQFAQRCHGCHTAILKQFVEIFRNGQNQHWH PECYMIHKFWNVRLAPTGQPLEYPQMKEDASDEERNQIREEEDLMEEKVYKIWSTLST FEESSAACISDMLLHVSNGAYLDGVLVAKRFIGHVEILFSAVDELAVSIKAQGMKDLA FGREAKLLCKKIVAFFALLSKTQETGVKKLGVTQELLSLVTGLAHYLKLLIRIGLQGA LKLEREQQSPEGLHSLLDRLGDLESLRPLEEEETPADLLVGVDTLADQLSDCCAACKE PIDDECVMLGDNRWHIKPPHFLCSSCQTDLTTNLQDAFLSPKDKQILCSSCVTHKGIV NNTQKGFIRVTKLQQFVFLLRVALARLLAVLRAGGTLFPPETDMPSSFVEDSVVQSDG NQIPPGGELRRRKDGDGSSLEQTVGEMRRLRSIRNERTLSTTYKKARASRIIDGPEGR SVRPGSSGGEGSDSRGPGFQIVEEKDANGEPVTELAFGNQDALTLDDIPRIVAAEQAK EQRPNAYRHAGTKLVGTTEPLPRYNQGHQRGVSNAGIEQPYPAPTGRGKRYFSELSAL EYFIVRHVAVLSMEPLLEGAFTLEELLSLIESRKPTIWGIFGRAFTKDAKKGGKKKGV FGVSLDYLVEKEGTESTHGVGPGALRIPALVDDSVSAMRQMDMSVEGVFRKNGNIRRL KDISEMIDTKYEQVDLTKENPVQIAALLKKFLREMPDPLLTFKLHRLFVASQKIPDLE KQKRVLHLTCCLLPKAHRDTMEVLFAFLNWTSSFSHVDEETGSKMDIHNLATVMTPNI LYPNTKTSAVDESFLAIEAVNALITYNDTMCEIPEDLQSVLSDSHLFKENSEVTTKDI LKRYGDIARGGFSQKASNGGETFTITNQSRGANVPTSARIETDPYQDTASQMQSSVRH VPGPGGNSHPSGAIAPNKDLDKRTRSTSTGSQSEGQPQQVPYRARPNPGPMGVAG ANIA_07577 MSDQAIIRITREIKQIENSADLSIAVDYDEKDIRNVRAVILGPP ETPYQFGFFEFSIKFGKDYPARAPSVRALTTNSGVCRFSPNLYAGGKVCLSILGTWTG QPGEQWSSAQGLESVLISIQSLMSSNPYENEPGYEHAKSQTDEENMAHYKAKIRHETI RIAVLQPLESAMGISLDATSKSPGYEKYLSEADDSSEDENHTSTNAFIDLRKRRFLWY YDSYVQLIQSESAKETITPKLPFHRMPFESHGNTMDGHFDYPELQQRLMYLKGKIMDE TKNWQAQGLEAKKNELGIAVNLQRQYEQIVETLKNHKNYSIDLTLVNGNPFLWKLTYF GRPTTPLEGGIIKIRIHLSPRFPEEQPRIFVETRLFHVRVSKEGVLCYFPRRIEEMRQ HVEAIVAVLEEQEPPYDPRTTVNIEAAKLFWGSPEDRKKYKRTLRRDVERSVEDAFE ANIA_07578 MSPTSKRKSHEMADAYPGLEDATPTNSPPKKRMRITRSQKQALM DNLQLEITERARKLRAQYALQAQDLRARIERRVNRIPVILRKANMGELLEKHNASLRA QLENSSPRKYRSPAKGSRNFTAISASGRKKAATVSPSPRRVRKQQHSPAGIYSDKENA PASGEQLDVLKNPKRRTKAGTTGGTSRIVSQEVRGADYRILSPKSSNSRTYPQSPLLR SPEKAQPSSYLSRPMSPLKPSSPLKSTTGNYAASRTGSSRGAVPPRPPSSQTKRPASR AATAGPRSIRSPLSRPGTRQTDRRGSVSSSASSGTTVAKTSSSRRITTASTASAATKM STARSQASAAAKKTTVSGSRKATVPAGSETATGGRRVLRKRA ANIA_07579 MRAHPQSAVGLMSMGGKGPEVLSTFTTDFGGILAGLHRTKIHGT AHFTSSIQVAGLALKHRSEKSQRQRIIVFSCSPIAEDEKTLVKLAKKMKKNNVSIDVI AFGDLESDQTKKLEAFVENVKGGDGSNLAIIPPGPNLLSEELQASPILGGDGSGGAGG LGGGDGGDGGAFDLDAAAENDPELAFALRLSLEEEKNRQEKERREREAQQGKENLEGI PEEGSSSKKDNEDPDKMDTA ANIA_07580 MEDHYHYPGFYHYSVQDHLATAQEVEAQYYPHHIADAMAVPMSD AMIECVPIAAEATVKGAHFPPFEPMRYPPPPSAPDLGNWCPLSPFDESLRSVSPQTDC QSNCMSALSYDDSISCTSSFENSPSPSYPEMPSTLYKQESISHPEPTHATPHQNCMLL QAYTPTTHQPDENSCNFTSTAECEREALLPARRPLTGNRVQKHSSKARRKTAAKPSRA EAATTKQTSKKTTDRRFECCFARYGCESTFPSKNEWKRHVYSQHIQTGFYRCDIGRCS LNNRSPSPTGLRTPTSSFPQTPSTPLTLLVNDFNRKDLFIQHQRRMHSPWSANSSTQK SSRKASSASQSEKDSFEESLEAVVKRCWHQLREPPTLSHCGFCDMEFCGVNAWKERME HVARHYEKRDPGPEKEDLPLREWAEKNGIVSCVNGEWKLVSLWRK ANIA_10972 MDTVQETKSSASKADYGATSIPDVELVKGEVGIADQLEMPMKRK FNILSIIAVGYNISNSWVAIAASFAIAIQSGGALSLLYGIIVVTFAMLCTGVTLAELA SVYPTAGGQYHFTSILASRRWSRGLSYFSGLAAIFSWICLGASIGLSGTNALMAIIIR WQPAYQPASWHYFLVYQLFNILVVVYNMYLANKTLWIYNIGFVLSISTFIVITVACPA RSEVHVDSKEIWAIMTNGSGWSDGISFLTGLSTPQFMLSGIDATLHLAEECLDPERVV PKAVLATVIVGFLTAFPFAIAISYSYRDVAESLSTNTGFPIFFIWEKATHSPAAATVF MACLFVISCVAFNAVHQTASRLTWSFARDDALFFSKKLSPVHHSLGVPVNALVLDGIL VFLVGIVYICSSTAFNSFISTTVIVAQVSFAIPALLVILRRRSSHFLPESRPFRVPNV VGYICNVVCIIWAVILTVFFCFPAALPVTGGNMNYASVVLVVMLIIGVANWFAYARGH YRGPRLEM ANIA_10949 MSSQPSPPAPSGDVAPPGAVQQPSETVSRITDDNGAPSTAKEKA ESSDITCASSEKDIDRDRKDIDQESELKITALARNLSRLSQKSGAVDGTNTFLDSSSD PELDPNSGQFNARKWTKNFLSVANRDPDRYPRRTAGVSFRNLSAFGYGTAADYQMDVA NMWLKGFGWIRRKLGFVDRVRIDILRDFEGFVRSGEMLVVLGRPGSGCSTFLKTIAGE THGLWLDDGTDIQYQGISWDEMHSRFRGEVIYQAETEIHFPNLTAGETLLFAAQARTP ANRFPGVTRDQYAHHMRDVTMAMLGLSHTMNTLIGNEFIRGVSGGERKRVSIAETILC GCPLQCWDNSTRGLDSSTALEFVRNLRLSTEYTGSTAIVAIYQASQAIYDVFDKAIVL YEGRQIYFGSASDARRFFVEMGFECPDRQTTGDFLTSLTSPTERLVRKGFENLVPRTP DEFAERWKQSAERKRLLEEIEAFQNEHPLGGSKYEEFTRSRAAEKAKGTRAASPYTLS YPMQIRLCLSRGFLRLKGDMSMTLATTIGNSIMALIISSIFYNMNGTTEKFFSRGALL FFAILLNAFSSALEILTLWQQRPIVEKHYKYALYHPSAEAISSMIVDLPAKVLVSIVF NIILYFMTNLRRTAGHFFVFYLFSFTTTLTMSNIFRWIGAISRSMAQAMVPSSIFMLI LVIYTGFTIPVRNMHPWFRWLNYLNPIGYAFESLMVNEFSGRRFDCAMYVPDGPGYAD VPLSSKICSGRGAVAGQDYIDGDTYLNTSFQYYRSHLWRNYGVLLAFMFFFLAAYIIC SELVRAKPSKGEILVFPRGKIPAFAKEVRRDEEDAKTVEKPQLVGEKSDDHVGAISKQ TAIFHWQDVCYDIKIKGENRRILDHIDGWVKPGTLTALMGVTGAGKTSLLDVLADRVT MGVITGEMLVDGRLRDDSFQRKTGYVQQQDLHLETSTVREALIFSAMLRQPASIPRKE KLAYVEEVIKMLGMEEYAEAVVGILGEGLNVEQRKRLTIGVELAAKPDLLLFFDEPTS GLDSQTAWSICSLMRKLADHGQAILCTIHQPSAILMQQFDRLLFLAKGGKTIYFGELG ENMGTLIEYFEKKGSTPCPKNANPAEWMLEVIGAAPGSHADRDWSEVWNQSPEREQVR AELARMKAELLQKPEPPRTPEYGEFAMPLWSQFLICLKRMFQQYWRSPSYIYSKATMC VIPPIFIGFTFWREPLSLQGMQNQMFAIFMLLVIFPNLVQQMMPYFVTQRALYEVRER PSKAYSWKAFMMASICVELPWNILMAVPAYFCWYYPIGLYRNAGPGETVERGGTMFLL ILIFMMFTSTFSSMVIAGIEHPDTGSNIAQLLFSLCLIFNGVLATPQQMPRFWIFMYR VSPFTYLVSSVLSTGLSGAEVECSDIEILKIPPPDGQNCTSYLGPYAEGIHAKLLSDA PNGDCRLCSISSTNQFLASLSINPDDNWRNVGILFVYIVFNAFAAVFLYWLVRVPKKK SQQVKKQ ANIA_07582 MIVRREKEVQVSEQSLEGKLRATEAERSGGVGEEESSDAIIVRF VAAAPLPFCSKAGTRLNGISQTTCIPKQSISFDKCGIGNGRGLNPDKDQYLLSSYIGD FATSYKSYKVQRYTARVSATTVHKSYAQLNCATYAHV ANIA_07583 MADDELFTRAISGYRDAFLEQHSHLSESERNQLWSQRLSQFMPT TTAVSPTSPAYRPVSGSSILGHDTSSEKSGKRTRQETPRTLPGSGPPPTKRRVTTPDP PERVVLKRDLSHASSPAAPETSWQLSRTMSQTDNTHPTSGPGSASQPPAMVRSQSQQV PVSYQHPTASMAQYRHSPGYHRRHLQNVSEYSPAEFTKQYLGSFEGQSSVSPSTMAFP ASPVQVGGSNPGSFASQFFQGQMSGNDSLTTAPAQSVPMTRSGTTDSLCGTMGMMRFD SAGPTLGSDYPFTVPSTTFPSSTPVNVPLASTVSTTPQMIPQAPFNMVDPSISLPYSC SAPPATSMSFLPPSPVSEVKASAPTEGDAASNTPSSRAARRAQEQIVHSARPIAPKTE TLKATPPKVAEHKMIRISSSDGTSKEVAAIPKASIQRPPRQKTYCTMCNDQPDGFHGE HELRRHIERVHAVVRKVWVCVDISPDKKFLANCKACRNGKRYGANYNAAAHLRRTHFN PCQRGRGGRGKDSEKRGGKGGGTHPPMDILKHWMVQKEEIVVENAQMYPLDADGLVDD IVPVPTNPLDDTSFESLASEDLSSQGTEATGMNSYDSFTSFPTTNSYPSFENTCYLDS QPLVPEVTSYV ANIA_07584 MSSAKEALRSVLALTNKGYRPACSACIGWGVGCVYASDHQPSMD YGFPTPDSTLGMDLAAFVQNMPGAASFDFTPDLALTSSEANHGVPGLESNSPMPMVDQ LPLAGQTVQLIDEFFVRCHPQLPCIHKETFLARTQGPVPMPLEWAILATAARAHRGAT APYRADMFLQAAVNSLAQSPLLRENVLRDLQAAVWCVYSLYYSGEITRAVMLLAQAYS LACLNGLDRLDEPGPNVPATMHLSPIEKEECRGTLWALFVLDRQINYLMGRHFVIDDV RWCVNYPLDDASLQSQPGLRPDLEPERCYSSDLAALAWEKPNIAIGTALPRLVCKASV MIGRIATYKSINPMPSATHSAQKRQADFHELQSALACLWVSLPACVHNVSEVPPGCVN QSVWLLITLHTCSTLLFYITDAERRSPGSDQYPTERENFTCTYKSVNKVVTALRALSG LATDAILNPMLAPSYFSCCRFILLQWRRSQQQEFRLDLGLVLRLLEQMANKQAGMARI YKEIIEQELGRDLDVQGGGDLGQALVKTEYCFMI ANIA_07585 MTLQTEPEEIDSSLNATSVLPDTVDIEKSVTTDQDAPPEENTRP GAKAGLSLAQFWIVMFGLSVGMLLAALDFNIVATAVPIISSEFNAYNNSSWLGTGFLI SFTLVLPLYSKIGDIFGRRNMFMLGTLVFILGSGLCGGSKSMNMLVWSRVIQGIGGGG IYGLVNVILTDLVPLRDVGKFATWRWCFYVNLCISPISLIITFFYLRIPTPKIDKERI KNFDIIGTITLTGGTVCLLLAISWGGNSFPWNSSHVIGCFIGGFALLQAFAIWEHYAK DPLMPPVFFRNRAIVAILFAEFFYGANLLGMMYYVPQFFQLVYGDSATMSGVALLPMM LGLQIGNPLERLAFKPAESHPDVGASWRHPYGCAEGQDFV ANIA_07586 METAGCQRLAHPCHVIAGPLSNPMLSDHRQEIRGLLTGHQQTDD G ANIA_07587 MSDPTLYLYTSLTAGSSHIITATSRLETILKANKISFRAIDVAT DEAARKLWGRRSRGKKLPGLVKYGTVVGDLEQIEEWNEFGELKMQINSVEPFDDLPAT DTPLPVAESSTTSASAPKVDAPASKTSTIKIQEPRDKKSQVDDSVTKALRQAGEEAAA KAKEPASKPSAPTAAGPQTSTSTFASKTDRGAETKEGGGEQSVRRMSVAPEIAESGSN RPPLVPECAAESSANFRADNAEALGLINHHRNSNIAAESKEEAEKMAKELRKSISGTH NPALESLREHKATLAAEATVEDALEG ANIA_07588 MSPPAIIAPSILSADFATLGSECSTKISEGADWLHVDIMDGHFV PNITFGAPVVTKIRSHVHRPSQPQGKGTFDCHMMIAEPQKWVKDFKDAGCDLYCFHYE AAVSSVAAKEPADKETTRKTSPKELIRFIHEEGMQAGIAIKPDTPVDVLWDILAADDE KERPDMVLVMTVHPGFGGQKFMASELPKVKALREKYPDLNIEVDGGLGLGTIDQAAEA GANVIVAGSAVFGAENPGDVIQKLRDAVNKHRKA ANIA_10964 MAEPSRPATARFPPSRQVRGTRLNTIIEDARETQYADNRGPSPT DNGPKSPTPRLKLKTTGLSLPLVRQQRKFLSPLSAGSVSSCSDVDWQNQMRTFDELYD ATDDESDFSDECMSFTSTRPTSLTTPTTRADSVTSSNSRRRYPALSIPTSSIWPSLNG APKSSPVPPTPPQRIPVSPAALSLLSRSVPAMHATPSLDGSVSSDQVSNLSTPSTPSL RSLPDTDWNSREIHVLPDLDDSQHPNIANPETEEVPSIEIPIEDADDDWRRFIGEFPQ IPGQTTSQAGYVGVEPAREDTPSDPGVALPEGALATLQFIPLEGTPEPWSETSEPNEE MWQVAAPPEPRRLDDETPVSELSEYSFTGLSIPSPGGFFNSLAPRARHTWSLPKLNQP PTSATAERFYNLPFNREEGEIIEQVIDLPERLNDEQLTAIYAPPTAIKIPESPAHPPT EGSISPVSERVHEISRPATAYDPDEQDENYAEELHKKALSSLDRTSVWLAAQASYLAA LRETNPVNNLPDEDERPQDVDESPQHVSPALERNASVCFTGMFPEPPSSLPAANASKD SIYWRGFRFLLDQSRSRDTFVHRSTRFDAVQSFRLGLSGLHNKCLLGNYELVLPDRPA YSGPFAKAPRHSVLPGILQQKAEFSMIEKEQLVLSQISQPMWAMEALRYLQGGNLVVS PARKRFSKRATAAAPHKTPKRRQVRVLDLGGHATAEWAWHLAHDYPHVKVYTVYTEHQ QVNKAIKGPPNHRHIQVPQLWKLPFPDNKFDVISARSLPAFLKTERPAGDCLDEYDLC LKECRRCLKPGGYLEYLVMDAEIARAGPYASATSIEFSFSLKIRGYDPVPTKQFVGRL RKQGFVGIKRGWMYLPMGTEPPKPQVPRETPDPRVKSLIEDYEAVQGPLGSTADIASI TGLLGGWIWEQWLLKLQVEMGRDKSKLLEGIGSMFDEGRKNGSGWTCLSGWAMKPLKK QSDATGF ANIA_10963 MLFFSFFKTLTNQVVTIELKNDIRIRGTLKSVDQYLNIKLDDID VLDLDKYPHLSSVKNMFIRGSVVRYVVLPRSEVDVGLLEDATRREAANQAGKAR ANIA_10962 MPASSNNRRVLTPSKPSAGAKSQSHSVKRNNTLLNFFQKADGPP KSTTKQARITQFTTQGERPGSRVTAPRPRLLKREGSSNASGGGDEGLFLEDKKSRDAR LGQEKNIERERSRSPDIWGSRSGDKENENAGAARSLEEGMEEGIDRYNESENAVKRRK VDLEAPHARSVERLSSKAQKISGPFIDESDSENEGLEAFREYADGEADVAPEKDVKES EAHSDENQTAGKRDLAADISPLVREATSHMQEDEYPDFDDIEAGGVEDEGLDFECEAA VDEETFGFDANDTTADTIHADEKPTCPVCQGSLEGFDEMKVMAHVNDCLDGRPSAISP MQESPKVSTPEQKAVAADRAAIAKPAQRDPAQYQTSRAGTAFSKIMAGNAEDAAWAEA AASDVASRGFSISVDAFRYGAVESCNAYFLSHFHSDHYIGLSKSWRHGPIYCSRATAN LVRQQLKVDPKWVVDLDFEKKTEVPGTGGVQVTMIEANHCPGSAIFLFEKAVSSGAST RIQRVLHCGDFRASPQHVQHALLRPDVVDPKTGKRWQQRIDACYLDTTYLSPKYAFPR QSDVINACAELCVRIDQGQYDSLGHMPFQTATPTTKSKNPISKFMSAATAAVKPSTQP EPKGRLLVVIGTYSIGKERICLAIARALKSKIYATPAKQRVCACLEDPELSALLTDDP LQAQVHMQTLFEIRAETLCDYLDSMKPHFTRVIGFRPTGWTYRPPAGRTLENPPVSTV LYSSHWKTPFSVRDLTPQRGSTRESACFGVPYSEHSSFRELTMFCCALRIGRIIPTVN VGSQKSRERMKAWFEKWEAEKRKSGLYKVEGDDW ANIA_10950 MITDFLTPENITPERIALALLGLLAAYYVVPYLQTWRLSDIPAP GLAAWTNFWLLLQTRLGHRFISVDNAHKKYGKLVRIAPRHISIADDAAIQAVYGHGNG FLKSDFYDAFVSIRRGLFNTRDRAEHTRKRKTVSHTFSAKSIGQFEQYIHHNIENLVK QLTRISNLQRNPKNGYATVDALNWFNFVAFDIIGDLAFGAPFGMLDKGQDIAEMRKSP DSPPQYVQAVEVLNRRGEVSATLGCYPALKPFAKYLPDRFFRDGLEAVENLAGIAIAC VNERLKPEVMANNTRVDLLARLMEGKDANGNKLGRAELTAEALTQLIAGSDTTSNTSC AILYYCLRTPGVIDKLHKVLDEAIPQDVEVPTHAMVKEIPYLQWVIWETMRIHSTSAM GLPREIPEGNPPVEISGHIFKPGDILSVPTYTIHHSKEIWGADADEFIPERWAPERLT ARQKAAFIPFSTGPRACVGRNVAEMELLVICSTVFRMFDWELQQKGPMETREGFLRKP LGLTVGVKRRTIV ANIA_07590 MPQQVPTASHLSDLFSLKGKVVVITGASGPRGMGIEAARGCAEM GANVAITYASRPEGGEKNAAELARDYGVKAKAYKCDVGDFKSVEKLVQDVIAEFGQID AFIANAGRTASAGVLDGSVKDWEEVVQTDLNGTFHCAKAVGPHFKQRGKGSLVITASM SGHIANYPQEQTSYNVAKAGCIHMARSLANEWRDFARVNSISPGYIDTGLSDFVDKKT QDLWLSMIPMGRHGDAKELKGAYVYLVSDASTYTTGADLVIDGGYTCR ANIA_07591 MASFSQLASSPEEPDFERRFLRYRGEDTSPTGRREIWGWYAYGV AAEVFAVCGVGSFLPLTLEQLAREQGFLSSSHLPCVGPDAPPAAGNGTAPANEACVVP LMGLEINTASFAMYTFSLAVLIQALTLVSFSALADYENNRKALLLAFGFIGSATSMLF MLIVPPVFVLGALLVVIGVTCLGSSFVVLNSFLPILVANDPSVQRASNKNKDDLHDLH TEGDEFSLRSWTDEEDTGDHAGPAGSKKAVEPEKASSSTSPELQLSTRISSRGVGLGY CAAVLVQILSILLLFTLSKTSMGKSHPTLPLRFVLLLVGIWWAAFTVVCSRWLRSRPG PPLEGVTPGAGYRQKWRVWLRVVGFAWKSLWNTIKVALRLREVVVFLAAWFLISDAIA TVSGTAILFARTELHLSTVSVGLLSITATMSGMAGAFLWPIVARRFALASNHTILLCI ALFEIIPLYGMLAYIPFIRNWGVLGLQKPWEIFPLGIVHGVVSGGLASYCRSLFGELI PPGSEAAFYALYAATDKGSSFVGPAIVGALIDATGSVRSGFIFIGVLILLPMPLVWLV NAERGRQDAIAMVKHAPNSEEGEGLLR ANIA_07592 MDQPREGDFFPDKLPKSVKIRQTCNACQQAKIRCGHERPSCKRC QKHNIDCIYSISRRLGRPAKKRDPHLDSTGQNDGPLSKKARGPKKKKVKEEPMADFTA NDLSLDGDDKPLFDTLTFEQSQIDDMSVESASLQTPTLMEIVTAAPFSNNLDTVSDSW FHEFMSITDPNQSCGFIDPFENDGKVDSRTPMDLDSVPVPSEGFSDSTSEGLDPPSSS SYYPGINRSLTNGDQLSSGALGLLQGSPTYPDHTKQDVYSWSQPQPLQPLGGDFAEPS GFFPQVNTKRPHDYGFAEKDFKASFNSFPNIFPCQNHEQAVWDLARINAYAIQSGPSI AIDSILTRQRVLQQLIDTILQCRGCSRITVNFLISVILGIDGLITALDSITSAENDVV ERLFPEYFGPLAQEYRADSGLAPQSRRFKGESAHLRSQLDACPLIIGGFCVSSEEKFA FVKRVLKRRLEGLHRTVRQILAYTHEFLTPSRGKVLLMKETYQRLRRIMAYLNACSGA EHMNQGPTMPTYPNINTAEIGNTCLSIDRIIYHLILASMESEATCQCSISALQIMNEL RSVPTVVEFETILGLVDRIHSQGQAMLKCKECRANPGSTLMTLPALTDQSLALFEAAC LEYNVTRKDALFDSSLPQFLCIRSKMKLGQMDLDDDETAVLVRILLGKNSMKLLELLK GLQSLTKDSQPHRTGVATLRACESSVEPSIRRLAAFMEQIELEPERRRRCVQDGRPGS AWPRPLKVTGPLTPTNYLADKGLFNLAFSHLIGPVKVERLVESYDGIHISMDPMAQGG CSANIRCPPHVRNITPACEGKTASAISVLFNIVGESFGSGWWDAEEKTLWHFADFAVI QTGVVWEILLSAKVIKLTRKNMTVLMARNISSENERTTVGDESGIRGKADKNTMNRA ANIA_10969 MFRAIKTVMFFLVNLITFALSRILYRIRGHDSRQILRHRAFRHY PEPSIILEAPECGQSGSHLLPNHTCLDDGKVGKMPELRWRPPSHLNVKQYVLVCEDLD APIPFSIITHGLFFGIPPTTTEAFPDDIEQDRNCAGRLTLAGWGYVPNMRGTPYIGAA APLGHGVHRYVYTIIALNEPLHFDRPDKVTKRQIKKALVGKVIGWGQWTGHFERPWPR ANIA_10951 MRLATRSSSINTSLLFTSNHETSRRIHDHAHRNRNNPSPLTNCH TRITTTANTNTIIIALIFELGLKHSPQIEPYNHLKYLLHTFPKAAHNTHRIPCVHLLP PLVKHLLSSPKRPRGGSARLLIANQPHNHYIHGLRAVQSSGISGTVALSAAVAADIVD SHERGAYMGLTSLGNILAPSLGPVLGGLITSHCGWRGVFCFLAGGGVVVLLVLGFFLP ETRKARVNTLEVGSVERGQAEGAAPDNQQSKRRKKPGLPNPLTPLRLLAHFPTSLVLL SNGLVFASYYAVTAGIPSQFARIYGLSDMEVGLVFLPAGVGSLVSATFNGALVDWNYR RVRKMYEDTKVTAEGDNEVSGAAEGTQSDWEFPVERARLQVGGPMTLFCSLVIFIYGL VLDRHPPLALSLAMIFLVSFSITASYNVMNVLLVDLYYSTPATVMATNNFVRCFLGAV STALVTPMIERFGGGRTYGMVAALIVGVCCPVLGTVYVNGVQWRVQRESKFR ANIA_10973 MSSGTLYIRDSRTDALYEIPIRRNSVSAADFKRIKAPGIGANRA DQVSGGLRVHDPGLLNTTVIESAISFSDHERGLLLFRGYSLEELWKSDFEDMLHLLVW GSYPTPPQKEQLRSKLAAQMLAVPETVQTAVQSLPNTTPPLALILTGLSTYLSCIPET IPASTDAHQYRANRENVDNAVLRTVAAYAVVFGIVASHRKSIPFTPPSPDRTYCENLF TMAGLVDPVAGMPDPVKLSCFRRFAMLNADHGMALTVFSALVTASSLTDPVSCLITSV ASAWGPLHFGATESAQRALADIGTEAGIPAFLDEVKQGRKRLFGYGHRSYKRIDPRVR FVQSILHDLPSTRLLKLAEAIECAASADDYFRSRGLYPNADFYGNFVFTGIGFEVEMI PAAMLAQRIMGIMAHWREYMREFCAHTTRAMQR ANIA_07594 MPLTGHCLCGAVTYKAEVDQPLITAYDHCDDCQRQTGSTYSLVA VVPKDTLTINGPTKSFAKNGSSGKAVHRIFCSECGSPIAHDPEAAPPIIALKAGTLDT EIKKNLKPDTEIWTVGKLPFCQEHLEKPFEHMPQ ANIA_07595 MSSPEFSTIADLKRAVECGQRITPEDVSVIGQIERELSGEAGPM QETAQTLATRQMNFDAKLDELAVKPQSHLTMEDAQEIEEMETLAFNKPPGASSIAAQV RSIAERNEALGLPPVSADAEAAFVTKGDAREAQHAEATIYGGQNPRGGMAAQMQSAAD KLEHARRWI ANIA_07596 MLSLYEMLDSGGGIPDADDSRPTSVSKRSKKACTQCRQQKARCD AYQKQPCSRCEKLGIECVKYERLEKETDELRKQLNAHTASLHQIRESATTMMPRPNPA DNVKRNKATGVCIDANDAEISCSNGAESLQLLFQDIASDGLPHLTAATSESPSSNGSK LPEMVPGSIYKNTASGQTRLQPLQPPVGMGPVVSKKKNLAMAGVDPDRTLPRTLGGVT LSGDEIDEIFNIFYRQYVVFMPCLDTESSPNLTYEQSDFLFWTIIGTASRTCAKNPTI FPALAKHIISMAFLSPLSESGAWNIVQGLLLVLNWPFPKADGGVDIIFPLTGLLLHVA MMYGMHNPVSSHEFFKTKQPMPQVADISRRSELWAYTVITYQRACLMKGQPPRTLPDM AHDVNQRKTLYQNMSPHVRTRMKAQEIVTQCGAAVNEYGVLTLSAADEGGLDLLLKAY EQRVNDLHIDATTWMARFDILVACLSIQGMHFLKNHTLYADNRLRKLEAAACAVIDAV ADMARELQSLAVCPSQGWFGLLLAGSILLRIIKGHDTDIVDFAKARNYFMKSLNLAKL MIVDNADMPTKVVTVLSHLYNSTKAFRKADGSVMINLRIRSRLALCPIIDAMWWFKDE FEPPLPTTEENTEKPPTGPEGSANHLYDDGFWTDLEWALNIPE ANIA_07597 MEKDTPVRITSANDSVPSDDAPSAGLDTVEQLKHLKEHHHWDPN LPDEVVDEVNDALHATDKDRRQSIVASLLENSPYPEVRAAVPNIDEGGHSNTFRAWAI GMFLATVGSALNMLFSMRQPYIVIPSYVAQVVAYPIGVAWAKVMPHKTIRMFGMSVNL NPGPYSKKEHAIAVIMANATFGGGAAYATDVLLAQRAFYKQRFDWAFEIFMCISTQML GFGMAGFFHRFLVTPAAMIWPSTLINSALFTALHDRSRPDPSKVSGWTIGRYRMFLYC LIGSFLWYWFPGYIAPFLSVFAWVTWIKPNNVVINQLFGGWTGLSLIPMTFDWTQISG FNFSPLIAPWHGIANTLLGMFIFFWVVTPALHYTGTHWAKYLPISDSNSYDNTGQVYN VTRILTPQMTLDKAKYEAYSPLFLSTTFSLAYGLSFATIIAVLIHAILFHGKDIWVRF RQIGHEDEDVHARLMARFKPVPLWWYGAITLIMIGMALGVTLGYPTNLSWWAFFIALI MGAVWFVPCGIIQASTNIQIGLNVITEFVIGYMQPGRPMAMMLFKTYGYISMYQGLYF CQDMKLGHYMKIPPRVTFAAQMISCLWSSIVQICVMNWALGAISSVCTLDQPNRYTCP NGRVFFNASIIWGTIGPARMFSPGQLYASLQWFWLAGAALPVAIYIAAKLLRNTKYAR YVRLLNAPIIFGGSSFIPPATPLNYLSWGIIGFIFNKWIRDRWRGWWMQYNYVLSAGL DVGLALCTILIFLTLNLTNTEFPSWWGTNIAANTMDAAGTAVQVTEVKFGPESW ANIA_07598 MKSTSILPLLSTLTPLASAATVWSGLFNESYTVADFDKWSWSSQ IPPYQWYIHGSEETAHYLSLSSEYKNPNSTLDEAQGLKTTLDNTASWNGQTMMRTELI PQVESGVDIGSGKKYYHFSLSVDEDGLPNVELEHQIAFFESHFTELKYGGSSDTASSL TFYANSAAQWSTALEAGVWYNFAYGIDFDGGSVELYTSTGADDLELAVQAVSASASSN SQDWHVGVLRLDNGVDGGEESWYWSGVYVEDGEVTLAV ANIA_07599 MIVTSGKSSLSGPCPQGSPWKVLAARPDHRFLAEVLGLVYCMVG VNGKITSISVSGHTVSRFEYEITVLLKSGFDLDWYPAFGMGIQKQRLWWRTPELLRDD EALAWAIVPKISP ANIA_07600 MAPVTSAGEAVARIAYQASEVVLSVQPSLQSDSWFTKTLKSLKA AGARSVVPEGVPDVVSVRYNEDPFLSAFHPLQSGKVVSAVTSSSTLLTSIPHLYRLAN SPVVIHVALEPYPFPDYSAISSIRQCGFTFLHSETLQEAQDIALTAHALARKSGKGVI HFFDPANSANDDAIEQEDAQTLKSILDLSRSAVSHSEGHGVDTLYAETGRVATVSDET VESSTAAQVEETATPTQPSQTPSSRSVANSSVGSSERDSSVDSRATSSAATTVDGSVR PVSATDIFSWASQIWKALSEITGRNYRAIEYTGPSDAKSAIFVFGSTGVFVDVLSKED VPAELTNIGLITARLYRPWVGAEIANSIPRSIEKIAVLEQVRKTTRWGPSFMDLLSSL TPAAVGGRSPQIVGYRLGYVEPSTAVQALRGIIQNLSSPSPIQSLEIGSSNVPTLQTP LEQPRIENAYLKILNQLFGERLYLANQLSSNNAGISSTIAASPEYGFGSLIARKEHRQ RFIREVEAASKSNSFATDVVSKWLADWALNATDATKANRLAPDVIARLSNDGSALSKQ LLQTKKLFYSESQWLIGSDAWAYDLGNSGVHHVLASGADVNMLVIDSQPYSERSAADP TRRKKDIGLYAMNFGNAYVASVAVYSSYTQVLQAMAEAEQFKGPSIVVAYLPYNQEND SALTVLQETKKAVDLGYWPLYRWNPANEDKGEPKFALDSERVKRELEEFLRRDNQLTQ LMNRQPKFSSVLSESYGTEVRAIQKRKAKDSYEKLLEGLFGAPLTILFASDGGNAATL AKRLGNRGRARGLKTLVMAMEDYPFEDLPTEENVVFITSTAGQGEFPQNGRALWEYVK NTGDLDLSTINYSVFGLGDSHYWPRKEDKIYYNKPAKDLDARIAFLGGRKLTDIGLGD DQDPDAFQTGYSEWEPRIWQALGVDKVEGLPEEPAPLTNEDIKIQSNYLRGTIAEGLL DESTGAISASDQQLTKFHGTYMQDDRDVRDERKAQGLEPAYSFMIRCRLPGGVATPSQ WLQMDAISSAYGNETMKLTTRQTFQFHGVVKRNLRGAMRAINKALMTTIAACGDVNRN VMCSSLPELSYYHREVHAVSKKISDHLLPATTAYHEIWLQDENDKKVQVAGDAVVDQE PLYGPTYLPRKFKITIAIPPHNDTDVYAHDIGLIAIKGADGHLEGFNILAGGGMGTTH NNKKTYPQTGRMFGYVPADQAHIVCEKIMLVQRDYGDRKNRKHARLKYTIDDMGVEAY KEKVEALLPDGLRFAEPRPFKFASNVDTFGWQKDENGLNHFTFFIENGRIEDTADFRM RTGLRELASLDKGEFRLTGNQHLILSNIKDEDLPAIKELMAKYKLDNTSFSGLRLSSS ACVAFPTCGLAMAESERYLPVLITKLESTLEEVGLARDSIVMRMTGCPNGCARPWLAE VAFVGKAYGAYNMYLGGGYHGQRLNKLYRSSIKEDEILDIMKPLLKRYALERNTDGEE PERFGDWLIRAGVIKETTDGRNFHEGVAEEEDEE ANIA_07601 MGFSSRFLRIPRPQTFLYVMSLQTGASLITLSLLLNKISGLYGL LALLTGYHLSPVQLSMYLYSLIALASTVLLFPHIRKQSPLQCLALAWLYVFDSAINAA YTAAFGVTWFLVIAQHTDDDNRKASGPGSDTIGQTAGFTGSEYDTVRTAASASYSAKR TDQFGNAVTQPESFQSIFFICVLWTIRLYFVFVMLAFARQALRLYVAMPRHTQLPTHS RNTSIASMASVADIDREPFSPYSPEGQGWQGKLGRAMISVGRNYWLGEEEDGNGDWVN MSHRFRPSGHGSELPGALERERRRRSGTGPPKPSQAAVQAASLQQPARDPLPSPGLTV KVQDWDEAR ANIA_07602 MASASTAGSYSNPLKKFKLVFLGEQSVGKTSLITRFMYDSFDNT YQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDISNAK SFQNTRKWIDDVRGERGNDVIIVLVGNKTDLSDKREVTTAQGEEEAKKNGLMFIETSA KVGHNVKQLFRRIAQALPDLNDND ANIA_07603 MGLSYPFGFATVSLLVIGGYMLFHGEGEQFNVGQFLESVSPYVW ANIGIAMCIGLSVVGAAWGIFLTGSSIVGGGVKAPRIRTKNLISIIFCEVVAIYGVIM AIVFSSKLNLATGDEIHSPSSYYTGYALFWGGITVGACNLICGISVGINGSGAALADA ADPSLFVKILVVEIFSSVLGLFGLIVGLLVQGKATEFGAA ANIA_07604 MAGPLHTYGPTCLLAGDDPDPSTWHSLTQPPRPRPQFFYVSSLP IDDPLSSLPPPSSGQSFDERVPPQPFSVRDNISLEAAWLSIRVQNLKATRKRKGFGAD ALEINAGIAVPGKERAGTADGQADSLGRTGRRRNRGAGTSSDSHMQALPQRLASRYND DFGSGEYQGSELKQSSDQSAPNSSMGGSFSTSSAAYRKRELSPVGNAKSFRRKIGESG DDSGFDPGSVGSLGHHSRDGSISGSPFIRVPVTQPSSPLSHSIDSISVRDGTQSLQEQ TASQTYTASKPSGLRTSTYQEEVRDHSTEHTDVDSEDEEPSAKIPVGVSRLHLVELPN LKMKPIYWSPLHDISNVLRATWFYKNTMLPVETELANRLEEGYIYLKPWTQTWQDELN SCVENGADAELKIVHRLWPKDSISSSIDANIREVEGHGSESADYVDFWASGSSGAHAA AVKPYMNSSVVYADGTNAQILRPSLLPSVSRGRRPLSAIRKGRQIGIPVVRGFSRKQW ERLHPSKPSPVDVRNYLRRAQDKTMRPSRAGEICYACAMEEARPTPTDLVFVIHGIGQ KLSERMESFHFTHAINAFRRQVNVELNSEEVWPHVREGHSGIMVLPINWRSTLSLEDS NLEAQVTDDPAANHFSLKDITPETIPAVRSLISDVILDIPYYLSHHKPKMIQAVVKEA NRVFRLWCKNNPGFQQNGRVHLVAHSLGSAMAVDILSHQPTKIPDFDFSNTSIHPDIF EFDTKNLFICGSPVGLFLFINKANLSPRKGRDKPGSEGDDRLCGVAGEADTYGCLAVD NLYNIMHTTDPIAYRVNAAVDSDLANSLKPAMIPGSTSTFWRSFGSAFRWSSSTASVP ERPSVIAKLPSNVEMETHDFTREEIAEKRMLLLNDNGQVDYFLTGGGGALNIQYLNML SAHSSYWTLTDFVRFLVIEIARKQSRDAVLPALRAEKKKGWKYHKG ANIA_07605 MSSETCEVPIMLDLESRGMTYEDIAKLNPFYEEYRTFWDDPRDA SDLSLSTDGLSSSSSLPSLISGSDFPSSSIDMATSPPFRTHIHAPLPRSPKVTFHSGG QYNPIYDEHHELESSQVAIVDEDDYPKRPLSPVRECLDDSELVDSVDHTFGSVRSSHK QLFGNKGWLGCTADLEAPLPKLPKYKSLIGLGKKFKQHVEGIASDMAKAHPLAFQMTH QSKIMPTSTVSVSLDAAAQSKLYSEMEMMICVSANQFLMQEYAGGRISEESIKRINKF WGSKNRPGVVEFQYDQATQRQLILSNIRTLHFNGESSTNPIALHSNLHSWKAIVKEMS VRTFCAPDSVIRKHIHDIQKLLDMLGAPIATFLAFEELQMRTLIWMKEQRARRYLAEG GRAISPRTSYSSH ANIA_10952 MTTDSYPKKYDIVIVGAGPVGILLSLCMSRWGYKVKHIDNRPVP TATGRADGIQPRSTEILRNLGLKRKIMAYDPAKVYDVSFWDPRPDGSGIMRTGNWPSC PRFIDTRYPFTTLVHQGKIETVFLDEIKKAGTTVERPWTIIGFKNDGLDATYPVQVQL KCLDTNVVETVRAKYLFSGEGARSFVREQLGIQIRHKDPISYVWGVMDGVVRTDFPDI QTKCTIHSDAGSIMVIPREDDMVRLYVQIASSSDPDFNPRKTATAEEVQNVAKKILKP YYIEWDRVEWYSVYPIGQGISEKYTLDERVFMGGDACHTHSPKAGQGMNTAFHDALNM AWKLHAVESGLAQRSILSTYETERKNIAETLLDFDNKYAALFSKRRPNAGEVGEAATA ETGRSAEEDPFVKTFKDSCEFTSGYGVAYLPNIFNWDPSHPAKSPLFDVPGINLVTGK AFTPSTVTRLADSNFVHLEQEIPANGAFRIFIFAGRQSRSKKAIADFAANLEKERSFL SAYRRSDIGEISFFERHNPHSKLFTLCLIYAEKKNDIDMDSIPQILRDYRYHIYSDDI PDVRVPNATYAAHEKLGFDPEKGGVVVTRPDSHIACTVQLAEGSGTVDALNAYFGSFS TKPLGQEQASRL ANIA_10965 MLSLILTAELTGVTDLRPQDTEEAPYYYTFKVQCTSCRETHPNW VSFNRFEQHEIPGSRGEANFVWKCKLCQRTHSASVLAGPNAYAAGDDKRKGQKIIDLE CRGLEFTEFKPDGEWEAVGVESSTPFKGIDLSEGEWYDYDEKAGDEVAIKEIKWELGR A ANIA_10966 MSLQPVNPRPFLQARVGTEVIVRLKWGQTEYKGRLESIDSYMNV LLRDTEEFIDGKPTGTLGLVLIRCNNILWMGSAENVEMTDLGLR ANIA_07607 MENLNDDILLLIGDYLDGHSDRHNAIFVNRRFHELFSRALYRSA SLKNLSQVQSFLKAITLRPSLASVLRSLDCAGWDSSSPQPRLSEEEFTIFSLSAKTNS HSAEEHSQWVNDLIEGIDEAWIALLLSSVHNIRKLNLVYPHRLESRGYLDRLFVRATT HQKPFDRCPAFHRLHEVSLRQRTRDDEDKGTMSPSQIMPFLRMPCLTTLAVDSLIEHR PEPADELNDKEEINEPPLERSQSQSFTEITLTSSNALEGLESILSSCASLKSFKYQHT DALLAEGFRPSAFNTSLSRHKSTLETMWLDNLGTHLPFTISGVNESHEEWFGSFADFT ALKDLRIRLPNLLDIQYSFEPQTPLVDVLPGSIESLYIEDCKERSLGMLLDQVGLVLD ARNRKTRFNALRRLDIEGFFHDDEEDEDTSGGGNGGRVIKQRVYEMVEPLGGVCEEAG IKLSLRDRMCLHTMH ANIA_07608 MTTSPRKSRTPSNPRTTDPSDSDPIIASYDVYLTNSDISRYVLQ YLDRPTGHAYDDNNGQKPTELRLKPKTGLVEVDVPINTRVNYDLAKGLKYGDALKKSK SAREGGAYGMAGGFSVAGAREGGAPGVAGKVKAENGGVKSEDAMRRAAGDQTPLLKVQ TLGGRIKTPEDGDPVYMLAAFRGVSAVVQLHPQLHHLDAMDEMPKARAGKSRKEGEED RAEPEARAVDVKVKGAEDREVMMPGNLDLLKKMQEEQWKTYDWVDAENEESWQIYDNY MMHQDVKDLPQLQSAINSEDYLDRMSAPRIDPARPEMTGWAMKQNRLKQKQGESSGSS TEEG ANIA_07609 MLSQLVRGRSAASQLRVLKGRSLPQPFAKLRHHSHTSRLTSLQS SASRSSPENRSRRSSFQSSRKLATAADTPIVDRLSHLDNFDQSSYSSDLRQKQEWNSF LPSQGEFDPSSLIIMDDELLTKPKILRRVNGIGGDHDEMLANLELSMKLGDFARASNL INRLRDEFHPVGSKAYLDYHNKLLQSMVSHAIQKRDLDLARQAQRWFEVDMPYGGVEA DPTTFATMIRMALRLFYGPKRDRAVRRYWGLAKGKECEELVLSVPVLSEVELGELSEI CSADLQRLAINSMQPESAKVDEPVPNNVPEVKAVEQKGLGLSSLKDSMSSVFADDAKK IPAMDEESREVYQQRRQQQLEADVMKSAVNRWRQENKIQQQFQPDVFENGKQLGPLLR QWNADLVVRIEEEFKEIEKARTASMITDEVRERVDYGPYLRMVKPEELASLTILTTIN NLTRNGLDKGIKLAPLAVAIGNDVYEEVALQRMVERRKKEMNSAHRVKLIKQIFLGRK DRDKLKGINWKNALKSMEQEEPDIVWATAIKAKVGAVLMSLLYDVAKVPVPVNEAAAA KKIKRRDEVVMQPVFQHSYQITWGRRTGYIHVNPEMIKLLTREPAEDLLGRQLPMLCK PRPWKGYKDGAYFYHQNLFVRSTPGESLQPTYVKAALENDGLKMIRKGLDILGGTGWV INRDVFNVMLEAWNTGEPIADLAPLDPDLPIPPKPSPEEGYEAEKEWHTKVRDIENQR GGYHSQRCFQNFQMEVARSYLNETFYLPHNMDFRGRAYPIPPYLNQMAADNARGLLLF SEAKPLGESGLRWLKIQIANLAGFDKASLSEREQFAMDHLDDVLDSANNGLHGRRWWL QAEDPWQCLAACCELRNALQHPNPTEYASRLPIHQDGSCNGLQHYAALGGDKLGAQQV NLEPSDRPSDVYSAVAQYVKEAVATEAAQGDPIAKMLEGKITRKVVKQTVMTNVYGVT FIGAMKQIRKQLANHYPELSDHEKKTGAIYIARKVFQALGTMFEGARDIQHWLGDSAN RITQSLPPEQIEDLAKEVLNPSFSTLPLTSRGKSKKSLSSSDPSAHFKTTVIWTTPLG LPVVQPYRNRLPRRVVTSFQSLSVVEQDSRYCVTRRKQLQAFPPNFIHSLDATHMMLS AIACHQAGLTFSAVHDSFWTHPSDVDSMNRILREAFVQMHSDDVIKRLAAEFEVRYGR NLHYARVPRWSRLGRVVADFRKNKPKSTRLLELIEEYKRQKLLRSDDPELQAQGREMV TAASCFEAAGGTDDDLVVHASLGEAAVGHVPEDLEAAEKRASGMETDGSDPAVATLLG TGSWEQDAKPELSEEERELEAMMDEADEPAKKKKKRTTEYIWLWMPMRFRPVPTKGAW DVSRIRESKYFFS ANIA_07610 MSQSQSQTIGLDTLAEGSQYVLEQLQLSREGGNSENNSTFKPSS VRDSLAEARSMIRKNSSSAPVRRRISRACDQCNQLRTKCDGQNPCAHCIEFGLTCEYA RERKKRGKASKKDIAAAAAAAGHQGGMGNRSPTDRRLSQEPGGRYDSVLEASRVQSHL PANGLSSIHNTQAAHSQPPLGSALDALHLNHFTQLNESGRSQMPVSDLRSLQILHNNP RSPSALPHGLNAYNDNTFSLLNSQEPNTTSLNHFRLGNSTDNPSAQFLGLSPPAQSPG WLPLPSPSPANFPSFPMAPFSGTSLRYPVLQPVLPHIASIIPQSLACDLLDLYFTSSS SSHLSPQSPYVVGYIFRKQSFLHPTKPRVCSPGLLASMLWVGAQTSDAPFLTSPPSAR GRVCQKLLELTIGLLRPLIHGPALGEASPNYAANMVINGVALGGFGVSMDQLGAQSTA TGAVDDVATYVHLATVVSASEYKAASMRWWTAAWSLARELKLGRELPPNASQPGQDGE RENEGDNPSKRNQSLHGGNSNVNVTEEEREERRRLWWLLYATDRHLALCYNRPLTLLD KECSQLLQPMNDDLWQAGDFPAATYRAVGPPIECTGHSMFGYFLPLMTILGGIIDLQQ AREHPRYGLTFRSGPDLDQYIMAITQQLDAYGQSLKDFEARYINSLALAENEPPENPH IDHLSPSGRSSSTVGSRVNESIVHTKMVVAYGTHIMHVLYVLLAGKWDPINLLEDHDM WISSESFLAAMSHAVGAAEAAADILEYDPDLSFMPFFFGIYLLQGSFLLLLAADKLQG DANPSVVRACETIVRAHEACVVTLNTEYQRTFRKVMRSALAQVRGRVPDDFGEQQQRR REVLSLYRWTGDGTGLALS ANIA_07611 MALLKNLTSYIRPLASCSTTTPRFLPLTIRRGLGIPPQYLLDDY TPRYALLTSTDAAKKRSLAYTHLSNCNLCPRKCGVNRFETTGHCLIGAETAKVNVIAP HRGEEPCIQGFHGSGSVFFSGCNLRCVFCQNHDIAHKRNGFDLTPEELAEWYMKLQDM GHVHNINLVTPEHVVPQVVLSILAARDMGLRIPIVYNTSSFDSLESLELLDGLVDIYL PDFKVWKSETSKRLLKADDYAETARESIKAMHEQVGDLSFTSDGIAKKGVLLRHLVMP GKEDEGREIMRWLAENVSKDLYVHIMEQYRPDAHVGKKRRVSRKRGTGDGEEEVEEVR YAEINRAVKDKELGSVKEAAVEAGLWRFCEANEKSLFHL ANIA_07612 MAGLKPKASERNIPSTPIPTKTSQEKARKGIIEDIKTWRLSLEL GQVSLSLRRPASRDHTADMPKLDPQSHPLLSFEIQSMTVSVESSAGTTRTSSETPDDS ALALIAREGEPVSININLVCRFARRTRE ANIA_07613 MRPFWPVAAALFVLPVAWGAASICPAPCTTDPSKWTPYSSLENL TECRHPVLLDFSSHGSLNASEVSFRVLACTIEENRDPSSVSPLATRELEEQSPSGSTD DDVSQSQEIHLFLDRLQTVVNQTSNHDTFSVFGSLNTTLVGIYRGATVNDATAASAVE RFRNDWDSQLPRRAAIQLCGGDRDANHTFGIALGTTGSIGFVQEAVKAWTVGRCFLSN DAFDLEDTPVVEEPTRELHLGNTTIADNATLIRPSLEYTCKKEAVREGDTCATLAKRC GVSGLEFMKYNPKRQLCAWLRPGEEVCCSGKNWTKGKPAINRDGSCAAYLTGNNDTCA SIAHAHNMEVEKIRYYNDGRTWGWTGCDQLQSGLRICLSDGYPPLPAPKAGAICGPTV PGTEQPSDGAPLAALNPCPLNACCNTLGECGTTPDYCIYEEGPTGNPGTAPPGKKGCI SNCGMEIVDNSPPPTEFMRIGYYESFNLDRPCLNLHAAHIKVNDYSHIHWAFVSINSD FQISVNDTYNQWEDFISLKGVKRIASFGGWGYSINSASYDVLREAMFPENVDTFIVSI MEFVADNNLDGVDFDWEYPGAADVLNISPGLESDGPNYIAFIKKLRKIFPSDKTISVA APATYWHLKSFPIEMWQHVDYLIYMTYDFHGQWEYETSFIQDWCNGGNCLRSHVTKAG VPRSAITIGVASYGRAFGMAEADCIRPECKFTGPRTAAIPGMCTRTPGIIAIAEIEAL MIEGDINESFYDPASDSNILVYNDTQWVGFMSKSTMRRRVERYKEMKFAGFANWAVDL THWSGDDVEVEEANLWGLPKEDPAT ANIA_07614 MPPQQTYEPNHAEILVKRENELTLLETRAQIIDDRIWELVSAEN PRVTEFLWARIMRTETRLAYLRSYADCLEEMKAAGITGHETFIIETQAVARTTRKRAQ ELRLLTRHGPAIIGEVEMEIERLKTRPLELVNNSEIRTTLLGCAYGNVLERLKLTNDW ERLNDPQVSNERKLLFQKAVSEYYNAVSMSGDYVWCHVLGRWVVSRKATAAQFVPGSV SREDLAYLFGEEGLNTCDARNGPPPEQRGAYPEVIHLKDIDGKDLSFMSDKRPSPAFI YFHFLISCMYAKVQRPQGNVAMAMMPGSWPLVGRGRYLERKTLSMLTRCLTGCVLPQW LLDGKTFVANPNDKDPDYCARSRVAAMILAADLGDIWSQRIKEAHQELLQGCESEW ANIA_07615 MKLSIAVPIIAALVHRAWSRKSLTPFGIVVAALTAIAHAVNPWS LPLFLLLVFYLGGTKATKVKHDIKAQLTLSATGSHGGEGARTHIQVLANSIVATVLSL VHAWVIRNNKADGLTAECFSNGRHAADLLVVGIVANYAAVAADTFSSELGILSKSKPR LITSPTLRVVPPGTNGGVTGTGILAGLLGAFTIAVTSAILLPLCGSFKSTVQDRIIWI LATTFWGGLGSILDSVLGGLFQASVVDKRSGKIVEGTGGQKVLLHPSSTKPGNAAETL HSTAGTTGAQLRNTETAANTAALKGTRATGTSTGTENGEKIHHESRRIESGHDILDNN AVNVLMALIMSVGAMVAASYVWDLSVWDAFA ANIA_07616 MSSPPNIPKRRTRSQRRRTDSDIDSYPAQEDYDWDREDTHFLPA PLYLCNGSRTPSTDTESDLDDDATSPGSKENPFLDFELLDRIPHPPAMLTQLQEVVDG LKADPIEPILEQLNRNMEVARMIGTLLDVEAALLRGDADTAERKTNSVWPIATGLDDA EYLERCNVLQGLVVKLRALQEEGGWVDEAEGNWKEVERVAEQEPEQEQRHLSGSNTAS GEVLTILKEKGIAEARDWDDNYDDDGGEDYVKLQSPVSLREDEAEEMALQRYGPRSAS ANAQGSPSSYYTKDSREDNLDVETGVYSDSLGSSSESMVSKRLIRKRKSTLITPSTTL MYTHIHKAQRKPYTLSQSPTPGPSRPKPWTTSDVNSSADEDFYRVFWDTHSRTLLLQQ PIPDWDMSWLARYESSAFTLPKTHFTFRFSLPMKYMASRIRKTAIFPRQEWEFIPSPA EWRRFCEGVTNGEELTMGFLGWERERIEDLIRERKGGEGFEHTGREGGRRWVRAKWRE IRPGRSLCIHTFPIFGPYPSWVPLIFTLPNPFPFSYQRTTPGSLLKSPSSEL ANIA_07617 MGNGAKAAFKRERNAKDTKSGGKSQLKTNEAAKDIQCVVCRATF LKTTRGPALTEHAANKHNKTLQDCFPGFVDAPKK ANIA_07618 MADRASSRPRAQSEAARLRDEERMQARPSPTRSVGGGRRPSGLA TEGSGLRHRNTGLSRRSRTNRVSSLSSRTTLANRTGGNFTFAGPEETSQLRAAHEPFV HPGYTDLNPEYEQPPNTKPVWSLAKPLPRVVRPGMVPTKEEILQGRTTERPPLPAENS QKQGLDVDPNELEAGRIEKADPKKMAAQVDDARIQRENNFVNKILTGDVGTTRISRTS STAIRRPSGVQIEPRPSEQLSAVPEGEGHDSSQSPPDDTGHDLSDEPLQDVHIPEEPP SPEISPDQLDFDEAAYPEDLHPLVQDLVEEEVHNNHTVWSVIRTHHREALAESLAVFI QLTVGLAADLSVTLGGTTNPNTTAWAWGFAAMMAIYISGGVSGAHLNPTITCMLWFYR GFPKRKMPEYFAAQFIGAFVAALAVYGLYHDSINEYLSISTSSTSSTTNVLNSFVTSQ RNPWINPQTAFFNEFLGTVVLTVTVLALGDDQNAPPGAGMNALVVGLMVYTLSITFSY QTGAALNPSRDFGPRLALLALGYGSDLFLNPYWFYGPWAGSFCGAFIGAFLYDFMIFT GGESPVNYPWERTERAMKRSREKWGRRLKKGFKRQKKGGLEKEVAV ANIA_07619 MLFNKIISLAATLATASALPFAPAPRSISGGVTLINNLSQDLYL WSVSGTASPMVTLPAGQSYQETWQINPTGGGISIKIGCSEDGSDVLQYEYTKVGDLLF WDMSSIDLSSGSPLVAAGFDVSIDDSSCDTVTCAPGDVNCSESYQYPDDHNTRACSSS AAYTLTLGTAN ANIA_10974 MSPVALSPKRVDIVDIRGNDMQYSLVNEIHKGLNPPNGTRRSLP TMLLYDSEGLKLFEKITYVDEYYLTNAEIEVLEKHSRRLVEKIPSNAQLLELGSGNLR KIEILLREFERVGKPVDYYALDLSLSELERTFSNVSLEEYKSVGFHGLHGTYDDAHTW LSDPKNRERPTVVLSMGSSLGNFSPPDAAAFLAGFATLLKPSDFMVIGLDACEDPDRV YKAYNDSAGITRKFYENGLANANKTLGHEVFRPDEWEVVTEYDAVNGRHQAFYVPTKD VSVGDVLLRRGEKIIFEEAFKYGCQAREKLWHDAGLIEAAEFGSGSEDYRTYI ANIA_10996 MGTDLHLLQPAALDLPLRPSQYAAGPIPSLKDFQAQWTAWDIVT KAMIPQEELLSKPIKLRNSLIFYLGHIPTFADIHLTRALGGKPTDPKSYQLIFERGID PDVDDPEKCHSHSEIPDEWPSRSEILDYQDRVRNRIRSTLEIPGLSKNRTLGEALWIG FEHEAMHLETFLYMLIQSERVLPPPGIQAPDFKKIFLDARENAKPNEWFAIPEQTLSI GLDSSEDLMPTSTFGWDNEIPKRNVTVQPFEAQGRPVTNGEYARYLQKNQIHRWPASW IMTNQADGVANGSTATEDFLTDFSVRTVFGPVPLELAQDWPVIASYDELQQYASWMNC RLPTFEEAKSIYAHSARLKKTLTDGSADAASNGLKNGYHKPHLRNGTVKIAPSTAQPV LPPSASASSPPVFLDLTTCNVGFKNWHPMPVIQNGDKLAGHAELGGVWEWTSSPLEPH DGFKAMEIYPGYTSDFFDGKHNIILGGSWATHPRIVGRTTFVNWYQRNYPYAWAGARL VRDV ANIA_07621 MAGNTPRVPLSATLPPLIMGTATFNSQYNEDPYALPTTELVHRA FASGVRAFDTSPYYGPAEDLLGRALATDFVQSNFPRSSYHLLTKVGRIAGSSFDYSPK WVRKSVARSLRRLHTEYLDVVYCHDVEFVSPREVLAAVRELRRIRDAEGTIRYVGISG YPVDVLCDLAELVLRETGEPLDVVMSYANFTLQNTRLLTQGLPRLVAAGVDVVPNASP LGMGLLRRKGVPIGSMGDFHPAPNGLRTAIRNAAEWADTQGEKIEVIAIRFALESWLR DGAKAGALGPPLARSPDSDPSFLSAANMGTGERLGVSVMGVSNIEELTETLRVWHSIV DGLENKDDDAEDLELVANASTVPSAPSAPAILTPSDGIITDRVWSRERRSRILYLAKE IQSILSPMWVDYTWPSPGPDFVNTLPADHIAALNEQPEKPDKNNAMMTPPLDAQREIE IPADNVPSL ANIA_07622 MVAFGLRRLNATSRRQIYLMARRLSRRQVLGHLLRVIVSLLFLL PNNLILSVALSVRCLSWFFPCSALRRRQGILQDVRFRPKTVLITGLDTPHGLHIARCW YSEGHRVVGADVAEARFASGGSLSKALVAHYRLSKSQYVSRLLDIVLREKVDIWIPCA QDASAVEDGMAKQAIESRTSCRCVTLDPELASQWSRSESFVQYLIDHGHPIVETHEVH SRDSIHKILHRSPTKVYHLRKTTPVANTETIIVLPKKTLSSTYSEVSRIQVTKDSPWI MQQHVRLGEFIADVLTIRGHVAVLVLRSASRGSEWGSSRLNEGIAAAAHQVVDKFASE GGSRVTGHFQMRLVVDEQLHLNSVRYEVYIAGCTQGAATIADLLQQTPAHTLVDRYLA VLEDALKTSENGFTHPEVGPKMLSRPSTVYQAITNHDARKALTALNQIAKQINWTLDR AGKFMLFWANWRFSIIDPLPWWWHIHVYRPLTELELMLGFSKRA ANIA_10975 MRRPSFNPGRTKNLIHGLQGFLIFLGWALTIAVFTKGGGIDGRT AYYFALCWFSIPGLIYLVAVPMWPRARRFGNVYAFATVDCLYVLLWFIAWVCIASYVA QGKSEGKDDNSSDKDNNSDEKKSGCDNWKYGSASKCHVSTATCIMGVAIFILFLITAW MSFRNVMHFRRTGTLPDAVSDPTFAAQSKAAFSSNPAQDFDEEDDFRSRAGMGSSVRD RDEDYALLHQSEVDDLGNPGGRGAYDPTTSSGTVLHDYNASEYSTSYGGAHGQHYGAP SEYGSTVSGYGHR ANIA_10987 MAQSIDLFNQYPLTIDPSSKAISLSPNATSTYTPSQTAALNAEL STLNTLHRTLLSLDTPSIPPPPLPLNPKRSAQINKLRDSANMAYRKNNYAEAVRLYTF ALEMALARPGWEPVAVAREELAALYGNRAQAYMSQQMWVEGLVDARASVEGRPVNNVK AWWRGGKCLVEMGRWEEAKSFVSRGLELEGKNSEGAKELSQLLTEVEEGLKREKGSQ ANIA_07624 MIEFLALITLISRANALMRPDGVGRLPALGWSSWNAHECDINAT VILTAAAQVVKLGLKDLGYEYINNHAFREDNTSNSCPVDDCWSIKTHRDPTTNRMIPD ADRFPDGIASVASQIHELGLKVGIYSSAGETTCAGYPASLGYEDIDAETFAEWEIDYL KYDDCGVPDNWKDPYTFCVPDTANNAGPFPNGTCPSLPNPAPANYNWSTSPSAERFRR MLDALNTQDRTILYSLCNWGNAAVNTWGAEIGNSWRMSGDISPGRGEVGPDRTRIAVW ERIAEITNEMSFLVREYAEFWGWPDADMLEVGNGEGGMTVAENRAHFALWAAMRSPLL IGTKLDTIRQEHLKILKNPTLLTFHQDPIINRPAYPYKWGYNADYTFDAAHPAEYWSG PSPALEGTLVVMLNSENVTSTRMAVWSEVPELQNQDQGDAFEVMDGWTGEDLGCIKEK YEVELDAHDAAVLVVGNAC ANIA_07625 MAPHANLDVAANGAVNGSAQTARAPLFTVNSPNVEYTETEIKST YAYHTTDVTRSAEGKLIATPKAATYHFKVDRKVGKVGLMLVGWGGNNGSTVTAGIIAN RRKLSWETKEGPRAANYYGSLVMSSTIKLGTDSKTGEEINIPFNDMLPMVHPNDLVIG GWDISSMNLADSMDRAQVLEPTLKQLVRKEMAEMKPLPSVYYPDFIAANQEDRADNVL EGSKASWAHVEKIQADIRNFKAENGLDKVIVMWTANTERYADILPGVNDTAENLVNSI KSGHTEVSPSTVFAVACILDNTPFINGSPQNTFVPGAIELAEKHNAFIGGDDFKSGQT KMKSALVDFLINAGIKLTSIASYNHLGNNDGKNLSSQKQFRVQGDFQV ANIA_07626 MASDMGDLPMIKCSDCGVNVDILAMGDHVCAKSANVIPRTLSPP PPPPKSMRRPTLGAQDSWSSKPGRAEPPSSIDSLRANHSFLEPSTGQDGSLYPSPLSS ASGSRSPLRTAPVSHDSSADDSGEGIPSFPLPRSMSGRRVNGMAVKEEPGYTDAVNRS LDHPPHSAHLPLNRDGEQPPPPLPKDDVSFAHKHTVSVDSRSSYRTSLASTRYGDRSS KRSTAISSRRPSFGSVALAGYRYEEDIPPIPQSPPRPFYHSVFSDSSMSDISAKRDGK NEVHSGFDFGGALDKPAASPLRVSSAANSERLSSSRGSAELFFSSPAQSTYGPPLDLP ESEFRSETPAESAKVEYKAFRPSASDYLQPNPSDPNGQGDTRRKNSDATDDSAISVSN FARALGLDINDEGVEDSTSSDSSPSETRSGTSLSSIQSDVSSSRRKPSDLSRLGPVVE DPRNETGAKTENLDKSQSESHTALEPPRIPENNFSPDSPTDPALSKGGDLSLVSEISQ NSKISQGPRSPQSPLSPQSAQNLEEVEEQKPLARAATEPTPRPQQPKPRGPCRGCGEM IIGKSISSADGRLTGRYHRACFVCFQCRLPFETNDFYVLKDRPYCAQHYHERNGSICA GCHEGIEGEYLETNERTGPGPGDTQKFHLDCLRCRTCNIDLQGEYYEWNGYVYCERDA RRAAASVSPHGFRRPTMPSSPLGPPGPGMPMPPPSRGRPPPPGYPGPGRGRGRGPRPP GPPGPYGPGPGRSGRPGPGPAPYGRPPPSPNNLGLPGQAPGGARRFPERRTTRLMNMI ANIA_10976 MDSPKRARNRAACKRCQRRKIRCDGNIPSCSSCLKAGVPCINDG KQEVHRSHIAGMENRIKWLESIVQNRCPDIDLSCGPGSARESLDDGMQTETVNEPTEP YTRDYHQASHDPLQASRNPQIEVSPRVVITGDQEASRACSQPAVNEESHQAHEIGLVS LSPGGERRYIGPSSGYFFAKRILDNAGCRGGPRISTTAALDSAHLSLELLNTPAAMPV QKQSTIELTTKYFQTIHLLYPFLHEQMHMDAINRVYACQDKNPLETFQVYMVLAIASL NLSRQCKVRLPVEGYYASAMKHVDYVCNHGSVTSLQCLLLLMVYALYNPSCNIDIWNL NYQCLASVIDLGLQRDVRASSTLQISMFDQEMRTRIFWVVYTFDRTVCTMMGRPIGIR DEACDIRFPLAISDHDLIKIGKDTQTYGESTSHMSYSIHLFKLAQLNSEIKYIMHSIN RSVPAYALPVIRDILSWQQEMVQSLDSWFAAIPPQPPGVSAEIVLLCKAKYHETMILL LRSSPGIPNPSDAVLDECFNHALGLLRKFSELYTIGSLLYSRLAVHSIFVGALVMLNC IWKLPAAAARVPVDELISNFNTTQNILSGIGEHWSEAMRARDCVKELSTATIQRLLRT QPGQPQSSTSQPLYYPIHRSTGQAAIEGHADVHGAAIHVTHSELNTGFDPSASNSEFS NLFDDFLQGDFMGYSGMSDIDGLMWEIFNSAAP ANIA_10997 MLNDDAVLFKFRSGVTLEQKNKFIRELKTLKNLPSVKNGRLIVG SPSATDPIERSKGFQIALVSYHENLAALAEYQASEDHHRVTSTYFIPYKEDLIRFDFE VDVEDEYMCGGNRFGSSANLTDLLRQTFFSTSSTSTGSFTYGRNCQDVSTRFTIGHLE A ANIA_07628 MPPPVGRYGPTGLTGPYSHLAQQQAHLQQQQQAQHHPAHAQSSN TALPPPSLGVHPGFTTANANANMNPFTLAGAGVGNGMSVAGFGAAGDGGGTGLASHAA QMGFARGAQMQQQQLHQAHDGRFTLEGKGGGVKTRIRDVWKHNLAQEMAVLRHLVERY PYISMDTEFPGIVARPIGSFTNKADYHYQTLRCNVDLLKMIQLGITLFSPKGEVPPPD ATDANGQPLGNGLVPAPCTWQFNFRFSLEEDMYAQESTAMLAKAGIDFATHEKNGIDP LEFGALLISSGLVLLDDVHWISFHSGYDFGYLMKIMLCQALPENEEEFHKLLNIFFPS LYDIKYLMKHATRNQAVNDSPLTPAAAQIISNLGQKSGLQDIADELGVKRVGIAHQAG SDSLVTGEIFWKMRQLVFNGKIDDSKYSGQIWGLNGQMPTVTYHNNPHQTPNLNGATI YSAAGGVLGQYQMAKS ANIA_07629 MPAPNPTGFDINEFKAAANPRSVWAKRDPWARHEAWRYTGPFSR FNRFRNIFPGFGIATVAFAGYCAYEHFFIKDDHHHGDSHH ANIA_07630 MSSSDPNRQNEEDAFIDADEAEEVFTRDEDHPMESDGEDVDGDQ EMTFEDQEIELHNDSAAHFDAHTDSIFCIAQHPIHNAIVVTGSGDDSAYLFDSTPNSE KPVLPASYESDPQPRRERESLQPLLRMEGHSDSVNAVAFTEPRGEYVLTAGLDGKLRA WRDTTPKLDGMAWAFVAEVQEVEEINWVAVCPTGSGQGENDEKANVIALGGSDGSAWV FRINHKDASEPISIVQSFFQHTGPCTAGAWTPDGNLLATVSEDGSFYVYDVFGAAAAA GVSYSAGTSAVVGLTAEDQRFAVEGGLYSVAIAPGGGFAAVGGAEGHIKIVGLPRLGQ AGAGAGAGASSKAKGRPATQTSAATAGTLLASLQPQTDSVETLSFSAPPLTLLAAGSV DGSIALFDTAHRFAVRRHIKEAHEGAVVKVEFLQSRAAVAPSVRPSPLAAATAGHRSY LLTSVGIDGVVRRWDARGGTTAAAQGMLTEWKGHLGLTENDEGEQAGGIMGFVQGFDG KRVVTAGDDGISLVFEE ANIA_07631 MVFTSPSPAVLPPIPDNIPISEFMLTDANGRYPLSKARHPFTCG LTGKTYSALEVKDRVEYMSRGLAKLLNWEPNGGSEWDKTLVIFSLNTIDSIPLGWATH ELGGIVSPANAAYSADELKHQLLDSKAKAMFTCVPLLPTALKAASAAGLPKDRIYLID VLPGSGKIPTEFKTLSQIVEEGKSLPKLEKLKWGAGEGARRTAYLCYSSGTSGLPMTA LEKSWRDTRKNADGSYYSDVLLGLLPQSHIYGLVVICHAGTFRGDQVIVLPKFELRSC LAAVQRFKISVLFVVPPIIITMLRSPEICAEYDLNSAVALVTGAAPLGQETALDFQKR YPKVAIRQAYGLTETSTVVTATHFDDIVPGSSGWLIPGVEARIVTPEGEDITKYDTPG ELWVRAPSVVLGYLNNEKATKETFGDGWMHTGDEAVIRKSPKGNEHVFIVDRIKELIK VKGHQVAPAELEAHLLTHPAVADCAVIAIPDDAAGEVPKAIVSKSPEAGPDDEATVKS IVKYVQDHKARHKWLKGGVRFIDTVPKSPSGKILRRLLRDQEAAMRRQAGSKL ANIA_07632 MASTVGKTITCKAAIAWAAGEPLSIEDVEVAPPKAHEVRIQVLH TGVCHTDAYTLSGKDPEGAFPVILGHEGAGIVESVGEGVTSVKPGDHVIALYTPECRE CKFCKSGKTNLCGKIRATQGKGVMPDGTSRFKARGKDLLHFMGTSTFSQYTVVADISV VAVTDKIPTDRSCLLGCGITTGYGAAVVTAKVEEGSNIAVFGAGCVGLSVMQGAVKNK AGKIIAVDVNDAKEEWSRKFGATDFVNPTKLNGQTIQEKLIEMTDGGCDYTFDCTGNV GVMRAALEACHKGWGESIVIGVAAAGQEISTRPFQLVTGRVWRGCAFGGIKGRTQLPG LVDDYLDGKLKVDEFITHREPLANINTAFEQMKAGDCIRCVVDLS ANIA_07633 MSEPTATQKIHHINPSDLESFVHQILTANNVPPAHATIVAACLV QADLRGVDTHGSNRIPSYMQRIRQNVLDPAASPEITQITPIAALVDGKNTFGFVSAHM GMKRAIEMANEFGLGLVSVKHSNHFGMSAWLVQQAIDAGMMSLVFTNSSPALPVWGGK EKLMGVSPIACGAPAGKERPFILDMAPSVAARGKIYKALRRGENIPTDWALDRDGNIT DDPARALEGVMLPMGGPKGSALAVMMDVFSGVFSGSAFAGHVTGPYDPSKPADVGHFL LAIKPDLFVSLEDFKERMDYLYQRVVGCEKMGGVERIYYPGEIEQITREKRLEEGIPF TENEIEGLNKEAEMVGVPKLMYDYSLT ANIA_07634 MQFLGQWHHQIYILRKDLLVQKGTTYSKHFCSTAVCCPSRANIW TGRMPHNTNVTDVGLPYGGYPKVVEAGWNDNYLPIWMQEAGYDTYYVGKLWNSHTPDN YNKPYAKGFNGSEFLLDPFTYRYYNAKMTRNGAEPVDYSGQYVTDVLTEKAIGFLNET LKNPDRPWMLTVAPNAPHSNGSATADGTHWFGEPEYAPRHANLFKDYKVPRDKSFNTV IEGAVGWVKDISQLSQKEVDYIDEFQRCRLRALQAVDEMVDRLISRLDEAGVLDNTYI FFSTDNGYHLGQHAFRPGKNCGYETDINVPLIVRGPGVPKGTVDSATSHTDLAPTFLA IAGAPNRDGLDGKTVLNLDGGNATQKTEHVAIEYWGLAVPEGIYGYISNQTGQADNAY EGNTYKGLRLVSDDYSLYYAVWCNNEKEFYNLKLLTHAQDDPYQTVNLAADISKHESY RIANRPLPQIFQRANALMMVLKSCTGDSCRHPWVQLHPNGDVHSLADALDKSYDTFYA NQPRVSFSECSLGYHLWAEGPQKFNVYKKGSRDVNDGQVGDEDSSTSALNLSDM ANIA_07635 MAFTVAYTTSSFPVDLVDDTDIKAHERIMTSDKLGLVNPGGTVC RVVDFAPKSPPLMHRTQSLDYGIVLEGEIEMHLDSGEKRLLKKGDIAVQRGTMHAWYN PSETQWTRMVFVLQECKPLVVAGQELGEDLTQAKTDDIKPSR ANIA_07636 MSSIQQWTTSLQGLDSLQSVEAPKPTAGPGEVLVRISAVSLNYR DYEVCCGLYGHHKSVSQDKASLVPCSDMCGEIVEVGEGVTGWQKGDRVLSTFLPDHQT GQVVEKMMASGLGLPQPGVLAEYRAFPAHGLVRAPSYLSDEEAATLPIAAVTAWMSIN GMRPKGQNGGEGEYILLQGTGGVSVSGLQIAKASGAKTIITSSSDDKLKQAKELGADY TINYRKTPNWEEEVLKLTDNHGADIIIEVGGAGTLKKSFNCIAWGGLIDCVGYLSGKV DAPEDRTNVNLLALSRNVTLKGIINGPKDRFEEMIAFYEKHSIKPVVNKVFSFKDAPE AFKYLANGQHFGKIVIKIA ANIA_07637 MPTWESGLRSIFNHVVLPPELPGHADEHPEAVEKELMRRLLNTV TLMKTKADEELFPTWQILENTLKLSSIVNEGVICNKAALIEALKKVGSENAVIVRVQE QNAGLLIRHDEAGVIFEAFEISGSAEQTLAAKGALQWDFPGCAVSLPHEIFSDHSFQA NLAMFLEKASVEYLPQFAAKVRKAGKDVTEDRDTCDPALITQCLMTLLEANGKRLSVP CLRKRVNDDVCWNQAKVPWRRSPMWLTLRVCLQRLLHLQLGEAGRVQYKNLMCMLLAK LLDDCVRVLSSEHYHLLKVKLCRRLAKLEAEKESGSAQVHMAYTAALRLIEPYCMASI DAATSAIHKEWNFWKQDIQRQIPKLPSCTPSDCYLTLPNSIEYLKNIFHPRQHKSPQA SFIEPCHLDSIVTSSTTKQFAALTKRYSVLADREAAIASDAFELPSAPEAITKQCIDL ASSIGDYMETVGDAYDDDPEQFSLFILSIFDMWVAMDRAAIKAYPVLKDFHPWFNPEL LDVLLLERLKDMRRLQSIQFHLQSRCTEAKYGKMTIFGDPMPGCFAERYFDSPSAGPL HKLRQRILKASQEAEDYKRKELEEINRSFTHLTDKLRSTSCTFLRNPDGSHDIRGCIH CYYLRSRRRLKIDVHENYLPFDLRGEVQQKAILFELQTPKALAAYREVTWNIVNRLCA KPSPSDKGNPELLLADYTQLKIYREKRAGTFSLASPKKSFIGTHYRWKGLPADVQDII LPHGPEYYFYDSGRELWFSDYPQQLSFAHHFVLRIPKESPFSCLYSSSCFAPDTEGPS SYKIAANISECPTALTVHEFTAHQTITGGRNRRWLSILAELGSSNINFGLQETMILFH HLALQAGPRLEHTNFRAVHVMFRDEQFCQRMTEQVSRHAESIATNHREHNMMETLLTL CLRLFSLGSAESRFASKRVLQKIRQITMSWIKLLRDETRKADDVNVVNTAARYCFLSA LLCRRSFTPWLTSQAPLDPESLRCFFDASLAMQESLVADLSLFSNITRNMLIRDIKMT SQMSVLISTSAMQEPNSIGAAIDAAWPQADNKPRKYGEWRLLSHPYENWVTSQTDASD DILSQRFYFHLLQGHLVVDGKTIGKLPADIRDSPVLKELFGSQRLFALPSNLPGMEWT LLNSADGHRIHLAHRGEQLIIRAEAYRSILELVPRSVFGRGPNFDLPYPLIIDCIHWM DLRTGILEVRQKPRIWKRREGNWKIDIRTRKCERKRVTLVDPFSSLARQISQVFQHFE QSNRITIIRTPKTLIVELKRLNLDFSLTKWGSLKCHQLGALIDRDQDPGTFYGLQSML VLRDDRSGQRSILVPLGDPSWTRHGSHVRVKLESNGIYVKYTINNVLRRLECPANPRL LYVKAQLHALTSHLLPDPLTGRTGTQEALDCLRSGFCQPWVPLSSDARNILHQIASLT PRREYYPKVKRRQQRVFWNPHLTANIQHEGFYPLVEVIIKRSEILSRFSTKQADSINE STMPVSISHLRERAHRRRSIFEAFDFSQPALAEDTPYVARDGWSSSRRVTNVREVVTL LLNEPSAIHTTRNLMGLLESWPSIGGYSNTCTYYNISDYLSLNVAEEWGGLVNMSRKS RKEDVHNLIFKLGVIAFQDGVNMTALRVIASFFVFPEFRSGLQFPHFPSFVRFARDEK PTVDSLLPLIRMSYPARPMISRRHRVYKDSALGYEANCEKEGVELAKLLIRQWPCAEP SAEYFEPKDIDKAQAMKALLPEWTRIYHNLHFQGHIEKVQTILNHHYRVYYDFAPMAA SSSIHKEYLGPKRKFIFVSYPKVKEDLLAKPALGLLETLGLPKETAVPEVEEPPTGTQ DSNTLQSPSPHILELENIVHQLGRSECPVKFAYAQDLEQSIAALKTIRDTDQLHRANP LMEKGTLDQDIIISRNKIKDYYDCISNSLSASDETFKWLSIGNLWPTLTPTTILQQLR STSRHEFGPDMKELFVSYALAIAKLQKLLRLKEAATKREENTVNHDCTDPGHVNWNPF DFPDWILLEIDANFQIRQDQVTVAMEMISPSSGSNSVLQMNMGQGKTSVIMPMVAAVL ANGEVLSRLLVPKALLSQAAQILQSRLGGLLGRDIVHVPFSRRTRTTHSLLEDYRQLH EGTLLSSGIILGVPEHILSFKLSGLQRLADSKLPEAGVMIDTQKWLEEVSRDVIDEAD FTLAVKTQLIYPGGSQLAVDGHPERWEVAMTLLGLCACYLKDLSKEYPRSIDILERNS TGFPVTYILRKDVEHALVHKIAQDICNEKTSLLPLRDCNKMDKEAIRLFITEEKVEKS VTKRVAKLFPDTPKLRKVVYLLRGLLVHGILILCLKKRWNVEYGLHPGRDPIAVPFHA KGVPSEQAEWGHPDVAILFTCLAFYYEGLSQQQLKKSLGAVLKSDHPFTEYERWTQTS ATLPEALRHWAAITVDDAGLVAEIWRHLRYTREVINHFLSNFVFPLHARQFATKLSAS GWDLILSRGSQYRSTDGLWVHPGFTTGFSGTNDNRRLLPLTIEQCDLPGLSHTNAEVL TYLLQPRNRCYRVAIGPYERRMSENALLEYLYKENIRVLIDAGAFIMEMNNETVAKTW LQADWKAKGAVYFGDDNIPWVMYRNFKRAPLFASPFADDLSEALVYLDEARSRGVDLR FPPSAVGALTLGLGQTKDHTVQAAMRLRQLGSTQSVTFIAPPEVHQSILHVCNKTSKD KLDSSDVVAWLLDQTCAVNLELSPLYFAQGKDFTSRLQAATAHKMIFSNVEHRTAYLR VLQQPEQQTLEQLYEPTYREETASSLSVTTFASAGKVGRLMQALEKRRLESHKLASVI SSALEQVEQEREVAYEIEEEREIQRPSQKKALRFPGLHESILNFAKGEPLGSSGILSA SEWLEKTHLGEKYKIEGSSLVSHLHLSAEFSRTVKLKNSEKSDTYIRPVNWLLYNTVT ETALVIISEEAEILIPIMRASTSRTTHLILYAAPWTKSMLHFNNLTYYSLPSLRDGWT PPTWLPFELGIIAGRLYFPFSEYEDASNPLYSLARNPDGEDESLDSWAKNHLNFLQEW LAIRRQGQDVTDTPMGYICQNWPLRREHPFFATRSAQEGMNAPGLECLRFTMSDQEEE YYSSDEDLMEVNMGGNVDDEVHGENVGIE ANIA_07638 MNMGQDVWKRVLYCSTISVLAFLPVALADAKVLARGDDRGSETI SGLGARKQEVLNAGGNTRDLAIVMLETRRSITAPFSNKGSSCRVKLAGLCLSIDPMDA SSDLAKDIQARYEGENHFGYEIWFSGHRNGESGVNNPLTEDIKGEYLAIQRLGYIDAM AWTQQQIESDSKYQSDDTRFWVDVQVI ANIA_07639 MKHLLSLVCTLGAATLAASAAAEAGSMPSANGLDFVIDGEASYF AGSNAYWLSFLTNNADVDLALDHFAESGLKILRIWGFSDVTAEPSDNKVYFQLHQNGS STVNTGPNGLERLDYIISGAEKRGIKLVIPLVNYWDDFGGMNAYISAYGGDKPGWYTN DKIQAAYHAYVKAVVSRYVDSPAIFAWELANEPRCSGCDTSIINQWATKTSSFIKSLD PNHMVAMGDEGMGLPGDSNYPYSYYEGNDFALNLAIPDIDFGTLHLYTTDWGVSNNSW GNKWVQDHAAVCKSAGKPCLFEEYGMKGNHCTYELAWQKTALATPGMAADLFWQFGEN LSSGQTHNDKYTVYYGSNEWKCVVSDHVAAVAVRRYRLPVGGGQHGP ANIA_07640 MVKLWSIATSNYTNRLNECLLDLAASAAALPTEVVKIPSVETQP PRGSEPGAAPTLVNSATSGTTTHGPYTGTPTTTGALQAESTLAQSIAPQPNPTATYYN PDGRLTQPQPMPYMPAGGVGMNGTLPVYMVQSDFDYQSVALGVHQEYIELDLFHYGLE RFSEQDFLDAGLTVEDRRLIEYMAIQEAGHATLLSNMLGESAPKQCTYNYPFTTVREF LDFNVKLTRWGESGNWGFLSHLDSKEVATLIVQAEAIEARQQSVFRQLLGLHPMPIWF APGVPQSWHWTLLAQYISSCPENNTRLVWQNFPNLYVTNQANPNRINPNTTEFNEISD ASHVNRTGNPSSSHIPANESCVHVNETGYNCGPAISRDKYEPLTFPGRKVFLTWDDPG RPVGPNNSYITSTTAGEPKFVAWVSQLNTTYTELTTTGKNEGFTFQPASEVYETDPAL NGTAFIALTDSNPYVTPYNLTMLNPNVRALGLYQAG ANIA_07641 MVLDRLKQLTLQVSASSPPPHPLDPLSTAEIDAAVEIIRKEHGN VNFNAVTLYEPRKEKMMAWLANPESPRPTRAADVVVIAPGGKIYDGIVDLDAKKIVEW KHTPGVQPLITMEDLQEVEHIVRKDPKVIEQCGILGIPPEDMHKVYCDPWTIGYDERF GTDIRLQQALMYYRPHVDDSQYTFPLDFCPIYNAETKQIIHIDIPPVRRPLNKAAPNN YHQASVEKEGGYRTDLKPIHITQPEGVSFSIEGRTIKWQNWSVHVGFNYREGIVLNNI TYNDKGNIRDVFYRLSLAEMVVPYGNPEHPHQRKHAFDLGEYGGGYMTNSLSLGCDCK GAIHYMDAAFVNRAGASTIVKNAICIHEEDAGILFKHTDFRDESTIVTRGRKLIISQI FTAANYEYCVYWIFHQDGTIQLDIKLTGILNTYAMNPGEDTHGWGTEVYPGVNAHNHQ HLFCLRVDANVDGPNNTVFQVDAVRGDGEVGSAENKYGNAFYAKKTKFTTPREAVSDY NGATSRTWEIQNTNKLNPYSKKPVSYKLVSREVPPLLPKEGSLVWKRAGFARHAVHVT KYSDDQLHPAGRHVPQTSGEPSQGLPMWIEEAGPDCSIDNTDVVLWHTFGLTHFPTPE DYPIMPAEPMTVLLRPRNFFTRNPVLDVPPSFARTPSQVAANAGACGCSKKASPDGSS V ANIA_07642 MLFAHSLRYIRRRTPWAHRDVATAALGRFESDTQVDFSKFSSKI GTLRRRLKRPLTYAEKVLYNHLDDEFDGNIVRGQTQLRSKPVRIACQDATAQMALIQD CPKDIGCATSRRVVALGIAERHINELAGIISVKGSTGSIIEYFGLGAQTISATGMATV CNMGAESGATTSIFPYTASMAEYLRANRRPDMAAAVETIAYELRSDEGAEYDQIIDID LSSLEPHIDGPFTPDLSTPISKFGSAVIDNEWPSTLTAGLIGSCTNSSFHDLSRALLL SPGSLQTRNTLEEAGIMQVFEKAGAIMLPNACGPCCGSWDRTDIQKVSLT ANIA_07643 MDNCDVYYIVLILDPQVKGDLILSEIEDKEAVANIVNDIISGEA RQILGVPELQLNDSVHRDTDLKAKFLNQELGFNDRTARSVWR ANIA_07644 MGTCIRYCMRLCCPMVSGAQLAVMDMHRLGEWTNLRALAKRAFN LSFCWAASFWAYPQHYRRRACQTFEAYHAGNAAVANNSRRSCMAFSEQPPARPRWPIA LRPETIARAEIETIIMVRNSPEPWHSLDYIVTHHDGAVLFTVHGHPWTLSQRQDFRDA SGLPLFELRCRWYDSSVMELKLPGSTAISEPLLTAKCRVAVQKPRAVMRFRNACALMD ARTTQSYSYMGSPEQRRMGGATVDDETVMEIFAMDVDNLVHVAVIEDQRVAFIDRVSD PEVLAQGQKPPFRFRPMWRVRVARGVDLALIAVAVVIVGQQVAGLAL ANIA_07645 MSCGLWSVRNRSSSWASRRLQSSIDIADHFYVGEGWKGGQGRTF ALQISPHFELPTQTADNFNTGQGELIELSFQARKRKSVQKDCQLANNLAPAFSAHPSA PNYPHFPY ANIA_07646 MQNLKFLIAAVSCLGPALAAPTPTSNFINSNFNKRASVEDSAFG YASLNGGTTGGAGGTTTTVSSYAEFTAAVQGDDPKIVIVSGPIEETAEQVDVGSNTSI LGADSSAVLTGFGLRLKEVENVIIRNLGIAKVLADNGDAIGAEYSNNIWIDHVDVSSD RDHDKDYYDGLLDFKRGSDYITVSNSFIHDHWKASLVGHSNSNEDEDSGKLHVTYANN YWYNLNSRAPSIRFGTGHIYNNYYETVSDGINTRIGAQVLVEGNVFVDSKKALYSTDE GYAVERNNDFGDAKNEALEGTLTSVEYEYDLLDTSEVKSAVVGTAGQTLTF ANIA_10988 MGIAGVASALALAKELGPHEPNLQITLFERHEVLSTSGGAINLT PVAQRHLDRLGVLDELDRQGPDGGADVDAIELFSSRSGRSLGSVDFTDGHGNGVNGYK GRRVMRIVLSLAMLAVLERQPNINIVYGKKVGGVSEMDGQTVLHFEDKTSAAGDLVLG CDGVHSALRTHYVEPGRPSEYTGLAFIQTTIDTPPPPPGSARTSLSRPSSSTSASGST HNSTKSGRTTRSVSTNSLNSSPTLSSTAPPTMPPTMHPPPFRTTGLALSRHGDLLGSY CDRNHATLFLAAIVQIKETLLPGYRLDGYHVKDPRHRVAIHAALQNEIHSRFASSGIP WIRDVANLKTNWMLYPVYQVRPGGRWWKGRVILLGDAAHAMPPRDESAAYALDDAIMF CRILAHHRDEPLSTVFTKYELHRRGPVEEAFSAAGRMWATHRDMGFLEGRWKEWTMPW VLRKNRAARDAAWRFDAYDV ANIA_10977 MPAIYERIENDLIVQERTLWSALTSASPTDELERLCHEKAVLLF PKKDITTVETLGETFRKGFHKFDEYDLQDVRVIVIDLMAGTITYRIHASREGQPDYHA TGSTTWGQGSDGEWRVIAHQETLL ANIA_11570 MSYTRPRLLARTRLPTRYEGVESGNPRRKSRFVNQSTSESGQTA TSASPGFV ANIA_07648 MDFKPSPSTVDTPVSTERYSLPDEAPGKNDYAPGVVENVARVVD HKAERALCRRFDLRLMPVLAIMYLFNALDKGNLGNAETDGMSDGQYNLLLSIFFVPYV IFAPPIAMLGKRFSPARVLPILMFSFGSFTLIASSTKNFGGMFALRWFLGMAEAAFFP LVIYYLTTFYRRAELARRLAVFYAASNIANAFAGLIAFGVFQIKNTPMSQWRYLFIIE GGATVAFSIFAFWYLPRSAAEAKFLSSDEKALAFHRIQVDSSAIVNEKFNLREALAIF RHPTTYGFLAIEICLGVPLQGVALFMPQIIQRLGYSTVKTNLYTVAPNVTGAVMLLIL AFSSDAVKLRSPFIVLGFLFTFTGFMIYASISDVQAQIKLAYFATFMMTWGTSAPSVL LSTWYNNNIAHEGRRVLLTSIGVPLANLMGLVASNVFREQDKPKYMPALITVGSFGAT GAFLAGLLGLYMVLDNRRRNRRDGLTVKASDVPTERLRDGPASMFQFPDAHTSFTLGR YYCDE ANIA_07649 MDGLNAAEQRELANRMERKQMKEFMTMYSKLVQRCFDDCVNDFT TKSLISREEGCVMRCVDKFMKGSQRLNERFQEQNAAMMQSGQLPGR ANIA_07650 MVTGKPGEPFQSLPPTAPQRETSPASPPSRRDLTTWWRQFKRNS RKEEPKEKAQQGIFGIPLKVSIKYANVAISLTNDNGESFIYGYVPIVVAKCGVFLKEK ATDVEGIFRLNGSAKRIKDLQEIFDSPERYGKGLDWTGYTVHDAANVLRRYLNQLPEP IVPLEFYERFREPLRKFQLQAQEKGPSPESEAAEHAKAVAAYQQLIRELPPLNKQLLL YILDLLAVFASKSDQNRMNSANLSAIFQPGLLSHPQHDMSPDEYKLSQDVLIFLIENQ DHFLVGMNGTAADEQTVKEVESGNARPPTSQSNIRRSVSSASGGADSFKKYESLRRNV SVSSRTSRASNNVSNPATPTSLGAVGVHRSNTLPSKMSPAMRHSRVVESTNSPGTPAH PSRSSSRTPPSTGTGLQPPPAPANVQTTPGTAYVHSATHGPMPKPQPETEQVHEKPEH LNLPPPTASLSPAVVTPTKERKLSSFFTKSPPPGGESKDARQPNRLKKKRIPGSANES AQSSAISLQAATSESALLASQVPPRSDSSQDDGGATPKPSNTATPDDADSQRVGRPEK RISQAETGQPIGDALRPHGSRTPSMNSRSSFTDYSDVDHTDEATRKEHRRSWRFHRTT KRSNEQIGLGLGSPPLTASSPGADRSTSSISSWHQSSKSSPSDLQQFANDPSYQPLSL DAEVHNNGIVKEAPPAEPEKRSLFGKFKAKVAQVRDGVRESSERDRTRSPVNSDDAAS SQNLSLTGRDNSSPRPAPIDVTRDMREAQAATPVSPLPNSGLPPAIPEEPYTPDSSIL PVKPVEQVKEKLDDKVEEKPKNKSDTKAVEDAAAKELTPPASEAPTVNAKQETESSEP MPTTQWLDLQTLSSLSLSTDFGFPAAGFLRISLDEAWDNNVLRWWVACVQGNLAAEAD PKTTLSRREAPQYCTPFLTSASASNQARSTAMATSAASPIPSSHSTSTTTPNPNPKPQ PSQPPVSILSTPFAGVYALAHPALLLSLVAYRFSSVIENPVAELLGNIPYLVGLQVVY VMGCLPPAGSEKDTSGAGNNEETKALRKVASTGALRRRGKSSPGTTSWSSGLVLVAWK LTPALLSLTLTALLATPVLAFLLVLFGAPLTTHHALTFLCAAHMAVLSTFPLIYTHGV DGPVWREIWGAARPFDTVWGGALGTCLGAWLGAVPIPLDWDRPWQAYPITILTGAYAG FALGMLVGRVKDFYTDDHENECILATRLCQCK ANIA_07651 MSKPIGRSSSVRNPPTQRDLSAPAILAGDAEPYDTALPPMSSKE LVVTTRRHQVGHPRLPYPQNLTSIQGGMGNHRRTGSTLKTVMKKIFTRNRRSRTDELD DPIADFNFNDNTFPTSHRHKSPDTSNSGSPRQGALVQQPTETLTTLDVVLKQLDTEPR QRRATLPSLIFSDDESRQALEAVVHPERKSSNLKLSPHACSDPEEIRRRRMRNANRRS RSAGALRVLAQEHHRMSPIQWKRRRSVESEFLASTTCGIGPDSDSSRPPTRSTVATAS TFKPSADTSAFGEESETEPIPPPSLPPNVGELISSMQTDDNASLEQRMTTLEVKLIDL EFAIARMQSGRIDTPAADAPKRRGSEPSRHKRQKSSAKSSAKSPGHSPPSTRDDSSTT AEHIPSTDRPLSTSTIRPSPSDIQRARALQAPSMVSLNSDSGAISVQQYSALVMLLRR EQTARRNLEQQVSSLREDIERLTQMARDSIGVGTMYPIRSFESEEYMRIRPDDSPSSS PRQTPDKLSSQSRYKSDPESSYSRDHTEAKPRDDRFRPWQATRRVEVANMI ANIA_07652 MSPSSPISIPLFAENQQQLLLKEHEAEVSSSKLASTAFASPLTR RTLQASGHALTGIILSQCRTGLGGRLVGEFTADAAISTGGTKGKGKDDDDAKSNIAAN GKLKLGTHGIRVGDVVRVNEVVSAGKKAVGSGKDKKKDGDSAKGPEGVVTRVGESSVW VAFGQSGGGGRSKEEDEEVIEELYGKKLWLIKLANDVTFRRMNQTMEKMAKMSESDYT HFVRVAFGHTTPVQPDYEAAGPVEFIDPTLNDSQKEAIQFALASRDIALIHGPPGTGK THTLIELIIQMVKRNLRVLVCGPSNISVDNIVERLAPSKIPVVRIGHPARLLPSVLDH SLEVLTQTSDAAAIVRDVRKEIDEKHASIRKTRFGREKRAIYQDIRELRREFRERESK CVDNLVRGSSVVLATLHGAGGHQLKNQKFDVVIIDEASQALEAQCWIPLLSAPKVVLA GDHLQLPPTVKSTPHKTKEAGEDGEQDANGSFSLEKTLFDRLLSLHGPGIKRMLTTQY RMHENIMRFPSDELYESKLIAAESVKSRLLKDLPYNVHETDDTKEPVVFWDTQGGDFP EKVDDEEFAKKESLLGESKSNEMEALVVARHVDNLVQAGVRPEDIAVITPYNGQLAVL SQMLREKYPDLELGSVDGFQGREKEAVVVSLVRSNSEHEVGFLGEKRRLNGMPPAHNS YYLE ANIA_10989 MDFEWFEDEHSALYMMRIGAKETRSNTDYAQREILVELGDLPMK VTQSGLTFLDLPENVKIRILEYVGLLRPCLIQFGTEKYRSKEYNGSHLCNSSNSLRMP RTFWPEKWLSPYNRDCGHPPLPVGVFLASRAVREEIGALFFAQNRFSINLCGRQEYNL FKLATRWGLRYIRYLHLNLGYLASRNLKLNGSYHRTILKIWTEFCHNSTERMPALKHF SMKCKVKDLDVASKLMCIMDPFPTLLHCAFHFADTQDDDIQPVIKRAAWRLTGNLSIK PPFPFTKLPKEVQLMVLEHVLIKRLDPFLPAAERDIAVVGFLDRKLRPTTGSPLVCCG TCSPLGARCFCEINQTAFSTSCTCFCSPLPYFLVNHEFYEDCRGLFFTKNRFTFVEDD PESIMRFLTSIPTSSFMQIRHLSFKFPLVYRSPHKSQRYEEAAILSWSVLRRFIREHF DLPRLSLSIVDLGTRSATVGRNMYMRRILKTFTDLKGLRDFRAYLADDPSFEKELERA VMGRTGVGRYRPYPIAIMRTTHDTFA ANIA_10978 MCIEAMRTSRASRETAKVLQALSPPARRQTRSTSHSALLKGFAY NAGKTEADPDDGEDDGSSLTSVDTVDIEDILEPSAKRRKTKASSAAKTTSNSTRRTPE KKVEKLVKKENSQPKARRVPARKVKNEDGSFTVEAPSNWDAIYSIVKKMREDNPTAPV DTMGCAELYWRASSPRDRRFQTLIALMLSSQTKDTVTAVAMQRLHTELGEGGAPAIKL ETEHAESIVKQEAENGEPAIKQEAKDGVPPANPSHDSTLNLENILAVSPERLNSLIGT VGFHNNKTKYIKKAAEIIRDQYNSDIPSTPAELMKLPGVGPKMAYLCMSAAWGKHEGI GVDVHVHRITNLWGWHKTKTPEETRMSLESWLPKDKWHEINKLRCGDCGLAGTKLCKS EIKGMAPKNNGRGLPKKEIVVETFSLTGEPKIKVEGE ANIA_10994 MPPSQTTTRLLRELKEYTASPNEALLHLGPTNEDDLFHWEAVLK GVKGTPYEDGLWSLSIQIPSNYPLAPPKIHFTTKISHPNISFTTGEICLTLLTTEHWS PVYTLSSTLTAIHQLLTDPQPDSPLNVDVAALLREGDTPAWESIVRYWTREERWQGRV ANIA_07654 MAVMSRIVQILCVSLLATSTFAAPAPERASLERGTISRVEVREI VEHVDEKLIQSLADKLRTARPDLKDRDFAIANGLSFVKRQEASPGASDTVTDSPTSTE STETSDPTSTSDTETSTDTEPTSSEPTSTDTTVTSTSSSSEPTSTDTDTTTSSDTSSS ATTSETSATTTSDTPTSTTEGETSTSSTDERTSTSTSSESTETSSSTSSDEPTTTFST TSASEVTSTYTRTSTNAEGELVTVTETAIVHPTQSSTDEATTTGPEPGLQTNGAASAF AKELFAMMGGAALAVAVV ANIA_10998 MRSLYHVAGCLLLFAGARAWRHSKLFTSSPAFVEHPFPTISVEC PELGRSGTYIDRDHTSEGAGIVPALAWPSATYNTVEYVLISEDPDAPIPEPVVHGIYY RISRDKTGVQNPDFRIHNASWEPYMLRGGFKYGKNRHDTVYAPPTPFLGDGPHRFFFE LIALNDSIDTDKMSPLATYDELKREVFGKVAGWGEWVGVFENPRHQSEERR ANIA_10979 MESQVDGRPYRSHIHPACFSCRKRKSRCKTKSPAEICVMCQEYG TECVFPRADDPRIPRQRNRPRRVVPNARSSKSIEHRSYPHPQTQSHANSAANRDEQRP NGPQPHVSADSELQERSRVRENASCTAAPGVRTGSFPHFMGIGAESEGDGSHIISPAV ADDNEILESYLSTIPFAQKRCMIPTGSNSNRHFGPVWFNVVPRRPLGVVANQSFAASK CELIEKYMDPDIEEYINFFRFFLKANPCFPVFDEVSFRSSYSSHKEKISPALLCNLYA NSLIYWGSSTKLSSGRIPDIRYIWNQANEALHSELFLSPGLSSIMAILINVNGRPSTS MFGNGGMVGMAVALSNALGLNRDPTGWSISPLEKSLRIRIWWLVLIHDRWCSLAYGTP LHVHRAQYDVPFPSVEDICPGSASPSDKAAASVFVALTTLTDVLARYLEHVYSVSREF LQTTKMSEMDLEQILRDWEESLSDNMRHLVFRGTRLDIPGAANFRMAYLSVKLLLRRL QLNMNKRALDFEDDIVTPIYVHAQRAAEEIAYLVQELDESQFRGFWIPAHAFSLTSAT MFLLRSGLRMRNYGRNAALQTARDMINALQSHRQNYNWDLADNCLTQCSELLERIGAA ESNRSIEAPEFSSIPMNLDDLDIDPSVLEEFFGNTGFGSAGFTEGLELW ANIA_07656 MGSVDHVEAFFDKADKYLMSTGVPYSPFVVTKAKGTRLYNQDGR SILDFTSGQMSSLLGHSHPDIVEVVKQYIGELDHLLSNMITHPVVDLAERLARFLPAP LEKSFFLNTGSESTEAAIKIAKVYTGNFEVIAFAASYHGLTQGSGSVTYSAGRRRGGP VMPGALAFPAPYAYRSPFKKADGSYDWEAELDFGWSMIDRQSVGSIAAFIMEPILSTG GILDPPKGYFKRMVEECRKRGILVIMDEAQTGVGRTGQMFAFEYDGIVPDILALSKTL GCGLPLASVSTTAEIAKGCKEAGFLWLSTHINDPLTAAVGNKVLEVVERDNIARRAAE RGAQLREGLVKLQQKYWCIGDVRGRGLLQGIEIISDPETRAPGPELGQAVSDQAMTKG LSCNVVNLPGMGGVFRLAPPVTVTAEEIEEGLAILDEAFGDVLKTWSASESDSKLGGL FK ANIA_07657 MKGSSIAAALTLSASTVLAAPKLAARDDVTPITVKGNAFFKGDD RFYIRGVDYQPGGSSDLSDPIADAEGCKRDIAKFKELGLNTIRVYSVDNSKDHDECMN ALADAGIYLVLDVNTPKYSINRASPEISYNDKYLQYIFATVDKFAKYKNTLAFFSGNE VINDGPSSITAPYVKAVTRDLRNYLSARNYRKIPVGYSAADVDTNRREMAEYMNCGTD EERSDFFAFNDYSWCSPSSFQKSGWDQKVKNFTGYGLPLFLSEYGCNTNTRDFGEVEA LYSTKMTGVYSGGLVYEYSQEPSDYGLVQIKNGKVTELDDFDTLKDAFSKTSNPEGDG GYNKTGGANPCPARNAPNWDVDSEDVLPAMPEPAKKYFENGAGEGPGFSGPGSQNAGT PSTSNTTNGEGNTVSGSGSSSGSSDPTDDAAAGLHIPSMTMAPVFVGLVTVLSSLVGA GVVFF ANIA_10999 MANLINEIPRNQPHLDSLMFLRHNVTQIQEPANVGFEPSPVWHD DEALITDETAKVFLRNLLSKSKTQVRELRVESDQKRREVENAKRVRQSIQEGRDNRNE VDVVRSIFFMQESLHEIERKRLTAEVETSTIISVVGDLSLGAKNHNFKSQTFKIPTNC DLCGERIWGLSAKGYDCRDCGYTCHSKCEMKVPAECPGEQTKEEKKRLKAERQEQASA APAVDLAPTSASSTAPSLSRRDTMNSLSSGYAVSANRSVSNVGTHESVAEAPESIPTS TAPAAPTATKPAVKRNRILAPPPAQYISSPPSAEAPTSNSSQKEPRGKMLYPYQATGA DEVTVQEGEEIFVLEPDDGSGWMRVRSESSAEGLVPASYVEVLAAPASSSSPAGRPGS TYSSSSASLAGSTAAAAGKKVGPAVAPRRGAKKLQYVEALYDYEARSDMEWSMVEGDR FVLVNRDSGDGWADVERGGVTKSVPANYIQEV ANIA_10980 MAAAEAAPHFGAELKDAFKPVNNWVSNGIAWMEEVQQFYRERSA IEKEYAAKLTALCKKYYDRKAKKISPLSVGDNPTMTPGSLESASLTTWSTQLAAVEAH AAERDKFATDLVAQVAEPLKQSAVQYEELRKCHVDFHGKLEKERESSFSDLKKAKGKY DGACQEVESRRKKMESAFDHGKTKAQTVYQQQIMEMNNVKNTYLISINVTNKLKEKFF HEYVPELLDVSHIKLIDANLG ANIA_07659 MASDAPTGGSLADRISNPAETTEPVADKAQLDGAASNQGGSDLA EPEYNVEVKLSDLQADPNNPLYSVKNFEDLGLDPRILQGLSAMNFRKPSKIQERALPL LMGNPPKNLVGQSQSGTGKTAAFVLNILSRLDLSSEQAQKTPQALILAPTRELARQIV GVIQVMGKFLDGLHIGTAVPADTNARPTRMEASVVVGTPGTVMDMIKKRIMVAAKLKV IVLDEADNMLDQQGLGDQCIRVKALLPRDIQVVLFSATFPAHVHQYASKFAPAANELT LQHEELTVEGIKQLYLDCASEEDKYRTLVQLYGLLTVGSSIIFVKTRASAVEIERRMV AEGHTVASLTGGIEGSQRDQIIDQFRAGHAKVLITTNVLARGIDVSTVSMVINYDIPE LHQPPNRPRQADFQTYLHRIGRTGRFGRVGVSISFVSNREEWEMLNQIQKYFNTDIQR IDTKDWDEVEDIIKKTIKNTRAQAGFR ANIA_10981 MTSDDTNVPPKDAETTAVQSPTSASRYSKHIVLTTYPGQSGIDP VPLEWGAPDAESRGPVVVSRSGAFVKRRNAMGAHGGSYSIYNALAIAAGDLDPNFRPN FVNTEPTFDFPWQPAWSDKTKIVSMDPYGHDVVKYFSDKINAGWDIRPTMAVTRANMK LAEIGEAVRDGLLEVDGSIVVDSTGEVRVTKVAVEPVWYLPGVAERFGVDEPTLRRTL FEHTGGSYPELITRPDLKVFLPPIGGLTVYIFGPPERVSDENVKLALRIHDECNGSDV FQSDICTCRPYLAFGIREAIREAQNGGSGVVIYFRKEGRALGEVTKYLVYNARKRGGD TADKYFTRTENIAGVRDMRFQALMPDILHWLGIKKIDRMLSMSNMKHDAIVESGIKIV ERIPIPEDMIPDDSRVEIDAKINAGYFTTGKAYTMEELAQVRGRGWEKWEDITH ANIA_10990 MGSQSTAVNTHPHVPKAGVWCPAVTYFDHATDTIDLEAQKKYYA YLSKTGLTGLVILGTNSEAFLLTREERAQLISAAREAVGPDFPLMAGVGAHSTKQVLE LAADAASAGANYLLVLPPAYFGKATTMNVVKRFFADIARRSPLPVVIYNFPGVCNGVD IDSETITDIVHESAKSSPNGVSNVVGVKLTCASVGKITRLAATFPASEFAVYGGQADF LIGGLSVGSAGCIAAFANVFPRTASKVYELYVSGKVTEAMNLHQKAALAESPCKSGIA TTKYATAIYSAKLAGIEDAEEKLKPRTPYEEPAEGAKKMVREVMGAIAKLEATL ANIA_07661 MAAPSMGSDFQLFSPAQSRGRKSSQGDSGSDDTGQDWTEWMRWD EQAFPDNKNLPLSPSLTSPPFSDGNKSIDLFPSGDFSPSIPIDCTNISFEPFPAQDRS GVLSTQSFFQDGIDTFSANSVVSGSPLSTGAGQKRKSGSDDDGSAGSGMVQEVKKVPS KKRAHNVIEKRYRANLNEKIAELRDSVPSLRASKGNGVLDDEDEGVTPANKLNKASIL SKATDYIRHLETRNKRLEDENTALKVRLRELEKVADQSLTSAASVSSPSNYTVSTESA GSSSPSIFSNPEESPIEPSSSSSRPAAGMIQLPDSFKRMRTEQSKDNLWSQSYMQCPS SNSVSTQSGNGRRRSYYPNKYVLGTLAGLMVFEGLGKEKETDSTAKGLLAIPYNYFRN VEVPPLFYEIMGRSFWSSWHAKAILHFLFLAVLVVGSAFIVFVYLFNSGPGHQNSSKL STPGVMLSSSNFRRQAWLTSIQRVGVPRHRFFHEWYVVTSRCFEYVLRCLLGWKLYSS ITGITEEDEKGRVKTWDIAIDAQLSGGDAEISKSRLVLTIFAAGTLPRSPMRMMLKAL HCRILLWRVGVPGQWSYRVSNDVARSLAKYQWDLARKMNAALPKDHEDALPPHLEALL QCESEDVMIDSITQRAANLTWNDPTQEGSDGDDAFLDVVEEDPAIQSSLDALAAWWSS HLLQRALLKYFEASARGPDAKKSRDMFKAKIQLALDVAPQPSAAHTRALVMMAVFFEQ DRVKNIGAVLAALPKEKSKSKQNQTFNFLDSSLPVSVREEISIAVRCAMIAAIFTARS RHDNSLPESFTMEKAVTWFDQLPLDPVDLTLLGFSAVYHLLHVLASDTGYLSSSDSSR PSSPMLKDSTLGDSSDDAEDEAEESITHRKETAPEPVPLIGRVASELMYWARNAYNPT FYGFTSDLVRVIEEECTSLCHGAGVDVADYSRLHQERLKASRRKDKRKAKSRLSKERA TPPAETHLAAKPAASASPSDFPCPLKDQPALVGESIAAGRERT ANIA_07662 MGVDLLPPGQERQRHVHPPMNIALATPLFALAGGFLILFVGRLA IRMRHHLRLRAVLRNDDQTPFTNRNGFMAWTNRHVFYAPLFGARHSRELRIGRAHMGT VPLRIETLILALYIAINFAFFVCLVDWWEDYQEKLYQVKYAGGHLAVMNTPGLVLAAA RNNPLIPLLGISFDTFNLFHRWVGRVIVVGAIIHMSAVIAGLIAEHGFETTTHIIWEV PFFIWGMIALFGFILIAIQSVSLLRHAFYEVFLHLHVALAVMSFVGLWYHLRGLEQQN VVLGTIILWGLERVTRVASLVWRNVGKQRTVADFELLPGNVIRATVTLARTGEFRAGQ HMYLYVPSVGLWTSHPFSVAWTSTEEVSVDSDSNESFKMLLDRKPQTTISFLIKREDG FTRELQRKAANSDTCQFTTTVFAEGPYGGLEDLNSYGTVLLIASGVGITNTMSYLYQF LEGFSARKTAVRRVNLVWVTRSVEDLHWIDPWMKSVFTHPAIATKESFQNNRLAVSVQ VYVTRKEASEASVGDSENLWAFSAPSGVSVSVGFGRPGFAVVIEREMETQVGAMAVSV CGNGCVTDDVRQAVREAQKGAKTISLHDEAFCW ANIA_07663 MSKPVILHLGDPIKYNPALYSTFSSHFTIVRPSLSELRRDEFKT ALRENRFGSFHALFRPFWNTGGEMGRWDKELIDLLPKSVKIFASAGAGFDWVDTQYLA EKGILYCNGAAASSESVADMALFLILASFRNLAWSHSAAVSQNPRAFLDAHQNSPLTA RNPRGHSLGIIGMGQIGFMIAKKVYAAFGMQILYHDIVRKSQDIERSVNATFFESLDD MLAESDCVIVATPFAGKTLLTAELFDKFKRGSRFVNIARGSLVDEGALVGALESGILM GVGMDVHADEPNVHPRLASHPKVMMMSHNAGGTVDTHIGFERLAMENILAFFKEGRAM TPVNAHLIKPKSVL ANIA_10993 MKPKIKILCLHARGTSGDIFKSQTSSIRSRLADLNLTFDFLDGP YPSNPAPGIDLYYPPPYYTYYAESPQNTTIDSIRSTQDWLYGVIAERGPYDLVMTFSQ GAMVAAEALLMHQVEAERGLCQQSQSAIIDGVHDEEGRGNKIAANGETVGNVLPPFKS AIFICGGAPLTLLEHIGYNIPEITKARDLASRSALAQMAGTEAILSKGSARWMANPAI PPNFNMSMNLSFGLTGSNASLNGLVKIRIPTVHIYGERDPRYIAGVQLSEVCEKRSRK EYNHGGGHEIPRFEAVSGAMADLVRWAVRAAERQGDGGG ANIA_10982 MGIETAPLDVEESTEPPICGYSHKHPLQDHNRSRDVKDALDSEK AATTLSDEPESIAYNSEQDLPASADHAHILSPSSLSALLKVDLQHGLSNEEASSRLAR DGPNRVREMEGLSVWKILLRQVSNSLTLILVIVMGVSFGINDYIEGGVVTAVILLNIV VGFVQDYRAEKDILSLQRLSAPICKVLRDGRVAPIKAESLVVGDIVLLAVGDIVPADL RLFDGMNASMDEALLTGESLPVAKTPNITLTSRDIPIGDRTNMAYSGCSTTQGRATGV VTATGMNTEVGKIAQLLQDKAEQDGSNIFVRLFHRLVATVKSILGLVGTPLQVKLSKF ALLLFALAILLAIIVFSVNIWDIQGEVLIYGICVAVAVVPESLIAVLTITVAVGTKAM AKGNVIVRKLQCLEAVGGVTNICSDKTGTLTQGKMVARTAWIPDTGIVTVHQTTNPFD PTSGLLRLDGHEINPKGVQDDASNTFITALSLCNLSTVHNSRSGSSDDVPAQVAEGEW TAVGEPTEVALRVFSMRFGYEKQDIVRNRGLQLHTEFTFDSSVKKMTVVYTNKQTGLN EVYSKGAPEALIPSLDIIDEEKEVIQDTAERLAGEGLRVLCIAYKKSPMDDESQISSR NMAESNLRFAGLIGLYDPPRLETAAAVRKCQMAGITVHMLTGDHIRTAMAIASEVGIL DPIVNAKSSRLVMTAKEFDRLSDADIDKIEQLPLVIARCSPTTKVRMVEAMHRRGAFC VMTGDGVNDSPALKRADVGIAMGKNGSDVAKEAADMVLTDDNFASIVKAVEEGRRLFD NIQKFLMHLLISNIAQAGNSIFPLSPLEILWANLVTSSFLALGLGLEEAQPDIMYRPP HDLKVGVFTRELITDKMVYGSFMGCLCLVAFASVIYGAGHGTASMGDDCNEGWNSTCG TVFEARATTYATLTFLLLVTAWEVKHFSRSLFNLDPDRYPGKLSVFHSIWRNQFLFWA VIAGFLIAFPVIYLPAVNRVVFKHQGISWHWGIVFGCVAVYLTLVESWKAMKRRFGIG SGKNATLTMADAEMRAGLRSLTPISLSANASVEASYNASVTEK ANIA_07665 MAGPVADLHPLSRSDGSASYKCPFTGSNILGSVNAPIELPGRRD ALKPEEATIEVFVKPGTAPGGVGERYVEGIVRSALGRVILGREKGYPRRGVVITLAIV GGEGVARGGSYLPLLPALLHTATLALLSASVPLSVTLSATILAVDPAGKIIREPSTKE AKAAASLHVLAFTSKGHLLLNESEGAFTYDTWEAVYERALVICLGSSALSSDGDVAMA ESTESQPLEGILRDTVEDHIHSEYSWKLAA ANIA_07666 MASPNFRDDSIKPQNLTDLPCSPSPLTDSAAPPLSDPSPSTSKT VGASLLRDYALHIDPSQTPNEVGRKDSEESFTPAASTASPHRVPAQEDHSPSSNPTAF PFRAAFSVTRHSRKAFSCDLIPRQTIMKALASRPTSNHQNSNMPLAAPFGLQSPTSPS SPPDETERRPHTSSSQKLSAALSNLQLASDADRVPTTRLIMQSPCFFHKRFDDAVNLQ KVLEEITDDEWLSHSRLLQTATGVREVSKQLQRRPIKRAVKNVMIVTKARDNSLVHLT RELAEWLLSTPRYGSDLGVNVYVDAKLRHSKRFDAQGLIQKNPAYEHMIRYWTPDLCW TSPEKFDLVLTLGGDGTVLFTSWLFQRIVPPVLCFSLGSLGFLTNFEFENYKSHLNAV MGDVGMRVNLRMRFTCTVFRKDRSKGAEAGAVEEGEQFEVLNELVIDRGPSPYVSNLE LYADNDLLTVVQADGCIFSTPTGSTAYSLSAGGSLIHPSIPGILLTPICPHTLSFRPM VLSDSLLLRIAVPSGSRSTAYCSFDGKGRVELRQGDYVTVEASQYPFPTVVANNGEWF QSVQRALRWNTRGAVQKSWHSGDTSVDAADDENEDEEWDIDTDAGYNGTDSGLGPSED GDAGSNSPMKRQMSLLGMQP ANIA_07667 MSFFKNSRVYMLSAVAYMGSFLFGYDTGVMGSVLALDSFKHDFH MATGSTGFASSKEAEISSNVVALLTAGCFFGAIAGAIANDRYGRKNSLLVLSVIFMIG AAVQTGGRGTIAYIYGGRVIAGFGIGGMSAITPIYVSENCPPNVRGRIAGLFQEFLVI GVTVSYWLCYGVEKNIAPSTKQWRIPIGFQLVPSGLMFIGLWFLKESPRWLMKQGRRE EATASLAFTRRADPNSDEVQQELAEIRASIEEELRSTEGVTWREVLLPGNRLRFLNAF LIMFWQQFSGTNSIGYYAPQLFQTIGVASTDTSLFTTGIYGVVKVVSTGLFLLIGIDR FGRKWSLVGGGWAMAVFMFILGAVLVSYPPVNTDTISNASIAMIVMIYLYVISYSASW GPIPWVYISEIFPTRLRAYGVGMGSATQWLFNFVVTKFTPSAISNIGWRTFIMFGVFC FAMGLWVCIFIKETKGKRLEDMDDIFGGKTVEQMQKDIEQADVEEQTEVEKTQTRHEE QVVR ANIA_07668 MPHADSSYFGSGSKAEIYAQVIQQAKGLVTGQRNWVSNFSNVAS LLWHAYAALPAPSSSVNWAGFYIRQDQFPSKETAESTEAARVKETLWLGPFQGRPACQ EIRFGRGVCGAAAAKRETVVVPDVLEFPGHIACDASSRSEIVVPILAGGETVAIIDID CAEPSGFDDEDKEYLEELAALLSESCDWSV ANIA_07669 MDFLAVGLVSILSPVLAREITFPPIAAIQSDQFILGQHEKNIDI VSGSQFSGLTTFAHIPYVNCFIDSEAESTPYDIAMLGAPFDTGVTARPGARYGPGGIR LGSRRIQGWNIYTGQNVFESWAKLVDCGDAPLTWLDNTVALKQLDLAHKVLSFSLSVF SGNARLRLWQVISSRATNSTENGRTPRIVTLGGDHTTTLSALRSTYKHFGPVSVIHFD SHIGKLLLSMEHPLLIVVDTWEPEGLIRDNSIHVGIRGPVIRPKGDIRNDIRCGFSII KARDLDRLGVAGVVEEIKTRVGNSKVYISVDIDVLDPAFAPAVATGTAEPGGFTTREL LSILDALRGLPVVGGDVVEVAPIYDTMAETTTLAAAEVAHSLLGLMIDTPVVD ANIA_10995 MQGSCFTLHTCRCRSIEDTVHLTPLHQIATCYELPEHSRSQSLF WCIMAMPSIVVCSGGHSATLADDQTTTGTRAPLRASAHDHSSSAKAYRIRVAEDRCVR SCAA ANIA_07670 MFCSANFSSPSPSPHRLHTRDHLESLIAKHGRPTRFSLSSLDEL TTTRSTYNPPSPLPRSRSPVPELSDLFEDSSSNYHPRSRPIPIPRTYSTREEDIPVTP LTGRFERDYFPHRDSSPERNQYKRTLPRHRRYYSDRDRMRSDSSTFYSPVASTMSSSG RPDSPQQARGQTTAKSAPTFHLGDLPRFHPAVYQSSTNSQSMAAPPSPRQSRQSVYRP SSSSRDPVSQYREFFEGVVLQKPVSRPLSPSPAAPRLNPLNSPGPVTPLALEEAGGYL SAGSSNRSELSRELQRSAPAPDLLDRMLARENDKVRQNARKSTKGW ANIA_07671 MSSTATPAPALDAAESQSQSQSRNGVRPAPTTGSKRDYKGFVAG VFSGIAKLSVGHPFDTVKVRLQTSKDGHFKGPLDCVLQTVRKESVSGLYKGATPPLVG WMVMDSVMLGSLTLYRRLLLENVFSNPSIRAMTPFAKNQSDLHTLPSFGHGIAGIMAG TTVSFIAAPVEHVKARLQIQYAADKSKRLYSGPIDCTRRILSAHGISGLYRGLCATIL FRSFFFFWWGSYDVLTRLMKERTSLSAPAINFWAGGISAQIFWITSYPSDVVKQRLMT DPMGGTLGDGERKFRWWKDAAKAVYRERGWKGYWRGFVPCFLRAFPANAMALVAFEGV MRWLP ANIA_07672 MAVARKMRRTSPITIVLASLMAIGFLCFLFSSPSTPPASTSSTT TNSRLEDTAKHPLSPPTKPFIPQPVHGDGRHVQAPPVIKYDLNALTTSSDSTSHSERI LILTPLTRFYQGYWDNIEKLSYPHEIISLGFIIPHTKYGDAALSALEKAIAKTQTGPE ENRFASITILRQDFDPPLITQNEKERHKLENQKARRESMSRARNSLLFTTLGPATSWV LWLDSDIVETPETLIQDLTSHNRPVIVPNCFQRYYNTDTKSYDVRPYDFNSWIDSSTA QALGDTLKPDEILLEGYAELPTYRTLMAYQADTKNPRPKRVIDLDGVGGTALMVKAEV HRDGAMFPAFPFYHLVETEGFAKMAKRLGYSVHGLPDYFVYHYNE ANIA_07673 MLFYNTPSARRIGLALIVGIAFFSALATAHETFAVGELSVSQIE ERLQSCPFVESLNEHKRATSPPTTSLTSKIFSILFPGSPAVNALLATVYISGPPNFLL ALCPPNIDPSSLSVMVAFAVGGLLGDTLFHLLPEIFLGEDSPDHVRFVMVEPNRNLLL GLGIMVGFFTFVAMDKALRIATGGEGHDHSHSHSHSHSAPETAVATGASTTSSNTKLK QRKTAGSHPDIPDTDSSKNEINPSVKLGGYLNLIADFTHNITDGLAMSSSFYASPTIG ATTTVAVFFHEIPHEVGDFALLIQSGFSKRKAMGAQFVTAIGAFLGTLIGIAVQELGG SGSPTTADGLASSPVSGLLGTSLTWGDMLLPFTAGTFLYVGTVSVIPELLETGKNKSV EIKKTIVQFAAVAVGAGIMLALVPPYKRLVPC ANIA_07674 MGNIRQAKKNRSSAPKQRMKRKGVLKSGKKKINVLGNAIIAENW DRKATLTQNYRRLGLMHRLNAPSGGSEKRKTENGLEEVASSLHIKGSVDAMKDSAISE TLVERDPKTGKIIRVIRKDDEQVEIAGRKVRSSNPLNDPLNDLSDNEPEIKPQVKKAA NQIVQQLEIQADNVAPKKPRHQSKREEEWITRLIEKHGDNYAAMARDRKLNPMQQTEG DLRRRINKWKIYTTIPSQYEVCFLILALWT ANIA_07675 MSPVLIALTFILTLVIPQSALTQAQLRYLSDSKTNHLLCPAPNK QYCAAASLQSSSIISFGFERAGVCYESSLKSGDAVCAFNGTGYTREGLEVNAPETILC DGISSFSIIPPFAENHSKREGEGGTTSIEYFSSSQSPVPILRAHENLGQYPSYFPIQV ANIA_07676 MEYGRLGGCRVIWDSPNARLSPCAVAYFCLVPAAIILWASLSHV LQKLPFVRRPKWATPFVKEVPPGADLPLEHAKHRLGWVICLLVVSSIGAAAQVIKLVL NDPDLASFILLFSWSGAVLLTAIERPRKCPTVLFPYFLTTGIIECAFIVNRDAYAVSN LFGFQLAAAAALLGCAVTLAMPLRPASLPCIDISAVGQKPSSNFRSPEDNLRLWQFFT VSWMAPLMAIGKKRQLDEDDVWYLGFEFQHKRLHEKFRQLQGSVLSRLLQANGIDIFI ISAISIVQMICGLTPSLLAAQSRVLNLWYGRRCYERSRGEMMMMVYEKALVRKNVFDQ APGDKPAEDEQQHEEQAGTSPKVSWLWRFLTSWRAPRKEKSKAPVSMGKIFNLLRGDV YEVAQRFWEIDTLLNQPLGLVIAVVLVWKVLGPSCFLGILVILVAQSINALITRTLLR WERVRRVATDARLQVSSQFVEALRHLRWYGWQDHWLQQVMEARNVELRLRVITRLWGL AITFVSTFASGLFPVVALYAYTLLAGHPLSIDIIFPALQLFTMLEARLSEIPSLITVL INASIAMERIEDFMTEPDKESRAVTSFGDSAPLRLQSCSFAWPGKTSPVLSDIDLSFS PGLTVIYGKVGSGKTALLQALLGELDRTKGTSCISSEMMGYCAQTPWLQSMSIRDNIL FSSPYDEQRYKRVLDACALLPDLSNFKHGDLSFVGENGIGLSGGQKARVALARAVYST ARILLLDDPLSALDHNTAETIVRKCLTGRLMDGRVVVLVTHRIQLVRHLANQIVRIED GRAMVESAESVEDGVDEISDSQKASNNPDAVDEAELEDHSAAVPSKFIEEEHRAEWGV KAAVYWNYIKAGKYRWWLALIIVISVYRLAAIAQSWFLKEWGEAYNEVTNVFGHPTWE SASEQWTTFSAIPRPLWWMPPNPLDKFPAPIEDVRPWLLVFLEVTTFTAVIMLIARLF MLIIVYCAGQKLFQEVMQGVSHATFRYFDVTPVGRLMNRLTSDIGVVDGDISQQFQLI AFQIITWISSVLVIASVKPIFLAFSVLFTTIFVYTFLHFLPTSQSLRRLEMVSLSPLI SNFGELLHGLTTVRAFHAEPRFQDRVITVVDKFQGMDHFYWSLQTWLSYRFQSLSALS TFALTALALYTDVTPGLAAFALIAANSFIISTNILCRQYGQLQMDFVSVERVDELLHV DQEPPGTITPPASWPRFGADIVFENVEIRYAPHLDPSLKNINLRIPGGSTTALIGRTG SGKSTLAISLLAVATPAPPLDPGSSSSSGQILIDGIDISQVDKQALRSRVTFVAQDPV LFAGSIRKNLDPVSEYTDTECEAVLNRICNGNSNGNNGGNKRNWTLSTNVDAGGRNLS QGERQLIGIARAVLRRSPIVILDEATASIDNESSLEIQQVLREEMRESTVVTIAHRLE AIKDADYFVELEGGAVKRSGFVREIKT ANIA_07677 MQEYTPGAFADRDDPTPPLRGRQTASTDDQISSRHERSVSSIRQ SMQDRLLTKILEQVVPVEDVHDESVSVGAKPVPPDAQRPAFSLPLMGNNFRRFNARIG IVFHFQEQVERLFAWKQPSHTFSFLFVYSFICLDPHLLVVLPIATILLFVMVPTFLAR HPPPPSTSTSSITPYYSYQGPALAPPKTIKPAPETSKDFFRNMRDLQNCMADFSDLHD SAVSALAPMTNFSNEKLSSAVFLSCTVLTAVLFLTAHLIPWRYIMLIGGNAALLSKHP NIQEFLQGLAAELGSEELTQVPSGKAPAPHMPFMKLLDDISLDSYPEEREVEIFEIQY RSLAPYAEQDWETFLFSAVPYDPLSPSRIAGDRPKGCRFFEDVQPPAGWAWKSKKWEL DLDCREWVVERMITGVGFEVPGSPSESGAGSDEIGGWVWDLPSASSLRSDDDVASALG YGTLGQIGEKKKGKKKGKERATQDYEEKGSPGSNVMGEWRRRRWVRIVQRISMPAEKE KAKSRGNNA ANIA_07678 MPEKLSDQHTAGKGQLRQVQSRPSSPLGNENAALADPTTPGRRR LQKHSSTTFLTDASSNNFAGSRLSNLSKRRLSIRDQRVPQGPRPQEQPRSSGAVHHSG NSSVDSTTGSLKNSNSRISLRSTSMSNLANQNTAENMEFLAPVNFDDFHNSIIAEPSL NHFPLPTTGGTGNDRQSTSFHPTNPWAKNNIDNNTPGPAKGYPSRRKSLIGQNISSDS SSRPQASTAAGRNRRQSLIQNQAPDNPTPRAPRKSIGPGFLPTASSARRQSLSSRKAS ADTTRPDQTSYLRPRSQHHEMNGFNGPRLPSNARSAKAKSFQPPSSELGDNFLTTTGL GDHSRSSSTNAVRTPMKSTAGRVPTPTSSAKRVSVMPLHATGLGARTISPTDARRLKR LSTAPQAHPLPNTPPSQTEPLPHRPRSSTYSPSNIPRKSATPSSNRTTPDPNRKSYSS GLSLSSNTSYNSARNSGGSLQTRLSQNFSSSRLPTPKPRVEHAMNNAEEVPPVPAIPK AYESPKGESDQPQFSAPRKSSLPLEIELLRSTHISESKSTSGQRNSEVLDERGIKTPE AKPHTSVAFGKKNMKLPPLNLLPLGTPMTSKIEALVDKDDHTDPSTPSAQHVSKTPST PLTASKANYFSFRDDEDADPLPQARSSTSHFALSTSSSITLRAASSSSALASHDGHTP GGARTVSPYVSYALPKSNSEYNHLRQKPSADYSSRISQSYKLTGPRPQTRSSGLSNSE TVSIPSDPDSNGLPTTTLRNKITLSRKRSTSKTQQALNANTDPKKSDLMPPPKLPASA TWNNLSTGKDSSPTLKPSYLKSRRQSSLTNVANPALRKPSFSSEQSLSLSPTVSRESH ESDNSQHRSASSILSPVHKIISSAKSNMAATSQTENRIETEIAIADEEMRRLGTKRKD FEKAARELDELRNRAGPKDHVNPAQALRVAHLNIFERGEIIDFKDIYFCGTQDAKKHV GDLNQQSTNFGYDDDRGDYNIVLGDHLAYRYEVVDVLGKGSFGQVVRCIDHKTGNLVA VKIIRNKKRFHQQALIEVNLLQKLKEWDPHRRHNVVNFTQSFYFRGHLCISTELLGIN LYEFIKAHDFRGFGIKLIRRFTRQILNTLTLLQAKKVIHCDLKPENILLVHPLSSEIR VIDFGSSCFENEKVYTYIQSRFYRSPEVILGMSYGMPIDMWSLGCILAELYTGYPIFP GENEQEQLACIMEVFGPPEKHLIEKSTRRKLFFDSLGKPRITVSSKGRRRRPSSKELR QVLKCDDEAFLDFISRCLRWDPQRRLTPSEALRHEFMTGHRMAPRPRPFGSQSPGKRA NTLSTPTTGRPLPEPPGTSLKNGAVVRSRDPSNPSPIKATAGKRHSTVSGLPPSTPAK RGINLTTTPGSALPRASARSISGKPDLATAAAATSLLHSRPGTLVDLIRFLRLILTAG STSQWMRQDTSSYTLT ANIA_07679 MNGFAAHGLDEDAFAEKSGLTGGLRTFDAFPKTKPSYTTPSRRG GQWTVLILIICTIFSITEFRTWLKGHETHHFTVEKGVSHDLQLNFDAVIHMPCDALHI NIQDAAGDRVLASEMLKKEPTSWKLWMDKRNYHSSEYQTLSDSRGDEERVAAMEEDVH AGHVLNELRRNGKRKFAKGPKLRRGDVVDSCRIYGSLEGNKVQGDFHITARGHGYRDG REHLDHSAFNFSHIITELSFGPHYPSLHNPLDKTIATTEFHYYKYQYFLSIVPTIYSR NQNLRLDALPSSSSARSNKNLIFTNQYAATSQSDAIPESPYVIPGIFFKYNIEPIMLL ISEERTGFLNLLIRIVNTVSGVLVTGGWVYQIMTWLGELRRRRRGGEKSEGYLHGKLE EE ANIA_07680 MTDEEERLKAEKLAAAKKRVAALQKKKKAGKKSTTSGSASAAKE AETTESETTAAQAEAQSAETTLGSEQPEDEAARITQTRIGPDGGDERSPSPSREEQPL GLSEKNDAEEPTEPMPDLPATTAETTTADTSSNESGKPGTPTPRAGHTRQPSLSIQSK MRSSSFRKSSVSQGSGVPSTSPSAMLKSPSLPPLSADGEAVQEVYRKQSGRIEELEKE NKRLEKEVEEVTARFKKTEDQLEDLREANVDLTELKEKLRIAEEKVAGVEELKAEIAS LQRQLQTRSHRNNAGISGPSESPPADLVQQLESKSAAMEAMELEISNLRAQVTEKSAL ESQISALEEKLSRSETALEQTQHELTDAKATLTRASEKAVREGVEKTSTETLIKSLQR DIEELKHDKTEGEKKIETLEKKLQAMSNLHKESEARHQAKLRESEKAEKEAASLRKKL ASTENENLRLKEEQEALRKREATASGGADDDALDELEDEERSRLQRRIRELEGEIFDL RRGAWQEKRQELQPGPEAYAPDSSHEYNPEAAAANAFDDVDLIGGSPEHSRRRSMAQK HHQQQHSSFSAVLSSGIAAFTGSSSPFYSHAHSQSPSHSHGHGSGHAPAARGSLELLS EENLEDEFDEMEFARAQAEEEARKRVEWVREIKRQLKNWNGWRLDLVDSRAGAEGAGV GMGEIFEI ANIA_07681 MKFLPLPEFEDVTSSLNFDTADCHIVGGCELYITKAPRSDRKLY KNIEQSLEAQYESVLRLSASLSPPTASDAAASLNLSRSSPFGPLSDHSSRRTFAYLIA TLNASHPDYDFSHVLRPSDFHREKNLKRVMNTIDSTLFNLRPRETLDLTPPSPSAVSG SYNSEASARWGRRMWKILDEQLSLKECNIYSYSPDEDPSDADDGAIWSLHYFFFNRIR KRVCYLYVKAIPILSHTPSEGLATPVSKRTFDDGILTPNLSTSKRAKYWFGENVEIES DSDGENKYLMSDEDFRSRSVSKGTVRAMSEEIADSMEV ANIA_07682 MAIHYLILLSRQGKVRLAKWFTTLSPKEKAKIIKDVTQLVLSRR TRMCNFLEYKDTKVVYRRYASLFFIAGCASTDNELITLEIVHRYVEQMDKYYGNVCEL DIIFNFQKAYFILDELLLAGEMQESSKKNVLRCISQQDSLEDMEVEEDVVTKIM ANIA_07683 MGFRGIQLGLRVWQFIWTLLVMALIGNMIAQSFAGNPATINYTM FVAAFSMFTLFYLFPASWNIDWAIHPIILVTLDTLNMIFFLTSAIALAARLECHSCSN QEYILNNEITNGSHNPEKRCREAQASTAFLWFAWAGYAASWVISILQSRRAGANLRPR VGPARGARPSMAQV ANIA_07684 MPVTGKLDKLIAGSGIIDSKYTPGYPGRSPPIGAHCHVYPGYPG YQMTSLNILVVGAGLSGLATAISCAQSGHTVTVLEQAAELAEVGAGLQVTPNASRLFN HWGLRQSLWREAPEPKTLTVHRYTGDVLAHDAFFDKHIRQRYGAPFVDVHRVDLQQAL YARAKELGIVVVLAERVKSILDARDAETSTATVLTESGKTYTADLVVAADGLWSRCRE CLLNRKDEPLPTGDLAYRIVLHIDQISDPKLRAFVQNPQVHFWIGPGAHVVSYSMRGG DMINIVLLVPDNLPPGVSREAGSVEEMRGLFKGWDPVLITADAEMETWINPSSTLVFV GDACHPMLPYLAQGANSSLEDGAVLGGLLGHLRHKSQLPQILKLYESLRKNRGEAIVR ETFKQRHDFHLPDGEQQEKRDALFKSQLGKEEIDPDTAFPSRWTCPKVQPWLYGYDAV REVENAVKANPDIFGDSGYKKDVNVEGQKLWSWAGLSSYLPFYLPILLFGVGKFVF ANIA_11003 MPPAADPPRSKRPRAAQACDRCRSKKYKCDELYPCSHCKKSNLN CVYQGNYRQLENSRSASYVLDLERRVEELASKLQAAEAKLSHRNQESTTSAPAIDATP CSMSRPTPPTSNLNSRQPEEPELYSKDNDSDEAETSDPVDDEITELNHHTNGIEFHGS TSSVAFLGHLQKARDPQLEPSSSAQWSIRARAPEYSIVSTLHNASFSPTATTAASASQ SLAAVHEHNYYFEQAHVFMSGYFENVHFIHPFIDKEDFYIRAHDLWMRRTPTPDPSFI ALYLSVLSFGALLRVWDEAQLGGLTRFEWSRKLFGEAQLYLNHLHFPNNLDAVQCLYL MAKICQNELNPNLAYMYLGLAVRTCLAAGFNRNVRHTSDPRAEWISRTWWGLYSLEIE MSFSLGRPDTLGLDDYHNRPLPPRDNTQYAIIPWMVDFARITRKVSVQIYHRQLTLEE KLATALAIENELDCWIRNLPEWIRPGFIKADSRAGSGTGIMAVDRGEGGEGAAGSSED GTKTGIAIGGAGRNDLKDPKWARRQRLVLGIRYYNVKTLLFRPFLRHATSKSTSRPRS RSRSKTANGGKTRSDTVTTPAATAANLNKNAGAPDGDNTETLLSATISKCLDAAQSTI SVIHDIYRVHTFFRCWWYNTTYVTFATSTLLLPLSHSVSHLHSPSTLPPDQILRDIDT KSLTRSVEKAIEILEATDESVVTRKCAEIIRYYLREFQVRNGDGIWSGNGNETQRQHQ HQNQNQLPLQRHKQHHGSRGRDANGVLQAADAQTAWFGSGNGGAGQVEAHVHAHTHGY GNGFGYAGGNAGGEFDGPEWAYGFGFPDCSFEGMARFFDDLGPLPILEE ANIA_11002 MSSSLWTPTPPPSRTPYAIPQLEGERLTIPGSKGTFRILASSKQ TNGLMAVFQSGATLSDAPGFHYHNHAHDVFLVTKGYLKLWNGDKCRIMGPGDFAYVPP AVVHNPELLGPHTETFGVVTPGDWIDFFRYISEPYTGILVPEADDRDLKALLIPKVMA AKGQFDVVFQPNYVPPQVGDWDADDEKLPEGESPAPYYLRANTGPRWMLGSVMSRPFI TTKQCAGVCAISSIESSNVYGASSSVLSRFMTFEKVDHCLAVMEGTLVVRLKGQEQRE EVFREGETVVIPAGQAFALEFRSKYVRVWSFTDGDGIESLIQRAGKAVSRVVLPETVE GLDVDEGRVDKVAKELSLTLE ANIA_07686 MADRKVIVVLHSLALEDPIPQRSLTFSAASDRVEIGRASKRENK NLAPSLHNALFDSRVMSRTHAVLHVSFEKKLVYIRDPGSMHGTWLNREKIPFDKDIPL SDGDELTFGVEVVRACDTFPPLRVRCECRWLEAPKETVQKTQHQAATNTFSVPDDDLD DVEYLGDSPIGGQNAIDLTTDQTSDSNASSAWSDWEDNHSVAEVPSPMTSPAKNVESK AGQPVDTSMTLKQDMPQKVKPSIEKVVNSEQPLATPRTTPPSIYHDSEDLGGDDQYHD EYFAHSLEEDPNVGPEDWSIGAEAEEDEDEEQNEQEQGQEEEVEEEEEEEEEEEQAMQ DEHYHQQDELVEMSALLNPVPGCLRLDDIHVSNDSVLNNADTASNRKQNASNEDRNAP RVVNPASNNRGLPDPPRVEPAASSNLWPENKLPSPVSQGEQPRNSTYSPSGPLQSSFA DDETQRLRYHSTEQLSGHFSRAKPILFPPILQNQLPPVRYSLDTLPFQGVYQMSAPYN NGPFATSRPMAGASAPQANTSSSSSKPDIMPFMDSLAPMMPRTSCDATQDLTTNTSSK KRKAAELDSEAAENDHQESGISSGPEPQQSLMKNDDIADADLPDAQPRTVAALLNTES NALDGFDSQLTTVSVPENPKEAKESERPSKLNKTSHRGSIRSHATTAILGAVVGAVGT IAALASLPPDYFA ANIA_07687 MSSSSPLPEPSRNVVVDTASLHPSSTASVWDRISKWVSENKALV YTVAGVAVVVTSAGVVYYLSDSSRSGKPSPTTPAPTEKKKKKSGSQRRKEKKAEEERK SKAASVQDEKTEKKAEEPLEEIPEVDEATVGQLDEETRKAYAAKLKAAGNKAYGAKDY PRAIELYGKAILCKPDPVFYSNRAACYNVQSEWEKVVEDTSAALAMDSEYVKALNRRA IAYEHLEKYSEALLDFTASCIIDGFSNEVSRVALERLLKKVAERKGKEILEAKGKKLP SPTFVSNYLQSFRPKSLPEGLDESADIPEESGKGQLRKGLLAMAKKTGDGYEEAAAAF VKALELGDLGEFEGLALNQRATFTYLQGNAHNALADLNKSVELDPSLVQSYIKRASLH LELGNKDAAQDDFELAITHNKDDPDIYYHRAQLHFILGEFAEAAKDYQKSIDLDRTFI YSHIQLGVTQYKMGSVASAMATFRRSVKNFEDVPDVYNYYGELLLDQQNFSEAIEKFD KAVEMEKQSKPMSINVLPLINKALALFQWKHDFAEAEQLCQKALIIVDPECDIAVGTM AQLLLQQGKVSQALKYFERAAELARTEPEIVNAISYAEATRTQLEVQEKYPQLAARLQ SMGAGFGGPPPM ANIA_10991 MSSQSFEIISTLRYDPSLPTVVRQRLGNTHTYPDPLLSPYYLLP YHQDRLRSAARYFNWDKASEFLEKDLTQFAQYLDTFIPQKEQCWRIRIVVDQNGSCKV ETSPAAHIELENFLVPFIISPTSTPWRVFVDTAYTTPSGLTTHKTTAREGYASARARA GITTPQDTAEVLLVNPQGEIMEGSITTPYFQRRGPTKRDVPAWITPPLSSGGNAGTSR RYALNQGFCTEEVITTRDLVDGEVCLLSNGVRGFILGQIVLEQKE ANIA_10983 MKFFENLYTYEYSFPAVSLAYFLRYPNPYSRHVLTTDVIDRYVD PATHRLHTTRIHLKKSKVPSGILKLLPKGIGGSDNSGQSYILETTVVDVKEGWMESES RNMEWTGILSVVERQVYRRQPLEGSQEKLDGLSLDDKRTERTTVNTTVTFRSRFGQGK LLGRRKTEDLTGDYEEETPKRGFFTSLSTAGIQRTIELIGLNRTRDAILKSKQGMNVV LERLRSGGIVGVLDGMRQDREAIAVGTEGPWKRVWLAGNSDNDD ANIA_07689 MVCPPGIVGFESPDGTSDLPKESPVHQLFDIPASLAGHTDGRIH LRPLCGTSGPKGRGPFALQYLVDVNGYSMFPKVYRHPIWHSFSTSDDPANRYFTIHPK KPSTDFEPAAQTKLFDFFRQLAADAQEREAGKLEVPSSDSRISHDKSDLGAAVREEKV RPRRHEGQPQNSYGMESLAPRPEPRFGIDVKEDNLSLGLDNIERLANTSLDNNEILSG ELAVPYPRESTHTSKERKRTKGKVRDSPSFSRKAEMTIEPKSTPVGFARGLTEKQGKR KLALPTMIPSSFVRKANRQSREASHGSFEFVPKDQVMPNPAGEAPSTRQLPDEATPRK VLLSDTRFWQQTGLRLAGEQRVSTKSWRLATERLLPTEWKLYRAWKRQIYNPTHGINS AVSPSPSGDNQIKIHLPPFNWDEYVIPVKRTSRSIRRHNNRAAAMNVVFQHNEAVPEN ASWLWRHMPHLKPLIRVMIKVMELEKQIRRDLRNRQTWHPMPSEVVPIRRGRKRKNFS RRTLRR ANIA_07690 MAILRTPWSIARPYLFLLIIIATLSSPATADLCSFWDTGCVDPL AQTAISFKFPPLFLEPINFYYAFDADARGKGQEPMTKAGFWIGYEAYVNNSAIDINRT SEIAVRVGNLTGTPSGDNNGCDGVWGPDCSMNLKSYLQQTIFTLVTSGKSYEDPLRTV IGSFRDNPPPVANCPPPLFDVQRFPVEEFAVENEDDKTAVIKKTGNSDNTWSTFLIDN MTAAQQAEQVAVGIISRTPMYGTTQPRSQDDIQLEIVCAQAPSSGTSSSDD ANIA_07691 MHPSALLGLLSFAAAALAVPAGQAPHTARSISNLKSHIKNVVIL VMENRSFDNILGGQTTPGLENPLQSGPFCNPFNLTDPSEGEACTAPKSFDSVINDPDH AIYGNNIQFYGEFVPDNAAIASGELKATNKGFAHEQMRLYEDVANKTDLVTEVMHYYT EEQVPVLTALVKNYLTFNHWHSDIPGNTNPNRAALVSGSSHGHGLNDDGFTNHELPNR SIFQQLTETGHSWLNYVDPDGGTGPDAGFYDWTYATGNDDKIVPLAQFYTDAAAGNLT EFTYINPSCCGVGTTSMHPSGLISDGETFIKSVYDALRAGPQWNNTLFVLTFDESGGF HDHVPAPLAPRPDDLTFTLETPNGENYTFPFDRLGGRIPTLLISPWVAKGAVEQKGTN HKNDVVSYSATSILRTLGYLWDFEPFNPRVEHAASFEHLITARARDDTIEVLPEPEAF KRRGW ANIA_07692 MSATQTRTPWPALDRIDAVPGLYISEQIFSSYPQLIARCAAPGT TAAAGVQPGLKPQYQIQRKQIELEDDPTEDLLGCLNSLGALRTGHFVLGGCDSRLYNA KPSSSVQNRPQNRPAFTATDLAEHRISVAAAGVGVLRIMIKRKAGYEQWVDVVDKIYD RADVMELVRAKEDYLRNLAEMLEGLG ANIA_11000 MISEATSRTTKAGHLLAHVLRRKPVVFVLLAITLIALYPAGSPF LLPASTPLSPSTSELLPRPTLAASLSASDLLSRRVSSDRTSIPKLIHQTWFPAGSNMS ASAQAWVQTMREQNSDWEYVLWDDVTNELLVEQYFPWFLETYRSLPKEINRADMARNF YMYLFGGMYADVDTEALRPVNALFAAHEVPLRRHLDMLSAPDAVSDVQRAFMGRMAHT FDPDGLGAVPNGWMASPPGHPFWLLPVLYVLENPKGDGSVEGMTGPGILGPLIKQYYS SLTSSDGGISLRRQLCARVQSIQPGWDLFCPSGYSLDETPESMQSLSHALILLPREQV YPFSWVDDGDVKVCLGAKGNPQFDADKCKKRMGVDLWPSYFITYCTHTW ANIA_10984 MIMYGYLVPWPHLEVSADRLSTWNQRVRVASSRDESAIVALQQC RNWIFWSNLTILFNKWILESTPFRYPILLTSWHLFFATLATQLLFRTSILATPRSIKM TPSLYMAKIAPIGLLYSGSLVCSNMAYIYLNVGFIQMLKASGPVITLLISALYGVTEL TAAKLVNVAVITASVGLTVVSEIQFSWVGVAVQLVSLVLTSTSSSLSSPTLETHNAET GEEPGPLGTDTLHETSSKDEEEASTSENTARGQSQSLRLTNMDPLLSLYYTAPICAVM NGILAWRTEILPLLLDQIDPNSSSASEAAPAGLLGIILSTGLGTLLLNAVVGFMLNVA VFTLVYRQNLGSNNDALVFWGTSVSLLQAVGYAGALGGLVVYAGGGGYIRRGIWGAAV WARRKGMARYGYRGLGLGADGDREQKDCVKPK ANIA_10992 MVSHAPIIDFFAWPNLRDHLIVSGITHISETTAALYVSQIRLKW PYELRDVCKYNVAEDRYRFSSEFDAVYYDVRNWTIDSPQLQILIESGPDVAGFDFGNI NGVGAGAGATIGSAQGGGLGVGEDGDRGGSGDGKGFADDVDSAYWDRFLGGCDQM ANIA_10985 MESSSRPARLTTAERKRLTDRKAQRQRRERIKTYIARLEKTLEE LTAASGNGMEATLLKQLEQQRAKTERLTNVINHIHEVLEETRSLTSPTPGSAPSTQGE SASPSASWRLPPVPKRLLQLPQQSPNDQTGTPFTISADLATRISLHSQNPRNSGTRNY FQVVNESISNVAKEQNSILPSTTEDDEDLAIRAILCGWDSVRDGGRSLDRGWGLLQAL DQAIFSQTGFVERVAILRLMRSMIKVH ANIA_07695 MAAAFDDEDLSVSLPAVDRDRPRERTNEPNPSDSHQSAMAMPPP ARPIGKGSDSSRQSPATMRDMQRLDQYHTVKVLGEGSFGKVKLAIHQPSGRQVAMKII SRRKLLSRDMIGRVEREIQYLQLLRHPHIIKLYTVISTKTDIVMVLEYAERELFDYLV KRGRCNDAEARKFFQQIICAVEYCHRHKIVHRDLKPENLLIDRDKNVKIADFGLSNIM TDGNFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYVLLVGRLPFDDDYIPAL FKKIAAGNFHMPTYISSGAARLIRSMLQVHPVHRITIEDIRDDPWFLQDLPKYLQPPP EEFIATDKAIDPRKIAAGKPHAIQNKISQVAISKLERSMGYGREDIEDALRHPEPSAI KDAFFIIVENEMMQTNSPTDETMLSPSATPLPPSAGRTAVTPGARALHSAQALPSRTR SGSRRQSGPMALPSESDNADVPRVSHVRILPTSLPYVHDQLMEQRERERERVREDSGE QTQAALDEEDPGRTARSPEEQEATARALKPHSRSIVDLEKLKLEPPEARSAPHQPTKR SRRWQFGIRSRNQPYEAILYLYRAIKAQGGIWEMQPADSGKLYSYYTRRS ANIA_07696 MSLNQNLGQQETGVTTTGQHFGRATRDHYEQDDWALTLFNSSAR EIVISPDPVDRKRQPAEPAFIRPTQDSLYLSGLFTILHSIPLAREALLLRNKVLSNYG YDAQWWNGQPIYLPKIVSIHDAQDGNTEWDDILYESQRIVAFLDCTTRAFGSTDALAG LKRLETYGSSSAVGTFLEAWQEAAVAADPGNPLATVFSSMAYKRPPKTDDSSATEQEA SIDEEPIDKEFFTLEPYVEPIHGQTLYDVLDGTMWSDTPGEELDDVWLEHVADVLTIQ LDSVDTTAKAIDVRIPATFYPDRYLASCRDIAREYRLQRLKIREDVVKLRSLMDRLSI GSGLSKGVTSREALEKAADAAASLAPQTESNEDSTSVEKLALQLKAISRKIEDKLKEL ESRQQQALESLKNYSKYLTEPSTSPGEPPVHKYTLRGVCTEPHVTYVLRRSPPNDTTK PKPDDYEWWRISFSVDDAKSRQAQTGRPAPRDADVIGYTVRKVREVEVLRAAREESKN VLLVYANSNAMNCKEEPAPAPLQRFVSDDNAAFENELQEWEHNADTRQNADTQWERTV GSRPAYPSPSIGQEDVPASKVNVFDYQVASFDDDPAEGQEMQERGVRPLLGQAGSHGH QAPRSSYQNTNPNLPSYLTPLLQRMSSESDTQLETTASSTLYLLESRLNRLTYLLTGD TAWTGTPNPPPKPSSYDETVSRRLQRLESELENLAGKVGVVRDILGLYLFRSTSTLSL PTSTTDQTDTPETTQPSDPETEPSPPLQIMLSIILSYASLISETASRLTSLTDTPIPD ASLSASLIALQPRMNQLAAKQDEQSREIAELRVRTAKVLQRYYEVSLLGGGEVWGEWE GRMERVEREVKRVEVARGREGI ANIA_07697 MSERRWSTRIKEKGFRLLRRSSTSSRHSKNLPLVTASTSNSTHD LHPPRSRHSQYSQPVDGAYQGQKAAEAPAGPDSEPNSASSATTTPPISTPNALANPPP LSSASRPSISSFTESQYTPDELASAGTPGGQYFFVPDYRQPTSPALPEEQSVDQTSPA KPVAREQPQSQLQESQATPRRSDHDRERAKSGASRKSVPFELLDFPLQPPGNTEPSAP VSPVRTDRSSAPPTASPNRRERPVSGPAEQQSTPLPSVAEKSAVNTYQSLPLPPAFPS RLSVFRRQSLLPASHQHLVTSILDTDSFTEPEGINKHLPTMGSGMGLRKIWVKRPGGS ATLLPTSEDALVDELRDQVVIKYANSLGKTFDAPDIIIRIATREGTRPPTPDRILSPE EPLWAVVDSYYPGGQTVGEALLIEIPQRRTPKPSPHRNVYFAQSEPGEHGDYFPLMPT NINLSTPPVHQPAANNSAGAHSAPSISILTTGHAPQVPSPGGRTRTRRPPLARNITHS PTIRGMSQSKESVSSQTAAPSQPTPPVPTPPGPPAESPKTKAHTPPARVSSPRPGPVK PKKSNRASLLNGAFGGLIEGTVPPINVLIVEDNVINQRLLEAFMKRLSVRWKCAANGQ EAVTKWREGGFHLVLMDIQLPVMNGLDATKEIRRLERLNGIGVFPKKSSGVSTAAAAT SPDPVTEEDTLHDLSLFKSPVIIVALTASSLQSDRHEALAAGCNDFLTKPVRFEWLEQ KVTEWGCMQALIDFEGWRKWRGFATSESNSNTGSGRSTPETRNGTRKPSHPSPSPSAK KLSHLKKNDLVDDSPDSGDGSDSPASLHADGPSPVQDGAL ANIA_07698 MAALRASNLLGDPFALATSSIAMLGWLIAFIASIAADVQDPYPS FQWWAIAYSFCCNVGVIVVFLTDTGLTYGVAVVGYLAASLVMNSISANSMFNSKSTSS FQAAGAGFILLCMVNIVWTFYFGSAPQAKHRGFIDSFALNKENQGSYGANRPMSSAYG ARPETTTSRPQMYTSAQLNGFETSSPVSGYHGGAPGETRSPSQARFTSLGGPNASNPD TVGEIPPPTEYPYKAKAIYKYEANPEDANEIGFEKGEELEVSDVSGRWWQARKANGET GIAPSNYLILL ANIA_07699 MPPRIQSQRVSNSLLPYLTSSPASSSRISLSSPSCSSQLSSSLN SVRPFSSTQTTQTQLRTEMFAWLNGEGAAYKNHTPGQTNYITDVKTRKTDPDARPTNR PFPLNQAFVSESILSEELRNEIYEQVVVKKKSVRAVSYDMGVDMRRVAAVCRLVAMEK RMRQQGKPLALPYARTIHEMVPTTPLYNDPRRQRENPHESINDLPAHRLTDAQIFYPV SESRHFTRIDAGRVFSAAPARKHEVVEQELADPSKAMHRITRNPSLIETVGKGDKEEP VLQPADVRIPHPSLISFERDRLANPNEISTVLKVHSARLKEEETAEEERKRRAEERRE SRTQHVKPESSRFEFRIKDVVVSKETTGIDGRGAKAPGRRYGVPSDDRKKGQVKIPTR VEV ANIA_07700 MTGNSIQSTRTSPRSYSTTQRTPSASSGASPTHQSFSSLQAPST AQNEMSADVYHPSASQAPTSIGPDFASYSFSPSSSFDTMPSNPSSFDAISSAAMRLGD SDRPAQNFNGFIRPADAAGGGAILMRKLPKNMSREALRSMFLFSNELLDADFVHSDLP EDAGFLSAIARFSSMAAAEEARKLLDGKPNSKNDATMVVDIYNSGPAQPFLPRRNTID HSAASRGLLNNGPSTRQSRFNGTFQTMEKLSSTHPAGSSANESLPPSSESNSRLHGFF SPQSPLGNGVSEFQRVSGKSMIDQDIDEDTGELLKDPVGYAENGHSASVSAPRRSTNP QIPTSHFANLSLTTNISPPSMQNYTSGGSAHMAIPTPSSAYTPPMNGAVGNPGFPYNS QHTARHSFPAANPNDMNPPCNTLYVGNLPPDTQEEELKALFSKQRGYKRLCFRNKQNG PMCFVEFEDVRTAGKTLNELYGYKLSNSIKTGIRLSFSKNPLGVRSGQQGSISSSNNL PQQGAIQGGAGLGGISNHMFSTVSGPPPGLATPPGLMPPMGLRNNGIMHPTGYSHTHM PMNGMNGMNGSFNPNAGLGIRPNPLNPPMMPPQPIHNGGANGGNIGQRSGNATPNYDY MMGR ANIA_07701 MVVRIRLARFGNKHQPFYNIVVAQARSARNSKPIEVIGTLNPIP QRPTNLSDEEARNARPYKEISLDRSRAKYWLGVGAQPSDTVWRLLSLAGLVKPKYEPS KTQ ANIA_07702 MAQFTVRRGAEAQQNDKDICSSAFKACSKLTKPAQLHGAEELSA EKVQSPETEAYTEADSADESQYYFDSAEFKNIPDLVRTVVGFEDDPSLPVLTFRSILL SAISCTLGSIVSQLTYFRTTTAPFPVFFVIQASDPLDRFLARILPAYKVPLGRVSFSL NPGPWSPKEHAIVGIAANAGSRGQWANYAYQTRAHLYSGLHSPQWAYPVRATLIDDPE FIFPLSLQQVTLYRSMDTRNRTGKKRALDQMKVFWILLLATFVWQFLPEYLFPFVASL APLCWIASRNHMVNFIGAGRGGMGLLNITLNWSNIASVVITYLYSVQAIICLAFVLTC WILIPIADSGKLWGSPAYDIMSNGVFQKNGSAYPFNDLSKLVRSLTIEAEDNREWAAL TLVPIVMLFGIVVSKKIYMPIWTYFVALGFGAAAMLPMSFVYAMPGFSIKVGYLNELI YGYMIEAKGSSRHPLGQLAYRIISGNVWYDARTVLEDQKIGHYLHLPPRQVVGIQIIA NMLALPVNYGVTRWVLATKFEYVSGQKTDPLVQWTGHEFQSYNTAGIQYALVGPKKLF ASDFFRPILWGFLAGAVAPILMWLLHRRFPRARFDLWNTTIFFASAAVFYGNLSTGPF TTFLVGTFTNFYLFRYRRVFWNKWAYISGAATDTGFNANLLFIFIFLGSTGTKMVHWW GNNADSVERCFAL ANIA_10986 MVPARFLVVANIASALAFVLPFFSQTSIPIGASNSDFTCDLPFP LDPAGDGLPSAASLFSSDEALERQVKRHQGIVQVPSVSYDDLGEIGVDERWEPFYKLY PTIEKTYPVLHERAKIEKINTFGLLYTLEGSDPSLKPTLLTAHQDVVPVADASTWTYP PFEAHFDGEYIWGRGASDDKNSLTGILSAVEGLLSESDWKPRRTLLLAFGFDEEVGTN RGAKQISDVLQARYGNDSVAVILDEGGFGSQPLDDKTIYVHPAITEKGHINLHFELHA RGGHSSVPLPHTGIGIISEIVVALESNPYEPVILEDSPIHKRLVCQARYSPGTQPKIE ELLKERDFEGLATEFANTTPLNRFVIQTSQAVDLIGGGVKINAMPEVVTLSVNYRVAH HQRPVDVQHRAVQIIADVVDKYGLRVDAFNGDKEYHDYVAGLYRSDSLYRNDLKRRDK VDYNGTLVVSAFGKGEAAPISPTSGAVWETFSGTIRHAFASGTKTVVPVGDIMTGNTD TTHYLSLSRDVYRWTPAIARAEDNIHTVDERVSIHDHLNAVRFYYDFIRNFDAADI ANIA_11001 MLRPVLSLFTRGFPSIPAPLRSYHRSAKMALKAINSKDAASLDQ DLMEAGGFSLDQLMELAGLSVSQAVYRVHPPSAGKNLLVICGPGNNGGDGLVAARHLA HYGYKPTVYYPKEGKKELYARLKTQLSALSVPVISNPSDFQSAIPNTSLIIDAIFGFS FGGPLRDSLSRDNLRNRELLHTRVSR ANIA_07704 MARSFVCHVSDSITTLLFRSLSFVYCMPEFKISAALEGHGDDVR AVAFPNSKAVFSASRDATVRLWKLVSSPPPTFDYTIICHGSAFINALAYYPPTPDFPE GLVFSGGQDTIIEARQPGKTSNDNADAMLLGHAHTVCSLDVCPEGEWIVSGSWDSTAR LWRIGKWESEVVLEDHQGSVWAVLAYDKNTIITGCADNIIRIFNSSGKLLKRIKDSRD VVRALCKLPPTHPTGANFVSASNDGVIRLFTLQGDLVGELHGHESFIYSLAVLPTGEL VSSGEDRTVRIWNETQCVQTITHPAISVWGVAVCPENGDIVTGASDRVTRVFTRAPER QASAEVLQQFETAVRESAIPAQQVGKINKEKLPGPEFLQQKSGTKDGQVQMIREANGS VTAHTWSAALGRWESVGTVVDSAGSSGRKTEYLGQDYDFVFDVDVEDGKPPLKLPYNL SQNPYEAATKFIGDNELPMSYLDQVAQFIVQNTQGATIGQPSQETAGGPDPWGQDRRY RPGDAPAQSTAIPESRPKVLPQKTYLSIKSANLKVISKKLNELNGKLVSEGSKDLSLS PSELETIVSLCNELEASNTLKGPSAVEAVVILLFKVATVWPAANRLPGLDLLRLFAAA TPVTATADYNGKDLVSGIIESGVFDAPVNVNNAMLSVRMFANLFETDAGRRLIIDRFD QVIAAIRTCLTNSGSSVNRNLTIAVATLYINIAVFSTSEARNLSIESNQRGLILLEEL TGMLRNEKDSEAVYRSLVALGTLVKELVSEVKAAAKEVYDLGAILQAISSSNLGKEPR IKGIVAEIKDSLP ANIA_07705 MASDIPKVVPLTCHGHSRPVPHISFSSTVEDDQYYLVSACKDNN PMLRDGITGDWIGTFLGHKGAVWQARLSTDANIAATAAADFSAKVWDTHTGQCLHTLQ HSHIVRAVAFPLQPSPQVLATGGYEKKLRIFDLTRSGSNSSSPTASSAPPAEDGTSSA TSYEIGPGAHGGTIKSIVWNRDYNILTTAADDRKIRWWDLRSRHPVVEYPVEGNIGSC ELNTLATRPNDTGILSVAAGKSVYLFDGHTPGRLLKKIDFGYEVASAAVNNDTARLVT GSADDTWARVYDLHTDDELEVQKGHHGPIWSVSFSPDGKLYGTGSEDGTIKLWKACRE PYGLWR ANIA_07706 MDRSLDEIIAERPQRQNRGQNRGPRNQGRRSNGVKKPYRDERVD LDLDWVHDKYEDDRDTRPSRGGRRPRVDRYSPSPDRSASAGTRLRIENLHYDITETDL EELFSRIGPISNVSLVYDRAGRSEGVAYVTYSRYNDAKTAIAEFDGANAKGQPIRISI TSSGPRRDRNPFDTAEKPRGSLFDRVERPRDARSLSPESRSESAEGAGRRRRRRGGGG GNYRRSDVSKPAPDHIDRYIPGQQAPARRAGGGRRQGGDGRRGNPRPKKTQEELDQEM EDYWGSNAAAETAEQAAAPEQTAAEPAPAASAVAAPAAAAAPAADDDIDMIE ANIA_07707 MLTVDESWVNVQQKTFTKWSVHPKAIPSVEAGLLTRTAHVSELA NVISFVLYGSDSADFIFYSCRLNNKLKVRDIFVNNLVPELSNGVTLIHLLEILGGDSL GRYAANPKLRVQKFENVNKSLDYIKGRGIQMTNIGAEDIVDGNQKIILGLIWTLILRF TISDINEEGMTAKAGLLLWCQRKTACYEGVEVRDFSTSWNDGLAFCALLDIHRPDLID YDSLDKNDHRGNMKLAFDIAANEVGIPDLLDVDDVCDVAKPDERSLMTYIAYWFHAFS QLERVENAGRRVEKFVNNMHGAWEMQNSYEKRMRELLRLIRAQREEWKNASFEGTYKD AKEQASQFAMYKRNQKRQWVAEKSDLAALLGNIKTKLSTYRLRAYDPPPELSPEACDQ EWECLTRDEHERSQLINETIRDIKNALRRSFADKANDFALTLKTLSLAISGLDGDVED QLAHVKRLNDNLPPLDAFLETIAELDEQCQEANVEENDYTTYTLDELAYELSLVKSSI SKKMAFLDNQLVARNMTNLTPIQLEEFESVFRHFDRDSSNTLQELEFSAALASLGLVY DEEEMHQVYVETCGPARLAQGAGVSFEQFIRFMVSVTEDQNTAEQVFQSFREVADGKP YVTELDLRHSLIPDEIIEHLVKTMPQHEGPDLLEDRDLPKYDYISFMERMMNDNSSQG NAPINGSV ANIA_07708 MSNKFKITDLLPLPNSSVKIPRLGFGVYRSPATQTKQSVLKALE TGYRHIDTAQFYANEKEVGDAIHESGLPRSEIFVTTKILAPAGSPEATYEKIIESVNK IGGKDGYVDLFLIHSSSSGSSGRKELWQALEKLLEEGRTKSIGVSNFGVKHIEEMKEY AKVWPPHVNQIELHPWSQQRVIEKYCKKHGIIVEAYSPIVRNYKANDPTLVEIAKKYK KSTQQVLIRYALQKGWVPLPKTDNSERIVSNADVFDFNITDEDISVLDGLDQGSAGAI VEAVENE ANIA_07709 MGSVANSDFPVSVSALHFPSSEQLSVSQTLASLRRSALSVNNRL RSIEADAAFVREVADHYGLPLIANERCGSWYIPPDVKSGSAYFKSTDGHTGQWDFSFR RLNLQILPIARHHGGCIIVDSTRRGKLMPDALSKTIPIWCAVFNRALFPSETAYHSVE LPPNYLGASEESQIEQRIDGFVHSLKSLKLDLDDLKQQLGKPIRIAWANRTYFHPTDL SKGEAYNLFVLCSASKRVHGAEISEGGYIQGAGDDSEAWAHGLTPAVFWAHKSTLLTR AEEDLPEVIADLMQESRKQGSGQQATLIAPSQNLYVSRTDPSLAANGLYDLVIDCNSS AETTEEKPKRLNLGCISSKQGGRDLRNHLDKVRDFVNLHLASSPSQSLLVMCESGKDL SAGTLLTIICLFYNDAGKLNRYQHYSNLTLTVVGEFVGPQTQPISKQFIRQRLAWIVS SKHDVNPSRSTLQSVNAFLMQRPDY ANIA_07710 MPKITEIFFDCDNTLVLSEELAFEACADLANEILEKQGLTVRYT GEELIKDFVGQNFRGMMQSLQAKFKFELTKEELESYVTKEEDKVIAKLLEKAKPCVGA TEQVEKLFNEKKYDLAVVSSSALRRVRASIQKVGQDKFFDHDKVFSAATSLPKPTSKP DPAIYLHALEKCGKTPEETVTVEDSISGALSAIRAKIAVIGYVGSYTTQEKQEEMAKR LTDLGAQVVMRDWSEFPECLKKIEGEDASVASL ANIA_07711 MSDPMYARSKFLSKGPLDPNEAKWTRLVKTTYCDPNGVERTWES AERQTRPANCEVDGVGIVTILDKSSGPELLLQKQYRPPIDKVVIEVPAGLIDPNETVE ECAVRELKEETGYVGVADATSTVMYNDPGFCNTNLNMVHVRVDMSLPENQNPKPQLED NEFIECFTLPLASLFDELKRLEAEGYAIDARIGTIAEGIELAKKLKL ANIA_07712 MSTRGNPTLVSQTEVSTASGGGGPTPQDDLAVRESATAGLPALW WVPAIADPDVIFHWDHLSFLYGAVAGQTSPYSRWSDARLTRSLLPNHALLRLFLFSFL FLFLKSREPRLMLRGLRPWQRFAVTSSSLRPQLPVAAMSTSASNLNNGLGSFSVSCPP GLSKEDLSRFPAFRVWFATLQRSLSRQKDPSHEFHKDPYLLRKIEVQAVDFFQGGRLG FVKLRAEISNAGGESLPGSVFLRGGSVGMLLLLQPHDVPSTEEDDKWAVLTVQPRIPA GSLAFSEIPAGMLDDSVTGRAGRRRDPSKSSISIPRWQRRVHTLVLMRETNSTQRY ANIA_07713 MDSGFAPSYMQEAGLHSTGVPGMSLPSDYDLPQPFDAASLYEAV SNSNVQGLSMPPYSGPFFPNDEVSTMNNRTSGITTAPPITSATFANMGTIDMNTNTNN SFDTLSSAQTMSNVFTNLPRQWPPASQPPTNISTAGPMPRFSYFSNVNTHTSSPAQTA QMFTAPTRLSEVQNEPQHRPTPNPFAVAFSALDPASNSGASYRTSRNAHAFPHSGSSA GSSHHRRNQRTTTLTPTPIIPAHNRARSIQSSRVRARPASMTSTTTYTGTPSIQQQTT QSQSNQPAISTTLETSTRPSARLEYAPMQHQASSRTLHDDPYLDELLRDVPSQYRAIY RNSLAGTPALSKTKKLVEAPKEARPEPKETEEMTINMECKICMSQVVDTVLLPCGHAI LCRWCADELMPPSKGCLKERASCPMCREPVKQKVNFPSTVFQALQATDSIQHRIYFP ANIA_07714 MSDKSVSAGRETLPVRRRYSGDRDLNAKQPAGTAGSDAALEGSS SPGRTPMALASEDGSMNSNRAQYSATFSYTNTRTDDLNSNPASDNVVELAASHLRQGL RPPRKAQGSRSGAPRSRPVSRPGADSWTGKASAGSESRLRYSVNSTDDVGSADGGTSR LQHQQLHSNSGSNDGRFPVTGVARQNEIGSLSRASGQPTEDSSYGQESAQRLSNEQRP AGGVFAADGGTASSRLLLQVDTKPLTEEELRNETQAIYSGILMAEKKCIELFKHHFQK NTELSQQEWQMLVSLHRVLLEEYHAFFLASQQQAAGPAVNRLAEQYAMPSRLWRYGIH SLLELLRHRLPGSLERILAFFHLAYGYMTVFLEFVPKFEDTWLECLGDLSRYRMLVED ADIREREGWAEIARHWYNKAADRNPDIGRIQHHLAPLARPDFVQQLFYYTKSMVCVHP FGGTRDSILHLFNPVLKAPETLGGLKEIVAAFVAAHGYLFKGELGNPFERACFDFLSI LELYIGRLGPTFKAQGVYISSCNFAAVLEYGAPNALLPREFLTNAAQSKSMDDIYFAS HRFWTPVGDLKTIEADFLASRNSDTISPVVFYAACFTFQTLSIMLDQTGNKNVYPSFH ASLAFLWSLARTPTSMKRVEVIVPWQQIATFLNTLTRNFTDFTLIEGTDFPSQGDDRW LFEDFLIRGQVWSQNLYPTDFFDKAPTVDDGRNLEPPSRDLSRMHRCLWLGVRLAKFN RWMTYDAASRRFMATEFASDLDKMAREHSPFYGKGLQTESNLEMHDT ANIA_07715 MPVTDWADICVHCAELLTSVPLSIIIAAICATVAGVFVSFYAFL TLVAPTPREPLPEETTYRTISKDGSITEPQSLPSWQRPGSNKADPGEAELFMSLVVPA YNEEDRLGGMLEEAVNYLERMYGTLASSRSEGKPLRQRKTANGHANGIANSNTETTPH RKGWEIIIVSDGSTDNTEEMAFSFARDHQLSLHPKGHAGPWTPEPREGVHIPPGTIRV VTLAKNRGKGGAVTHGMRHVRGQYVIFADADGATNFADLGKLVTACQEVEDSHGRGVA VGSRAHMVGSDAVVKRSKLRNFLMHSFHLILWLLTPAKTAMIKDTQCGFKLFSRASLP AIVPYMHSEGWIFDVEMLMLAEFARIPVAEVPVDWREVGGSKLNVIRDSVGMAWSLAV LRAAWLLGVYRRT ANIA_07716 MQFLLPLLLTTSTVLSLPVNDPALSRRQFNPSSNLDTSTNTGTN TAISIPDGPVYNDHDFFTDTYTENTDPSSNSGEAIGYTEAGSDINIAIPDGPSLNLGD YYENTYQESYQEDYHEPETTPVPTSQVIEEPGEIPTPSLPLPPPPTSVPPSVDLYTPV PLPPAETGESENDTPIPETEDNTVIEGPEEPVEEEIETCPAPEPEPAPEAETEFVNEP QNPVEKAVESKPRPVMEPNAGKSCSCA ANIA_07717 MKSATATKIPNGHGSLYKEVRFSPTSTPIKRSHSVPNDHPTLNL NFGHRRLEMAKETLPTLAIGYLEASRSLLERQRINFDRERTLFAEERQLWEKERELLK TRIRELEALLKSRGVATAPAVGAGIVPSTGVPSTSSPAQVWEGSSPMSRPTRVFPDEE KSESHLHLPSVSEQGAPQPSLDAALSPKSHPTEPLANIPVPIEKLDSSLDGITLKSTA LPPDVVARVMTPPSPSSREPSPTSTLQERERPSMDRRSSVKLKLSDLGPPETNLVRDA GHTPMVVIDRDLDTAQGSPGEMGLAEDPLDPPATRLHQPTERADSYFDGVVDLPDDPA LQGPLSLVNEKSHDDNFLQEVDMKLLGQAKRILGHREKEDEDEADLDNPSGQGQGEEV PEIKFKNTTNFGTAFGQSM ANIA_11006 MPESPFLLLPPELRLQIYSYVLDIPTPYTTLTQHSKPLIVINDT GNKYTTRAIYRSLHISPNWVGISKSEGPNERSKTLSLLSVNRQIHAEVEHFLYTSHTL FFLNGFDLDHLGEFLDTLSATARSCIRSIGFEVYLFVHNTPGPVKRGFRQYERAARVV REKLPNLRGVVFYFDPWFLACCGDAGFGPWCDERSVLATGVRYLLKVFGGIEPRDKDN DKLRARVEMDLHFLPSSATFKVPGLEQGHAKSLVKVS ANIA_11004 MNILHSTLSTWRDRLAPVSRTSTFRTTGQITPEEFVLAGDYLVY KFPSWSWGDASSPSKRVSYLPPGKQFLVTRGVPCHRRLNENFAGDAHLDDEIVRDFLS GGAGDSDGGDDNDGWLRTGGGGKRHESTIRDVRTVDESGKEEAEVEEEEDIPDMEDDD DEEAIIREPAGTTSTTQPTRTYNLYITYSNFYRTPRLYLSGYLSPSEPLPPKLMMEDI VGDYKDKTVTLEDFPWFDGSLQMASVHPCRHASVMKTLLDRADAALKLRREKIKQTAA SSSPQEKAKLAQPESGLEGLVDDIKGLSLGDAQKQCQGDKGQAGGDEWEVLQHDEEEE VAIRVDQYLVVFLKFIASVTPGIEHDFTMGV ANIA_07719 MAKTLAFVAHEAGSTFTANSILYSVTWKLRSLLYLLRVAEMSRG TSNLKYLSNALATPEQLTSSSSAIDGVPTDLETSVRFAGTQLTQAAGVLLRLSQDIIA QAIVTFTRFWLGPEGGSLRIYSAKDVSAAALYLTAKLSFQPTSPRSVLTVYAFLLAKE ASPLWFVNPKGSPNEPIPTRYHLTEGGYQSQRQILLRIESVILRTLGFNTHVALPHTI TLTYLQTLGVSSTEVARRAFEHLNSSLLSPQLLFVTHQPNALAVASIYLAARERGVKL VDGEWWEVFDVDREDLGFLVVGMQSMEGFARAEMERWKGRTVPLDVEEVEAEIERRQM LESGE ANIA_07720 MSSHRRANTEIIQPRNPRQTSGQLFGDQSHSFEDLDYTRTRPAP SNENLARKRLEVGARFLTGLFQGKSEQVNVGLLHRQEDRKSIETESTSMDDSSTGEQY ILSYTTPTFTSRSQKRMTAPSPLKQVTSTNPLSFFGRMRPGESRLDLPEPADDEFLNL DIGAALFPPSSNNLSDQEAFSALRDNAENIIKRLQAAYKQRTFALHEALSVKTEKQEE LEETKTRIGHLKIQLDGMAEKVLRQEKAMKAMAEELEQERQLRRKEEEARRSVMLVKS SADVESASDIAVELHAPKWNLKRQSNSTIPSDSGFESGDESQAESVFSRREGLESPPS TITGSPNVSQTTLPAPPSATVQASQRESKPLPAPPVRESAYNRVIKGLASSGISSAWT GNSSKCRICYGVPSSEAWSVMGVLKEENKGLKTRLGELEMVIDDCLSLVGP ANIA_07721 MSGLRFLDLIKPFTPLLPEVAAPETKVPFNQKLMWTGLTLLIFL VMSQMPLYGIVSSDTSDPLYWLRMMLASNRGTLMELGITPIISSGMVFQLLAGTHLID VNLDLKTDRELYQTAQKLFAIILSFGQACVYVLTGLYGQPSDLGAGICVLLIVQLVVA GLVVILLDELLQKGYGLGSGISLFIATNICESIVWKAFSPTTINTGRGPEFEGAIIAL FHLLFTWSDKQRALREAFYRQNLPNVMNLLATLVVFAAVIYLQGFRVEIPVKSSRQRG MRGSYPVRLFYTSNMPIMLQSALCSNIFLISQMLYSRFSDNILVKLLGVWEPREGSAQ LHASSGVAYYMSPPLNFREALLDPIHTAVYITFMLVACALFSKTWIEVSGSAPRDVAK QLKDQGLVMAGHREQSMYRELKRIIPTAAAFGGACIGALSVASDLLGALGSGTGILLA VTIIYGYFEIAAREGDIGGGLKGLVPGN ANIA_07722 MATFTRMVKGQMRCYSAPVDAAIPASKRKYIPTSGTYPKGFFVS GTHVGVKASNTKFPDLALITSETPCSAAAVFTTNKFQAAPVQVSKKILNATQGQGIRS VIINSGCANAVTGKGGLEDAMSMATKVDEYTGVAENGTLVMSTGVIGQRLPISKILSK IPEATSSLSSTHDAWLTTARAICTTDTFPKLLSQTFTLPSSPGRTYNIAGMTKGAGMI HPNMATLLGVIATDAPIVPSALQSLLKTSVARSFNAISVDGDTSTNDTVAILANGAAG GAPINSTQNDDYVVMQNILTSFLQSLSQLVVRDGEGATKFVTVRIQNSPDYPSAQLIA STIARSPLVKTALYGRDANWGRILCAIGYTQGVAPGTVVPERTSVSFKPADGSPVLKL LVNGEPEQVDEERASAILQDEDLEIIVDLGGGEKGELGGEEGIDWSYKATDAMQIWLT KTLSVDNNTSQRGF ANIA_07723 MKRKHPEVDDEPSISTPKRQRISKTNGHVNGEGSPEPPPSKRVK VTPQKPAAETAATLKASGLRTPSNRSKAKALFSTSTSEKGASTPTKVRADRSAKKKSA QLLLDQVEDSWEGGDQLAEEILAGEDAPDTIDRQRNDIVETVEADSTEPKQDKTEKTQ PAPKRRAGRPKGAKNKRSPTPEGDLPPHERYFFQNRAGPPRTSNNNLNKVNLLTHEEY FDKHANYQDPCKRETAFLNDVHRRSFPQWNFEFSQGFNICLYGYGSKRRLTQGFADWL YRRHSSAPPSVVIVNGHTPNLSIRSIFATIVTAVLGADIPSKMGAQPVEVLELLQSAL RSRPDQDPITVFINSIDAPSLRRATNQALLARLAATPKIHLLVTADTPNFLLMWDISL RDQFNFVFHDCTTFTPFDAEFDVVEEVHSLLGRKGRRVGGKEGVEFVLKSLPENAQNL YRVLLTELLSMMDEYLNGEDDMDNGDGAADGPKDEPGIEFRALYQKASEEFIASSEMM FRTLLKEFHDHQMITSRMDASGMEILSVPLSRNEMEGVLEDLVLG ANIA_07724 MASGLLTLSRTSAVGIGLGLGLSFSLLHSSPFRTAPMRCEYATP SIGSTGPGWTVSNQDPLRKQGAARESGIMTASNMRQVSMGSVLGLVVGVGLRAFSRVF VVLFGMGIILVEWAASKGYNILPTRQLQKYVKSVNLEKLISRNVPFKVTFGATMALAA FAQF ANIA_07725 MAATNGASNDFTVKAGLAQMLKGGVIMDVVNAEQARIAEEAGAA AVMALERVPADIRAQGGVARMSDPSMIKEIMEAVTIPVMAKARIGHFVECQILEAIGV DYIDESEVLTPADNLYHVTKHNFKAPFVCGCRNLGEALRRISEGAAMIRTKGEAGTGD VVEAVKHMRTVNAEIARARAILQSSPDPEPELRAYARELEAPYELLREAAEKGRLPVV NFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDAKKRAKAIVQAVTHYKDPKVLAE VSQGLGEAMVGINVSHMKDEDKLAKRGW ANIA_07726 MATPANPFAALHQGQTDGAATSTGRGRGRGGQFRGAASSQPRGA SAIQPRGGNLNASNARGRGRGRGASSARAGRGSRGAGATSNTWRANKTEQQSTSTSST SSPFSQLKQNQPTPSPATGSSPQQKPSFSGFGRASPTPSGTSSNRGGAAFNARGSQQS ATNPTNGVTTTSVPVEDSSAMGSYNDRYEQLKIDRAKEREQAIKAGQMADPYQPTSLN KAITPVGTCTSMCPEFERVERIVQKMVDKSEKYLHPATNTLQNMETKMLKRFRRSAAG YDEQLPSDIRTPKTLLQTMNYLTRHVIGGPEPLGIIHKFVWDRTRSIRNDFSVQQLTQ EEDVKIAVTCLERIARFHIVSLHLLSSPANEEPFDRHQEREQLNNTMLSLMYYYDDNR GRISFPNEDEFRAYYIIFSIHDQRPDLEARVQKWPPELRNAPRVRVALELFAAAGNTW EYQGTLDAKRPNAIAQGFYTRFFNLVNSPGVSYLMACVAEIYFNHMRQTAIRSIWKGY CRYPSSQQHKNEEWTIDELTSVLCFDDNDQTIQFCAEQDLQFAENANGDLYLNWGSRP VDSVAFQPSSDHSFSENYVEWKRSGRTLVAIVLGLNVKEAATLGMIDKFSLSECGSAL TTEKQMSIDNDDSLFVSEGENDVDSQPTATTTTPAVAGPTTLFGSRATDSQPSTNSNP FSSLFAANSTSTTSSPPSLNPFAKPFTPFSSGISQTSQPAIPSPAGTSAGQSSSIFAN AGSAGIFASSQNEKPSTTAAAVPFKFPTLTQPAASQTPPTPFQNIQKSTTSPTPPFDA SQSTFQVKPDQRSWSSTQSQNNPFAASSPFSVKPNQPSDAAAGQSSTSISSVAKPTFA SQSPFSNLNKPSDSSPNASELQAIPEVLNPQPAALAPLSSLQSGSETQKKETSLFSTF LNQPSESIFKSPAAPSFFPEIPASPSESSAVTSANETFSEANAAPQSTFSEASDKIIA QPPASPTPVATSSDIVEPRVPAEPLNDTQHDIGEDNLTVDAVSEEQVSNETEEDAERE SAWINSLREAADRRRNVVSSQPSRKRVHEQETPPTETIKPTKVAKSQQPAYKSLALSS TKPLPKLPILEQLESMTARKPVEPKSEPPKPRHVDEDDLLLSAARIAAESLRTGPRLL SVSAPYEPWHSSFSPGSSVSSAAFSRSQSPQQSPSITSGYEVSYAPDTDLGLGRSLSR TEQRIRMTGGKGLAYKPLNLTPKKKMWPTTPKN ANIA_07727 MHCVPMPLSILSATPRYYPLYSPASGTLCTSCRVTVPVYSPRRL CRQRLRLPNPVPERTSGCDTRIPTARPAVSLQFTPPLEPLAVRSAAGTPLESESCAMV VNTPVSASALSGGVKRPLSTMQDGCTGWSSPAVSEDDKTLQMGASLRTTPSLPFEHYA LIYYDNMGKIEVVESTSIREQNCSVITPDVRERFREILGPRIGYHKPILRRASAVPHA YNHAHDMASPRLVKRRKASAHDHVPQMARGERFPEPTPQIPSSSGYSMVPLRIGDSKK VTEYYENAFENLQQTNCRFISKAFIKEVEPKKQVNHPYKGNKQKGKKVPEEECDPEKT KPSWWPATVQHKEPDHLKKEKRICLLIHMVRQFPADRLQLVAQDCKRSLKPENLIEER WEIIAEIFKVRRLEERFERGEVDASHVVYIRNCDKDKNSKDNESVVSDTEPKFEAEDF DNVEEDPFTTASENLPSFASVDPLALQQPRNFGMSTDPFPLNESLNFQDPSRQARPYY TTSAEYAEDYSSQSVLRPAATSLVGPDEHTEAFDYMSHAPFTTSAAPEQHRPLTMQQV NHYDTWSSPFRPNLYNSLDYGTSPTLTQNPMHYPMALATSQADLSHGLPDLSRDRPPS WVPLNKSF ANIA_07728 METYKVPSSALIGVAVPTSSPSASLPEIHRRVIAPFPVFVSVAS RTLEKYADPLVADWLIGSLDRRKHMGVGLCKFKQTPAAEPYAAGSGEEVRSLGPGADL WGHAYLILQASHLTCTKHVRGSTCSIPVSGRMHCCIVAMLRIDLDLDPSGRDPSINSS QVLIQPGSRAGWLDRIERYPEFGCGQETCFDQNKKGGWLSSLEKLLRAPPTAEQVYSV LTLADQRTHWELRIAVGLTEYYC ANIA_07729 MLDDSTQTVLGAIVPESLSSTSFQQYAQLQPFCGDVEGWGPLSR LRFDLTPCFLDVGVSVVALFGLVLGSGALWFLLNKRIPQPVSKNWHFYAKLVVLSALL FTTALQAALQVEIYASWFADFRFWSTVLVFASLCVIFAVQYYEHWRSRYPNGVVLFYW LFFIIAYTVKLRSHVARKTYDDRLPSFVCLNVSLGLAILEFLLEYLVPKKQSAYDALG DEDECPYEYADVFSVLTFSWMTPMMKFGYKNFLTQDDLWNLRRRDTTRATGATLEENW EYELQKDKPSLWTALFKSYGGPYVRGAIIKCGSDILAFVQPQLLRLLINFIDSYRTTE PQPVIRGVAISLAMFVVSVSQTSFLHQYFQRAFDTGMRVKSGLTAMIYAKSLRLSSEG RASKTTGDIVNHMAVDQQRLSDLTQFGTQLWSAPFQITLCMISLYQLVGVSMFAGIGV MILMIPLNGVIARMMKKLQLVQMKNKDARSRLMTEILNNIKSIKLYAWNTAFMNKLSH IRNDLELNTLRKIGATQSVANFTWQSTPFLVSCSTFTVFVLTEDRPLTTSIVFPALTL FNLLTFPLSILPMVITSVIEASVAVRRLTDYFAAEELQTDAVKNEDPVSHIGDESVRI REASFSWDRYKDDTVLENIDLSCRKGELNCIVGRVGSGKSSLLQALLGDLWKTEGEVV VRGRIAYVAQAAWVMNASVRENIVFGHRWDPQFYELTVEACALLDDFKNLPDGDQTEV GERGISLSGGQKARLTLARAVYARADIYLLDDVLSAVDQHVGRHLINRVLGRNGILNS KTRILATNAIPVLKEADFIGLLRDKTLIEKGTYEQLMAMKGEVANLVRTTLNESGDED SSAESGGLASLESSETTTIIEGPDSDFSDTDEAEQQIGSLAPIKAAGPRRTSTVTLRR ASTVSWQGPRRKLGDEENVLKSKQTQETSQQGKVKWSVYGEYAKNSNLIAVAFYLVTL VGAQTAQVGGSYWLKHWTEVSERQSAPNAGKFIGIYLALGLGSSFLVILQNLILWIFC SIEASRKLHERMAFSIFRSPMRFFETTPSGRVLNRFSSDIYRIDEVLARTFNMLFGNS AKAIFTLLVIANSTPPFLIAVIPLGYIYFSYQKYYLRTSRELKRLDSVTRSPIYAHFQ ESLGGISTIRAYRQEERFSLENEWRMDANLRAYFPSISANRWLAVRLEFIGSVIILVS ALLSIVSVATGSKLSAGMVGLAMSYALQITQSLNWIVRQTVEVETNIVSVERVLEYAS LPSEAPEVIFKNRPPTGWPAQGAVSFHNYSTRYREGLDLVLKDVNLDIKPREKIGVVG RTGAGKSSLTLALFRIIEPTNGGISIDNLDISTIGLRDLRGRLAIIPQDPAMFEGTVR DNLDPRHVHDDTELWSVLEHARLKEHVSQMQGQLDAHIQEGGSNLSQGQRQLISLARA LLTPSNILVLDEATAAVDVETDALLQRTLRSSIFQDRTIITIAHRINTIIDSDRIVVL DKGRVAEFDSPAALIKQRGKFYDLVKEAGLLDSDGNAITVH ANIA_11007 MTGRSGYANGYGYSDTSRYDRGDGGYGNNSNRGVNGYESGGARD RRPGGYGGFYPEALQQPSLSPAPSPERRRERYDRDDRSYSSSRSRTRGPDADRERRAQ RAGESRARGDTSRVPGSTINQGANVGGSQAVENVLQSIQREWDFVATDDCVPVQVALQ LMDTSTLGKAEREPDFLNVHQTIQQTLKAIVNEHHQGFNSSIGTYHKIQASIQSSQSR VRNLKHALEDAKGGLMSTKPELKELATSSQKYDDIIQLFSQIQEIQSLPEKLESRLSD KRFLGAVEVLHDALRLLRRSELENIGALADIRAYFENQESSITDILVEELHDHLYLKS PYCVDRWKPPAPETESNGVNGAGAGASSWERPVYGFLARLDASKPMVEDASRNPEADT FSYLQLLIEALNKMGHLDIAVDRIEQRLPVELFTVVDKTNAEIDARYPLPRGFMAQDG KTDSPTEMIQKRGHVLSEFLWTLYAKFEAIAEGHRVVHDVIAAIVEREGIPKSSSLAG GFKELWKLYQSEIRSLMHDYLATDGDSSIRPDEEADARRQFYSGYRDKNKARLPPLEK WSVSQKLFKLSDAGRTTQMKAEQNELDEILRSSVPGLISKSEQKSDEDGPTDSRQGTG HKILIEPSVFNMSLLLPPSLSFIQRLKDIVPVDSDMFTGSLTSFLDDFLVNVFLPQLD ETVTDLCTLSFITPDAFTEDPQWSMVSPKPVFKGTVKFMSVVREFSRMLSSIPHDQAF TQLLLSQIVTYYDKCCGWYKTIVTKVSGRGDVQLKAGAAFAESGPVHDLVVELWRGTN PNKQELIDKETSLLIKETDRVPLEPVDIISDAKSVVSLSLLHNSMQWLASSLSKLRQP SIDSRSSQPGSGPTNRRWTLISAMKPKRDSINQSIYLPLNQETATAFDTTLQSLRDLA LTAIFALHLDIRCGIIHMLTRTMAGPNPPAVRNSEPATPSPPPSGGCWHLLTSQPTAA SPAILELNKDLIAFDTNISTYLGSAQRHFITSGLARFVDRVFVASTRYIWAMNENGAL RLQLDVLVLQQNLKNVIIDPTQIPPPDQARTPQAELYREVVTLPRSAKFLDWFLEGAE KALDYAKEEKERMAAHGDQALADGDPFSYEELKVLVDLCFSENLRGPRSEDNREDFMA SKKASADALLRLNEIMWDSK ANIA_11005 MLFSRPPARLSWILAFQPSQKLPRYSPRFFSISVSRFAIDMEAV DTTKRLSSLRQLMREHKVDVYIVPSEDSHQSEYIAPCDGRREFISGFSGSAGTAIISL NEAALSTDGRYFNQAAKQLDNNWTLLKRGVEGVPTSQEWITQQAEGGKVVGVDPALIT GAAARSLSDALQKSGASLIGVSQNLVDLVWGNDRPAPPREKVRVHPEKYAGKSFQEKV SDLRKELENKKAAGFVISMLDEIAWLLNLRGSDIPYNPVFISYCIVTPTKVELYIDDE KLTPEVKAHLGDDVIIKPYDSIFADAKALFEAKKKDPDAPSSKFLLSNRASWALNLSL GGEDHVEEIRSPIGDAKAVKNEVELAGMRACHIRDGAALIEYFAWLENELVNKKSTLD EVDAADKLEQLRSKQELFAGLSFDTISSTGPNGAVIHYKPEKGSCSVIDPNAIYLCDS GGQYLDGTTDVTRTFHFGQPTELEKKAFTLVLKGCIGLDSAVFPKGTSGFALDVLARQ HLWKEGLDFLHGTGHGIGSYLNVHEGPVGIGTRVQYTEVPLAPGNVISDEPGFYEDGK FGIRIENVIMVREVQTTHKFGERPWLGFEHVTMCPIGQNLIEPSLLSDSEIKWLNDYH AEVWEKTHKYFENDEVTRKWLERETRPISK ANIA_07731 MSMDHSDQAWAIDGAKKLVLELYDPANTGNPAKINEIQELLQNL QKGPHAWVIANCLLTEQSPDLRFFGALTFTVKINHDWHNLADHDAYELIGRLIDHYVF LVNEGERVVIRKLASTLATIFLKADAPWSRAVLRLAVSLANGKSVPEDQCASISLQDV LPALSEIQVISLLYFSKILAEEIGRSGGESRKPEDTRRISENLGDAFLLVDFVLSQIL KHDVSGGSVANEVPGTEAINSYHSWIFVRSSLQLHDAISVPQLASATNCVIGSLNIPY LSKTAGHILTEIMGRRESVLSPSHIEAILDYVISVAGTAHIASLMEGDFEDENMTFIE LLLSLATRKQAQIFTQYLDEKHEKVLALIHTLFKSPGYAAVEDTASPLALEWWTEAAD DLQDSFLDPGEQKGPETAKLNLARAALDCFEKLKYPTPEELCGWSDDDRAEFGSFRRD VCDFLLAIYPILGVDLVQVFQAHARTSLANQDWRTFEAAIFCVAQLSEAVDENQHADD CLNAIFFCDEFTRLCEDDGIMIPGKARQTLVDMLGKYRSYFERTQALLPRVLTFLFSS LNLASCAPAASKSIAHLCNSCRNALKVELPAFIGQFELFRSKPTATTQTMERVLEGIA AIIQTLPTDEEKSQFLEGILKFFLEQAEIARTEAAQGSIEPARMRGHMVLRCLASIGK GLRTDEVVDLNQNKDEGPYPPTFWNSGNGAVSQNLIMQCMRLLMTDFPFDAAIIEAAC DVLKAGYTESTGPFVFPPSLTVDFVKSIPLGTPGADLVMGTASAFLASHSAHPEKVGE EAVALILHVYGTFCWVQEKPELYDPELANSGLDFLTRLLPKYYPYLFSLTYTPQGAGQ RPPVIQAISNFTLLSLQGPEPIPLRSASQFWVSVFNLPNEAEAVQHTIHNCLPALCRV LVTQLAGRCARSDIEHLTDVLRRIVFKQQGHARPHLTAALADLETEHLGHPHARIPSP EERQRFLASLFAARGSRQQTLQIDINNSTKSTTNMKIHLAMTVASDSVPAKLCEANMA ICLLYRS ANIA_07732 MDRLGVLDINDNSEKPNNVPPKKKQTRHTFCCFTIIEDEEPPLA AKPRPSRPPPARPHQQVNKTSSANPKIQSSSKPDSLLPWIPASLSPQTASLLLAELAK SISPADEPGYIYMFWITPSTSPKSAPPPSDIAPSLLPSAHRDINSDTDESDESPEQIQ RSNTAIRRARDLNTFSSASSPNSPGTVKLKIGRTSNVTRRLNEWSKQCSNHLTLIRYY PYTSSSQRQGRNGGLEPGRKVPHVHRVERLIHLELADMRIRDLGPCPECGKEHREWFE VAAERAALKRVDECIRRWVRWAHTQK ANIA_07733 MRIATLQIAPKLGDIEGNIKRADELLSKGIGVPDGSGVEAARVR VEDAKLDLLVLPELALTAIKPYLEPAGKGRSATWARQTAKRLGCKVCVGYPEVEVETN RNGDHEEKYYNSLLVLDEQGNVLLNYRKTFLYYTDETWAEEGSAELGFHQLTFPNAKE SSGSLKARAEGEAAILPPPPQSQDKPDKEIATSFGICMDINPYRFEAPYTAYEFAHRV LESKSQLVILSMAWLTLLSREELDALAEKPEIDTFNYWIQRFMPLITKQMNHSRNADD ADADVGSTDADKRIIIVFANRAGEEDGSPSPARYAGTSTIVAVSQRQRTTDSNSIVSS PSSDDVQSSPFDVKILCWDMLGATEEGLCFADTAADPKMAFQLIRARQMQLAAGLGFS KCPYRTLIYRDDPILKLSGNMTRLVGIGYRDCDEESSGTWKDY ANIA_11571 MSYWRQPTIGSHLSGFTRGADPAIVQLLLENGADPNIKKPRSTV CPKGSAISIWVLQRHDQATKVMTA ANIA_07734 MADTQQLGASEGSMVKRKRESSDSGGPDAQRLNRSSHGSNGSLP GADSQNFTHSALASYDHGLPNSASELNIDQQILQHVGTQNGITDENALTTAKAALAAH NPQNKYPPPPENAFDNNLAHGLTFGDDIGQNIGQNHNSTAAAVYAAREAQSMNQKPTV GSPEWHQVRKNNHKEVERRRREAINEGINQIARLVPNCDKNKGAILQRAIEYINQLHE EKRQMSERWEQSNMTTSHAINEISAQNSKLKVEVNRRGDIALKWLQRCRDAGLEFDDY EEAKELEPLDVDQTQV ANIA_07735 MKYQHFSSIALAALSASTFVSAAPLAPEENGSCPAGYSPSVYYI TVTAEPSSTVRPTSSAPISSTPTSTSTSTSTSETSLTTLASTSTGDVTVTSSSTAGLI ETIPAVVVNAATSTTSESATSTSALSISETAPTQVAVARPSTTTAAEKTSSTSTSSSS SSTSTNSGATTGEATFYGGNLSGGTCSFTDYTLPSHLSGVAFSGQAWDNAAECGACIA VTGPNGNTVKVMVVDKCPECAQTHLDLFESAFTTLASASEGQIPISYSITPCGITSPL ILRNKSGTSAYWFSMQVVNANGAVKSLEVSTDNGSTWQETTRSDYNFFENSSGFGTDT VDVRVTGVDGGVITVKNVSVASGESVTADGNF ANIA_07736 MTTKNQVEELLKRPLYVYDLPQELLASIALKGEDQPITVEDAEP TRKESSDDVQEHAIATSTSCAICKVSFANVQEQREHVRSDHHKYNVRAQLRGNAVLDE VQFTKAIGELDESISGSESSEEEDGDAGDQLSALLKRQAKISQAAEEGKESATPRGVG KHPLLWFTHPALPPNTSLGVYRALFTNEEQEERKCLVDSLKKKQLAPIYPQQKDNGQP ATPSPHIFMCMIGGGHFAAMLVSLAPEVHRKQGGVEERQARVIAHKSFHRYTTRRKQG GSQSANDAAKGAAHSAGSSLRRYNEATLEKEIRELLQDWGKMINEAQLLFVRAAGSTN RRVLFGQHEGQVLKQNDPRLRGFPFSTRRATQTELMRCFKELTRVKISQVDEAALAAA ATKQREESKPSTPKPQPQKPKISKEDEAAIMHTTQIQALIRRSKIPALMSYLSKNSIP SSFTFQPSDVQQNFRCPTPLHLASNLNSPAMVLALLTKLDADPTATNAEGRTPFELAG DRATRDAFRVARHELGESKWNWEAANIPPAISKAEADNRLQRERKTAEEEEANRRKAE MDRLRQEEAATAARMTKKGGRTVGSVEKTAQERREEETRGMTPEMRMRLERERRARAA EERIRRMQQGQKQ ANIA_07737 MNGSLWNNYRMGNGRKSFLLSDGDILRLSERVYLIYRSLDNAQH TYFDPFQVHEMRAFSHEYVITRRKLGSGAYGQVHMAYNKSTGQQFACKIVNLLAVKHQ LAKVGEARHELAFGKNISAKMKDSYVRFQLQETLEKYHREAKILETLQHDLLTAGDLF SYIQYKGGRLPDIEAAVIVRQIVIALDYLHDRNIVHRDLKPDNILMTALADGCRVVLT DFGCATFVDPMTNRMLSTVGTFEFSAPSVLFDPWGYHALILYSEVVRQNREGYTKAAD LWSLGCLAAVLLTGEPVFDNMRNGHDENCRLKAIEELKVKMHRLNVGDRAQDFVFRLL QQDVRKRMDVKQALQHMWFTNPSHKADFEALYKRCIRDWKPRTAEQPLIVKLNTYVNG QTQNQTIPRIKKEHSFVAESSSQADPVPPSTGETEDKSPESLSDIVHSPYHDKSQQTR SSAEVAVSVLQPEEDYVNGIKRSHSSIDEVEDRVYEEVMNPVTGKRQHLVYGYWATAA KK ANIA_07738 MDAPQPQPASPQAPNPPSTSFSNSTLSTTTAPAQKPEPIVLKPE TICSLPAESFPDSNSTNNLTWRTLLSTSPPHLTPSSNMCAGLASCPPHTGQLSLHRHT QAEIYYITSGRGIVNIEGFQHEVSAGSVLFIPGDAEHGVVNRGEETLEWFYVFPTAGF GDIVYRFSGEEWW ANIA_07739 MRRASRFTPSRLFSTPPPSDEDNRVRLPGNGLLGTCRALQSLLS SPGPSSRRTKPARLQSPLTFRPMPPSPASSRKSKRRSRSPTYNHKLLSPRPSRGPTKR RRGIFEEDMDVVVDGSSSTGCAFDSQTPSTPKRSRHLPYELPLGLSQMDFYSLQSPPI TESPPASATCQREQTPSMPLYNPDAALPSIENPVIEQSSESSETTPTDDSQWTSDEDD RLVQLVLEKFQLSQRDWDECARRMGKDHASVGQRWQVLVGDGNVAFNLYLSISLTNYS GHSPIHFQRFYF ANIA_07741 MLSGILIFNQKGENLIFRAFRNDCRPRLADIFRIQVISNPQVRS PILTLGSTTFSHVKHENIYLVAVTKSNANAALVFEFLYRLVLLGKSYFGKFDEEAVKN NFVLIYELLDEILDFGYPQNTETDTLKMYITTEGVKSAITNNPSDSARITQQATGALS WRRADVKYRKNEAFVDVIEDVNLLMSATGTVLRADVNGQIVMRAYLSGTPECKFGLND RLLLDNDAAGPGSSNPGAGGRGVGGHSSSKTRAAAGSVTLEDCQFHQCVKLGRFDSDR IISFVPPDGEFELMRYRATENVNLPFKVHPIVREIGTTKVEYSVAIKANYSSKLFATN VVIRIPTPLNTAKTTERTSQGRAKYEPEHNNIVWKIARFSGGSEYVLTAEATLSAMTN QKAWSRPPLSLNFSLLMFTSSGLLVRYLKVFEKSNYSSVKWVRYMTRAGSYEIRYVYF ECSW ANIA_11019 MDSAARSERAVGDDRPRHVQSQHSLRSQSAIASIPSNSGQPNEP DVAEELAWGPAHPCFPHINPHVPPGSEEYLTTRIIRIRRDWMVKGDLAPTYSNLYPEI LDPLLPEQEFRRIIATVNEELISAFNPFSFRNWIDGAIGLLTGWLWEDIGAPGIKSHL KRVEEWLEKWNREVGAKDGVRIWSLRRTAYMSLDIQIPDPKVGIVPSEGNPSRPGTGP HSGGV ANIA_11018 MRVHYLLPFAYLIPALAFVARVHVDRGEHGPLLSRDLENEPASN TTIANVNATNNATATQTSNSTTPSVTTVPTLNTSTPDEGERPCVGGFILLVAGAILAL IGVRNLWVQVFLSSAFLTSLGVTVLIVYVMNPPVRVAIQGAYLIAIFFTGITFGALAL VFKELAEGLGCLLGGFCTSMWLLCTRPGGLIEASDAKTGFIGAISVAFYAVSFSHHTR PYGLIISTSVAGGTAVSLGIDCYSKAGLKEFWLYLWALNDGIFPLGTETFPVTRHIKV ELAVTVIVAIMGVISQLRLWKVVRERRAHVNEKQQEEQMQRDEAEAEVGKRLEEDNMK ERMEWEAKYGDRAPGASESSASIPEPTVCYTDEGEGKDKKKDKTAEEKSISDSVVSYR CSDCRARGEDSTTDTNTAGSEPSGQEIGSADRDIRTLSQNAEGDDGYPKALRGAMEDD KSSGITAIAGSETVSVYSKRFSMLSRKSSVKSAAKSVKSPEMRVSESQEALIGHNDDV DSTIAVVDDVNSDCHTVAANSDYQTTLDGERPALSQKLVVTNAMPMAKTDKQAHGDSK SASKDMPQPTDPSSTANPGQIDETQKVANADLIPDAPNPEEPVGPVDLNNQDQLQYES VDSQAEQLQDSAEAHAHSNEEVQLQQQATTAGDTLQSEKNSPTGQCSDHDPPDHSAKG KAGEHAIQGQNMEGKSNKSTRDQVSQADIKGESREDLMNPEPMSSDNKSLKLSTEKNL SREQEEQAPKKEEPKPLNAETVQQIPKHTSRVVQAYRMNEWAKHLTNADVPDPEPIQQ FEDQDPDQTEEAAAPVNVSELLQTPLNAQPLPAVESRRDTNESHHAHDSRTGSQKTKK RSSSPKRLSGQSAGSGHLSQNLHSAVQPLGIIATPSSVTLLPPAEQGLNESEKAKPRW KGPTPLMAVREDMMRSRLSSLSLPTDPYARRSTGQSPTDFSSRYRSGSTFAIPELDDD DVPLSQRRAMLHEQATPVSPTNAAPSRANSPAVLAAWREFVREDLGKRDPLKLSQSTS LIPGARSASPFGQPGQRNTPSVSLGDKIAEGMQRGDMSDLHREALRRMQAKANQSVNR LV ANIA_07742 MSAFTYSLRSMLRAAPSAAAPARSFSSSSARGLARMNITGRLGA SPEVATTQSGVEYVKYNIASESGPSNNRVTSWFNVKAFVSDAQREYLSNLPKGTLVSV DADASLRKFQNSEGVDTTSLSLHQRNIEVLRRPFKPNQSESESQSEIQPESQQ ANIA_07743 MDAPKTSPSAEAVVMQALSAIAVIITSSTLALHWKNRNFPAAIM MGWLILLNLFNVINAFIWPTDDVDNWWDGAGLCDIEVKMLISSYVAIPGSLVCIFRSL ACVLDTSRATLVQTKRQRWITRSVEVLFCVLVPLLAAATHLIYQGNRYFIFAVSGCVS GLDRSWVSFALGYLWPLVAYMVYVQVKLSMPWHPFSWSLLHGPGSSWRVIERIPTGGA VYFDRWIPVASAYTAFAFFGTGRDASRMYRSFLRPFGLDCCFSPMENKTSGSFPHTSG SAGSRAHLIPGRGKAQSDLYHYARKNSIDYTSSSRASSKDEDLEKGIPVSSVTENQVV SKKGWLKTGFAWLGNQFPFSLSPSACREKIRSRSTPHLVVPASSNTVCTNAWAGSRQS RGSIDFDDSSESLSSKMDFIRVKQVIRQERETHV ANIA_07744 MSNSPSPSPLKPTLRHRGLARAATVAEGPQPQIPPQRRNSTFSD SVSEARNTIRSSTDELLFPRASRDYDGGIRDEESHWHSAPLGLALLPAVAGIFFQNGS AFVTDVTLLALAAVFLNWSVRLPWEWYRSARTVRRQNHLYDIAPPMGIDRPISPTHDS HEDGDLPDGDEEDGHHKERIAPQSTSAAAAEELQIHELAALASCFLFPLIGTWILHTI RSNLSRPSEGLVSNYNLTIFLLAAEIRPFSHLLKMVQSRTLHLQRIMASSDDDEYGNK DKIDVGKIIDLSNRLEELEAHVAETAALRIASTTNVEKENETKKENREPTPQEQEYTF SLVSEAAAKYHKGFQADIDALNRAVRQYEKRTALTAYQTETRLQVLEAKTRDALSLAA TAQRSTTHRSQGLGLGLFDSLLSRTIKAWGEDM ANIA_07745 MSVNDEQRGPHVGEYPSDDDNRGEPADAGFDPSSFPNAVKARKE EYTRHRSIKVKVGSWNVAAIAGTERDIGKWFVQKQGVCRQPLSGSQNGSSSKSTNSAA AAPLLETPPDEIGLYVLGLQEIVDVSSPAEALRPFVDPAPANRWKRAVEDALPPGYRL IAEIQLIGLLQLIYAAPSIADSISAVSSTSVGTGLLGYMGNKGAVATRLLVGETTCII FVNCHLAAGSDKASLDRRNWDASQIVARTKFDAVDTDYTLRDAPCETIGDEDYAFWFG DLNYRLEDIPGDDVRQVLARHTENEYDRRHAALRDVIGSPSSPHVVIDENSSSNSPVS EELPSESDEELDPHQDPASLQTTISSLFPHDQLRMQQNKQKAFHEGWREGPISFLPTY KYDVGSMARFDTSEKHRGPSWCDRILYRSRKDKMRYEKLVEEAAEARKKDEEMKARGL ESAAADDNVLFDYDPDADGESGDEYDPDDDRNKDDALPATMSEADSSIQLDYYTSHQG ILTSDHKPLVAGFTLELDCVEPKLKAQIHQEVVRELDRAENESRPGLTVVVDNHSIEP SKSNSDPNAIDFGDVYLDAPVNRSLTVANTSRVPATFSIQKPERDADQEVTSWLEYRV EKPHHDESEDSNHSAPSNECTLLPGELAMVEVTIWVRDIRFVRSLNNGQLKLEEILVL RVKDGRDHFISTYGNWLPTAFGRSLEELTMLPETGARSLAAHPTESHERKPEVPVSAP RELFKLTEAITDLTERAVAEWGMVKGEDDEMVKAPWEREPHGYAWPFKPDTWTLKDKE ARSPLLAFAREALDTNKPFSQVFAPEVSSLHRLEILSEVLVAFLRSLKDGIISVTVWE SLDQQIQARERVKQPPLSWEDSQAWVLESLASSPAHSVSFTFVTFMLARIANEVAPVP SMPPLSQREPDLKENTKDTSQSDKDKDNQSSTSSPISRASFISGGSLRRKATISASSV SLSPQDITTVNMIQRRLAVESAIATFFSSVIISSDVPTPSKDKERRLLEDRKRSIIEP FLKAVGVDYYGPSGGAP ANIA_07746 MSDPQQLLDQQNRSMPSAPPRTNEELNLSVLQSHDPAVKSIQSI APFAVVYTFSPSTRQWEKTGVEGTLFVCQLVAGSLGEERYSVFVLNRRGLNNFDLPLT DGDNVEITEEYIILKSDSNSDPSIARAISDIRIYGLWVFSEPPPSSTSETRSINAQVI RECASMAGKSLKLARERLESARQNGLHAAATAASGAIDPLNEVQASVPMGRQISLRDL FGQERAQDDSWSVRAHSQPAQGQPAPAASEQQDVLGELFRRSGLVYRTGPNS ANIA_07747 MSVCNWFVVLAWIAHSHGGSGPALDSRQVTYSLSKLSPAQLQSL EDITSSSPRVQNDHFKPEPKSTYFIYTTFIHRVSSTPTTLPLYNQDRNDPARTPHRRR QFLPQNTITRRLISYYHVFLSLLSKNIASSQLACHNGVHIVGYSLAGFELETGAVQNE DGRQIYDLEEQICFVQRRLRENMRRLRADPTVSKHHDLGSDAATETETPKPKVILIGH SVGTYIAMEILRRHLERQSTPSDDDDVAVDFEIAGGIMLFPTVLDIAKSPSGQKLTFL LRIIPQLALMVSIFAWVLTTVLPDGLLRSLVRCVMRSPPEDAVDATTRFLKSRRGVRE ALHMAADEMRTITSDKWSDDVWGVSRATHKSDAHLTSKPPIQLLFYFGRNDHWVAEKT REEILAARGGMRTGHGPKMVVCEESVPHAFCLRHNEIMARKVADMVQEVLN ANIA_07748 MSFFRRRMPYSIGADVLPEEKEGLKQRLRPEEERKLTADMLEVY ERLLPSAESESRRRRLVRKLEDLFNRQWPGCDIKVHVFGSSGNKLCSSDSDVDICITT TCKELEHVCLLADVLAKNGMERVVCISHAKVPIVKIWDPELRLACDMNVNNTMALENT RMVRTYVEIDERVRPLAMIIKHWTKRRILNDAGLGGTLSSYTWICLIINFLQTREPPI LPSLQARPHKKRLTADGLVCSFDDDLDSLVGYGKQNKQSLGELFFQFFRYYGHELDFE KYVISVREGRLISKEGKGWHLLQNNRLCVEEPFNTIRNLGNTADDTSFRGVHMELRRA FKALSDGNFELCCEQYEYPPEAERSWERPAPQPRPVVTTAPPPSRGGRGGGRGGRHSN QYSRGGHSGGRRSSNAANKNHFRQANNGMSASELSLQAQHAQYLLHDHLYQQIQILQA QEQELRLQLQNQALITGRPPPVLIRQPFIQFPVPAQETSGDDSSRSRSGSRSGAVNHQ PISVPSRQNVYYNSTYLPVAVPGGPGSNTNPPSPSAAAAMPDLRRNPRRSSVANGSPN GSLRAHSQPARPVNSLPNFAPLYSISQPTETSQSSKQRHPSGASDDSLSEEDGTSMPN SLPNRTLFIDEARSNDYMTYYFGGSPQLHAYHQNAMLSPMSASLGLALPNGGIVPWVG NPQEYMSAAPGAESYGHSFDNGSITSPKSGQSQQRMGSRTAASSDRGGPLIVDGSVPP SEQRMSIPADHYEHYGLMSHCPSNSDDQNTDTPASVSDSFSQDFQDSSSVEIDPSPFF SRQGFDSHAMGGPADVFVNGHSGKQSLLSSRLQGLHLSNGEKVDIASKSAQDKPKAVV PHNDAVEREAPRAKNHHAVDKGPSASSFSHAADSHIASPNGRRRHHGSDTSEKVNGTA HKGKPRNQTSSTASNDQKERNAGGPRKPNAAGLTPQDSNHSHANSGWQTTKRKNKKNN KLFSDHRHGLQNGAEPLPIDESLRKGG ANIA_07749 MAYYEPQGWQAPTSRQASWEQPVPPSRSGSSSVSQRDDVPAFSS QFDEVDRAIDNLVKSGKLWAAPRRDSMPMMVGRPHPEYGTDSRPPSSARHNSEQQFAD PRMVNPAQRHHSISDFDGARMHPTPSMQGFYASQRFQGRPNEVEQMMQAKRRMAAQRE RELRNYHQEQQYNRSLLAEMSAGKSDRSLSPAAVNEESRRELLARQHRALYGNESPAF FPPTSLADEGSRPESQTGIRGASPRSVDPFSQGPISSGPDGITQTNAGPSAIQSPSRA NSTSSPSSGINPVFGKYDGAEQPVTSASSPGADSPSSRQAQSKSNAGPIGSVGPIGTR PAPQAGPAQASNPSLNKRSTTPLPSPLGFGFTSSESINDRSTSMSAAPNPATSSATAP AGIKDPSGGVGLGWSNSSGVWGSKAGLGVQASVWG ANIA_07750 MALELQPPSDRKRVKVYELKENDWFDRGTGFCTGQILDDEPRIF VESEDKPNRVLLETKISKDGGYQKQQDTLIVWTEPNQTDMALSFQEAEGCAMIWNFVN SVQQHLLTLAAGDDALSEDLESMHSVTLPAPELANLPDIDHLMRAASMAQTGRDALSK CVIRDDYIQKLLPLVTVAEDLESLPDLHRLCNIMKSLILLNDTTIIETVVTDSVILGV VGALEYDPEFPTHKANHRQYLSDESRYKEVVPIRDETIRRKIRYTWRLQYLKDVVLAR ILDDPTFSVLNSMIFYNQVDIVNHIQSDGAFLKELFSVFDPRSADAKRKEDAVQFLHQ CASIAKNLQVPERATLFANLISHGLFAVIAFAIKHPNPAIRTTGIDILVALLDHDPIM MRSYMLKAVNEKKTPLTDTLIDLLHTETDLGVKNQLADAIKVLLDPQVPIQAAMARAG PEAAKVRPNILSDAFVQNHFEESSRRLFAPLKRLEDQSSPHGLTFQAVALYSHLVDIL TFFVRQHLYRCRNVIQNERLAAHITQLLRVPQKHLKLTALKFFRTLLSLQDTFYQALM THNDTFGLILDIVYETMPRDNLLNSACLELFEFIKREHIKPITLHVVGKYGDKLRNIT YVDTFQGLILHYEQLQGYSEEGDSTLYSQEESTPGLKMQPTGRWQGVKEMDPAEEEYF NTSDDEEEWQQDTQASGTLLSQVPNGAASPSVKSLVDYPDDDEEDVNAMDTKPEPDTA QKQLQPAEASNEDAPADPSIEVPSTPPSQVVQTPPERLSEKRRREEEDDDELVKLTSG PKRRSSTSSSTGSAGFLRKKRSGSVGSVSVPNKVAQGDGRPSTGNAVPKRIAINLSSS VKSRRESRNATGCCIKCGWERT ANIA_11008 MATTRANGHANGQASKPSDAAELRRRLHHEADVVIVGAGVLGCA LAVALGRQGRSVLLLEQSLKEPDRIVGELLQPGGVSALEQLGLRDCLEDIDAIPTNGY YVTYLGKPVKIPYPSQSPGAPPPEGRSFHHGRFVMKLREAAGACPNVTIVETKVTDLI TSSHSKQVLGVECVTKESKDCYFGQITVVADGYASKFRKQYHPYTPKVRSKFWGLELI DAELPQPHYGHVLLEPERPPILIYQIGTHETRILCDIPENLPSASVKNGGVKGHLRNV VLPSLPKCVQPSFAAALDKGQLRSMPNSFLPSAPNKTPGLIVLGDALNMRHPLTGGGM TVALNDVVILRDLLSPEKVPRLSDTRLVLKKLSTFHWRRKMGASVINILAQALYSLFA ADDDNLKALQRGCFQYFSMGMYETPVSLLGGMLKKPEVLFAHFFTVAFLSLWMIIRDS PLYKLPLALIRCALVFWTACVVIFPYMLIEAFC ANIA_11022 MSAHGPPAVPSRNALRVLRNLALAGSTVGSFCTVAAITYDVHRR VSVAERIIENKRALQTSAPRYDATSAARRISRMMEAAEAGEFMGLEAWKESEKRYRNA PYPSTGYDGNGSPGESPGTCTTDGANSPASVGSMTGTATKSEEVTELVTELGWKLNRL RHGPKTDIPPSKPLPPSLKPKYVVRQPDPDADIATIDARLDLPSGNQLTLDERIESLT ERGQYIDAAQIFLDEHPASNGGISIHRRQLVEQLFYLNCRQENVFIARSLFERLEEVD RVSHTMWKVLIVALAKKGCIESATTVFLRYKDSYSIPGILLDVVLRCLIESRRLQTAK QVLFQHLHLDRDCGLCGVYLTGLWKKARSIELLNGQFTKLLIMLRRMDKKPTHKLISP MLQAYVDFGRFADAETLLHEMTVTYQVPLNVRMKGILVYAKALQCDWTAVDEGFNEMH NLGLTSPKADFLKIFHRIFLEYWPSHTAVEIRDFLYRYIDKFDIVPDQVLWGHILEAI VERGDMAMLSEFTNLARERGWKINLDQTAVLDVLRKRQSALGDSPVGFWQMLHAARAD NMRATASQQLLGHDQRTNWRQSNHTVEQAYFADGWYERTVHELTGPSRSVDDYQNLQT QMIYYIHAGKMTEALQCFQDARVSGFELKPQEVELALIATLLSQGLGAAQALVEVEVE WIEWLPIFFRQIKDMDPSAESEFIQLAVFRFYRIRWMRRSMMVTHNITAATSGRLIAS NKPEMAIDLLVSVYKSKYGRLKAFDAVCMKMFLRAFAATENLRGIRWCILTALSRDSA LSRDFAVEVDRVLGVLHRESVGISMDLQKAKLRASQLKTLTYLGEVLHKKFEGYFKPG VWNVNYGLKKARRRELKTPSANIWNFDYNKKKQAQSKIQGIVNSWDEEYELERLLDCI DNDQNSILARWNEVTCRRQELELEQIDGVPVYLFRLSRPSLRKKAIFTANSQPQFTSY VRP ANIA_07752 MALRRTIALPRMLRSAARIPMGISGEHRRGLATAVPPVTQDATG SKGPTAMVFLNMGGPSTTAEVEDFLSRLFADGDLIPLGRLQNYLGPLIAKRRTPKIQR QYADIGGGSPIRKWSEYQCAEMCKLLDQLSPESAPHKPYVAFRYAAPLTEEMYAQLLA DGFGNGKGGRAVAFTQYPQYSCSTTGSSLNELWKWRTRLEGKRANCDVDATGTIQWSV IDRWPTHPGLIEAFARNIEDQLKTYPEEKRNSVVLLFSAHSLPMSVVNRGDPYPAEVA ATVHAVMQRLNFSNPYRLCWQSQVGPSAWLGAQTSDTVQEYVKRGQTDLVLVPIAFTS DHIETLYELDLEVMEEANHPGVKRAESLNGNPIFIQALADLAHDHLRKGELCSLQMTL RCQGCKSERCLEQKKFFAGQKYASLVQ ANIA_07753 MLQPRPSADPKRQSYESPATAALKCAYHLMQQRIISNPRDMIGV LLYGTKQSKFYDEDENSRGDLTYPNCYLFTDLNIPSAHEVLELRSLVQDEENAKKILE PSNEPVSMANLLFCVNQIFTLKAPNFLSRRLFIVTDNDNPHGDNKSFRSAATVRAKDL YDLGVTIELFPISQIEHEFDTSKFYDDIIYKASPNDPDAPAYLKPDAKMNDAQDGISL LNGLLSSINSRSVPRRAQFSNMSLELGPNFKISVSGYILFKRQESARSCYVWLGGEKP QIAKGVTTQIADDSARTIEKWEIKKAYKFGGDQVCFTPEEQKSLKDFGEPVIRIIGFK PLSTLPFWANIKHPLFIYPTEEDYVGSTRVFSALYQKLLRDQKVALVWYIARKAASPV LGAMMAGEEKVDENGIQKIPPGMWIIPLPFADDVRQNPETALQVAPEPLIDQMRTVIQ QLQLPKGVYDPQKYPNPSLQWHYRILQALALDEDVPEKPDDKTIPKYRQIDKRAGGYV LDWADELEKQYAKITADQPKSTTLAKRPAKADNPDKAAPPAKKVKAEAGSGNIQDEVQ KHFEQHTLSKLTVATLRDFLASQGRATAGKKAELLERVEELLETKF ANIA_07754 MKSGYRIALCFLLLLLLPLYFLEAHLADLCNQYHAGAYLVDWLS NTNSQTQWTAYKGDIKDKVIVMARLEEESVDWVHEELPDWQRAIYTVNPSKTTQADDK RFKTPVNKGHESMAYLTYLIDYYDHLPSTIAFIHSHRSGFLTAWHVDAPLHDNVAALR SLRLDFVQRNGYVNLRCNLNPGCGETHGKHRNPHVTEAVWIEIFEGTSTPPVNSSEAI GRPSTPSGWGSNSIHVQTESRSLPIPTQVAAACCAQFAVSRDQVLQRPREDYIKIRHR YTAQIKSSVTVRYTGNASLVHSTTVWFRHLPQELVSSIAYNTAAPYPMLLDV ANIA_07755 MADTAPQVSFKKRSVKKTNFRKKPESPPPDADSDSSFTSSDDEE GHRIKRRRKNAAVSASSTSNTRRTTTSDEPATAGAAVPLTASNDATKHSNWYDDELNE KNLLGTTRARPAATGADAPDGTYKGAANYQSFIQKNPNAPAKTFGPIKAPTNVRTVTF MDYAPDVCKDYKLTGYCGFGDSCKFSHMREDYKQGWELDRDWEVSTKGKNLGGKVVSQ RGGQAGEDEDDEEEQLENIPFACIICKKPYQNPIVTKCGHYFCESCALQRYRKNPSCA ACGAGTGGVFNVAKKLNGLLEKKRERARQRREQAIANGEEVSSEEDEDEDEEQNSS ANIA_07756 MEVDISPQGGARPATPRLGENSDPPSGPTTPTPLPRNSLKRRAL FSPQKTPNAAPAAIPHMPQALLICDQVSMVADDQLILLNDWKLAMTSLAKALDLTVSS LQGCPRDLAQGLAARFVTLAKQDSPQRIPQMPAVAPPQPPRQMEQPNQPPTPEASKGP PGRRTSQHTTWASLTAPRAGQGNWQTITPKQHMQAKQTTQQKLKQLNKTDHHIFLRLP ASSSLRAIGPHGIRVTLAGKVPDVITQVQGKAFLLSEKAASLAGDGYFEILTEYYQPF QKSYNTPCNSLACPAYQGLPAPSKGLCNARDATASMIQGPAALVTDTFPADPQNRIIT AVYSVSTTAAHMQQTSQNAQPDPISRRILLPASQRML ANIA_07757 MEDSQRGNASMMSMMDDPFVVSPEGARDPPSTNQYSTFDAQLFN LDASTPAQAKRALEAHLAETERRLEEASKLGTALVEQRKDLEDKLREVEQQQEEGQIG EELRRKLADLEREYNEIGQETARAFLAPKRLAGGDDGHLGTPSMDQKSPLHSALFAGQ ATNSPSKVSVPSRKSRNQSNRVHDIEFATEISTSLLAQVRQLQSLLAEREETLKTVNL EKSRLELEAEGYAQRIRALDESEERYKDENWALETKIHELMAAVKDVTDRETKLTSSL GAATAEKSAMERELEDLKQANAKLIEDHTAAQKANDAEINTLRRNLSAGDAERLTLQR KLEDMNTQNQELAKAVAMRLRQQEAESTREVVRPHDSEDEEQATPENSPPPSPNKFTP RHNHLETETLRSSLGHAHRMIQNLRSTIHREKTEKIELKRMLQEARDEVEQRRRDSVA ANGPTNKRQKTKAETRKPARPDLLGAGRKKAEVEIHDSDWESNAGDISPTHKASNDSR DRRGDQPIDDRSDAYHTATEADDPFETANERETTTESEAFQTGVESMAGDSTDSDELT ETEDRVQRTPRGRVSSMTLAKARDRTSYYSTASTSADEGDSTDPGTPSISQFSTPRYR LRKKRSVLRKIRPSGEAPMAFNSRPSSARESPSTSFTRDTSAAPEGQSLFAELAEVDG DEDDFGPPMQFEAASPSTPRMLPGFDSRRPSAVTVELPSKPDMVDSGVMTDPWEPNLH LASQTDDETVISVPVTPDKPTMSDASTGMDVVESPSLVHSSTQWTPLKPNAETSDDHV LSVPTPPKMAWDGQTLNEERKVDIPDSPTTQRELNISSVSFEETEPVAPSFPELRTAF FVGSTTEPVAAPVPVPPEVALSPISSQTTQPTEPVIPAPPEPEPIYVPEMAFSQILVE DTLPILAKLPEPAPERVFAEQGTSTDIAELSVSAISSEQTEPVEPVYEPKQDVAIVAE AVPEGPLSFVEQGTNTDDVEISFPAISSVETEPVAPVRETKDDVPEPVLSLTEQGTST DTVEFSVSSISSEETEPVEPIREAKEEAAAVDDVASESTHPVLSIFLTPPAYTEPTAP KLQEAVIPPAPQLALSTVSSVETPPVQYTPDVLILPTPPALDENTPPSVMASTAKATK SAPPLVVVDDNTDKGTADGLVTQQNGVTLPLGAISGNAAPRRARSGSSNQADQGAQTI LSSKQIDQLLIDRASVRPLSPPDSDKLNEMSNSPFATPKARSRPVPQASNASLHKRPG SAASQASSVQIHPPLPADHKEAIMAAEKKSIDQRPASAGLMGPPLAPASAVRASSQQR PRTPNESALQVGSAKTTTSRASVRRDSHMSRRSSVSSFASELEERFNMQPNPPFAPQG YSTGTDPRMIQAITQTMIGEFLWKYTRRAVSGEISNTRHRRYFWVHPYTRTLYWSEHD PQSAGKSEGRTKSVSIEAVRVVADDNPYPPGLHCKSLEVVSPGRRIRFTATTSQRHET WFNALSYLLVRNGPEDEEAENGVTLDDIDEFNPGFRSRSRQTARMSVSSSQSRGTRGL PKQRSGSAMSLRPSVTPGRASPYPPSHYSDQARQASSSRLSTIFNSTIKGSFGRKGPY AASSLNEDSIHNHDDSVEDLRHMMDRGDDVDRLENVRACCDGKHDVSSLSRTSRYSPR ANRIHSHH ANIA_07758 MARDDLTTRQWLLALLEPAKILTWAMSYYVGANFKAVLSGRLLA PILNAHQLRDEAFGKFWVAFSSNREEPPSTDHLSPGDTGATGATGATGASKSPFPSSS LSSSAKEDEQKALQSSDLIPPIISQATGVVLDVGPGTGTQIPLLSQIPAANISVIYGA EPCLGLHEELRRRAADYGLAQKYTILPCSVVQKELGPELKSIGLVSDSSDGGDGGVFD SIITIRVLCSVPDPETTISYLYSLLKPGGKLLVVEHVVNPWNTPKGSILARMMQGFYH FFGWRWVMGDCCLNRDTERLLRRAPERAGDGGWESVDLERWFERTCMVYIAGVFVKKR ANIA_07759 MSIPPSSVTTSRPSNLTVPATQNTAPVVKFRCLFTHDMRRKAKR WQDGFLRYHTFNKRVMVYDTTGYFIGDLHWRAPEGIQDGDELELDKGVLIQVCEPLEK TETDLSSLYKNKTQPSPSRPSDPRPSSIRSTRPLPSQQAPRSLNDLLGIKKTSTPQVH SSHEQRDPQKHKSTYQLHERPTKRQRTSPGRVNSPAQEIVDLSDSPSRRQSPGAGTSS EPPRPQPTTALPKTPEASLPTGSSAAVKPPQPPSDRPTMKSGPQTLKPARPSSGTSVA TLRLTNTKPRKKLMYQETLAPIQRPLPRNQLSRSISQHQPTIQHETSSPVIVIDDDSL DTQPIITREAMRDTAKPCPPSTSNAEGDLNPPVTKPSLPDGHPRTPHISSLAKQPSGA APKPRSPNLRKSYSDPTTIDGLPLRPLATTSPLNPITKQNDEHEQGPWTSEALDLFEF WPPGRPKPP ANIA_07760 MQSVQRQFGRFMKRSADDSQVALLLKDFDQVDKLLDKIVDSTKA WRDAWSSLLTHQDRMLVEFESLYAPIIGSTEPTSHTPVPTPEATLARTTKLKTEYEDL TSDILHDLAAIDQQMIAPASQAKECLVPFKKAIKKRDDRKLDYERHHGRVENYSKRTK RSERENASLLKAEEDLARATEEYQAADEHLRQHLPPLITAVFSLLPRLLSAQIQIQNN ILANYYTVIYGYCEQQQYPFDPPQPLEQTVAQWEQACHPVQQQIESFACLANGKAVRS NSQPSNDRRPSVPLNALNRFRSSHSTPTQHAQSDHDKPTIGARSKSTSNALSSHSADP LSKHTSPSSLTEAHASLHPMTASHSASKPDSLSPPIPLASKPSLSALAGKKKPPPPPP KPRSASSNMFVTALYDFGGQGEGDLVFQQGDRIRVLKRTDSTDDWWEGELRGVKGSFP ANYVE ANIA_07761 MLEIFMHAFWSNTTTDHDRVGHYAASCAGLVYFHFGKPHQMRVN TSDRAQNSLARSMSAQDRLRKSKSTRFARHSYDHSSTSEPFDPELARLFATAAASRAM AMSRSADRSSTLSEGSYHRIGGPHSMAVPPRRYKRSSYSPGCSSTGDDPPVRHKASSP ITSSETDQPWSAALPSISEFGAVEDRIASLPSSYRRLRKSRSMFSTRQRSSHIPYGLA SPEPYSPTITTSRGQTSDPPRLYRTLRRSMSFLRGDNPPRNTLRHTRSQDVSVQLARS QYQQDLMSSPDSTAWGPLTMAKVRREHKPFRKTCRGTSALDGTSVAEAPLEALKGPQS LGKARAFSTTIKKGIKRVLGLSRNVSEQGKVHASPSSSRQFAESPSTAVDNENDCRDG CAAMNEHIHESAEIRQPTIRRMQSSASLATSRSRVTSWADSTAANTIATPRTSAQQRL SIVSEQEKLVRPDVPPVTTDSIASNAVDSHRLFSALMKRIGGTNAQASEDKIVIGQVK EHRVIPTQGSLTSHHSKRTIRQVSSEISVNSPRSFATANGGPITPYEQPQVHGASCAH SAGLTTKAYQESNKTAEGDISRAESASSVYSRSTSGLSPKLKAARDSPDSAEEPGVAT IYASERTAYSSPNKYADSSEYVPELPATDWQNWMDSQMARIENATPTRHYRENAEIHG DDTPNFTSSIPTRKIRVESRGAGIASEDHGGLSRKVSTSSNFSRPFSRTSSLRTVVKA RSPSSNMPTPSVPPLLADDVFQDSGGQDRFLKSARTGQSNVGVSPMLSRSSNQPRMVE SPTPKGSATEVSPKMNNARSAQYLTKWSPGTYDAKQFHRRSARFMRENRRATNENARL EQGVYDQASGLQSPMSSKRMVEIFLNSRRRQMGIDSDEGTSEPAFL ANIA_07762 MAEEQSSDPVRLDGRKLEGGGQLVRIAVALSALTGRAVVIDHVR GNRSGKRGLKASHLAAIKALGELSGSTLVKAQVGSCSVGFYPPPQEQGRPPQTSSDIN IRLPTPGSVFLVFQALYPYLLYSDSAEQIRLSIVGGTNVSSSPSYDYVSQVLIPNFAR LGLPPISVRLEKRGWASSQGHLGKVIFTINTLRRRRGGASSHDDPSIDLHRCRRGKIS KIDITVLAPDDHLVTDTAGTTKSESSKRGIWEDVAAELEENEKQGTVREFTERYVRAT LRRRLKELPRDVFLRPQLEGASLDRRPHVIPIETHTTEATHRRSCLYVLIVAHTSTGF KIGRDSLYGSLSEQPRTKRRQNKQGEDVRERVERLVDDCVESFIGEIYDPLVQTQSSA SELPRHRPCVDEYMRDQLVIFEALGQASNNKDHQEYESREDEKYWSLHTKTAQWVCRE LLGQSSAR ANIA_11023 MEYLRLYFFTYNCALSFINVEHFSSHFFDAYPLTDNLSSPPELI VLSLQEIAPMPYAFLGGSFLAPYFASYTQAVNRATVERFNTHYVNVLADHSGMTGLLI FARPDIVDQLSSPGIARVGFGFQEIGNKAAVASRLSYQSPSTPEAGLDLILVAAHLAP MEGAVARRNEDWRSMVERLVFSDSESSIRRNGGNEDESETEALLSSSSNAAAKYPGIF APSNYLFIGGDLNYRTADRIPAKDEYMKYPQANVEPDDPLHFSHLLKNDQLKREMQES RCFHRLSEAPITFPPTYKYNHDAQVAALDPAHADKPAEWKWSSHRWPSWCDRVLFLET PPGLGDEAKIQVLKYDALPVSPTSDHRPVALTVSIPVLERREVSGSQTISPFPIDPNW VRRRQVAQRKEYLAGWVTYLGLTWEGNGLLLASAVGIVGAWFVFRSILSS ANIA_11009 MLVARPIQRILVANRGEIAVRILQATREACPSIKTYALYTDNDR THCDIGRPHHALHITPDGYLDIELLVRLVQQHAIDAIHPGYGFLSESAEFARRMQDLG VIVIGPGWEALDRTGDKLRAKQLAVENNVPVLPSLEYDMATPRATGLGKIKSFAHNVG YPVMIKAVDGGGGRGIRLVHRDDDLGHAVRASAAESPSQTVFVEKAAIDGFHHIEIQI VGDGKDVQHLWERDCSVQRRFQKIVEIAPSLIRDRNQELVSRIADAAVRMMKAIRYRS LGTVEFLVNEQLNEFYFLEINPRIQVEHTITEAITGVDLVQTQLLIAQGYFLAQLGLD TCPKARGHCIQLRLCSEDPRANFSLSVGKVMDFSVPTGNGVRLDTHIDVTGLSPVVVG AQFDNLLAKIIVSGSLTWQATVLKARRVLADTRISGVKTNLDLLRGIIGQESFLNGSA DTQWLERHLDQVVQEGVSTSGSLQAAAPSSHQTGAPSMPQSNLLFRPGDAWSITLEPL SSQRETQQQHHIQLTRVLQNDFPASISAEVQYSTPSSSTAYRVKLETTNTTASALVSS HRRGDPRNPRHIVLPLSGKLIEVLVLPGDIIEENQVVAFVKQMKMELEVRSPRAGRAQ WVYEMEEEEEDVAEGILLVELEEELRGKL ANIA_07764 MAADVGALFNAGPEVVKGATFEEGLGFQDLGGPMIHCTNGTIDN MAANEAECFEQLRTVLSYLPNCGRYNAPPVIPCDDQEIREDESLRRIIPRKQTRMYNP WTIIRSVVDNGSFFEIGALWGRTAITGLARLGGRPVGIISLNCEVNSGALDAAGSQKL ARLLKLCDVMNLPVLQFVDVPGYAIGTVAERTATMRWGIELAKAYFSTTTPIFSVITR RAYGVAGGVMLGARDPHVQVAWPSGQWGSLPLDGGIEVGHRHELREAEKQGRKKELYA ELEEEYRRLMNPVRTANAFGVEEIIDPKDTRKVVCGWARHVYDVLMPIILSFALGQIS LHILSLVLSAETLHNVHDLGID ANIA_07765 MNVQRLHTEDDAGIRYGSGVKLSHNSMSRRRKELTPDIRARLCE LKAIGWKVTKIHKRYPDIPYSTIRSTIMRENARKNQQSCPRSGRPKKISPEEQQQLLG LVETDQHIKMRELSEAVQSGPSVRTVQRLLSTLQKKKQSNKHAGYIAERISSEVSGLD SARQSVGAGDQVLD ANIA_07766 MMLWAIAGVPLGVYNIVSELNIALRVQAQILTFLSLVTWAQCLY YGKKHSIRKCIGAVLSLLLLLGAIETGLVFALRSAKDRNLKWPLTIMAVLSSCFLAAG VLRHYWDIYVHRTVRGISFIFVAIDAAGDLFSLVSVVFEPRLDILGMVIYGTELALWI GVCLCGLVFNFLPWVVERERSRKTADRREPCEPEPGSLSLRQMPSSTSVFRTASEEAV RRAHPQAARDT ANIA_07767 MESYTVNLTIPNTHLLVDGESTAPIPGSVSVSPATSAFASPVSA VCRPEITVKLVRLVSSRRPRPPFPGNETSSKTLPWRRMTAQVQSFPSQIPNAQSQTLA KCIIWHSLDVTSLDFQERKFSFSLPIPGNIPPTADTALGTVSYTITATVRLASSVSVQ DSQPIRIRRAAPPEPVWHIRAYPGSPVVTELCIAPCPPETKSTGRQTQYDIEWQAKST ILDGERESEIKYVVAEEVRWQVDETVKCLSLARQENRTNRRKIVRSQERTRQICQGAI AGRWLAGSGRKDRPGEAGAGGRIEIPFQVHIPTAIDEIDASSYFGDPECVHGEEMDEM ETLAITVRHRLHLEVFTGEDTFHRETGDLVERRRRVRSYKAVFSLPVREVAETDFLNQ LRAATGLPMYEDPYPALPEYSGE ANIA_07768 MISGSGLIHSPQEIEQSEAESATLQLPTPERTPDPQEYDRGLTL DHDTEFERERIEQQLGILVRSGGSEEMAIKLLKNLYLRKYHDSTNTDRRLHEILLENQ RLEDQVKELDSSNLELFNDAQKWQTTANELRAAMDLTGEAVLRDAQKEVDAQSFQKLQ ARERKLKEKYDAREMKVAQLESQIATARKAIEEYKTKLAANDQMVDEWAEGQQKYTAW LSHENLRLRKQLNEINAFLAAQRTADFGRWEQVLPQFNMQAQSLQDKTLASKTNQLTY GTVDVDMHDANSIDMAMEPLPDRHKPPFPVRMRRRMYKSKTKAKRAGSSISRAARPLE CSKGYSEKRAASTYLQYRDGMGKETVSTLPVKGHSDGLPKAFPRKRTRLQKSADMTKR MEAVSEVRRLPRLPRNLTVRVSAQAYTRRDDNELAKLMTEKWSLTEKEPPVEVKGEED EIMAKLVAVTRTVEPFIPRPKTFSGPTYLPKEPKPLSRNERQHWAKTTNKWTLGPQKK NIAADMVTKHVRFARKVEYEPDWSAQRIYYEPRSGYTFSWSHATIAILLVLLLVSHLR PDEGRSWREANERPEDVVAKLRVSGHGEARVPVVDFEVGKWADVDDGTETAPELLVEQ GRARRADGRVWTPVVLHRAGPACGCTDPALTLKYACFHQTVQVLSPSACPEFAAGQT ANIA_07769 MPVSEFRLRETWRGSSAGREIPKFVIDGGRGGRFDATLAEQYAF RCSTLSKTASLRKEGPRLLAQFKATRSVPEGERVRYNKFLVTTLRTVEGFIERSGRRI VADSEERNEVFSRYAAFLNFIEVQGNRRFSLVGLSPNMSSVPFSPPFVSLSARSQEEK VKRRRCSVAGSISGLTPDRHALYQAKYQQYRDEFGLDDCGSETEPEQGFIEAEIDRFL KELGQNVDIQDLEQSSRRFA ANIA_07770 MPLPKSDYVSDSWKDGLFTNKVVFCTGGAGTICSAQVRALVHLG ADACIVGRNVEKTEKMAQDIATARPGAKVVGIGAVDVRSFGSLQSAVDRCVKEFGAID YVIAGAAGNFLASINQISVNAFRSVMEIDVLGSYNTLKATIPHLVESAKKHRVDSNSL KPSPAGTGGRIIFVSATLHYTGTPFQAHVAVAKAGIDALSHTVALEFGPLGVTSNVIA PGPIASTEGLDRLLPSDQLEKAQRAQPLGRFGSVRDIADATVYLFADTGSYVSGQILV VDGASWRISSAGIGSSNYPDFLLSGDTFQNVKGKKKAKL ANIA_07771 MACPITVSKFVGTISLGLLTGLSYSASTIAVPSLSLLSTSTNAS KSLAEVKRLNRKHGLRLANISNICLLLAYSISPRHRKHPYLLWMTLMSTVGTYGVDYW FHRQSGFKAWVCSVVNDTGYSCLLGKKSQKKEDDIVVVEAEEKGDINGEAVRREMETE RRLQGVRAVFAGLALSMGIVGLWGDRRP ANIA_07772 MHLLAFLLLPPLFLIARYLATLLYTIYRTRTLYSIPGPFWARFT RLWYFRRLWNGHFEEDNVNLHKQYGPVVRIAPDHYSINDHAALKTIYGSGTKFAKSAW YEGWKHPDPQRWTLFPDRDIKRHGETRKRFSSLYSMSSLVHYEEFVDQCADVFFDRLG EFADRDVKFNLGEWFQFYAFDVIGEITYGERFGFLDKGQDIDGTIGALQNLMAYSSLI GIYHEWHPLLFGPLSRFSWSGAGGRAYIMRYVQQKIARHSLQKPQSDVEHGPLKTQTF LEKMILARDKDPEKVTDYHVFMMGLSNVIAGSDTTAISLSSIMYYLLRNPDVLDKLRN EIDEFTVQGKCSDRVTFKESQEMPYFQAVMKEALRMHSATGLPFWRVVPAGGAEIAGY FFPEGTVVGVNAWVPHYDDTVFEDAEAFQPERWIEAQKEDPEKWKVMNDMYMPVCCHK LLQTFGLGSRTCIGKHISILEMSKLIPRILREFDFTTGEKKWNTANHWFVKPTDFEVK YMTPSRKRK ANIA_07773 MGLGELALSQLTLANVVLGGIAYIVLKFIYQIVYYRFFHPLSVF PGPFWGSVTRLWIAWHNLKETELPTIYGLTKKYGPVVRVTPTLLLVSDPTKLPEIYHR NADKTGHYITGSFGETESLFNMRSHKTHAAFRKHAAGPYSFSSVKRMEPLIDARIRDW SNKLNEKYVQTGEAFDFSWWAVYMAYDIISEVGFGAPFGFVEKGEDVGGLIQGFHDGL PAFGLLARLHPFTSWMKTTFLKKYLVAKPEDDSGIGVLMRFRDGLIEKRVQDLKSNKD IGRIDLLQTFLEARTEDGQPLTMDYIKAEILLVLLAGADTTGTVFQAMIYYLLTNQSV YERMMDEVDTAIRKGLITDDVPQYIEIQEHLPFFVAIVRETLRMCPPAPNIFPRYVSE PGLDLYGKVAPAGTEITGNPWIMHRDEAVFGKDAEEFNPDRWMDPERAKIMNKYLFTF GYGARVCLGRDIAMMELFKGPLQFFRYYKPYPVQGKPEAKFVIKGGVGFWRDMWVKIE KRPLVKPE ANIA_07774 MVETQGPTVTGIALAFAIITVFVILLRLFARLYVLKKFDVDDAL IIFACGFSWAFSAVTIVAVKHGLGMHLDDVDPSNMVTYAFNVWLSSMFYLSCLGFVKT SVCWFYTRLGDKYLRRMSYIMFGIVACQAIANVCTAAFQCRPISKAWDTTLKGTCVNI NVFYLANAALNILTDLLTYTLPVRVIFKLQMPRKQKIALVFILCLGLFACVSSIVRIT YIPTMLSSDDATWAISGAMYWSAIEINVGILAASIPSFKAIASRFLPRLIGEYSSNKA YNYAYGAGSGFSKVRDPSRNQSVHMHSLRGRANDHTVIGTGNLSGIDRSSEERIFVPE GKIYAHTEIEVRQD ANIA_07775 MRLMLRSSASKLLSVLCAAACMDPETGIYEYRASHVLPIVFACV LSLSLAMHIYQNFRYRFWRITFWMFWGSLLYTVGWILRAISSYSPEHVDLYIAATVFV YAAPPVFSASAYNILGRIMHYIPMFAWINPNRTVFFFVYIGTLVEGLTAAGGARIATG ATELDKYRSGAALIAVSVVLQSVVEIGLVSMVAMLHRKCARAGMLPRNLRFLFYTLYG TSTLVLVRCIYRAVEALYTIDPPGVACSDTCEYFQRKEWLLYALDAAPMVLFTFWINL LHPGRYLSRDKKRYLDFDGATERMGPGWVDSRSRFETFIDPLDLAGLMKKNKHHTKYW EEPERWPVCQDAFTTGTASNARGPRAPSPKPDGAV ANIA_07776 MLLMIGRIWVAWRYRGDAAINTASSVTMPGVPSGRGCDQCRKSK KKCDEAKPVCTRCARRKIECVGAGEKRYKFMEQDPAVARKRVLTRQDAPEFRNEVVRR IAANPINSATLLGQRLVAAIKPQTDLRYNLAWAFGGYLVRVPQRLGVNEALDAAVDAL VTAHQTFASCKVITVSSLTKYSRALKALRRCLDNPLTAGTSETLCAVSVLLLVQIYLD AKTDDEQLFEGLFNPRIDLTPTEWTELVQNELDAGTPEGEMLLNLSRVRDILSRIKTN EHGLAGLLILQAEMRSLYTKTRQICETFRAELHRIENPVQDSLPKPFGLPPTLLHALT QRFYGLAITIALYMNYALGAITRDVDVSANANYFALEMITIAENAIQYRPFGAGYVTV GLTAALMTVKDPGLRALLQIWFDDYRDDFNMPDMDKLQEAEKFALLDPYRSQRMPDVM PETQEHALYEPMPL ANIA_07777 MPEPSLSSSHHGSHHGSQRDEAILSQHLDPQYTAALHEEAILPD NGDGTASNATDVSEPQSSLLLQGGDMHRDLYRLDAKTKRARMDKRAATFSAAPRGSDL FEEAVAPLEPGSFRRNFIRQQGQDHPALHRSFLEYLDLYGNFAGEDLEESEEETEQGE EETQQEAERRPLLGTQRHRRSRSYRPGDASNVKTFFTLLKAFIGTGIIFLPKAFRNGG ILFSSVALVTVALISTLCFHLLLECRRRYGGGYGDLGEQIAGSKLRSLILSSVAISQI GFVCACIIFTAENLRAFFVAIMPETVHSLSTLRLIVLQLVVLIPLTMIRNISKLGPIA LLADAFILFGLGYIYCYDIASLASRGLAPRVDLFNSDSFTLTIGSCIFTFEGIGLILP IQSSMKKPQCFDNLLYTVMFIITVLFTGVGALSYATFGADTKTEIISNLPQNSRLVNT VQFLYSIAILVGTPIQLFPPVRIIEGNLFGSASGKRDPGIKWKKNSFRTVAVLACGVI AALGAGDLDKFVSLIGSFACVPLVYIYPAYLHWKGVAESPWAQRGDIAMMVLGFGFMV YTTAATVSVWVADS ANIA_07778 MRFTSFLTAAFFALGFALAENHATTSDSGRTSPSTNTTSTCYKL DGSEASDHVPCVTGYSVNCCHEDDICLSNGLCYQQGNRGMADYNRDTGIAIVKISFED EPQYCCGSVNTQSGDSDNDDEENDNGEASCEFGNPFPIPAGTAIPDIAGLSSTSEPHA DDNAKDSSDTDSHSDSRTPARMTTPLAIALGVGVPLGLILMGIILWAVWERRRRQLRD EETERVLGADGVALTGAGSNMGMSMRANLPGLHHRYGPIPSYSGRGTPSQSGFFVAQG QPLGTPAGTPPAEQEQAQLEAQVHNQGEGVMLSQTQARAHNETV ANIA_07779 MVSAEKTGDDSSADFDAESGHLPSHGEDEIELERINTYRLQQQE TVGSSRSRIPREQWLPLGAGKPYPPDLPNVEAYIVEFEGPDDLMHPQNWPMTRRVALG CILTFAALVAAYGSSVFATATPGTMSEFGYGREVAALGTTLYVLGFAAGPTIWAPMSE LIGRRVPLLVGMLGFDIFIIATATAKDTQTVMLTRFFSGLFAASLIALVPASLSDLFN SHHRGIAIAVYTMSVFLGPYTAPFIGGYTAESYLGWRWTLYVPAIVGFFALGLLVIFA QETYAPTILVQKAAILRRQTRNWGIHARQEELEIDIRELLTKNFTRPFRILFTEPIAF LMTLYMSFLYGLTYAMLQAFPLVFQGVHGMSLGVSGLPFIGLIIGEILGAAFVLSFYK SYTRKLIANNNVPVPEWRLPPCIAGGIAFAGGLFWYSQPLCFLQQLLRMRTGSAGPAS AYLSTGWPRPHLESSSALV ANIA_07780 MITGLAHINLLVPADSLEHAEAFYGGTLGLTSAPVPHLQKGTIL WFNLGASGQQIHIAPGINESKTSDRHPCFKLESLEKLQELQQRIWDHHVRGGDAAPLN VDKPGENSGTKGVEYPSRFFARDFAGNRLEFSL ANIA_07781 MRLFPLAALSLSIPGILALPHLGPKRPNRPNKIVDDPKVGYLAV YWTTEDESVYFALSSNDDPLGFEAINGGNPIVSPTLGTKAIRDTTIIRGEREDEGKYY IIGTDLDIDTTNWGAASSNGSRAIFVWESTDLINWTDERLVTVEDERAGMAWAPDAIW DEEQGQYFVHWAAQLFAEDDPNHTGDPALPSSLRYAYTSDFRTFTEPQTYINLGNETA IDLSFLKVDDSTLVRYYVDGATTSPIQDISTDGLFGEWTPLDGTIEDSLSFEAPYPFW DNVEEGKAYLLCDRVGSNPGVFAWESSDVTSGNWAKDEEHDLTFMRHLSILAVTQEQY NALSAL ANIA_07782 MAKRTLESFYKTVTPPKSKKLKADEELPLSYSHHSSYPHPIASL PPSLASALASLSGAESKRIANQPHLDLLYFHPLIPAPTARDLFQFLRRELPFYRVQYS IKRGGQTTQINTPRFTTVFGIDATAAFVPASATESESKLGPDVLVPVDVKTRRPIPDN KYQYTPRPIPKCLDQLRQAVEAAVGDGSSYNFCLVNYYATGDDSISYHSDDERFLGPN PSIASISLGAQRDFLMRHKPSQAPGVSNQPLKFSLASGDMVVMRGETQSNWLHSIPKR KGGESQKGRINITFRKAVVPGGTNNYYNYNVGNGTVYRWDEQEGKMRAQSGTKTEEVG S ANIA_07783 MANYHNGNPPYGQSGNQPHYDAYTPPSTDPPLRRMPSYSAGDDS SLFAPQSSQSRVAESHRYPNRASVGEYSGSFGQRDNYADPRYAHLPSAASPRARAQSQ SSYQYQYGSLGPMSPTQPSYNPQQYAAPPTTSQQHTGYSPLSYTSSNSYGNGNNNISP THQPYNPAAYQAASLGNLGSPTIQRQSSMLFAQTPLSPNPYGSPHSSLPPPPPPRGPD HPYGGRPSVAYPSTSPGAQYGFSQHSSTASTYSLASPTTPGTAYASGSGSLSSMTSFN SRPYGGVPIPSHISHPSRLSDPSRTPSVDEEPPEPPAHLSSGDTYDKSYGEVRIPARS LPTPPVHQPQSPLSPQRTDTLTRHPQARPLPGPPVETEYGHMNGTAQPADHTPGYDDL VREVDAAIPDKQWASYQIDRPLHIDGHSQDSVDRLNLPDSRQPSSDSVIAHLSPDERH THTNGSMATGTWQYVNYDAYSDESEAEAEAGLAMLRMADEEERAQAERLQERERRETN ASTTSSLAKRPSVTAASPIQATRADWYPTHSGNNSLGHSPYDDTALGAPPYGNEADYS GHHQVATSGSRHSSNASREDRAEYSDEYDYPPIEDDYAFHPFPQLPSTARVDAGGTGG LSEPSAYNRRMSFDYGEETDGSLPHRRQSHHSGSEGSFEEPGDLFFHPGMRPLPPPPE EPADNAKLLPHLLPAGTYRQLEPDYSSPYVPAPSPDVYATAAPSPTQFSRSTSLTSHP IAPRADPPIRSKTDADKLKYKQQQEMLLRQGALKLDSPMDAGAAAIPLDLPVIPAGRR KKFHPSKLSSEDFRRCAEPWALSAVLTWIRDLSEEENDLKTHAVVDAIVALFTHKVPT MNIADAETLAARVVENMFDQGALIKDEEWVKFGNGQISGVLFQITGTGCYSPVLHEQE TDAEVVGRCYSHHCMRTLRKVNLRAQDMEPQKKAEDWVTFYKVSKEVLEKHPKKEIDR QNNLHEIVTTEDSFISQLDVLRELYRDRLANSEPSIIPPKRASKFLNDVFGKVDAVKR VNEEYLLAQLKYRQKEQGPFIVGFSDIFREWIRKAKAVYTSYAETFPYANYLIRKEAE RNVLFRQFLSAARDHKMSNRLSWDTYLKAPITRIQRYTLLLSTVHRNMPKDSEEKTNL AQAIEEIKVVALECDNKVGEMSKKVDLRELASKLQLRPDMRKRVELNLDFLGREVIFR GDLQRPGSRTRFLVDTHAILFDHFLVLAKLSVTRDPAKPIKYEVYDVSKMPIPMDLLV LESTQEDPVVKSAVRGVVAAGQTPQPGVVAHSNADKALVPTTVLESSKDDKVLYPFKV KHLGMNETYILYAPSAQNRKDWCDRIIEAKTKHAASLYAQNAEPFRLRVLADTAFASN DSSPPTHTAIIKGTPLHRAVKDVEKQYPASARPNPICRAAVHCATVFEQPPGRKMCAI GTDYGVYISEYNDPRGWSRSIAMARVTQIAVFEEFNLFLLIADKSLIAYHLDVVCPAS GVPTGAANDSTRRAPQKLSGNREVGFFAAGHMKDRTLVMYKKRDGLSSTFKILEPVLQ KSASSRSRFFSSRRSNTEFFREYDEFYIPAESYGINLFHSSLAISTQKGIEILTLDKK QTWSVPEFRTDAQEAQAHLSEIGRRISGLRPLGMFRLSDTEFLVTYTECAVYVNQHGD VSRSVVLEFVGRAHSACLYGKFLILFNDDFVEVRNAMNGRLRQVIPGHNVVCLDDGSR LPGSGVNSIPTSSGDTVNLSSGISNGAPLANQGRTVKICMQHPHYARNLVVLELIENE GSKD ANIA_11015 MSSSLPLHPLLDNGISQGKPNFPGGKLYCHCASNKVEITLTSDV LHNHACGCSKCWKPKGSIFSVVGVVPTSALSVTANASKLAIIDKNAPIQRYACKECGV HLYGRIEVEHPFKGLDFVHVELSEGGGKEGWQGVQFAGFVSSLIGQGLDPELVPGVRE RLKSLGLENYDALSPPLMDAIATWNAKRDGVVFRSSL ANIA_11010 MMQWTSLVQKAQSFIDPANFTIPNLTSSSDRNPSKSSLFRQQFR LPDSQNPLQEITAELILPVSHTSSSASGAQSKNIDRGGNRYAGRLHLSERFLCFSTQP TSFLPSATLATSTYWAGQTNGTGPSGNGFTIPLCSIRRVERLNSQSHIFSLALTTWNG ALGKQQAPGFTPQRFTIELVGSRQACERFCDGLKKNLRESMKEIENLRLVVNDCYSEY LLSGAKSKAQSADDPEARPPPDAGLGMLFRYPGDARKLRDRSKMRLWGEYFRENGRNA TLIRQPTFHKLIRVGLPNRLRGEIWEVASGSLNLRLRSPKLYEQTLAKFEGQESLAID EIEKDLNRSLPEYAGFQSEEGIGRLRRVLTAYSWTNAEIGYCQAMNIVVAALLIYMSE AQAFFLLSVLCDRLVPGYYSTTMYGTLLDQKVFESLVEKTMPILWDHLNKSDVQLSVV SLPWFLSLYINSMPLVFAFRVLDVFFLEGPKVLFQVGLAILRINGEELLDVQDDGSFI SVLKSYFSRLDESAHPRSENPKLRAITRFQELMVVAFKEFSQITHQTITEQREKHKDA VLENIESFAKRTSIRNLGPESKKLSSDDLGAIYDRYYEVLYDYQQRQKVIEEEKKRQE RKKSQRVSVIGPSVDREVGRVGLGPSPTHMDYNAFREFLAATAKWAIADSPGPSRKQS DSGSFRGLGRPTMNKRPSPADHEFMQRLFRKWSTDPDDGLSLQDVVNGIARLKGPRDI MNNITYFFDLYDDNGDGKVDREGILRMSEALLFLSRRGFDGTITPSQSTENLMPGKEE DKLSTGERFLGSVSSFIRRCFEYADPTKKTLEAEKLAETTDNLNSFSIGDDEEDLIDV GDDEVKSESTPSPEGETPGTPSLEPTERKRARSTSEAANPALDPNNPLHITLPTFRMV VLADELLEQFFDNYFPQSFHLSEQGAAAAQLPSLSSNLTTFSNIGAAKQQPTSSGPTV AGASGGIVPPNRGLRGVLDNIVTDGIRMAAEVKKRMDEAQRELERNALNRDDDDEDDE DDDGHHGTAPAIVGGISSWGAGAYGADPERRSVRETDRDLLEGAEVVNIRGKDDVSLL DDKDSHQESSSGQRTAAGSDDKVVSKVVEFES ANIA_07785 MTLRSFLLGSALALSSASALLVVPEIGADGFVMPDDVARLNPLE AQAAQQQQVDLLCTGCPLAIQSGDETSLSLKFTVDDGLLLANDRQIFPPAPPSQISAV QRRVRDGEESEPVPLGYAVELMPIPSPPQEPFDMVEVRFTVLDLDGYPVPLDTIAITL IHDVEGTLYMAGTNIEVTADRESWEQCGGNARCLRRFLVHRIRAMFAAAKERIIGMFK GQGCADASGAPHPPPPFLPPPGDFDRHESGGEPHRHHGHHGPHPPPPHFHDKFHKTWE RTLHRVVRFIVVPAILGVLAGLAASAVGMLVGQLAIFMWQRYRRSSRKETNEEGSVSE KQGLMAEPVEDLPPAYNDDEPIVEQNADKV ANIA_07786 MAESIPVILCGRRVEVGKPVSEVLRPEYEVIHFIASPEAVHAEL PLLLSGQDPQPAVTSPNDVGTHDYSRPPRAVVFGRGYAPEFVQQLKERYQSYCPEPVA WVMGDPATAPTDPPPPGYAEATANTIKNVLATWREQGERDAFLVY ANIA_07787 MSTTTMTTALPGRIFSHGTDVHYGDFRDDLLRNGFAVVKGAVPR DRALKYADEIYDWLEEFGLGFNRHDPSTIHKDHLPDINEKGMCLGYAISHESFTWAVR QEPGVIAAFEKVYDTPDLIVSFDSVNIGFPNRTDIKPNKPWPHQDQDPEKPGFRCLQG LVNLLPNGPDDGGLIVCKGAHLLSEEFHEAFKDEERIWSWYERSVYSSTKEWYGFTDA GLQWLRDKGCEWIKLTAEPGDLLLWDSRTPHYNLSSKTSQPRFAVYTCYMPVEDATTK QLQTKKMAFEETKMTTHWPNAMHVVDLPVHRNGELDPYDRKKPRKPVQLTDRGFKLTG IPYLEARA ANIA_07788 MEHKRKRLSYACNFCRQRKTRCDEEHPSCRNCRVAGVQCITTDK RHAGVIVTSRRRISSSPSTSTALSTATGAAATPVEKTVLRTPVSLSQASPLPAASPGL RPPTQCWDRTGWRSGRLPMMPRFLGGCMFEIMTEWLDLAFYRLRIPAPYPAPAQLAAS ASVPVSVLQSAPDLPPGQERRILSERFLQTVCSVFPFVSASEVYSLCDPRTDTAWPVS GQALAYLIAATALMAGYAQPQSAPAVYLSYCNSLLGHMVAERSRQAVQAILLLAIALR SCDQIAWAWDILGLGVSMAQSIGINQTHSEPDSTWWCLYVFEKILAFESGRASMIWDR ELLRPVQVAEEDEPGKRYRQACISLANMLHELQDRAAGAWRREEWLPQTVDEAIKEKV HTGGELATLLEEWWECLPAEYRTGPFSQQSAFLTFYYRYALILLNRSVLLIEKSEIRE VTDRYASGKPWQHRLINGAGVCVEAAREMVKLTVAMVDSGCPTYLTALTSPLSAVYAL AVHIFRERNSLLVRSDFEYDSITAA ANIA_07789 MPVQFEVDVPLEELKAPEIGYAGYRGLNSHSEIFPKGYQTAPGR RALPCEIQVDHDVAIAVRDGCTLYADIYRPGPASSAPVPAIVCWSPFGKKFNGIDSLR LMTPWNLGIPDGTLSGLEKFEAPDPAEFVQHGYAIVNVDSRGAFDSEGVMAIMGTQEA EDGYDVIEWIAQQEWCSGSVGLAGNSHLAIIQWFIAALQPPSLKAIAPWEGCGDLYRE QFARGGIYGGDLFDRLIVKYMLRGRNGMESFRQMYQQHPLACAWWNDKRPDMKKITIP TYITGTWTNTMHGMGAIRGWLEVQSKHKWLRWHPYQEWFDLWGNPEANKELLSFFDRY LKGIENGWEATPRVRMAVLKYGESSPLAGIVEPDFPLPRTVYRKAYLNADGRLLLDSP SSASGTVSYNSEEPSSMAKFTYTFPERTQLVGIPKAVLYMNCDEHDDMDVFLVLSKLS VSGELMFNLNIPWKGLPVSSIAEIPTDKRTEVILYQGPTGILRASHRAIDQSRSMHPN WPFHPHEREEKIPPGTVVRLEIGIWAMGVEYEAGESLQLRIAGHYQGISNFGTSDHIR NKGRHFVHVGGEYDSHLVLPFV ANIA_07790 MNKTEVNHEEVIPKDLKLHGAPGDVELLRGSDTILIPTPSLDPK DPLRLPPWRKWILLVLVSAYSCTSVVLCSGMGPIFSVIQAQYPGEEDRANDLLTYPTL FMGIGNLISMPFALSVGRRPVFLASMVLLVATGVWCACSQSLGSHIAGRNIMSLAAGQ SEALSPAIVQEIHFLHERGRKLAWFIFIQNVVAGVFFVVSTYMVSAWGWRWWYGFFTI MNAAVFALSVIFVSESRFARSPEDMKGEPAATPSSDSETEQYTPRTWRHDLSLCVVKP RWSIIPTFYKHVLQGLCIPITLWLLLLNGAFLGVYVFQSATFSTILLAPPYSFAFTSL GSVQAGQIVSCIIFLPLLGYGSDMTIRAFTKRNRGLYRPEFRLPVIGIPATVGVICGI IYGQAGSFPERWNASAIVVGYNASFFAFLGANIVGITYAVDSFPLRAEPFLVVICAGR GLISFGLSYATLPAVRSIGYDMTMVVEMVICAALALGAIPMFFFGPRIRELAKGWVG ANIA_11016 MNDQAPSRPLSLAVYNFTSSWFLIPQGTSILAVILHQLHYQFGA LPILAKIVWIYAIVLLGLFLGIYVARIILHPQHVVREIRHNVVEASCLASVPIAFTSI IQMISLQYNGGAEMAAYVLWWISTFLSLCSVIGVPYSQLKMQPSGIEHMPPTFLLPVI SILTSAAGGGVICESSSLSARLRVPAIIVSYMELGAGLGLATCIDACIVYHHFDRKYP RYGEAYQDMVLCGPFGQASFALQILGTAVQHSFGAYNRGSLLTETSAAPIAAVSQFAG LMAWGFGTFWWILAILSIVYTLNVQSGGLRNAAFSLSAWSLIFPWGVYTNGAVQLGKL MNSPAFDVWSTALLLMLVILWAVMNLFTIKGIVTGKLLGLEKGWRVPKSEHQTV ANIA_11011 MAVLHQYDYIFAVTTIFAFLDAWNIGANDVANSFASSVSSRSLT LKQAMLIAACMELAGSISVGSRVAETVRERIIDPHLYDAEPAVLMLAMMCAIIGSSLF LTVATRAGLPVSTTHSIIGGLVGAGTASVGIKNIHWGWNGVAQVFAAWGVAPGIAGAL GAIMFLITRHLVLSSKYAVHRALLSIPIYTFVTIAGLTMLVVWKGIQLDVELSAMQVS VAVVTVATGCTLLEILFLLPYLWRRVMIEDWQLKWHDAWRGPFLLSRPLPSPPPPGRS SLNIKDYYRGHLTQEELKCLRASESLLQSIQSADGYNDPERLEGTTTSRVEGVPPRPA GPWTSWPVIWWYVQRVLYRGLEQDVIQAQKRQTVLTWDIQDMHARAARYDNRAEYMYS SLQILTAATASFVHGANDVANAIAPFATTYLVWQNGNIADEVPVPTWVLAFGGGAIVL GLLTYGYHLMRNLGNRLTLMSPSRGFCMELSSAMTILMATRLRLPVSTTQCIAGASIG VGLANGDWRCINMRLVVWIYFGWIITVPVTALLAGMLMGLILNAPRWPAS ANIA_07792 MKLTSVFSLAAAGLSSATPLFIDITPRALPNAPDGYAPVNVTCP AVRPSIRSAASLSPNETKWLEPRRKEIISPMKNLLTRLNISDFDAAAYLDRVSANSSN IPTVGIAVSGGGYRAMLNGAGALKAFDSRTANSTAESQLGGLLQSATYLSALSGGGWL VGSVFINNFTTIDALQSSDRIWDLRTNVLEGPNVKHFQLLSTAEYWSDLVEAVHSRKH AGFNTSITDYWGRALSYQFINASDGGPSYTWSSIALMDNFKNGQVPLPLLVADGRNPG ELVVGSNSTVYEFSPWEFGTFDPAIYAFAPLEYLGSDFTANGSCVRGFDNAGFVMGTS SSLFNQGLLRLNSTSIPETFKKALASILEAVGQANEDIASYPNPFKGYQGSTAAISAI SELNIVDGGEDGQNIPFHPLIQPARQVDVIFAIDSTANIHNWPNGKSLVRTYERSLNS TGVGNGTVFPTIPDTNTFINLGLNQRPTFFGCDAKNLTGPAPLIVYLPNAPYTHMSNT STFDLSYSYADRDAMILNGYNVATRGNGTVDRQWPACVGCAILSRSANRTGTSLPDAC TQCFQNYCWNGTIDSRQPQDYAPALMIKTSAAGTIRPWGFSVLLLALLTWTW ANIA_07793 MRSAGNTGSKQSSLRTTAALKRSRPLDQLYNYLSVLLGYFEPLE TPPTSNRGRRDGSNQDLGEPQISMSSMSSICSQGYKGSLKNAQVSNDWHPGNMEATQK IMGARERPGIRQSRPEGPMAALTTMETETLVVGASWAGLWTLNLLKRRGLKVLLVDAC EDVGGTWCYTRYPGCRVDTEIPLYEFSDPDLWQNWKWSQRFPSREEIQAYVSWVVDRL QLRDNILLRCRVQRAEWDESSSQWVVSLSNGDTYRTRYLVLCTGYTTVPYIPDFKGVQ TFRNRVHTSGWREDIEWKNKRVGVIGSAASGLQIIETLGPQVAHLTVFQKTPNLATPM RQKEYSSEQMDDLKRQFYPEKLRQRNAMTGFYAYKNRATFDDTPAERERFYQSLWDQG GLAFWFGNYSDLLTSREANLEAYNFWRKTVHRRVADKATAEKLAPAKPPHAFGTKRPS LETSYFETFNLPNVDLVDVNEDPIVEITPEGVQTATKLHALDLLVYATGFDALTGSAL AIDIIGVNGMRLGSKWDTRIDGNGVSTALGMMTGGFPNLFFPMGPQAPTALGLSPQLA EIQGEWIANCIDHVNRQGRGRVEATAEGEEQWKQEVTAAAERTLFGETNSWYMGVNIP NRKKQPLCYFGGIGRSSRKSVDRQPTLADFLLWLRQVITRRWRPLCRGQNAGSHSAKQ LAEDGIPILRSDSPSSDLFPEHHGLPLLACGESWW ANIA_07794 MNKSRTSTDGNCGSNSETNATCLNSTFGNCCSSKGYCGSTTAYC GEGCQLEFGTCNDASVQTVSTTGSCGATLTSNVTCLGSEYGDCCSRMGYCGGNSSYCG DGCQSEFGSCDPSSSTSSSISSSTASSSASANPNLLANLTGTGDPDSEQGVDGGLSTG AIAGISVGSAVGGLAILALLGWFFFMRRRRSTPVPEPDYKKDPSQMESVVVMGHEIAG EGAPMHEMDGQQTHEMEAKARIQVPYELPGSR ANIA_07795 MGSELGITADQKPQAVYSPVAIWWVVWGCFWTAVVASGMAFLII RRDSPIVRVRSLTLSLGGVAFLHIYYWSVQFGVMIGPLMPGDSQYWIMGTWLPCGLAL FHGANSYFLHIAKMQKKYVKYSFLTDSTPDAKRQPSGLLSRFRRLDYSARVVILVAIA MFVQVFLTILMWVISRKFHSSWGAPGTEVHGPPMQQLVEQGRGWEWWPGVFWQFFWSW VVAPIVLWRSRHIHDTQGWRTQTIGCALSGLHATPMWLIALYVPAMEKVNAYWIPPQW ICVSIMFLEIFTVLLPCWEVMRHQSLHKDTLEAIKQWEIRTKGANSDSKSLGSTATMV DSMMSGWKSNNESVISDSSARESILNMSALEYVLERNPTPLQRFSALNDFSGENVAFL TSIAEWKTLLPKALCEGDEAADDMTKEMVREAFNRALYIYAEFISTRHAEFPVNISSQ DLKKLDNIFETPARILYGDEKAEVDPATPFNNPGMPSPTNSNFSESSVAAIKNRAQYM GEIPKGFTAGVFDDAENSIKYLVLTNTWPKFVKRQRRISTESTETLNAGNTAEFV ANIA_07796 MIVCRLLAGLAGGAPLTNTGGTVSDLWERNASGGPMAVYGLSST FGPPMALVVSGYIGLNLGFRWIFWILMAITGGFWALLTLTVPETRHSIILQRKAPVRH HALPPFLFLFAEPITSFSAIYNGFLYGLVYLFNEAFPLVFGGPHGHGFNIGQQGLCFL GMAVGPLVAFALSPLQERYYLRRVAENKGRAVPEARMWMARGGSLLIPLSLFWFGWTS YSSVHWIVPIIASSFFGAGLYIVILSILNYVVDGYQTYSASALAGVILVRNIVGAGFP LFATQMYERLGYEWASSLLGFIAILLVPIPFVFFYKGEVIRLRSPWAREHFNSSEDSP H ANIA_07797 MEKRLSLRQRLSQATWGWYSASMATGGIAVLLYNTPHQFTGLET IGKIVYIFNIVLFVGISLCMVVRFCSSPPALKESVRDPSETHFIATCPLAVATIILGA SSYGTDACGPWLLAALHAVFWVYVTASVVQAIAHNWYLYHRRMASQQPMAIVRCLPSF PAMLSGTIAAGLASDQPAGRAVPMIIAGTTLQGFGFVMTLFVYSEYFYRLNKDGLPDL HDRPEMFIAVGPWSFTALALIGMAEAAVEKVPAGYIVSSATDTAVAVATGQIALVLAS LVAIFLWMLALYCLCIAILSMLAPCRALGGEGRVPMSLAYWSMVFPNTGFVIATIRIG QVLQSEPVLWVASAMTIVQVGTWLGVGLVTMWKIVHAFMYQ ANIA_07798 MTTLRTARVLLQSKDSVKWRPRDETVSERNLDAVEAFHLANGSH NVREGRRRLDITVTVKLRVDLGLTIDIKQARAAWIALRQRHPAIASTVSGSKRVHQKP GTEHINAWLDHTFVTVAGESEVTAELLDSLPAAETAAMYFLQNANVLALRTPHHLMDT LGAVMVLNDFLEELSVMVMGRSRADDSLCTERLDQLACSLKEAASLPSASVSQLARFW SIQRRWLRSYPSVGIMSDQQTPCSALASWRDLEYSPLLTRELVTMAGKHKMTVAPVVH AGVAFAAKEYGPFTLTQNYNTIIVLDMRRPKDGPSSKNAISPQHAIWPVSIPVTTFWQ TAELFKRAYLDAESDPDLPALVEPAFAEIFQSQDPPSCPTFYSAPIVNSFGKIDDYLA SSYGGFTVEEFSLSAECSGEEVIVAVWSYQGKLKIRVMYNEGYHSAKSIERYVYLVEV ALKDGVGVWRSRE ANIA_07799 MVRIFITGSSDGIGQAAAKVLSEQGHSVVLHARNADRAASAQEA VPGAEAVLVGDLSSIAETKALAEEANKLPPFDTVIHNAGIGYGATASQEITADKISAV FAVNTLAPYILTCLMHKPKARLLYMSSDSHYGGDESLRNITQSHSYGNTKLHDVMLAN AFSRRWGNAIQVVSMHPGWVRTKMGGVMAPGALDRPARVLADWAIGKGDLARLKSGTF FTTSGPESAHPGADNVQKQEELLRICKEVSGVGVPGG ANIA_07800 MALDDISAVPKGALDTDPAVERPPPLLDADRSDSERLQPGVKRA EMLRKGWTRQGLIIAFTGLFLATLSINFGDYSTQVYVPYATSAFKQHSAMSAARVVGN ITRIAAYPIIAKLGDASLRSPLRRTGADSFCFFVKVFGRAEMFILSIVFQAVGYAIYA GCKNVGQYIAGGIFEAIGSTGFGLTQQVFVADVTNLINRAVWSTLPDSLTVIPALYLG TEIAEAVLEKNEWRWGFGMWAIIEPVCSVLLVGTMLYYQKRARKDPSPAEFASEPTER NVDDGWWKRIYNLVWVQLDAFGAILLLLGLSLFLVPLSLTGSGNSDDWHRGSFIAMLV LGVVIFVAFLAWDTWCAKKPFIPYRMIKNRTVAAACLLGILDFFHYSVFSVFFTSYLQ VAAHHGAGPATRIDNSLRVAFQVAGIFAAYFMKFTKRSQVWVFTGVPLCVLGMGVLLY LVDMGEGRVGNEAAFVTAKSLIGIGRGFYQTASQVSVQAKVSRGEVSVVTAVFFAAMS IGGAIGTSVAGAIWRSTLPPKLAQHLPAELKDQAQAIFGSIVVAQKYEVGTPARDAID MCYRQSQRMLAIAALAALAPMLIIMFFLENVPLTDETTLIELHGNREAVKKNHSGGEG KEARS ANIA_07801 MTHWAFSPIQPGAARNMAAWQIAGKKDGPYQIDVSWPLTWSESG DASGKSANAVYLVDGNALFLTATETLRRRESHRPSETGTVVIAIGYPITDSVFSPRRS YDLTPPCDHYIPPEGPDGSPKPEAHGGADEFLTFIAEIVRPFVELKVFPRVSFGRTAL FGHSYGGLFALHALFTKPSSFDVYLAASPSIWWNNRSILTEARRFISGAALFSSAHPV LRLSFGSREQYPVRQRVESDEMFKRRQRAAEQRRMNDNCEELYSELLASGRLCKLEVK EYLDEDHGSVIGPALSGGIMFLSNLSA ANIA_11014 MDKHCEHYGPSRGLSRIQYNFTCLPPRYLQLGPIISGINFPCSP GPYRLSLPILGWVRDRFGVRYLTTAGWVLFCPLLCCLGVPGRVISSASDSRRTEKVSF IACIYGIGLVMPFV ANIA_11572 MKAPSLSANAPVPVSMSESTSATWFAINSAK ANIA_11012 MKTTTKRIVLRGLLPILTRALNARDIMSNKIPDISDPDEFPYCI WYPETATEVTYRALAGRYPQVKYLIGRACAVAGYVGLFHELDLLPQFHIAEEARENQQ WEINDTIMKADIRFNAMNDYTLTVFSQPIKGCLNGDTAVRAYLDIKTKFKAPPDLDDL LYDGGRRTSHFDITEDDPINARFIMNNDLSRITPETKHLPYCIWYTSVPHPSTCKELF RRVPSMKPAIARACILANFPETWDLLDADPDENSMMDARQSHNPKYLRDLESKIPTRG CRQFHGSKFRVVPRHCMFDYTSIDPTTNVSHNPCTDYLVGVPYNGRPASMAQIELTAA LPHEMKKLIKELWERDYTTLTFDDEYYASLRNKGSQ ANIA_07804 MTVHYVHEGPEPQESRYSIPQHTTWMDPNNRRLRVITIGAGFSG ILMAYQIQKQCANIEHVVYEKNHDIGGTWLTNRYPNAGCDVPSHAYTYRFALYPDWPR YFSYASDIWEYLDKVCAAFKLRQYMQFRTEVIKACWNEEEGQWKVRLRRQRPGQEPEE FDDHCHILLNACGVLSNPKWPDTPGLHDRFKGRVIHTAAWPDDYGEVQWNSDRVAVIG SGASSIQAVAGIQPHVGHLDIFVRTGVWFGVLAGNTGAPTKIYSEAERAQFRSNPSAL VEHTKSIEAEVNGMWGAFYRDSMAQKGASAFFRQRMASIIKDDRLAKGFTPTFGFGCR RITPGDPYMHAIQQANVDVHFTAVASCTEDGIVGADGIERLVDTIVCASGFDNTYRPQ FPIIGRRGVDLRDKWKTNPEAYLGLAVPDMPNYITFIGPSWPIQNGSVMAPLHSVSEY AIQFLKKMQNENIRAWAPRQQITDRFNEHVQEWVKHTVWSDQCRSWYKNNETGRVNAI WPGSSLHYQAVIERPRYEDFEISYADANPWAHLGMGWTMLDRAGGKQADVSPHLCLEN IDPVWFKSIGGDVDILRKQLEKGHTLPNNASHAEA ANIA_07805 MAAPPAPQPPSLLGYHRVLSPLAGIRVSPLCLGTMHFGGQWTRA MGDVTKETAFALLDRFYEAGGNFIDTANFYQGEGSEKWLGEWVASRGNRDELVLATKY TMSYRLTGPEKIKSNFQGSHSKSLRLSVEASLAKLRTDYIDLLYVHMWDFSTSVEEVM QSLHHLVAAGKVLNIGISDAPAWVVAKCNEYARFHGLTRFCVYQGRWACSYRDFEREI LPMCQSEGLALAPWGALGRGQYKSAEEFQQEGTRNMGPQEEKHRLMGAKLTEVGERKG VAAAAIALAYLLHKSPYVFPVIGCRTVEQLEANITSLGVELSDEEIYEIEDTIPFDVG FPMAFLFESPQQKYRSDMTTRHIWQVTCNARIESVPKPRPIEPKQGYKQMDRK ANIA_07806 MSSSDNYRLDGKVALVTGAGRGIGAAIAVALGQRGAKVVVNYAN SREAAEKVVDEIKSNGSDAISIQADVGDPDAVTKLMDQAVEHFGYLDIVSSNAGIVSF GHVKDVTPDEFDRVFRVNTRGQFFVAREAYRHLREGGRIILTSSNTASVKGVPRHAVY SGSKGAIDTFVRCLAIDCGDKKITVNAVAPGAIKTDMFLSVSREYIPNGETFTDEQVD ECAAWLSPLNRVGLPVDVARVVSFLASDAAEWISGKIIGVDGGAFR ANIA_07807 MPFGTLYTRPFNPRSLAILAIAKANNLPLKIKTITSFKDATEEY LQLNPLGKIPTFVGADGYVLTESIAIALYDSNTTLLGTTGQEYASIIRWMAFGITEIL PALGGWFNPLIGRANFNADNIYQSKDDTLARLKILDNHLCGREYLVGETLSLADLFVL GIVQGAFRFFLDKRWRDEHRNLSTWFERVHALPIVVDVAGPPVLAEYEMPIQPPK ANIA_07808 MPSFSLLTGHFGALKQTIDGMPPNATLHSIMLKLSQKFRSGMFY INMWPFSGTWLVVATPSGAAQIQSLNLSKPNILRRPLETITGGPSLMSMHGETWKRWR ALFNPGFNPNYLIGLAPLIADEVVVFCEQLRQKARTGTVFQLEPLTLRLTVDTICSVT LDSQLHHQTQDHPLASALQRQIEWASFGTTFNPFKRYLTVRPLVMWYNNRLMNRFIDQ EVDRAYREQSGRQSKSVISLALRDYMKEKDGSLEDFKRRVAPQLRVFLFAGRDTTSST LLYAFYLLSRHPEALAKVRLEHDQVFGPYHQQVHEKIHQDAKLLNQLPYTTAVLKETL RLFPPSASMREGRPGVEITDDNGQVYPTAGCNVWTLTVALHHNSAHWAEAESFIPERW LVGSDHPLYPAKGAWRAFEFGPRSCIGQTLAMLELRVALAMTLREFDIAPAYDKWDHI YPNDAVKEFNGHRAYQAEKGGGGAHPADGMPCLVTFRV ANIA_07809 MFTSTTLGPTRPQSGPYNIQLSADGTPGNTHVDGLTQQSADRVS ELLMINYARYHTLFDAVGFHNHTVHHLLTLFALGATPEEIRAMYDLNIGYQSLVQYRP ASVVVQLKDRTFFKQCIGDLSYYDSFLRYFQDEIAQRGVPDVINEYLFANDEISNDVL GRMHSGFLHPMIHLGCALEFNQPLLIAEALAAGCVHDDWPNSFLFPTEEMQTAHSKAP FKSMLDIMDELHSDPVIRNAVQLTDPINKISDGLLAKVRDKLIPYLAQYCVSPTEEEL AQQTTDMVHTCAYMVGAAQSPGKVEALDFVMLHTLTLSIFYTTFLAQDWISPSNKARL LHFKVWGDLVTYAGCGCPTLYADRITGYTPKRPADGWMELAHRASIYGDDGHISKVTR ALLHAQGLPDPRPEFPLRKEDFIKVAHMGLDSVERMLGPEQYKVPESIRKKVGEEMRQ DEEIIRVMVRWVRWCGVEGAWDEFPDKPGMGNVAARLVNMD ANIA_07810 MPSYAVLGATGNTGRAIVQVLLDRADTDTRIHICAYCRSKEKLF RVCPAAETSKSLSVFQGRLDDDSLIDECLRGTDAVFLVVAIVDNMPGCTVAMQTAEAV VASLQRLRATDPAIRLPRLVILSSASLEPTFCNDVPAPVHWVLKTAVSHLYRDLAAAE AYLRAQSDWLSATFVKPGGLVHDQARGHKVCLDRAQTPLSFLDLAAGMVEVADADDGR YHMRSVSVVPASRVAIFPWDGVYYTFTGLLFHFCPWTYRFLGEYKLQSRKERDKQA ANIA_07811 MPSYALLGATGATGSSVLRHLLYSGSSSDLTVNVLVRSKSKLLA AFPSLDKPRPSVTSSIPTIRIFEGDSTNPDVLCAVLQDASLVFMCVAQNGSPMGTTLV QNTAAALIEARRRQAQPRGELTVIQLRSASLNPVLAVQVPRFVHRVVCFCLAAGYADL RRACVLYEAAATEGLLQYVLVDPPTLHDARGTQTTGYRLIDTTDMKDKENQRQAICLS YADLGVAMCEIASRADELHGQGVGVTATGPVRQTWAVLAGFLLEGGLGHLDYRYGREN VVVLGVCILLLLGGLLYSIKA ANIA_07812 MPAWSLLVLSALPVVGMFAGQTTFFSSPWETLFGTAAEQHEAAM DGRIQGRGLLSSHFGWYGWPGQTFDYVIVGGGTAGLAMAHRLSEDGSNSVAVIEAGGF YEIEAGNATEVPMFLFNYFFDNGHVKNPLFDWYQYTEAQPGLAGRKMFYMQGKTLGGS TARGAMLYHRGSKGAYQLWADRVGDDSYTWDNWLPFFKKSVQFSGPLTNPRPANATAS NDVSAFAATAEEEGPVQVAYPYLTNAISSWVDKALEKMGFPEAQGFSNGQLLGRSYIT HTIHPKTRRRDTASTSYLQTALRTSNSLNVITHTLVKKIDFDEEKRATGVVVNTGGFE WQIGAKKEVILSAGVMRSPQLLMVSGLGPRETLEKLDIPVLSDLPGVGQNMQDTIILG PTNPVKVESHSQLMGSKETLPRSIYEYNNFRTGLLTNPGQDYFAFEKHQPGNLSEATA ADIDKAFPADWPTFSYIALDDTFVPQYDGKNYFSMSAALLATFSRGTVTINTTNTADN PVVDPRWLDDPRDKEMAVAAFRRCREIVASETMQQVIDGPELLPGFEYQTDEEILNYI AETSDAYYAGVGTCAMGKPDDPLAVLDSKARVRGVKGLRVVDASAFPFAIDGQPMATV YALAEKVAADIIAGN ANIA_11017 MSNAGVEAIAVITGTFLSGAMMSVYLLAVPSLFETTSSPDQLVR HWSRIYLNGHIKGPIICLSTTALYGLAAATKYSAGEDWGVFAAAGAITISMVPFTLTV MAPTNNALFRLEGEVKKGHTPVWSDAERLVRRWNRFNATRAFCPLVGAVLGLLGVLKI VSF ANIA_11013 MAFLSLPILTALGAVVYVLFQLVYNLYFHPLRDYPGPLLWRASS LPWKLTLLRGTMHHDLMRHHQTYGDTVRIKPDEISYANGQAWRDIHAHVPGRPEFLKD PVRLPLAPNGVMSILVSDTRNHARFRSLFGHAFSDKGLRAQEPTIARYADLLVEVLRE VADTGKSVEMVRYFNMAIFDSIGALSFGESFDSLRNRELHPWVDTIHKNLKSVAISHV LRSMGVEFLAPYLMPAELRGKRQENYTYAIEKLKKRMQKTGDQGDFWDRVIVKSADGN QSGDGMSYGEMINNAAVMVVAGSETTSSALCGCTYLLCKFDKMDKAVAEVRGAFAAAD QIDLVSVSRLPYLTAVIDETLRMYPSVPGQPPRVVPEGGAIVCGRFVPAETRVGVSHL GAYYAPYNFSHADKFIPERHLAGAKLEEPFRHDNYAAYQPWSVGVRNCIGRNLAYAEV RLTLAKLLWHFDISLDEERTGNFLDQKIWSIWAKRELYLEIRTREF ANIA_07814 MTPSPFLDAVDAGLSRLYACFGGQGPSNWAGLDELVHLSHAYAD CAPIQDLLDSSARRLESLAAIPHRSSFFAGRGFQLQAWLNDAAASAPLPEDLALSPYS FPINTLLSLLHYAITAYSLQLDPGQLRQKLQGAIGHSQGVFVAAAIAISHTDHGWPSF YRAADLALQLSFWVGLESHHASPRSILCANEVIDCLENGEGAPSHLLSVTGLDINHLE RLVRKLNDQGGDSLYISLINGHNKFVLAGAPHALRGVCIALRSVKASPELDQSRVPFP LRRSVVDVQFLPVSAPYHSSLLSSVELRVTDAIGGLRLRGNDLAIPVYCQANGSLRNL QDYGTHDILLTLIQSVTVERVNWPALCWAMNDATHVLSFGPGAVGSLVQDVLEGTGMN VVNLSGQSMASNLSLLNLSAFALPLGKDWGRKYRPRLRKAAEGSAHASIETKMTRLLG TPHVMVAGMTPTTCSPELVAAIIQADYHVEFACGGYYNRATLETALRQLSRSIPPHRS ITCNVIYASPKALSWQIQVLRRLIMEEGLPIDGITVGAGIPSPEVVKEWIDMLAISHI WFKPGSVDAIDRVLTIARQYPTLPVGIQWTGGRAGGHHSCEDFHLPILDCYARIRNCE NVILVAGSGFGGAEDTWPYMNGSWSCKLGYAPMPFDGILLGSRMMVAREAKTSFAVKQ LIVEAPGVKDDGNDNGAWAKCEHDAVGGVISVTSEMGQPIHVLATRAMRLWKEFDDRF FSIRDPKRLKAALKQHRVEIINRLNNDFARPWFAQTDSSKPTEIEELSYRQVLRRLCQ LTYVQHQARWIDSSYLSLVHDFLRLAQGRLGSGSEAELRFLSCNTPIELEASFDAAYG VQGDQILYPEDVSLLINLFRRQGQKPVPFIPRLDADFQTWFKKDSLWQSEDVDAVVDQ DAQRVCIIQGPVAVRHSRVCDEPVKDILDGITEAHLKMMLKEAASDNGYTWANQRDEK GNRLPGIETSQEGSLCRYYLVGPTLPSTEAIVEHLVGECAWGYAALSQKKVVFGQNRA PNPIRDAFKPDIGDVIEAKYMDGCLREITLYHSLRRQGDPRAIRAALGLIHLDGNKVS VTLLTRSKGKRPALEFKMELLGGTMGPLILKMHRTDYLDSVRRLYTDLWIGRDLPSPT SVGLNSEFTGDRVTITAEDVNTFLAIVGQAGPARCRAWGTRGPVVPIDYAVVIAWTAL TKPILLEALDADPLRLLHQSASTRFVPGIRPLHVGDTVTTSSRITERTITTIGQRVEI SAELLREGKPVVRLQTTFIIQRRPEESVSQQQFRCVEEPDMVIRVDSHTKLRVLMSRK WFLLDGPCSDLIGKILIFQLHSQTVFDAAGAPASLQVSGSVSLAPSDTSVVCVSSVGT RIGRVYMEEEGFGANPVMDFLNRHGAPRVQRQPLPRAGWTGDDAASISFTAPAQSEGY AMVSGDTNPIHVCPLFSRFAGLGQPVVHGLHLSATVRRILEWIIGDNERTRFCSWAPS FDGLVRANDRLRMEIQHFAMADGCMVVHVRVLKESTGEQVMHAEAVLEQAQTTYVFTG QGTQERGMGMALYDTNAAARAVWDRAERHFRSQYGISLLHIVRENPTSLTVNFGSRRG RQIRDIYLSMSDSDPSMLPGLTRDSRSYTFNYPSGLLMSTQFAQPALAVMEIAEYAHL QAQGVVQTQAIFAGHSLGEYSSLGACTTIMPFESLLSLILYRGLKMQNTLPRNANGRT DYGMVAADPSRIRSDFTEDRLIELVRLVSQATGVLLEVVNYNVHSRQYVCAGHVRSLW VLSHACDDLSRSTSPNSPQTMSECIAHHIPSSCSVTNETELSRGRATIPLAGVDIPFH SQMLRGHIDGYRQYLRHHLRVSDIKPEELVGRWIPNVTGKPFALDAPYIRLVQGVTQS RPLLELLRRVEENR ANIA_07815 MTQKTIQQVPRQGLELLASTQDLAQLCYIYGEPAEGEDSTADES IINTPQCSTIPEVAVEPEVQPIPDTPLTAIFIIRALVARKLRRSETEIDPSRSIKELC GGKSTLQNELIGELGNEFQTSLPDRAEDVSLADLDAALGEVSLGPTSVSLLQRVFTAK MPARMTVSNVRERLAEIWGLGFHRQTAVLVAALAAEPHSRLTSLEAAYQYWDGLTEAY GQSLGLFLRKAISQQAARSDDQGAQAIAPADSLGSKDLARKQYEALREYLGIRTPTTK QDGLDLADLQQKLDCWTAEFSDDFLSQISRRFDARKTRWYRDWWNSARQELLTICQNS NVQWTDKMREHFVQRAEEGLVEIARAHSLAKPLVPDLIQAISLPPVVRLGRLATMMPR TVVTLKGEIQCEEHEREPSCFVEFFSSWIQANNIRCTIQSNGEDLTSVFINSLVHASQ QGVSFANHTYLITGAGPGSIGQHIVRRLLTGGARVIVTTSREPLPAAAFFKELYSKCG NRGSQLHLVPFNQASVVDCERLIGYIYDDLGLDLDAILPFAATSQVGAEIDGLDASNE AAFRLMLVNVLRLVGFVVSQKRRRGISCRPTQVVLPLSPNHGILGGDGLYAESKRGLE TLIQRFHSESWKEELSICGVSIGWTRSTGLMAANDLVAETAEKQGRVLTFSVDEMGDL ISLLLTPQLATRCEDAPVMADFSGNLSCWRDASAQLAAARASLRERADTARALAQEDE REYRCRRAGSTQEPVDQRVSLHLGFPSLPEYDPLLHPDLVPADAVVVVGFAELGPWGS ARIRWEMESRGCLSPAGYVETAWLMNLIRHVDNVNYVGWVDGEDGKPVADADIPKRYG ERILSNAGIRSLPSDNREVFQEIVLEQDLPSFETTRENAEALQQRHGDMVQVSTLKNG LCLVQLQHGATIRVPKSIMSPPGVAGQLPTGWSPERYGIPAEIVQQVDPVALVLLCCV AEAFYSAGISDPMEIFEHIHLSELGNFVGSSMGGVVNTRALYHDVCLDKDVQSDALQE TYLNTAPAWVNMLYLGAAGPIKTPVGACATALESVDSAVESIKAGQTKICLVGGYDDL QPEESAGFARMKATVSVRDEQARGREPGEMSRPTAASRSGFVESQGCGVQLLCRGDVA LAMGLPIYGIIAGTGMASDGIGRSVPAPGQGILTFAQEDAQNPAPIRTALARWGLGID DITVASLHATSTPANDTNEPLVIQREMTHLGRTSGRPLWAICQKFVTGHPKAPAAAWM LNGCLQVLDTGLVPGNRNADDVDPALRSFSHLCFPIRSIQTDGIKAFLLNSCGFGQKE AQLVGVHPRYFLGLLSEPEFEEYRTRRQLRIAGAERAYISAMMTNSIVCVQSHPPFGP AEMHSILLDPSARICLDSSTNSYRVTKASTPVYTGFQRPHDKREDPRPSTIGVDTVTL SSFNAHENAIFLQRNYTERERQSLQLQSHRSFRSAVASGWCAKEAVFKCLQTVSKGAG AAMSEIEIVRVQGAPSVLHGDALAAAQKAGLDNIQLSLSYGDDCVVAVALGVRKWCLW PLASIIR ANIA_07816 MQGWKTIVGKLMSRYDFPLPDLSVQAEDKILGGVPTRIYTPPDV ADPPLALYFHAGGWVMGSIDEEDGFVRTLCKLARTRIFSVGYRLAPEFRFPMALDDCL TVARSVLETYPVQSICFIGASAGGNMAFSTALTLVSDGLGDRVQGVVALAPVTVHPDS VSADNRDRGEYTSYEENDRLTINTGSAMRSFFDCYGAPPDDPRLSCLLHPGLGKLNKV YMAVGDADTLRDDVRLMRDALVALEVPVKCDEYPGYPHFSWLFPSPALREHQALFFGN LLSGICWVCE ANIA_11021 MPVYHVALFKLKPDADPNRICLWQELAHAMVGKVPGLLDLQAGP PLDFTARLAKGFDMGVVVLLDYVESLATMFTHPSHDQVHELYQEVCEDGSTVGYDIEF ANIA_07817 MEPLDLTCDLTSLNGKSAFITGGASGLGLATARKWAEAGVYITI ADIQPPTSPVQPGLAHCFHYVYCDVTSWESQVAAFKSALRFSPSGALDIVACFAGTAL APGNQIDHVLAAGVPSLEVDPPRPSSSVRNIEVNLVGSYFTSWLGLYYLRIPGTGTDP ELPSNKCLLFCASIAAYMDSPKASTYPASKFGVRGLFRSTRSQTKQLGVRCNLLAPWF FDSPLIAPIKHAMAARGVDMAKVLTFTSIDACVDAATYCVASPEIHGRALAVQPEGTF DLKDDLEDGWGGNQLRPIMQRRRDAGFDV ANIA_07818 MILPLILVLYLLSTAAYRLWLHPLRNYPGPCWWAVWRVPYLKGT IRGTIVRDIQRLHNQYGPVVRIAPDELSYITPEAAKPIYTSSPEFPKDPMHLPPFHNG APGILAADYAHHRRYRRLLASAFSEKGLRAQQGMIQSHIDRLMTRLQGNCSSGSLDMT VWFNWATFDIIGDLAFGEPFGCLERMETNPWIASIQGNVKSIPILNALRRYRLDRLIE FLAPPRLLEMRRRNAQFTAEKVDRRLKHATTTRGDLWDSVLADPPDGEPPMSRAEMVS NASAIVLAGSETSATTLSGCLWLLLTNPEYLQQLTERIRARFSTATVIDAQTVTQIQG LQAVLDESLRLYPAVPMQSNRIVPPPGARLAGSWVPGGTSVAVQQFAACRSPTNFHRP DEFIPERWEKEGEFINDRREASQPFSIGPRNCIGRQLALAEMRLILVHLLWHFDIELD RRRMENMDWMAVQGIWILWDKKPLWVVLKNRST ANIA_07819 MATQPAGFLQQFALQASLCTQLLANLQWLCEFQVLACIPLDGEV AFEEVADISNVPVDQLQRVVRLMVTAGFLSERPSGHVAHTPLSASFVTEPELLDAALF LAQVAVPAALKMPRSTCQPDPYDRGLSPSLIARSESRATGLEDRPDPAQPKVQRQFAA YLARGILDEPLAVDEVLRLVDWGAIGPATIVDIHPPSTSTIGMIAALGPAAQLVIQTS SPGNGQSGPITGSEPSWVTYQLPPSISNRVSVHSRGAGAPQTVLGPAVYIVRIPSPSP MLPWTKLRSQTVMELQAHLQVLRTQPTSRLMIAALVLPSPGTVNQETESMVRLRDMSL LQLSNERQPTKTEIVEIVTGIRDSGGCLVVTREIHTTASAAIGLEVRYEPSARKH ANIA_07820 MEPPAISQQSTPTAPGGTQGTRKLRESCISCSRSKVKCNKEKPT CSRCVRRGLPCEYMVSRRTGRTRVIGVEQPKTAPSPTTPTNTTAATTATKAGPPVTTD SAVHTPVITTAPSPKPVQIQSPPAEPDLWGAILSPNTSTSTDLSSLLSVNTNFSQLFA SLSPSLLEGMDGMDAEMHAPELGALSVADPSSSMMQGLEAPNAAQPPSSNTTSHSYCL SICLDTLMRLFPNAGANCERPGHESNPGKLFTIESVIEDNKQILDTAQTILACRCAED EYVVTLVSLIVFKVLGWYVAAARDRSSDPGREEDFNWSTAQDSRRGSVSSFEEQVLHL PTVVGSYCIDGHHQSRMAAQLVLSELYRVQRLVTQVSRRLESIRRRSSSSSSSASSNT TDSDGGMSTPLSSTTLVHLEDDLRKRLRAVSSETISILRHA ANIA_07821 MPSAAVSVPEVPSSDRKTVYLVTGASRGLGRGLVQAFLLRPNSI VIAGLRNRTSQAGALDALPRGENSSLIAVQLDSGSKSDPADAVSILQRDYGITHLDVV IANAAIAANYGPASTMPLEYLETHMQINAYAALLLFQATRVLLQAAKSPQFICVGAPI STITEMESCARAPLTNYALSKLAACYLVRKIHFENKWLVAYIVDPGHIQSDMGAQAAR LFGRKEAPTTIEESVAGICARMTEADKNTTSGRFILFSDGSDVPW ANIA_07822 MPVSQHPALSIGANAFALIAIGFGVNALLRPAHALSFFEWELPA SPAERRLVEGLSYVYGVRDIFWGFSFYIANAFGTRKSTGWTLVAGSLVAFADGAICYS WGKGEWGHWSYAPMMTALGAAFLGLFD ANIA_07823 MLLKSIQNIVCGLVPTFFLFGSAAAELDFEQWHPAGLGDLRCGC PAMNSLANHGFINHNGSNITVNEVIPLMQEVFHLSEELATIVTGLAVLSADDPASGIF NLDMLNRHNIFEHDASLTRKDFYLGGDGHTIDQPTLDEFLSYFDGKEWIDLNDAAAAR YARVLDSREKNPSFLYQDQQLITSYGETIKYFRTMVDPRSNKTSAEFVRILFTEERLP VREGWQRPREEISGFSLASDVVQLALRTPEKFIGMPFDQRPFAEQAFDPLPWQRPPIW TPPNYPGFSKRHFSELVGRFAKKALPFRA ANIA_07824 MISQICNEVIGLVPKKEEPGWLSVTGHPGAVYCENSISSGPEAT GADVSQAIRIAYFTPLKHIPGPWYASLTGLRLSWSVFANNRIHYVHSLHQKYGPIVRI GPQEIDVADPVAGREIHRMGSGFMKAPFYELLSPGPVDNIFNFRDPKLHAARRKLYAR GFTLQSLRNEWEPKVRDIIKLTVEKIKCDAVKGEAEIMGWWTLMANEIVCQLTFGGGA GIVAKGVKEPFVLMLERRMGDLAHLLKHFAPPGYYLGRALAWFIPPLQDIFYSQERMF AAGGDVVSRAREAKKAQAEPRNLFNKALEAGNLTDTDIITDAGALLLAGSDPTAISLT FLLWCVLSRPEVQKQVEAEVATLEGELTDEACERLPILNAVIDESLRLYGAAPGCMPR SPPSGGVTIGGYFIPDDTIVATQNWSLQRNPSIWDDADTFDHTRWLSNSRITDQAKLA FNPFGYGARQCLGIHLGRMEMRLAAAMFFRECVGARLGRSVTDESMHVVDSFIAGVPR DRRCAITLT ANIA_07825 MASHAEPTRLFLFGDQTYDFVADLRDLLNIRNNPILVAFLEQSH HVIRAQMIRELPPKEHKQARTASLAELLQKYVDRKLPSAFQTALSCVTQIGLFMRQFD DPRVLYPHANDSYVLGVCTGSLAAAAISCSTSLSELLPIAVQTVLVAFRLGLWAEKVR DNLEISETNQTQPWSAVCHVPPEEVAIAIDRFSHKKVRSPVYRAQRPWITATSAKTTT VSASPDILSQLASQAPFTNSKLWREIPIYVPAHNNHLFSSRDVDDILATTNENPWSTF GAQIPFLSSVTGKLAWVRNYRDLLHLALSQCLIEPIRWDVVEAEVPRLLKDRDGLDTL TIVAFTTVLSKSLSNALVTEGIKPAEPPTSINKTPERYSHRPGSDRGKLAIVSMSGRF PEAPSTDSFWDLLYKGLDVCKEVPLRRWDVKTHVDPSGKARNKGATRWGCWLDFAGEF DPRFFSISPKEAPQMDPAQRMALMSTYEAMERGGIVPDTTPSTQRNRIGVFHGVTSND WMETNTAQNIDTYFITGGNRGFIPGRINFCFEFSGPSYSNDTACSSSLAAIHLACNSL WRGDCDTAVAGGTNMIFTPDGHTGLDKGFFLSRTGNCKAFDDAADGYCRAEGVGTVFI KRLEDALAENDPILATILDIKTNHSAMSDSMTRPFKPAQIDNMSALLSTAGISPLDLS YIEMHGTGTQVGDAVEMESVLSLFAPDETFRPRDKPLYVGSAKANIGHGEGVSGVTSL IKVLLMMKNDTIPPHCGIKPGSRINRNYPDLPARNVHIAFEPKPWPRTDTPRRVLINN FSAAGGNTAVLVEDAPVRDPVTASDPRTSHIVTVSGHVGKSLKLNLEKLRDHLVKRPE INPSELSYTTTARRWHHPHRVSITGANTMEILRNVESAIARGHGVNRPATKPKIVIAC SGQGSQYTGMGWQLYNSYPTFRSDLERFDQLARSYGFPSFLEVYTSKPVGDSMEDLLP VIVQLALVSLEMALGNLLGSFGLKPSAVIGHSLGEYAALYISGVLSAADTLYLVGMRA KLLQERCQRGTHAMLAVRASPVTLCEVLAESNCEVACHNGPNDTVLSGPLKEVMNLQN SLSATGIKGTLLKLPFAFHSAQVQPILEEFKNVARGVTFHKPQIPVLSPLLVKVIDEK GTVDPVYLARHCREPVKMVSVLEHARDQHIITDRTIVIDVGPKALMAGMIKTTLDKDT SSALPTLGPSLDVWKSLTNILGTLYSRGLDINWVAYHEPFGSAKKVIELPSYGWDLKD YFIPYKGEWCLHRHEIRCSCATPGKETATSDYQLPSDEQVAAKRPSKQDESKEAYPEI VATTTVHRVVEEKTEPLGATLVVETDISRPDVNQIAQGHLVDGIPLCTPSVYADIALH VGRYSMNRLRASHPGAMDGVVDVADMVIDKALIPHGKSPQLLRTTLTMTWPPKAAATT RSAKIKFATYFADGKLDTEHATCTVRFTSEAQLKSLQKKVPEYQERIKKLGEGLRQGQ FIRYTTKSGYKLMSSMASFHRDYKLLNHLILNEADNEAVSTMDFSAAKSEGTFAAHPA YVDAITQVGGFAMNANDNTDIQQEVFVNHGWDSFQVYQPLVKGKTYEVYVRMTEDEKG DLVHGDTIVLYGDAVVAFFKGLSLRRVPRRGLRMVLQQASDKAARLHGNQQAVKTQAP QRAALKQKPQSSPTQPHASKVAYSRSATSPTAGKPVVAARDLSREGDDKFKAVLSVIS EESGVALGELTADTNFADIGIDSLSSMVIGSRLREDLGLELGAEFSLFIDCPTVRSLK TLLSGSAVSVNNDKDELEPGQEAETAAPEQLDLRIGDAAPSKVRDANIEPLDLGDELF RNVLRIVSEESGVALDELSAETVFADIGIDSLSSMVITSRFREDLGMSLDSSFNLFEE VPTVARLQEFFGTTSGSTTGSSGSGSSEDETDSIPSTPEEYTTADTRVPECRPTTSVV LQGLPQMAKQILFMLPDGGGSASSYLTIPRLHADVAIVGLNCPYARDPENMNCTHQSM IQSFCNEIKRRQPEGPYHLGGWSSGGAFAYVTAEALINAGNEVHSLIIIDAPVPQVME KLPTSFYEYCNNLGLFSNQPGGTTDGTAQPPPYLIPHFQATVDVMLDYRVAPLKTNRM PKVGIIWASETVMDEDNAPKMKGMHFMVQKRKDFGPDGWDVVCPGAVFDIVRAEGANH FTLMTKEHVYLVRELIDRVMG ANIA_07826 MSVYRPINALSNASRPCVHKHQRVHELSLQYSQRPMNGNASMRY SRYDESADPDMRDKQAPSEVQSQTKLEIAQKYGLTTRDLRVFDLPSAGFPHVLVREHA ILVHLFDLRLLIECDHVLLFHLASKSVEAGSASSNSNGDDDESDFGIGSSVSQVFSHN LERKLLGSANSTTQPYELRVLEAALASATSVLEAEYSLTADEVSQLLRKTHQDAPFIS DKEKEYESLIHALLRLSRHLASIDQAARQVRTLTSEVLAEDEDMANMYLTDKAQGKPH LPSDHQDVEYLFEAYFKASDTIVQEATRMMGNITRTEETIRAALSVRRNQIMVLEARI EILMLALAGGTLVAGWYGMNVVNGSEESSTAFGAIVVGSLMGVGLITWGGLRRLKRIN KIRV ANIA_11573 MWVCMALPWYNFGSYGNRDAEEHDSWLADQNILGNYDMGPSKKI ANIA_07827 METGTTFQLPPFPAPQLLLFSLTLPRPFRTQNAVLWRHITRTSN KREQSQNDTTSKRDQNQDVSVSFQAGVVAFAAAHIAYIYAFLQTAQTIAWPTFVTTFA ATVAFAKWLGVIYPPTSSSVASNFLGLKISRDMKPLVLVYALIISSMFAVATSISNSS MISQRAAGAAMFVVSDVFVAASAFGTTSVGSRGLVRIAVGYGLYFWGQMVIAGTVEGL SSNMRSARVYKVA ANIA_07828 MRLSTFFLLMAPAAARSYLSWMADSWILNNREHEGAYWYGRATI YEGYEAAYSLYGNETLLEWYRSQIDDVVVAPDGSIVDFNETYYSLDDYRIGNNILYWY ERTGEEKYRLAADSIRAMLDRHPRTETGGFWHRQPNYPHQMWLDGIFMADVFYAKWTK LFDAKNITAWEDIVLQWDKIEAVTRDPGGTGLLVHGFDESKAAVWADPVTGASPLVWN RAVGWYFVSLIEVLDIYPKDLPGYKRLLGYYKRLAKAILRAQDRETDGWYLILSKQYV GAEGNYFESSAAAMFTYGWLAGLRKGYLDKKTFSKPAARAYRHLIDDFVTKHRNGTLT YEGTVQVGSLNSDASFEYYVSIPVVPNDTRGVGPFLLASYEWELQNRRS ANIA_07829 MIQPVSWRKYKQAINKGKAGNNWDNSKGLDNMTKPVLPCNKKEK IHCEGSKAAKAAVYVGVKIRPDLLPYNKACILPRANCELHLTKQSSSCSMTTSYLEDA DSSSIIRYITIACRLPQSTDKIHVKLGEIVRIGTNELSFTRTPLVRVGEIGLDTQLSF LFREHHLMFLMPYLIPKILQPVFLNNFK ANIA_07830 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALVRVNTMKQGKRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAIN VELLKAMVGIRQEDSYDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVARTRPAGGS DRTGTPDQMDWEATHAQIAALQKEVAALRTKGTRTPRKASQAPAEEKQKRLSEGKCLR CGDPDHFIQECPTKPTRRPRQVATVQEEQDQMDDYSESESENE ANIA_07831 MAYSQQNTENQANKHRSPATNYQVGDKVWLSLKNIRTDRPSKKL DWKNAKYEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQPPSIMV NGEEEYMVEKILDERRRRYGRGHRLEYLVKWSGYAQPTWEAATALEEVQALDEWLDHL SDSAKACAVLKARFLLLPEQ ANIA_07832 MMLPRLFSFLAATAGVARAVNITGYEYVVVGSGAGGGPLAARLA LAGHKTLLLEAGDDQGQTYNYSIPAYSARASEDEKLAWNFFVHHYADDERQARDFKTS YETPSGEIYTGLNPPEGSTLLGTLYPRTGTLGGCTAHNALIAVYPHQSDFEYISTLTG DSSWSPENMRGYFEKLERNKYLLPGLEGHGYDGWLQTETAPLSLVLKDPQLLSMLTGG AFALGNWTDNIYNIGTLLAGDANADRTTRDTVPGYYQIPVSTDDAHRNGAREFIIAVR DAKNADGSKKYPLDVRMNTHVTKVTFDETQDPPRAAGVEFLDGKHLYKASPLSNTASA GIPGAATASREVIVAGGVYNSPQILKLSGIGPADELEKFGIKVIKDLPGVGTNLQDHY EISVQGRIENDFSCLDGCTFGIRGEEDPCIDRWESPILGDRGIYSSPGLAATMYYKSS VTADNSFDIFCFGGPVNFRGYFPDYSINATDEHNWFTWAILKAHPRNTAGTVTLQSSN PLDVPKITFNYFDTGVGDYDADLTALYEAVELARDAFHRQPINVTEVLPGAAVTSKED IETYVKDSAWGHHASCTCPIGADDDPMAVLDSKFRVRGVSGLRVVDASVYPKIPGTFT AVSTYMVAEKAADDILTELAAASS ANIA_07833 MATLLSNYTKKSANVSSIYSPNIPPSPAYLRRETKQWLRTETYT AYASKAPQAYKTLDIRPHTKESRTREHKLPRWVLGRLVAARTGHGDFTAYHQRFNHPD YLESCSCAAGAEEFSRIVQESSFFKDICLNWARRSA ANIA_07834 MSRWHESSCETPKVFLKGGAPHCSTCGAGFSQEVALKAQTAASA PPPIPPDEPCGRLNLRWPPSVLYTGSDEISKLYTGAEFSRISASATPHSLIYGTKLPR DKIRLACLSPSGEESAPIHLGLENHILANSLEYETVSYMWGGEDGDYTTYKPVYIGPY WDVIMQTRNCHEMLRTARLARKPRIIWVDAICINQQDDVERSEQVANMAKIYEQCSRV IVYLGQDLVIPVESDSVLPRRRLHELESDPVFLSSSRQITLAGILSRRYFSRVWVIQE LVLSQRAIIRIGTCEAWADSRTWHSLSRSWRWDSTGAPWVQHMAQKAVPVQNILGVLR LVSKSQASDPRDKLFGVIGLYPDGASELPPDYSISVQHVLTGFFAYCIIRLKESHLFF RAAGLDAPASTPSWVPNWATDWPIIFTEPDVQTADAISCIKDWLGTDRFAPLQPDPQV RGWQDDQLSTWAKDLFRRCPWYHNATVNANTGALSIYLTHFCALSHRPRQVPLKTKSW SSIFDFCGPKTRFFLVSEYPLDTLIEPDHDFLRKVDNLNTYKLVAACTHLFLADFSPT VPVSINSTPFQLDLARALLEAKMSDVKMEGVATFFPGAICGWDTFPTYYGMHDQKNHS SAGFRAAYLSCIDPQYRPRIVDDFIILSFTSRPKNWPNTRSGYDTTRSIAIRAPGKLA FYQVPGNWQKQHLGRWVDDTFDYKLSSGKLVRKPARFKFGGPLSAVHVRAPMKFVWEA MKCWFSCLADIHRILGCSISELESLLRYGSSEEEHHLIGSVPGDFRDFAGDGRTYQVQ IV ANIA_11026 MATKLHHAGMGTCCMQPLIKPDSLPYFYYDYKDKQDNIVLG ANIA_11024 MRLILYDAVTDADWDRFMAVNLTAPVRRMEEVIREMRNQKSGVI LNMASPAGMSGAAAGVAYTASKHGLIGATKNVAWRFKGENIRQCAVPGSYWKSHNPCL ANIA_07836 MKSISLSLSLATTILLSTAPLVTAKTYTTNIPVKEIQGAWSIHG NSISWTEDGFKTSIDCDDQDGNKKLSLSNNKKFAGCCLSGQRLVGSPDTAFDCCANAH DLAGSKETGYRCCPEGETYDGVTCKADDPVCQNGKLLKNGECACPKGTKEDENGICAP AKCSSGLETGENGHRLGFGGSWFITAPESMSLKSGRFKLCKDEECKAGETINPADQIY IKDIHGNPGNGALPNRWLNSAMNGNHVGKTDNFAQAGKFSMTKWPCGKYCLGGFDYGL GPACPSNTPALTFFQNDKQACVPFDFTEVPCDVKAEANNCIWKTNEDQCCGGAVDCEG N ANIA_07837 MNPLIRPENVWLPRNQTNHHHERANSTFQALMAGFRRLQLQWGI MNKTIMACSMLRPFIGKKWMHGLATRPTTEPLVRPKNSTKELLVVIPDLPNVLERRLA IRPRHSPNFVRLHQQGYVSWAVSPSFPDRTTSPPSNMTDNEPIAIVGMACRFAGASST DEFWQMIQQGRTGHSRIPKRSWDADAWFHPSRQRLGATCTTSGFFLDDVPHFDAPFFS ITAREAEVMDPMQRLVLEVAYESFENGTSAHPFYQNLMYAKAPLRPFSWHSNGKASEK QDGSVQRRHDGRLPRDRRA ANIA_07838 MDMQALITGTNLILHPNFMAQYSAMGMIGPDGISRSFDASANGY GRGEGIACVVIKRLADAMRDRDCIRAVIRGTAANHDGRTPSITKPSQDAHAFLIRETY QKAGLPLSETAYFEAHGTGTPQGDPIEMRAIAQTVASARRDSDVGPLYVGSVKPNVGH TEGAAGLAGVIKVVLCLEAGTIPAVTGLTTVNPELRLTEWNIALPQENMAWPQDRVRR ASVNSFGFGGANAHAILEDAKSFLQMHHMPGLDITDPRQSLADIRSEDADGNERLRLL VLSAHDRAGIRRVADSYASYFMRNSLSHKSESTVTDSLCHILATRRSHFDFRSYVVAG TETEWLEQLGKPQDLTVQRVSAQNKVAFVFTGQGAQRPGMGKELLCHSAFRASLWRSQ KVLDMCGVDWEIINMLLRADAETLARPEHAQPVCTALQIALVDLLSDWGIQPGAVVGH SSGEIGAAYAAGIISADEAMYIAFHRGYLSAAVASRNGVEGSMLAAGLSESEANRHLA NIDCADEVTIACINSPRSVTFSGSKPSIAALHDVLSRDGKFSRILRTGVAYHSAYMAV IAPDLDHALTRLPERTLSATVPMYSSVTEEAVGSSGLSRGYWVKNMLQPVRFAGALQT LLSSGTQYSAILEIGPTKTLQGPIQQILSSIGPNIPTQPPYRSMLVAGQHAGRAALEA AGFLWATGHAVDLDRVNGISTQDITLATLPHLPSYPWNHEHRFWHDTSSSRPVRLRSQ PRTDLLGVPVNGQNKFEPRWRNLLSIAENPWLADHTITGVCLYPAAGYLVMALEAVVS QAPTPAAIRAVEFTNVTFETGLALQDSGPAVDISLTLLPHTVMDGVYSFSIYSESPDS TRRLAYGSVATVCHVGNLLDPVEMAAQEQEWEAAQSVLREARENTTVHIDHRTFYKSL AALGLNYGPTFRGLDRITVSREYRTACSSLKIPDTKSVMPEQFEYPHLLHPATLDCAF QLSFAALQAQDDLRQPFVPASVGRIFISTDLPSGAGSSFIGTSSARHVDGSVVADSLF TDADASAPKIVIDSLAMTNVGDGSSETAEIPARTANLVWKEDVSSVIGSHVGNSLEWV DTWLDSFRHKYADANVLFVAAAEHLTCVESSNMAGQITLLEPCEDGQLIEFSHSQRRA LPQHVVQQKLSASASYDAVVVDARLSDQISWLSASLSSIMKPCGHLVMFGRGGCDDLA GDTWLEELIKNKGFSILQMPNSQLQVALAAPGQESTLQVPFTTETDSITILERDTTDQ TLHLRQTIVQLLSAAGIHNVRVVHWDDPIASFHNQCVVSLVENSCPFFYDVDDKGLTH FRNLIASRPRYLLWTTTGNLLAPDEAGIQYAPTTGFLRTARSEYPLVPLQHLDLSHEA SQMPGTAAGIIVNVLLAALQGTVQAMETEVAESGGRLYIPRLVANKAMDIEIGRDVKP SACLPVPLSNLRHPTRLQVSPDGSVHWVAVDPNHMEGDLTANEVLINTRYTTISTTFP GQSKERPLFSSLMAVDTVGIVEKIGHGVTELCPGDTVLVQSANIQNRFCEDAPNVVKV PTDLSPVQVAYWINPLACAYYLLTEIGNIWSSPLAQYKSVSSMRLYGQYTPPRSPPLG VHQRLQSILIDVEGPALRHALVQMAQWLLLDIFVVIPPGDPQREAAWATKCTVLAEVT RAISGMIRRSNPRSGVDMVLSSLQNLSCVPHMLSSLTHNGHLVAVDMNPEADVALGHV MPRGFTNFASLYTTHIDPSNVRYSQRAALRLLANGTIVLPELPTNPPAWQQPVSRVDK IFQRSSSSASASGRIVFSFEPSHCLSLRFSVPAPIQLAPAGTYILSGGLGVLGQQIAH WLCNDHGARHLVFLSRSGALTPGPRETLRVLSQQGCRCDVVRCDITSTEAVQTLASTA TMKNWSIKGIIQAAMVLADSPMVTMDSAKWEAAAAPKIRGSWNLHKFLGNENLDFFVL LSSVSGIIGNSAQANYSAGNTFEDALAAYRCRLGLPAVSLNLGLVTDTVSPDSTSPQS GVDATELLAKFPHLSPVMVSKSEVRSALRAALRGRSLNNAPLPHQVVVGISDEIRLED GGSTNLSTSWQSDPKFNHRICRRPGAATTTTTCQTRVNYAQAIRAANNTEAARLVVEQ ALRLNIANAIASEIENITVEKPVTAYGVDSLRATELRNWASKHFDSQVSIFDILRPEP ISSLASVILSKSPFRGSGVGTPEKEVGNSGD ANIA_07839 MTSSSLPCLAAADAAFGPRVSVACRAFDFTVYFEDLFFACLPAA LFLLCCPVSACLQWKEPRRIKRSKLLIWKLISLVALWVCETSFLVVRRLGYPALRNNA SLAADMLGVVAIAGAGGLSYIHHCHSIRPSTLLALFLSARSLLTIARVRTLWLIGSST NEAIVLTLGLGFTICSVVFESLGKEASLVSSTLKPATPEPFSGFWKQASFAWLAGTFH QGYSNVFTVTDLPDLDPQLSGRDVGRKLQEAWAHKEDKSAKHALLRSCLRAYRTPFNS AFIPRLCLSGFTFCQPFLVNATVSWVGNTYAPMDFGRALIGAFAIVYCGMAASNALYG YFTFRFTIRLRGGLISLIHGQTVQTKAAHLGGNTAITLMGTDVERIASGFRLIHEMWA SMIEIGVAIYLLERQVGVACIVPALIVVVFVGATVKLSAASSTSQRAWIERVEERLRI TSYSLERITEVKMLGLSETISRVIRGLRAAEIAVSAVFRKLLIVRVILSNAPTNLAPM ATFVVYAIIALVRDDRSILAATAFTSISLISLVTTPVLTFIQALPAVIQCLGCFDRIQ EYCNEVPGPQRADTSDHRPFPGADGDTPIALVQVAGSSKNGGAIQEMEGQSFGWDRSA PAVLRNISLQVPRAAITMIIGPTGSGKSTLIGSILGETVALGCPYEGSRSGVAYCGQE TWLRSQTIRQNVLGELPMDRQWYRTVISACGLQKDLAQLPQSDMTPLAGNGTTLSGGQ KQRVALARAVYSRHKIVLLDDVFSGIDATTVEHIARHLFGPGGLLRKMHTTVVLATHS RFVLQYADKIVVLADGRIVETDTLQNLKAGNAFVQDMDNALPIPSPLAIQYGKETISP FRDPDDDDDDDDDDDDSDEAESCSEQQSQSLSRQQGDLSIYAYYASASGKITVALCLG CALIWAICGELTTVWLDIWTSANAEHPNSRLGMYLGVYVFLGIASIFFAIAVSWYDYA CLMQLFRRTNSPMTLCRLLMVNIVSSSALKLHERVLTSTFRAPIHFFHQVDIGSITNR FSQDMDLIDMSLPIEVFNVLACKLTFMLMLGGCTCLVKLVILCVFAKYLSVAVPFAGA VVYFTQRFYLRTSRQLRFLDIEAKAPLYTHFLELVKGAATVRAFGWQRSFDEACLSLL DASQRPVYLLLCVQQCLGFFLDMLVSILAIILITTVVFLREKFDPGDVGVALVMVMTF NNTLMQLVKDWTNMETSIGAVSRVKGYTSTTDPEENTANVPSLPGDWPAVGRVELSAV VASHPSRSELVLKEVSISIKAGEKVAICRPSGSGKTSLILALLGMVEVQEGTISIDGI NILEHSRAQVRRKLNVVTQDPFLIAGSVRFNIDPLQTASDQKIISALQILGLWDRIAQ EGGLDGRMEPDAWSQGQRQLLCLARAMVQQGKLLILDEAMSRYAMLYAITNADNAYPA FFPSVDNETEDIMQAAINSEFSSHTVLAVMHRLRHIYCYDRVVLLVDGVVVEFDSPTA LLTKQSRFKELYESGKM ANIA_11574 MNLAWLNRRIVISNPANNAVTGVAVPGAAIVWIKS ANIA_11575 MIYQKEKQKTTRYFSQSGGSLTGSEGQQKAREHEELAQEAMAWI LVVGHALNLVLNKVSATSVYSLGIAAW ANIA_07840 MVITDQLTKGVSPFYLSHGYNLSLFSPTEEVEQLAEEPAKSPIQ KGEAIIQKVKEALDWAQASMAYS ANIA_07841 MEEESITLLLQQLQELCTEMRTQKQQLQEENNSLQAELQAVQNS QLRNHPPVTTTVTSATPTPYKQSYPCPCHLDVKPFTGEDPKDYPPFQMNLYIKFAINA ACYPTEEEQVYYAYSRLRGKASQHPRQVAIVQEEQDQINDYSKSCLTYGVISNKFVKI Y ANIA_07842 MVSQAMAWILVVGHALNLVLNKDSQLKIILPTPVTLSTSPAPAQ FSPVMVRRIKDLGVLEVCFHEIVTDKRLHTDAKTKKKTHWGHADHGSEVSEPRWVLSH GVVQKLGLALLSHDGRILNHAELRPPVGFTWELRDWGHVSQGQVVASWLARDSYVGVV DSTADYYDKTATTIISAAIWGIKKQNCTVGANQVLHARIKVLFYQIGLEESELLEVLQ QDGFDISARTLLYLRHKLGLYRRIKNPIAAQAQVESVLEQLCTELDHGQIEGYGKTLL HTYFRSKGHLMARDRLYSLYRELAPAAVRRRLQDLQRERGAYVVPGPNFIWSMDGYLK LAPYGIEIYAAIDAYSRYIIWIYVGITSRTAVSVLRQFLEAVQIIKRQPRIKNRLYLV PGKPFMNFNYPKNGVLNYGLEFDKNLLSSLQEDVQEWDPEEYLPSATYNWTKCDSLCQ IAAKLYPRTIESFHDFIHIDLLPKLRDEYKKDGDQYTLAQTIRKSEPVYMAQDADRRQ PLETFLSDWFQNKNRELETIYVIIVQKEDANTPPKAQKNVKETKKDFKNSLKKEAKSK STLKIKPEPAVRKKHSFSVASIKPEPEDMPAIKKEKEEEDAKSPSNLPSTSVLLFKEE EDGVAYRTRNRHTLQPEDIERSAEFGPV ANIA_07843 MALNPNNAPADVPTNRPMSLEELEGLFARAIQATAPGQNLVLPI GGSRPGQAIAVNPGKVTAARVDSRRDLYVNALLIQSRGQRLEVPWEAGAADNPIDLDE EEEEEEEEEEEEDDDDDDDDDDNDDDDGGPNNPIQL ANIA_11027 MPAIAPSEPPSFVQALHLEKLPAAGERYLSTFPALSYDYAPGKP LSVNRSYGGHAFAQAIWAASLGIRDSGLRIHEANGYWTLAGYANRPFLYEVKTLSITR SFALREVIARQPTTPSDECPFPKSDGDKELGPVAFALTCSFKQRESGPAYWLKFDANK YGNLLQQDPSSYLQDVYLKGPNGVGSIRLADFPSLDIRTPDLQEHSIKSPGTSHRRLH VYRASEALDLDPNLVAALHAYVSDRAGLSVLLNAFGATDLGISGSLSHKILFHVSPEK MAVDKRTWFTQEMSSSRGGEGRGVIDSRIWSPSGELVATTIQDALFRQPKAKLA ANIA_11025 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL HKAFGDPDRQRKALVRVNTMKQGKRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTA INVELLKAMVGIRQEDSYDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVARTRPAG GSDRTGTPDQMDWEATHAQIAALQKEVAALRTKGTRTPRKASQAPAEEKQKRLSEGKC LRCGDPDHFIQECPTKPTRRPRQVATVQEEQDQMDDYSESESENE ANIA_07845 MGGFIQRKRCRGQDIEIFAVSLADIQKAQAPKRHIDPRTKLPRQ YWKYLRLFEQDKAEELPPHRGDGIDHKIELVQEESGKDPEVPCRPLNSLVTDAKRLYP RDHSPAAAPVLFVRKPGGGLRFCVDYRALNAITKKDRYPLPLIHETLNQIGQARWFTK LDVSAAFHKIRIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTFQKYINWTLREYLD EFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKCEFECKETKYLGFII QAGKGIKMDPEKVKAIKEWETPTTIKGVRGFLGFANFYRRFIPNFSGIQPSTLPTVRN SPAECNYEIYDKELLAIVRCLEAWDAELRSCGEFQVITDHKNLEYFFSPRKLTERHVR WSLFLSRFNFKLVYRKGSANQRADALSRRDQDMPDDEDDRVKSRTMQLFTEKHLGKTV VATLRPAEEQPWEPYEKSDMWKEALKQDERYSEAVLCLKDGARRFPPHLQLKVGISEC QLDAQDHILFRGRRTSWPRANIFAG ANIA_07846 MATTSAHLPLPRRSPATNYQVGDKVWLSLKNIRTDRPSKKLDWK NAKYEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQPPSIMVNGE EEYMVEKILDERRRRYGRGHRLEYLVKWSGYAQPTWEAATALEEVQALDEWLDHLSDS AKACAVLKAVSHLQDFLATTILLSHFFFSDSFLYVRHV ANIA_07847 MQLVHLLAAGLIPALVSALLRRDVDCLFATTPANGATCQSFASN WGLSVSEFQELNPGTTCPDIDTSKAYCVVGTVTADAPGTTLTTTTSTSTTTTPTNTTT TTQPPTAANPTVPTPTMPGIVDNCDSFYKVSSGDQCDNISQRHSITTAQLRSWNSEIN TDCTNLWLDYYICIHVPGATTTSLTTTTTTNAIVTGPTPQQTGIISNCNKYHLVESGD GCASIASAYGISLSNFYSWNPAVGSSCGALWLGYYVCVGTSSSQPTPTSTTSQTTTAT ATTAPGPSPTQSGLIKTCTSYYKAQVGDTCQAIVQEKYPYVNSLTLFVRWNPAVGSSC SNLLAGYYYCVATELHQPMPGINGRLELERNQSVMAASPVGRPGRRKSEIVAEVMISR KWPSIVPRTPSFVEHFRPFVHLSSLTFQRPPPVDSLFVYLSLFAAGPLKCDLFVSAFL VIEVDTHASQLVNQFGGRLIRLISLYVEQSSSVLEGAKKGGHIRWHILAEDQTQLSSN YRDGCLKTYSIKFWLDESVQGVVPSVLDKSELRKFGRDLGVGLDEIRKLRLDQVVWVC QWLQDAGMIECFEVQENQATAEVNPLEPLGLCPPCCVCPRPAMAQCLSLSLRGHPQPN CYIVPGQEFFLDQEDRGVNFCK ANIA_11577 MTLLETACLDFCIKLLNQKTKVYKYKSLLKILWLNPQYWDIIQI ANIA_11578 MSRSSTSTCLTNPAKYKQAMAALEADKDLNKIGNIIDKHESILG KYTNLVQVLVLKT ANIA_07848 MATSAPQTLIRRRSTDLTSPPSPPGLTPTIVNAKSKRRHYYKLA IAKPAADTNNSHNNNNNNNNNNNNNNNNNNNNNNNNNTDTNSNNSQDVDSNADSNHNS NNDQYINTDNDVAALLTYQKHACMNKYLTRKFWCQNKICLLKNFALVPASEMLRHYVQ PSFEAQHSLS ANIA_11579 MTAKQQQHDNNRALTPDKHAAKYRQYCIAVSYWLIELQSSDKLC TSILQAVGVVLL ANIA_08294 MPAVCEPQIRQKHIRDGKTFSYVRNSNSEALLGEGKQYDFHIKN PATTTPKHARKRAAALAALVAGEEEEEDEEAEEEEDKEEEENARRRKGKAPATSARKG EALAQTLLLVAAEYADDAGFQGFGGEEEEEGREEEKMKNESELSLLDKEILTLGRS ANIA_08295 MVITDQLTKGVSPFYLSHRYNLSPFTPTQEVEHLAEEPTKSPIQ KGEAIVQKVKEALDWAQASMAYSQQNTENQANKHRSPATNYQVGDKVWLSLKNIRTDR PSKKLDWKNAKYKVIGLVGSHAVPLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQP PSIMVNDLSDSAKACAVLKAVSHLQDFLATTILLSHFFFSDSFLIENAIGDAYRKKAK SSQFLPDWLHILYTSVNLKKQRVETILLWRMS ANIA_08296 MTATTLLDHKNIFCLYCYGSFAYTRTLDLSDSAKACAVLKAVSH LQDFLATTILLSHFFFSDSFFMRRPFTMTMEEESIALLLQQLQELCTEMQTQKQQLQE ENNSLRAELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPCPRHLDVEPFTGEDPKDY PPFQMNLRTKFTIDAACYPTEEEQVYYAYSCLRGKASQCILPWLLACQKSETPVLWAE FSAVLDKAFGDPDQQRKALV ANIA_08297 MPAVCEPQIRQKHIRDGKTFSYVRNSNSEALLGEGKQYDFHIKN PATTTPKHARKRAAALAALVAGEEEEEDEEAEEEEDKEEEENARRRKGKAPATSARKG EALAQTLLLVAAEYADDAGFQGFGGEEEEEGEGGGDEDESELSLLDKEILTLGRS ANIA_08298 MEHIQKVTDPSSANAINACLACREKKRKCDKRLPACARCISLKR SCNYNWSSPGQRGDNDSAPMRLLTWILQWGMDHPGTHSLEIDELYVNLVFEKLNEQAI SLDRLLAEYFGNIHPWLPVVLEKSLHKQVAQLDHTPCAETALLILAIVLVMHRGTQDV PQTQFYNLFRGLFSFLQLRRGPSLPLIQSGLLLVLHEVGNSDSGAASLSIANIARLGY ALRLNIDDLSEYSNRLAWVEGEERRRVWAGLYLLDRIVYQVDAGFKAPHAVTDLSDQF RLPVDDLTLEQFLDGVLQCIPQFPISAPVEIPLCYFAREIQSARLLGHVQNFQKSYDA ESLLEKFTALDTALMQFAEQLFEQTPRGWAVLCGANATTLIAALILHRVRIDHSAQVA CSDPSYSTRPSLLALSSFIHMVRDICCKFNSLGKEDKIDWVPLPAVICVGEAILAATH LKKIVGGEFKLDDRPLRQTLIYARKHWRLCECYLRRMD ANIA_11600 MFGEHLRALEAELAKARALVGTQTPEEGVPIGVRCMTPQIDGLV NNAIPIPCKANVTGIWLSLPQHKACYGLSLPAIRSSERRINET ANIA_08299 MPTSRTLLVLGSGPGIGVAVASRFAQEHFDCVAIFARTGSQLQL DQDAIHTAVQKVRREVVVKAWQVDITRVGGSNFFDFPTEEMKSDFQWAVPLLLKNKEK NPGLDYKPSILVTSSLLPVDPIPELFSLSLVKAAQANMVKSLEKMFRARGMSQFTDIN HLLNAEVLFEELDHLNEVPNPLGQNYAEHHFEEPDFSCFGHQLWL ANIA_08300 MLAQATVQAFVSQLSTEERLIFQATDCSEKLIHDLVDLDNQHGK AAISRRIAPNIQSFVGGVERFSGALDALAGSVSLMQPIWGSCKVVLQVAKDYNEYFDK LSGMFEEIGYNLSRLRRYPRLYSDNAVLAQSMVNIFQAILEFCARARAVFRQGKNEQS SVRRFSTSAVSLRAAWKVIWKPFKLQFGDIVEQIQKSMARVEQEVDIAEKELASEGRA KAEDERKRQADRWERLEARQDSVTEYLDQQKVAQLNQWLASVNAAANHNTATKLRRAG TGTWFVNGQPFHDWLAADNSFLWLHAIPGAGKTILASTVIDWLRQHTENQARGLAYFY CDYKDKQKQSSTVLLTTLLSKFARQNHAVLGCLYNFFEKQHRENPAYTAEFDELLNNF SDFLSDSFDQCYIVVDAVDETEDREGVAYALRRIAETCKCARVLVTSRHEIDIARTYE DLPHTSIEPSDNAADIELYVRSEVADKIKAKKLKLRDPKLQNFICETLIQGAHGMFQW VKCQIDQLCKLRNDRAIRNALDDLPKTLYDTYMRILQRLEAERDEEVTSVQRLLRWLV RGIRNLTLQELAECLSIDPENAEDSFDFDAVFTDPEDVVELCGSLVVISHDGYLTLAH YTVKEFLVSPHIKEKMPHFWVGCETAHAELARVCLIYLCYSDFSTNYEEPGDQMLQRF EEYKFLRYAVQGWGAHAHFSEDDDDVFALVMKLLEEDCEERENFSLWCHLYLHLHKAS GQQPKVSDTSSLYFASLFGLPRVVLRLLETDLGLDVREPLKAASFARHAKIVKVLLEH TAPLEPSALENCLYVSSSRGHEDVVSLLLQHGVDINAPSGKHGTPLQVAAIEGRQQVV STLLQHGADMNITCRRYGSPLAAAAEKSHMQIVQQLLSHGAHVDKRGGWFGHPLTSAI VSKNVQLVELLIERGADLNALGGRYGCPLMAAASLGMLDLIRSLVACGAKVNDESDKR PDSLYSACIAERLDAVELLLELGADVNAKGGRHRNALNAASSTGNAEIVRCLLTAGAD VDYFDEHYGNSIQAAAVAGHDAAIEGRHCCVPPNTATPKQFELGVPSGDTTETTDALM VAARKGHTATVELLVKMGADIDSVSKMATYPKCTALEAAAGKSHLETVWRLLELGADV KRVTNGLYGCPLIAAIFSDREYAEVVKTLLDAGAGINAATNGAAAGGGTPLGAAVLKG RYDLCVDMIRRGADVNITNDWYYTPLQVAITNGDESMMSLLIDHGADINLAIDPSDDP DDSGVLTALQVAAWYGREDMTRSLVSLGANISDELKGAKYKSALQVASFRDHGRVVRI LIDAGSEVDEVGGLHGTSLQAAAVEGSDGAAQVLLEAGADINRGQVGTMGTALMAACC TGWKHMVRLLIEHGADVNAIGHKPDCFPLHAAAYRGYPNIVRSLLDAGADVNARGGMY GTALIAASYGLESDICEILLERGADPNIVAGRYGASPSLQGGEFGTPLGAAIDGSCET LVDELLRRHGVDPNCPVGKHGSALQACIAERGDGDDAWLILLKAGVDVNCRSGVRGSP LIAAAATNEYKIAEYMLDHGADVNVEGNLYYPTAVHGAIKAERMDILKLLVERGANVN MANSFHGSPLEYACSLARLPMIRYLLRHGADLGLSIKGRYGAALQAAAISGSGVTVRY LNRRGADIHRRGGKQGSALTACILKCDLFTVEVLLKKGADVNVRGGVYGYPLQAAAVK GLDSIPLVLLLLRYGADINAKGGKYGTALQAACVAGNYELVQLLLERGAEVNVTAGFC RNALHAAALLRNKHICKLLMKHGADWSLVDRSLSHFAPSILDYANGILRKVHDDQQNG WPDNESDESEDTADTDDSDDADDTDDTNDGEDTEDSESDENDESDEDGECDESEDKSK ESEEEHSESNGEYVYLNVESIPFFYEPYCAVSVQAGRSDGTDDDEPLLNADLREPELT DWSVLAWLQVECGKNGDFV ANIA_08301 MTDDSVDILALNVLHDIRDGHRGTDILEVPEVLCSDPVEKSIVN WLRDEDMLLTDLSPARVMIFGYRSEWKGQRPSDVTSAEVANRLLDSLARARKGVEDRP ILFIAHCVGGLVLARPIDRVGQSLLTAYHDELHQNKWARIFSATLGIVFMGVPFRGAH GALANRQILSAAQQQIDSLENENERREAHIIKRILEILRPGNEMLFSLMTDFLAIDHE LMPQMERLVPRDSATLDGVQSLLMETDHFGLNKFRGRTEEYRMLVNKLQAFRSEEAYS AYSARRARMMRINPRLARRWRQELAGEVVTTSDLGFKYCSSSQRTSHGGAVLKRKRSG NKDNVYHQSRSRYISLQVQIERQQPVYFMDALGRVTPFHLEFIRSVEAIAMCMVFWHD GIEDDSCPSCKSAFPGSTSNAIQCSVCGSIFRRIQDLESGIDSKIRSRRIFDGYSDDG DFWSDSAGLQELKRLDAPTVATPPVEYDDVQNELDETITLFHNVRIISTPSVKRSTKV WCSIQASVWYYNDAEREKRTGWCFVENGDTMVLECRQDQSVVFFYRQISPKDHGFEWG LECDFLWRDSDGCGGWHFRSQNRKDAQIIWDYIQCRPDIRINSSKGGLDLPLFPDEDA APLHGVRGRDWGLYGDW ANIA_08302 MVTGIEVAGLILGSFPLIISGLEHYGGAFESMKEWIRFRAEFAV FMNALCRQKIFFRQNIEDLLSSVVDSEYDMACMLDDPDNPGWKDPDLEWKLKKRLSGA HEYDCCMDIILSIHTVLGKLESKLHITGDQNHQRLQVAGVSFVRLEFEFRRFTYTLGK KRRQKLMGELEKSNEDTKRLLGNSDRLEPMRKKRTANLPRVFHQFRLQAASLHKAIAR ALRCTCRAPHSVELLLSRGSENIESGLSSSIQGVKLHVYFPLISGRWAHTASHSSTSS MRYAAEVEMACAPSSENQAWEPGFDDTSTAVSVRSKSTTDPQHEKRGRKVSIVSSRKE AEASSSIPKDAVRILDICASLGSVQDTQPYLGLLHDGEDNCHIVRTVGVSDGILSSSR ILQLVPLTTLLDMTPQPESHSIAAVHQIISAPPLAIIPRQERLCIALTLARAVLQLQP GPWLKHTWSKNDVYLFQTKQGTIETQHPVLISHFAIEQPAGPSETLTNTPKAVTGIAS YQESRTSLLSLGIIIMELWFGKGIETLPFRNQFLGPDGMNNEFTDFNTAQKWQEQTLE EGGVELHNITRRCIYCAFNAASQDLNNAEFRQAVYDDVAQGLQRILARYEEG ANIA_08303 MNFKYLFGYSGQASGNASWEAKASAKRADTLAKIPAEWLLPKED LDKAANERNLTGPFINQYLSPDDIAIVEQGSVPLVEKMSAGKLSAVQVTRAFCKTAAI AHQIVGISLCTPAQLEGLFSQSQSSHHAYDDRTTACTSLKDQFHVKGNATSMGYIGWI DTYEGNPDPNLVFNVNSQVVTELLALGAVLYCKFGETVNNIIGRTLNPINQNLSCGGS SGGEGALSALHGSSVGLGTDIGGSVRIPAGFCGIFSLKPTHNRLSYRDVANVIPGQTT YASSVGVLGNTIEAIHLVLSSLISTEPWLRDPNVASLPWRQNIADETLSRAGKGGQCT SAGDKQPLKLGVLWTDGVVTPHPPVARGLRLTVDAVKKAGHRVSFLKADGGHDINRQL DLSGEPLIPQLRDSFTLRDPMSLLKYQALTIEGRDYEAAYSDYWNETANAEDGRLPTR RPLPPVFLALNPPSGMRATVVIPVTRADKNQDLADPDYAPLNELDAKNWEAYDADIYD GAPVGVQIVARKYEEEKIWAIGKLVYDCLLQQEAQ ANIA_08304 MATLQDSPIIAELSSQCWSRFSCTRSKFTLSGAPLPEGFEAHDF LDLFARFRMWAANLGALQREKSSLDHRLRNSELRMEVLRLLTELLAALTDLYPLVAGE KVQETWYYEDDDNPGEDFESDSSGSEPESASQSRTRKSEQPRILVTESAQLVLIIQDT VASLLKLSIVIHRSTRRAKFARSSKEKQYDTSFDIAHVEAVFPYAAQNRPLIERLGRA NAQRRQWLAYRKRHHQRLATAAAAEPGGGILRDQHELAQTEVDSSVPGFSIPISSHRP TPSAPGDWEETEATTFYQESHATRGEDRGRDEGSETSYSASSSSELDNEHMLLPRPPA GSADGNPFECPFCFTIITIESLRSWVKHVHSDLASYVCTFPNCPEPLFESRHQWFNHE MEVHRRQWLCPLCDDTSLPTLRDFEGHANEFHSSCVGKEALVALANQPLQRISAAACP LCNYQTKLNVKLRAQREVLLQPNKLRNHIARHMEHLALFALPKDYPRLYDEPRIEEDD DEVEPPDAGGIEGKGDEKAQKLLEAATIDSMGKALAALSLEEEASPPHILDSAPALAL GWQPPHDFTPAAADFDSEDPDLVPRREESMFGGDLFTPGWVRGYGGAKEGYCGRCDVG HWVNIPDGTYEIHLTYMHGLASNGLPLPRPSTVRKVVGMPGSWEAFCDSCQAWRLLRK SRRGWNWFRHCLTEHDTTATGHTIQRADTPFQRARRGIQKGDASYLLETLEQHPTIVR QISDGANKTLLHYAAESGHVDALNMLLTAIDRLASTQEVIDIRSALGATALMLAVGQG SQDIVEILVERKANVNLVDNDYRTALDIAAHAGYDGIAHYLIQHGADMRKARDFQEIY SKYQERQQAAASRKQDLPDDQTQKETAGARSTPFPSSVKKSQPPNTATWNHLMSAVFA NDLAGVKQTLHNGTDIESQAHDGRTPLMLAASRSNQAIITHLLEMGANIDAVDNKGWT TLMHAVTDNNQPIVDLLLSRGADANHVSPDHWAALAEAAQHGQTEIMASLLRCGADPE SRSSHDWTPLMHCCYKGDEMGVRLLLGYGADVVVGSQHDETPLLLAAAAGHVEIVRIL LVDARAPAEGEWARKIIEREGEDRDLRDPGAGAGAGAEVERAYPLGWTPLMVACQGGH AEVVKLLVMAGANLELRSPMEKTALEIAREHGWVDIVGILEGHGGGAGVARA ANIA_08305 MVITDQLTKELAINSRTSTATGVSPFYLSHRYNLSPFTPTKEVE HLAEEPTKSPIQKGEAIVQKVKEALDWAQASMAYSQQNTENQANKHRSPATNYQVGDK VWLSLKNIRTDRPSKKLDWKNAKYKVIGLVGSHAVPLNTPPGIHPVFHVDLLRLASSD PLPSQKNDDSQPPSIMVNGEEEYMVEKILDEHRRRYGRGHRLEYLVK ANIA_08306 MARPNAQPGGPLLAALQETTTAATIKAAEGQKICSPIAAFLDEH RSQTGLAFHQRGALEALSNDLAEVAQRHFNAYISGVPLTTTSLATGPAPDPVTLPPSP PPSRPASGLAQATYATVVQTVPTRTAGGIQNRTKSKEVVEMSKWHKSSGTYRSPPLKG PLRPDRPPAVALQE ANIA_08307 MAAGAMNRLKRRRSRWKPKHSVNNRTHSRNHKWGLVLRKTEGRA PVRSVLATGQPPIIPNIPPEGRRRGVYEAAMESREANSWLKDNQYNLDQLKTVQCTWQ TQLVDFLDTGYAFRIYLLWDYDRLWGVFNLGHTKGVLLVDPGPRVSSSSDDPQQLSFL WRGVRADDPNTYICDNSIAKGEIWLNPCHRRLGGYFDYIAGNGMAGGDRCYFRARPRF GPPVVPYSLKDVVDEWDEYGSSKYAREEIRQNLSLDDLDADLRKRDRRRPMSAVWDFH KGHTSAVGFSGP ANIA_08308 MTHEKSASFISVESSEMDITGKKSKIPCTVHGPSQTPHLDHELQ QPYAQDIIHTLTAALNDLTDENKCAKCTVEKFSVLLTGHVREIRAAKKNGQWSKEERK AMKAEVKSTYKPVKKAVKALWKERKQQK ANIA_08309 MLSFGLANDAWGHPWVVLPLAVILYIVVLGVYRLFFHPLSRFPG PVLAALTVWYEFYYDGIRRGLYTFEIQRMHEKYGPVVRISPNELHVNEPSFIDELYAG SGKRRDKYPYSTCQFGIPDSVFGTPGHDLHRLRRGALSRFFSKTSVTKLEPIIENAIG KLCTQLESYSGSQQPVKMDMAFSCMTTDVVTEYAFAKSYNFLDSPTFEPNFHRPIVAG ADLGPWVKQFPVLLKVMNDLPKWILTRINPEAAVYIQFQEDLRRQIREVQSQVDKGES NGKIPTIFHELLTGDLPEQEKRIERLWQEGQIVVGAGTETTAWTLSVTLFYLLDNPRI MRQLQEELERIIPDAAQSVTWHQLEQLPYLSAVICEGLRLSYGVSSRLQRINPLGPLW VRSRDAKGGPHGKGRWVEYEIPKGTPVGMTSTLIHTNPELFPDPHEFKPERWLDGAGK RHHSLDGYLLSFSRGSRQCIGINLAYAELYMGLGLLIRRLGHRLELFETTSADVEIHY ERFLPTPKDGTQGIRVLVHPESE ANIA_08310 MRKPVQIITNPLVNAVILAVSWGCKADTSHGQGMVYGFEDIEPS AGLTWASCYDDFKCSRLEVPLDYSNRSLGTTSIAFMKLPGKNATVESPSLVIIPGGPG GSGVDLLLTYRELLEQDFGERYNFVSFDPRGVNNSGLRLDCFSGNAEAKLAFERLHRI GVTNISSTLLVENFYSSSIYGEWCNDAVGNESPYGYYVTTPAVAHDLLTFIEAEAEEA GKSPSDTKLWAYGVSYGTVIGSTFASMFPGRVGRMILDGVLNAEQYYNNEWKENVDQM DEAIEKFSSFCHSAGPGKCSFWGPTPANITARVDEIIRQLQNHPVPLSMVRSQELPTM VTCFDLKALFINAINSPLANFPGMAHVLHQLERGNMSALAGTFDGLGYLSDSRLTIQC ADSYRSNRLTTFEEFKSYVEYTTSKSRYIGDMYPLALDGILCRSFRPQLPDSMMVQGR KPLFLSLSSPHV ANIA_08311 MTDYGPPYGYAVRRNGTCDTAANEVKCTNPWEDWLNCCPEGTVC GDGAVCCPTDSGCAAPIEANPHCANNATWDLYQLDGYLCCDSDTNGFSFSGLVYNGSQ TTGFGCADGYPTGRDTEVLVPVAYGNETDTDSSSSSMPSPTTTPSTSPRPDSPTASET NLTSDSSSSSTNAGAIAGGVVGGVAGLALIVALIWSLLRRRRQAAAAMGAPISGAGGL TEHFGADPAKGQYAVAPAELGDNAVRAELTGSQNQQDGIPHELPGAMPSR ANIA_08312 MRFFQAVVALPLIAGVVGSPLNTRATLENCNDEGVQAINNALAQ AAEMAISGASLIRSGSGYSYSLFQSFFKTNDAQARNRVADVLEKIATEATNGNQGVVT YYCTPEGIECVNSHSFTMTAYGDTDGTYGRVRTCPAYFTDFPAWSNICSVLDQATSSL HELAHTKGIFGPETYGYDAVHGLSSASALENAESYAFFSKSAFLNCSITY ANIA_08313 MAYQSTGPYSGKADHNSASKLSSGCISLADGKQHRKLCQCHETV RAKVLNTFVTRGSCKVIDRSMVEIQKKMLAHHKPDRVIGLKRAVELEHLLTANPSVPG TVMEDESGSIQQCAVRPIFWFLANRGNEWRVYACVPDRGQTAGPDIPGLQAQTSIAIL SGISSPSPIEPAEISLVPPWGTSEHNSGWQSVQSVSTVPDVVVKSEIKDDWRRLGKPQ HPSLTKRRSLALCLPALGGDQRHVDWVLTKELACITASPVAIAAFANIIDYPTATRNL LLSASMTSTERAPRLIHWLRHLPLLERVQAASRSQFLYLKAFYGRITADDWIENREKA AFTSRLWECRDSQPRTFLRCLKNTYSIAGAKPHFGDPEHDNKILRVLRVPRIAKQ ANIA_08314 MHWLRSIHAVVGICLAAACVNAKAAVVASKTIELFPEVTLEERA PTITSRPDPPVVDFGDAETYRPRIGVDTNYLTWEESSTKWIGVWTEYLTQGPSTTEYI KMHTATATEDGQRPGDVAILVPPVVATALANTVTKSMEACKLPIVKRRVRTGGMYPSS PFSLIHNLIHGVEAIKHEWFHRFNIPANGIPKPKEEEDGLTCDKNAPRDEFSLTCTDH NCNGPNECSDPIACDTSNDRCTTGHDKGCLCLHESFDIIAEYIPLEFFEVQDEIIEGL LNSSAGVPSKPFPTLRLLPLGDSITKGSGSSDDNGYRRRLHDLLLNDADTGGDNDDDR VSKVDFIGTFRNGNFEDRDHQGLSGKRISDIAAASDRVVKARPNVILVHVGTNDLDKP VEPVETAPDRLRALLDGLREACPDAAILVSEVMLNTHTDVQAKINVFNQALNGIVTEK QNAGEHIAVVPMGNLLTSEDLAANDYKHPGNQGYKKMAGAWFNAIDEANSRSWIKNPV EPEVTSGVGLGGTGSSRNICDGNNWERVGTVTPPLLKVWNEQGIFAYDGRLPTAKWVQ WADINGDGRDDYLAIQKDGAVHAALFDENGQLARVVRNFAAGVNGFSGDKVHFADIDG DGYADYVLQAINGSATVSINTHNVGSKTGERNFEAPRLIAGGVDDVPGSKIRYADLNG DGRADYLILYDGGAVKAYINNGDYRFHEYGTVATGVSGAPGSRVVLTDVDGDGYADYV ILAVERELPSAGCMADSDCSGECDSEEDYFCQNDGKCKCEKKPEKECSKTADCLGGWR PGKEANERIIRIIMASSVKMGEHGILLQGVSGICCSLISSRAKSDGALRDFEECHCLV AYVDGRATEYECDPKDDWEVCDSHCPGAIGFCMFSQREDLTHRVCHCLDNVESLKRRD LSVLSANITMDRSAPSGQMQNQKRADGAESANPITFMRNTHKLATDGSGGNWAERTLL STGVQNPEGSVHFAKFIHDKIPDYVVVANPDTDPAFGVWSGTGMYDKAGAIRFADLDG DGRDDYIRVSINGAVDAWLNKGVNSLVRLPGLAPGLKGVTPEKVQFADVNGDGKADYL VVWEGGAVEAYLNTGQLAPSGKGDGRNWREKMVIAPGINGVLGDKIRFADIDGDGRAD LLVLYDGGGIDGYLNTGVLNQDSSKRSWQKLSGPFAAGVNGVPGSKVRLADIDGDGLA DYLVLYDGGAVHAYRNTGNVADDPNSRNFDDWGVIAEGVSGISGKNVYFADLSGDGKA DYVAINEDGSIELLANKCGA ANIA_08315 MRIVNWVFAALTGFSAISVSQAYWIDPESCSKVEGIESAIEMMN TGEQQLVNPSNEMRRLLENLFGNHSTVHVEKQRRKIQGAISTGGYAGYSGVFFKTRVG IKDRNTALTKPVLDFYDVRFYCDSRRIKKQLSRTKGIRLFNTHTKELLAENYISELLE CLTNLAWTMCPYDETENCEITFCPWFLEYGMKRKYKTFSSWWKDKSAVTWAKLATLLD KWITNRYYTPIDLVSLFDKVILHELMHTRGAAGPDKFIPVDVGGRDGYGWKNAKRIAK MVTDLSTDPETLGPEKNADTLALFGSAALMIQKGIEIYDNGSFVEPKEMNEPRFDVWF DELLEEPEFGF ANIA_08316 MADEDTRNTSMLELNETVKLNEGENGETNGPVHPRKGMPEWKWK GTLAVILLQTIISGYDVSNVANIQARLYETFGNIELLPWVGLSYSLANFALISLSRKI LFVCNMRWVYIASVVIFMVGAAVGGAAPSIQAVIVGRIIMGIAGAIVYQRYPLIYRSL LSAINADISSNMTFVSVFATPAEQPQIFGLLGAIWAAGLVVGGPIGSALASNPNTTWR WAFYMNLPWVGLSLVLTIVCFPSQYFGPDIPVLQRIRKIDPVGVLLNAASTVLFAVAL QFSGPIWSWDSGATIAVWIVFGVVTIAWIVQQCFCIFTTPEERSLPLHLLKRLDLVPL FVASGCAGASYAVTLYYTPLFFAFSRGHDAMEQTVRILPFILVFIITVFLVGGTVLPR LGRYNLIYYVSGLTMTAGGAALAATMSASTSESQIMGLGALIGVGLGCSFQHGIGISN VINRDGSPQDRVDSALLFNMSQMGSITIVLVIAGAIFHNVGFSLLDKAIGDRGYSESD LREALAGVSSVVWQSRDPEVVRRGIEAVSTVLAREFYLVVASGALCLVCAAAMKWEKL DYGRKP ANIA_08317 MRLSAEIRHAVRSLSRAANQRRLQWCRNSVRNVHTQGVQSGTVG YSNYRSSLWSYAVLEAGMTGLGLISWPLGPRTSVQSEEQPIKYADRTTMLEGVQAIAD VLGPDAVTMDEDDIDMHSYTEVSTSHCATRPVAVVRPKNTEEVSSIARICSEYKIPMI PFGGGSSVEGHFTAPYSGLSIDFSQMNQIVAFHEDDMDVVVQPGVNWMDLNREIKDSG LFLPMDPSPTALIGGMVATNCSGTNAVRYGTMKDWVINLTVVLADGSIIKTRHRARKS SAGYNLTGLFTGSEGTLGMITEITLKLAPIPEKQSVAVATFQSIREAVACASKIIRQG IPIAALELMDEVQMQVLNRNGGAGGRMWREEPTLLLKFAGTSQSIDADIGRVQRIIPE EGGKSFEFARTEAEKGNLWAARKEAVWAMLAQRPEGTQLWSTDVAVPLSRTPEIIDLS KKECEGLGLFSSILGHVRDGNFHQAVVYDPSSPTQTQIVQECVRKMVHKAVEMEGTVS GEHGIGLGKKECLLEELGLETVALMRTFKRSLDPKIALISWWGAIYNVPYFC ANIA_08318 MSSDPKVTAEHSECVDMSVKDGSVESCAGMSPRAPVLDDEKNRK LLRKIDMHLMPVVRSLALDCAPDRRRSRPQMCFTYALQYYDKALLSQAAIFGLRTDLG IEDGLKYSWVSLIFYFGYIAGCYPISWLSQKFTVGKVCPIICFLWAVVVLTTPACTNY TGMLVNRFMLGLIESGVSPAFMLCTGMWYTHSEQVFRSSLWYSFIGGSNIVSPLINYG LSHITGGVLHPWQYMYLIAGLATLLWSIILWFVFPGSPHIAKGFSAEERIMVLERVRS NNAGAENRHFKWYQVREALLSYQFWFVFVLSLLSSIGRGAVTTFGSIIFNGMGFSTFQ SLLLNMPIGALAFICVLGSGYIGRKVPNSRLYIRAARIIGFYLINFFSSAWVQCIAMG TSNVAGHTKKATMAAATFMGYSLGNIVGPLTFDANDAPRYDPGFRATVICFAICFVLA QVFRALMLAQNKRRNSKYGPPTQEHGLEDLTDRENKSFRYPL ANIA_08319 MRLSLIVLLAGTSLVTAWTELHHEPFMNKNIDAIVVPGTYTSHM HTFFGSDAITNVLPTSTELQQGCYSGDNPNDLSVYWIPTLYYVADSTFVEVPIFRFST YYTNSYSTLAISQDLALISGNASAKTQSEAYRPSNDLEWFCEGSDEHESDIAKMPTST CTQHLRSTSVSPTASTLISRWDAAENMLVAGRGGYDDEQFIAGAHGDVAVKTSCTPTD ADPVNGTSDYYTSLEKMENNSTDVSGTTVDSETGDEDSLTSSTWSSASRPTSSVNGTS GDRKRGKKRLSSERGIGRKSKRAAAKGAHDTVAQYA ANIA_08320 MANTTAGGYPDIFKGAIIYSAGSSGNIRNMYQGYTGTYLKVQLY LGSEDTIIGSTAFNTTLAAWAVVLSYGNAPKQVMVEEPEDTRVTYFFGRKLKEIWAEG IGRSVPTQEERDMEWLGFTS ANIA_08321 MKLGQWSYNLPKNPIAQSIKRVTWFDSTSIEISIRLVKPNPRNP RNPPRPAKDWVGYGTCSSSQQDKDKAAEENSRSRGSHEYRNATAEGVTEDVLKITAVN AAQEMREMKRSDIDAYGAPWGSFSVDPFRSASMLPPPDKLDGKPNSAFRWG ANIA_08322 MRPSSRSDFRCFTREAHGPTGRMPSSQGIFSPRNSPIAWGNIEN INYNTFNLGSNQRDDPSRAYAANHKDTDRSRHFMVPFGKNRRFVGRQEEIRELEDLIS KSDGPKTVAIFGLGGVGKTQVALELAYRTRDNKPDCSVFWVPCTSYGAIEQACLEITR IVGLQDVKPTEAIEHLKTYLSQTDKMWLLIYDSADDADMWTKGSNNTPPLRDFLPFNN QGHVIFTTRSRELAVDLASSDIVHVRELDEKTGMEFLEKSLYKGLCCDSHVTSALLSQ LTFLPLAISQAAAYINKKGIAVSDYLKLLEQQEADVVELLSKDFGDNHQYKDSQNPVA KTWIISFYQVQKQDPLAAEYLSLMACFDPRNIPQSLLPQSGSRLRITDSLGLLSAYSF ITLHDGNGLISLHRLRVGNCLYSDGRYNEAEELQAQIMATRKEVLGSEHPDTLTSMSN LASIYQNQGPGHPDTLTSMANLASTYRNQGQWKEAKELQEQVMATRKEVLGSEHLDTL TSMSNLASIYLNQGCLKEAEGLGAQVFDTFKKVLGPDHPSTLTSMGNLASIYLSQGRR KEAEELGVQVFDTFKKVLGPDHPSTLTGMGNLASIYGNQGRWKEAEELQEQVMAISKQ RLGQDHPSTLTSMGNLASTYWNQGRLKEAEELQEQVIATSKQRLGPDHPSTLNSMANL ASTYWNQGRWQEAEELVVQVMATQQGVLGSEHPDTLTSMANLASIYQIQGRWKETEEL EEQVMATRKEVLGSEHHETLASMSNLASIYQIQGRWKEAEELQEQVIATRKEVLGAEH PDTLTSMFKLASIYWNQGRWKEAEELQEQVIATRKEVLGAEHPDTLTSMFKLASIYWN QGRWKEAEELQEQVLATSKQRLGPEHPFTLTSMCNLASIYLNQGRLKEAEELQVHVMA TQKEVLGSEQSDTLTSMANPESTYWNQEQWKEAEELQEQILATFKQRLGPEHLSTLTI MANLASTYRYQGRWEEAEELEVHVLATFNQLLGPKNPSTLTIMANLATTYRNRGRLKE AEGLELQVLATRKEETLRTL ANIA_08323 MRPTRRDDFAIAIICALPLEADAVEALFDEHYDRLGKYYGKQQG DANAYVNGRIGKHDVVLCYMPGMGKGSASSVASSLRVSYTGIELALVVGICGGAPPPP KYQEVFLGDVIISDSVIEYDFGRQYPGGFQRKTGVRDTLGRPNREIRALLNGLVAENA RQELQNQTQQYLHVLQETKTKWGHPRVNDILFKASYLHRHSGHAFSAKCTCFESDLHG QICEVALGKDCDDLGCDKGQAIRCREASSAIQTSLYIGTVASADTVMKSAQHRDEIAS KEGVIGFEMEAAGVWDNIPCIIIKGVCDYADSHKNKSWQAYAAATGASAAKAFLEYWT PSTRKTQGTGGLMSPFQGIYSPTNSPIAGRNIGNVNYNTYYLGGS ANIA_08324 MRSSLTNHVMIAEVDFERYGSQLLLRAFEGRKEGECSGPALTGT NYRESSFFKNYEEYKHTLVISTNSKVDPQTEFATGTLGLPGNDCLPRLPFPSITDRLP TMATLPFAVAALAGKVYVITGGNTGVGFHTVEELTKQGAHVYMGARSPSKAEATMEKI RADTPTLNKLYGPDGTETAKRGIRTSVVHPGNLDTNISKQTAFPKFANTVLKALRAYS HAREGAYNPVFAVASPDFKAADSGEYPVLGQRMKQPRKAAQDLEPTARLWKWTEEEMR TRILPY ANIA_08325 MKRSVTIISMLAFSSALTLTPRAAEGDPVVECGNLDVMTIDPVD LPAGVALSDVRKCLDHPLGRNRHVKGASLAPLDAVDASFYNSAVDTGTSPVEPRSDSL GVFEERACYKDAPYGCSGGYCWKACGNINKGEWCWTAKKAGLGAWIKCNPMPECIAPL ALKICPSLLSTFPARFSLAVTPEEVLSRGLSSFPISTPHDSYKKCFNLHPCFYGNEIL RFSYEFQFPESCILRRPKNGRVQPTQAPMVAVDTSCEEPVSVRLLGQLVV ANIA_08326 MPRSLFFDQPSSTNLTANMKFHTYLSLLLGISATTALAALRPDA TPDEVAAAEAECGSMGVMRIDPAELPEGVTMADVRMCADHPLGAGAYPGPGPGAFARF RRFLPSWVF ANIA_11074 MQQHLEKHSIFAPYSQAKASVRSGQPSIMSFITKQESLSHQEHL EKNILCWIIRDKQAFITIKLPEFQQIFQDTPGIILPFSSQATLRRRLIDNFEIQRLQL KEELKITCKSIALSLDIWTSQNHLPILGIIGHWLMEDFIYQEKVLEFTELHGVYSREN LAAAVQLTLSELDLEEKLIIITGDNASNNKTMASELYYTLKGNIGESSILQFQGLDSY IRCLAYILNLVVKDILRALKSGSSEEAYAACISLCNGQPISTQSALAKL ANIA_08327 MFYALGPLALFAFATEVMATPVAYPMTTASPTLAKRDSCTFSGS DGAASASRSQTDCATITLSDITVPSGTTLDLSDLEDDTTVIFEGTTSWEYEEWDGPLL QIKGNGITIKGADGAKLNPDGSRWWDGEGSNGGVTKPKFFYAHDLTDSTIQNLYIENT PVQAVSINGCDGLTITDMTIDNSAGDDAGGHNTDGFDIGESSNVVITGAKVYNQDDCV AVNSGTSITFSGGTCSGGHGLSIGSVGGRDDNTVDTVTFKDSTVSNSVNGIRIKAKSG ETGEIKGVTYSGISLESISDYGILIEQNYDGGDLDGEVTSGIPITDLTIENISGSGAV DSDGYNIVIVCGDDACSNWTWSDVEVTGGEDYGSCENVPSVASCST ANIA_08328 MFYYNIFSTKKSFIISVIVVMIIVWLWAISVVLETLLLCRPLAY NWDTSTDGECGERNATFVVAGTLNLVTNLMVMVLPLPHIWKLKLNLAKKLALTAVFCM GLLVSVISIVRLMALMAIDFNDITYTLPMGVLWTVLEPQLAIVCANMPVLKPILSRMF PRLFGSTNQKSYGVSDPQAFERLDERGYSLGKVSRNPLDTHVSGGERQPAMPFSNTFT YLPSHLNNEQRAVGCAWDDKLTEYAVQLFSPVVSHRQAEAPEPRRVIFGRQQR ANIA_08329 MAYPADTSAVDGLESQIFDFVVGGGGTAGLVVANRLFEDSKVRV LVIEAGSNSVRDPRISIPGLAASTYFDPDFDWCITSPPQDGLNGRQIAEPRGRTLGGS SAINLGMVIYPSKKGVDSWEELGNPGWNWASLSEYLRKSETFTSPSPSIRDELSLGYI DETLQGGNGPVHISFGDGPYPAFSAAWPKVFENLNHRLTGDPISGVSKGAFCNPATIN PKDKSRSHAGVAYYNKEVAKRPNLRVLADATVARIVLKIEADGSLVATGVQFTPKDGV QRTVAAAVEVVLSAGAVKAPSCWSCLHGIEVLVDNANVGENLQEHGFVPFSWEIADGR QSGEALRDPAVAQMAMEAWQQHSGAGPLGLCPLASAYMTLPSLQDGELKALLKQYLDD DQYPRRPGCEAQYHILRQMLEDETEPSGQYTLAPFQITPEKGPSPKGIFGMSEPECFV SIVSVLNRPFSRGHVHLASSDPYAPPVFDPKFFSHPLDLEIHARHTQWLETLASTEPM ASLIKKHGRRLHSSKRVDDLDTARELTRDRIVAHYHVTGTTAMAPRESGGVVDERLRV YGTKNLRVVDAGIMPLIPRGNIQAIVFALAEKAADLIKEDLRDSSFSKFIYKPQLPLR LNNACNT ANIA_08330 MSVPSSFRAALLHEKSPEHTIAERSLGPLGSDEVAIKVTATAIN PVDWKIRDYSVFLTSYPAVLGSDAAGEVVAVGDSVQNLAVGDRVFFQGIIRNYDASTF QQYCKMPAELVGKTPKAISDQQAAGISLATIAGITGLYDKTGRGLAPPPWEQGGDRAG SGNALVVLGGSSSVGQYVIQLARLSGYDRIVTNSSLTHEAHLKELGAHVVLDRKQAGP QDFVAALNGLPLDFVFDAISNADTQKLGVEILNSAGIESQVVVVQAVNSEAKELGASK SLKIDVRQVLGLGSSPDLRYLSVPLMKSLGGEDGWLATGKFTPNRPVIVEGGLGKIDE ALDKNRAGVSGEKVVILP ANIA_11075 MTQWDRLSDMCRPSLVQAWFKPGSSLLHPLYKKLHKKLTRRHCL SRPQMAAIFGNFPSWPNIKPGFFVAVSISLLIIQVLFLANLSYLNGSQFKEFEHTHNL NIPDADYDGGIVGHWMTIWLYMHINFLLFDIMTTFIPMQFMPFCILTWMITHVASTIA PFELSPGVYPGVYRWAYALPARKAYSILSQFWSDGCNNQPYYQYSSLGGSSEPLLLSI PYTTDAGKRASSQDPNLGQCDQREPGRPAKNTGQVLQIAFCPATGVPRWSQSSLSSKC FSLILLEADFDW ANIA_11073 MTYHAPSLVIIWHVLQVYSSKTFTDSASQCGFDKDRATRYAGAL SRVYNQAIAGDVPGMELLLASATRFAYHLRGNVHPDKAGVRPSRLSAHIRNLFWLYYV FNQETTMRTALPPAIDYSNCDLTLPSFRMSTCVLTIHTGDHHTVPDLPPAVLGLGYGP DQRGAPLYYSELDRNLKDWKESVPSDSRPTLMRRPADAGSMASSVLQLQYHYCVAAIH QASGRCKSWTDNQDTQAQGSSLAISVAASQSLLCKFSELELYFHHYNLLFHLPYLTAA MIHLFCNILLYSREESSQSNLELIVGVPIRMGLQLRSDAPAAFRMQVKYVQDLCGEIE RLAHIAISSSKQ ANIA_08332 MPFVFWPRALVYRGFSTASILRRPVWEPVRYEHCTAAGQNQRRT GARTSRPGTLFTSLTIESVHEDYELHTSALWAYTGFGNVTGSHVSGDAVTACRLVGNQ TYF ANIA_08333 MKLTSTAALASLAVAATAAPSTPETFGLVAIRSGDAVQYAGFNA ALGSIFAGLPKQNATCEGTDSGFATFYIKDGALYLYGSEETQEIYVDRSGMGQGLIGY TTGDNASGPRNSERTGWSIDENNHLVFDGNSLIACPNSIDSAYSIWASAGVANPGGNK DCVGIAARVEKTENPIACTYSS ANIA_08334 MTKSPLQPDPPRAVTVYTNTRPDQAAEDPLFVAQRFSHSQATTI GPHLRASLRKCSIQNRVLIVPSESWLLDTLYSEFNGFPRDLFNYLNLENGQGPSMAVR PSYDEEKKVSHDPTEQNVPTMNFEDGTITVQGIAALIISACRLDRNMEGNDPVIILWL ASLALLPRLDRYLRGPNNSWRMKRLLHCPLWIYMDLFQACGDWPGVWDVARRDVARRD AQAYEDSLRPSTLHLTRKLHKAASSVITLRENLRLHIASFERIRDYISQRTMGPWPSE SPFRETLAERAADLLDDLHHHWETSAVISSQYSSLLGLVFNTETVAQGQAVARLNILA FAFLPLSFVSGIFGMTTFSVSVVWYPLWAAVALITVITAAYLAGKLSGGHSTGPYSFF RQHFANRLRRHPPYPSPANHDLSSRLAIVPAAAAPPTQPPSGSARWDSVRENKPSQRA RRMTERQERLRRNAPGTAVIQHAQSPPEFEWWDIPHPVRGPRSEGIFAHGVWGVQHSK EENTEHTGEGPAMSQVDPQLHRALVDDDLRKHSKKRRRVQRGVTLLGQPLDNSED ANIA_08335 MVYMHYIASRPANSGTNMPHFVWVVLSPDRNTADTLFRILQDNI GKSLTVPSVGSATATLTPVDIERLAPKLWVLQIKESPENVAFLGTAICPHTAHDVDPA FDSVSGKMFLYSMGNYPDLGAKLLPAATDFDHDYISGYSYFIRRRGYPSTYWYCCGNL ICLSTTKRSRFIVRIADEHGAGDRLPKRPMVNEDQISIEWIEKVGRKRVGVDANEWLT VKAKTPKSFQFSDFQGRFYLGNEGTLDNPDPARVGTTLDVVCWSSEVFQDSFELCYGI PPCGDL ANIA_08336 MSLTVIRIAGERNSVRNLKALRDKPRGLAQGLAQWAGESDGVLR EYLALPCIGAVKLPKDSPLRFSHTGGVSITGLLVAKAAGATTIVTSSSDEKLESIMAK FGFDYGVNYKRYPEWSKEVLRLTGGEGVDYVLENGGSGTIAESINAVKMGGNVSVIGL LSQAKEMPDVLAWRSLKAQWFAVSQLILPSSCRRSSALSAVKGCVYS ANIA_11601 MRNGFDRSPSVIRRWGSLLAAYHTTSPLATLVKLGVLYVKKNQS IFWSIEATLCGLDCSMFLENASLYEGNNAGYESNRPRKMWSRLDQ ANIA_08337 MSFNPNSVDLDTADPRDVICYMNAGENDYDGRLGARISAIFVIF VVSTAVTFFPMLAKRNPRLHIPHYVYLFARYFGAGVIVATAFIHLLDPAYDEIGPASC VGMTGHWADYSWCPAIVLASVMGIFLLDFGAERYVEIKYGVCREDPEQFMTSTANNEE AVSRQATSTGKKAGDTLEAQSIDSGYIERSFRQQIAAFLILEFGIIFHSVIIGLNLGT TGEEFPTLYPVLVFHQSFEGLGIGARMSAIPFRKGSWLPWALCLLYGLTTPIAIAIGL GVRTTYNAGSFTANVVSGIFDAISAGVLIYTGLVELLARDFLFDPHRTQDSKRLTFMV ISLLWGAGIMALIGKWA ANIA_08338 MGIVTALATFLSPDLTRTAFAFCVLGVASHQCIRHGEIDNRLRP LSALYLLAFLGLCLCYVRIFSLSWPRALGETILAASSFNLGLLTSIITYRAFFHRLRH FPGPWMAKVTRISAVLKAVERTQYHLDLKEMHRKYGDFVRTGPREISVNRPSAVYLTS GPHSVCTKPTWYSHVSDDITKVSLNSTRDPEVHRRRRRAWDRGFSMKALPTYEPRLQH KVDVLVSQIRSRIDRPLNITQWTMYLAFDVMGLVGFSKDFRQLEDAVEHAAIEELHGQ MLMYGILRPVPWVLTILGATLGLAGKYGQFMTYCVARTAERKAEWNASEDKVPQDVIS WLFKASDEKDQSAPPGEQALNEDGQLLIITGSDTTSGALANAFYYLAKHPAVYKKLQA ELDNAFSSGKQSPKINNETLRKLPYLEAIINETLRLKPAVPSGQPRQTPPQGLQIDEV WMPGDTIIIVPQYVIQRDDRYFPSGDEFIPERWLDEKDSLIKHEEAFFPFQLGRYGCV GKQLALMEMRLVIARIAMEFDLAFAPGETGEAFDRDAKDTFTFNIGPLMLDFKPRSA ANIA_08339 MFSRTSRPAARCARRQLHAPKATRNVRFQSTSSESSASSGTSSA LVGGVAGGAVAFGAGYAWYHFSGAKTAVRTVKETQDYANSVKQGLVKNAPEPDKVLQW LRETTTSYAAWIPGARGYIDTLFDDLEIIKGKHGSEFDSIVKDAYAEMKDLSKKGGAN ADTAFKAMSILQKHLNRLLDLSGDAAEDILNNHPQLKEKVGGGLDQLKEMGDAYGPQA KEEVNKTWEQISGIVKKGVSLDAANDIRKLIQEKREKLQKLGDEAWSKGLEESKQYLD KNPKLKELVENNADALKQGNFTELWGLVKDSASSGKTEDVEKYVKEKVGQAKDTAQSS GFNIDLDKWSSLIPGGSGVLSQLQDLQTVGQKKGKEAESVLKDTANELQEVLKKRKEQ LEKLADEAKKEAN ANIA_08340 MARAQPDETTPLNAENPKYQAVDTIRTHVSDEESDAVPESPSNP PVEALTSVGSIIAVLLLARSSWPRLHTSRLSSTAYKGLLGCRLGIPLEYAPRSRCGLA TEMWIVIVGRALSGIGGAGVMTMSAIIITDIVAKREIATWRAVVNLSMTLGRSLGGPV GGVLTDTIGWRWAFLLQAPLLGIAALLVAIQLKLVQRNGFGAQPNKSKFSRIDLRGSI LVATSIAAIILLLDQGGKAFPWASLPALVLVSSGVLTLALFVYTELYVAPEPIFKLQI LKRPNVAASYLVSSFQVAAQVGMMFTVPLYFQVSDRASSTVAGAHMIPAVAGNAIGGL TAGSYIRRTGNYKPILVAAGLIACISYLLQFLCWNGETGFWESLYIVFGGLGSGSASA AAFVSMTAFLEPTEMAMATGGYSLLLNFALTAGITSNYTLLSSEFRRQLQRRLLGEGA HKDSTDEQKIICHALSSIEYIAGLTGALRETVVGSYVAGLKHAYTLTARNHRL ANIA_08341 MKVARTTLWLTLALAVNAFPSLFDPLQQILGPTPQTLPNKPNFV FIITDDQDLQLDSIDFMPLVSKHLKQKGTFFSNHFVTTALCCPSRVSLWTGRQAHNTN VTDVTPPYGNPNPILSGAMGSNSIVGGYPKFVDRGFNDNFLPVWLQSAGYDTYYTGKL FNAHTVDNYHSPYVNGFTGSDFLLDPFTYSYLNSTYQRNGDEPVSYEGRHTVDVITEK ALGFLDDGLNGDRPFFLTVAPVAPHSNVDVSALGADRRAPTIMTEPIPLDRHKSLFQD VKVPRTKHFNPDEPSGVSWIRDLPQQDESTIEYNDHFYRQRLRALQGVDELVDLIVTR LEASGQLDNTYIIYTSDNGYHIGQHRLPPGKACGFEEDIRVPLFIRGPGVPENKVKEA VTTHIDLAPTIFDLAEIPLREDFDGTPIPLPSAEDNASIRHEHVTVEYWGKSYLEGEK GPLSNPENLPFFTNNTYKSVRIIGEGYNLYYSVWCNNEHELYDLTADPYQLNNLYNSN DKSVMVFGHSLSQVISRLDSILLVLKSCKGATCTKPWEVLHPRGHVKNLKDALNPLYN AFYADQARVSFDHCEHGYIPEVEGPQDALPFTRYGLNWDIWT ANIA_08342 MRLFECLVRTDPSRLDTILIPILGLAFFALQIDRGNISAALTSS ITEDLNITTNQINIGTQLLSAGIVISEIPSNVILQRVGPQRWLSAQLFAWGLVATFQA FIKSYPAYLVTRLLLGLLEGGFIPGALYYLSTWYKREETSLRVTLFFFGQMFSGATSS LISAGLLTLSGKRGLAGWRWIFLVEGAMTLFIGILFVLLVPPRAGDGRPLLSSFTGRW SYLTPRESHIATSRVLIDDPQKSAGHLKITGRDIWNTISRPRIIQHFFITLVAMSGFQ GLTQYTPSMIKSFGFSAVRANALASVPVYCGMLWVLVLSYLSDKFGHRGPFVLISITW NVISYSCLRVTPATSGRWHRYGVIAVANVSYASMHVLNIGWLSVYCRSPQERSVALAL VVMAANCAGISGSQIFRTEDKPLYLHGLTAICALAAASWVLALVLNLQNYFMHQGWKA KEAQGV ANIA_08343 MRVPIITTLLTLALTGLSQAASLGVETTHGVECNRKTTKGDTVK MHYRGTLAEDGSQFDASYDRGTPFKFKLGAGRVIKGWDEGLLDMCVGEKRTLTIPPEY GYGDRGIGPIPGGATLIFQTELLEIEGVPKDEL ANIA_08344 MDPITDSRPKAVDRDSTATSCNSTLDENQIENDREVTNLARVLS ERSKHSHHQLPFNFGKDPSLDPQNAQFNARAWAKAFYNVRYSSTDAPPPRVAGVAFKN LNVAGYGSPVDYQMSVGNALLKLPTLAWQWLGGKKQRTEILQDVDGLLLPGEQLCVLG PPGSGCSTLLKTIAQETHGFEVDPSSYVNYQGITPKQMSKKFRGEAIYTAEVDAHFPQ LVVGDTLYFAALARTPRHVPGGMSREEYAVHLRDVIMSTFGISHTINTKVGNDFVRGV SGGERKRVTIAEAALSYAPLQCWDNSTRGLDSANAVEFCKTLRTQGDVFGITSCVAIY QAPQAAYELFDKVTVLYEGRQIYFGPAKEAKDYFIRLGFVCPEAQTTPDFLTSMSSPV ERVIRPGFENLVPRTADDFAQRWKESPERQALLRDIDRYNTEHPFNATDLDLFSSSRD AEKSKNQRPKSPYTLSYWGQIRICLWRDFQRLKNDPSVTLAMLILNFFEALIISSVFY NLPGNTSSFFSRGALLFMMVLLSAFSSVLEIITLYEKRTIVEKHSRYALYHPSAEAIS SMIMDMPYKIVNSLLNSLVLYFMGNLRREAGAFFFLYLISFAMMMGMSMFFRFFASLT KSIEQALAPSSIILLALVLYTGFAIPVSYMRGWASWIRWLNPVSYGFEAVMVNEFNGR EFPCVSFVPSGPGYENVSPQERVCSTVGAVPGSDVVQGADFVRSSYGYEYSHRWRNFG IIVALTVFLTMCHLVTSELVSSQRSKGEVLVFRRGKAQKMREKRHHTDEEQIAAPAAQ NEKVIQEEPGLVSGVEQQSSIFHWEDVTYDIKIKGETRRILDRVDGWIRPGTLTALMG VSGAGKTTLLDVLASRTTIGVVGGNMLVDGRSRDESFQRKTGYVQQQDLHLHTSTVRE ALKFSALLRQPPQYSRAERLAYVETVIDLLNMREYADAIVGVPGEGLNVEQRKRLTIG VELAARPKLLLFLDEPTSGLDSQTSWSICNLMETLTKNGQAILCTIHQPSAMLFQRFD RLLLLAKGGKTVYFGEVGQGARTLMDYFVRNGGSACPPGANPAEHMLEVIGAAPGAQT DIDWPEVWRSSPEYQQVRSELARLRELANRPSPVSDPNDKSSYAEFAAPFSEQLLQVG RRVFQQYWRSPSYIYSKALLTVGCSLFIGFSFFKADNTRQGLQNQMFGVFVFLFVVVQ LVMQVIPSFVTQRTLYESRERQSKTYAWQAFVLSNIIVEFVWNTIMAIFCYLVWFYPV GLYQNARYTDTIHSRSTLTLLIIWAVFLFASSFAHMLIAGVDSAEMASALSNIFFIMM YAFCGILAGPNALPGFWIFMYRVNPLTYLVSSLLSATLGDAPMHCANNEVLTFFAPPG LTCREYMEDYRSVNGGYLLNPDAQGSDQCQFCSLEYTNQYLSSLSIDFNNRWRDFGLL WVYVIVNTVGAIVFYKLFRVPRAKKD ANIA_08345 MQSYRMKLSCLRCKDRKLRCDRGEPQCKRCQNSSVQCLYPEKRK TRGSRQKSDIHRLDHRLEALEEQLRAAAARNVSQESPTRAHTPAETTRVGTPQVDLEN VSKDDAFLYRMVSGAKHSIETLTTQSSEPPYPWSQSTVSSAITRLDTALVQLAAPFPR PDSRPPNDSKINLPEGDLKQHIETFLDYILPHATIFDSFTTLVDREFLRALPHIIDSP YAQISPVMRVVYYCAIYLGQSIGSDEQQRLATKTYYACLQSVPKWLESAEGTLLDILA GAFTTWLAINNFDYHLAWQFHREACRRASLLGIHDVESTPPGTAQEEAERDVKRRLHW YLVEMDFLFRLWYDKPKALQCSPTQVGLPAVISPATKQPKPQECILFIVWSRAMHILM DFFNDQENLPEAALGSKVDVYCNQLTELLDDWDLLPKARVPKTGAVQSWLFAESAIAF HSFIVYMRRRTSATFMGTHPQAVRAARAIISIIHEWSERNMGPVTGHQCSYIHEREED ICSLEKVVALMTQAATVRPDFVPIASAMSALNDVSRAVHSGRDHLGALAFVGYAVPPR PLMGSKASPSQTATQLPGSSTIAAAPFDSLQNLSVELPLQTADELNNTFGVPFQLESQ ISFDWVPASSDRPSTARTVSQPVDIVRAIEGELTWRDWHESWWNIPDTSQANQAGKQL G ANIA_08346 MFRSQVASAARAVATHRGFATASPVAATARNHKVVVIGGGTAGL AISHQLLRSGRFVKDDIAIVDPAIWHNYQPGWTLVGGGLKTREQLRQPLAGLMDPKLK FYNESVGSFAPEENYITLGNSDKLNYDHLVVAPGIALNFGSVQGLSEALAAPDSKVST IYSYDTCSKVFPTIEKLKKGQAIFTQPAGVIKCAGAPQKIMWLALDYWKKAGLYDPSN PAGSAIKIAFATGTPSMFGVPKYNAKLEELRKERGVEGLFQHDLVAIDGKKATFARPN GESSVTREFDLLHVVPKMGPHAFVKNSALANEAGYVDVDDGTTRHKKFANVWSAGDAS SLPTSKTAAAITAEAPVLVQNLLRSMDGKEIDATYDGYTSCPLTTEYGKVLLAEFKYG GVPKETFGKWFGIDQGTPRKEFYFLKKHFFPWVYYESMVSGKWGGPKGWL ANIA_08347 MGKDTFTLAGREWPKVNWWKMKGMRSVYLTLGAAMVTSATNGYD GSLMNGLEALDDWKRSYNHPEGATLGLLAASMSIGSILAIPVVPYVADLFGRRLIGAC VALGTNNIKGNDWSWRVPCLLQGVPSVCQLIFIWFVPESPRWLISKGKSEKAKKILAY VHAQGDEDDELVNVEFDEIQQTIALEKQLEGSGWSELWSTPGNRHRSIILISIGFFSQ WSGNGIVSYFLPKVLELIGITDSHKVLTINSVLNAVNVVSATGICFFVDKLGRRKLFL TSVTCMLLCFISTTIALARFPHGPGGADDHAGNAVIVFIFLYYISYNIGFSGLLVSYS SEILPYRLRAKGLTLMFFCVALSLLFNQYVNPIALLDIGWKYYIVYCVWLLFELFVVW KYYVETKNTPLEEIVKFFDGDQAVLGGAAATEKIHELVTVQARSAEETLGEKGPAVLA AIFIIKSVMPSIGGRTLNSE ANIA_08348 MPKDNEHHVPGHCERPEYVCHREPGSASATVTSLHWEDGAYLDG DVHLERKRLEATQSRFIARCWPTHGDHCRDEIEEIEERIDQHDPMLKLGRREFHPECA PMSLDGDVGLFLGETVVGISHLHDGTPMMDRGEGVATQKMAEMTREMEEKQNGWGIEA LCDLKHYYAICHHCDSLIEIHQQALIAFSTSLSHILATYRTDIAAFVGSVVFVAAPSS PGPIRPITRPDKLRLGSTRELIAAGKGLSRRQVGGFEKISYTNGCRDTASDANPQADR KT ANIA_08349 MKVIIVGAGIGGLATGIALRRKGHDVKIFERSSLLREVGAAINV CPNASQVLAQWGFDFKRSRMVTARSHLRASGITLQTNFTATYPDFASLYGGPWLMAHR VDLHSELRRVAVDPEGIGKPVELVLQAEVVDYNAENGSITLRDESIHYADLLVAADGV HSSAIRHVVGHPTPVVATGWAVFRWLIPTEELEGDPEIASLLEGPLSVQDVTRSRTLS LYMKIGGPMMSEKISNQPADQGQGGAQAIEDGAALGEIFAGVQDPLTKDEIHDRLKVF EKVRLRRVSAMQILSDAGQDRVFEVRERAQQYMPEGVKVPSNQQEFWDHNFGYDILAD TRCHLQAYLKGLASH ANIA_11076 MPRAIAKVGDRTIAETEIWETVEGNIYFPVSSIKDKSLLQPSDL STFCPWKGHASYWSIVVDGKTIENAVWYYSEPYDAAGNIRDHVAFYKNKVDIIEEQ ANIA_08351 MTVSTITNNPVDVPLGKFPATQPAELSAADADRVAQTVIDQFNS ALTKQDDKSIAALFFKDGYWRDHLCLAWDLYTAKGHDGIASFLDRTRPRAIHVEVDRS SDFRRPTACALDGLGNSYGIQFFTTIDLESGRGRGIVRLAKNDGEWKILTFFTSLLEL KGHEEPLNGRRSKGVEHGGRPDRKNWQERREADAEFMDREPSVLIIGAGQGGLTAAAR LKMLNVDTLVIDKNSRIGDNWRQRYKQLVLHDPVWYDHMPYLSFPPHWPVFTPKDKLA EWFESYAKILELNVWTRTTLKTPSWDGKQWTVILERRAPDGSLTSRTVHPRHIIQATG HSGEKYIPPIPGIDSFKGDRICHSSDFTGALHEHRGKKAIVIGSCNSGHDIAQDFYEK GYDVTMVQRSTTCVISSEAITDIGLKGLYDEQAPPTEDADLWFWGMPAELLKTQQIGV TAIQNKHDEATIKGLEEAGFKVDNGPNGAGLLIKYFQRGGGYYIDVGASQLIVDGHIK IKQGVEISEIQSHGLKFADGTELEADEIVLATGYQNMRTQTRRLFGDETADAVGDVWG FDEHGEMRGIWRPSGHKGLWFMGGNLAISRYYSRILALQIKAAELRLS ANIA_08352 MTGTQNHEFDHWRHPDGGQWHLWSPVHHRDDGLLVAYFVFEAPS NLALKSFHPHRWLGFLVIAFGALCTGIGFTHTFTEMAVLRFFLGAAEAGVFPGSSHTE SGISTVIKAWKAGDGSLYSKESLATVKKGEHLLIYPSEDKLNWNDAKDALCSARYLFL VVATTCVFAGPPSLNAWVGDNVRNTTASSLTIALNIAFSGPGQIIGVWIYRAQDAPAY RLGHAADAVTSAIAAGLALTLTVHYRRTNKKIKGTGDPLWVA ANIA_08353 MEKTDTLDIESTDENGNLLESTGAFNVIDVNIKGTLNTLRLAIH YMQCSPDRPAGRKSIVLVASTSGYFGGTGVSAQGRSAMAGRWAEAKHNGGRGDGDCIE LAGGGERELYFGCGPHRREMEASRSAVMPQWLGKEIHIFF ANIA_08354 MSFPLKNITIVGASGSVGQILLAALLEASDFNITVLTRSTSTAS FPGSVTVRKSDFSFADLHGALTGQDAVISAVGATAFVEQKKLIDAAVAAGVSRFIPSE FSAESQNDTVLGLLPLFRQKKEVIDYLKTKKKDLSWTGIACSGLFDWGVANGFLGYDI GSRTATIWDDGNKRFTFTNQAQLGRALVSVLRRPGATANRYLYIASVETSQNEILAAL ERATESSWTVQRTTTDVEVKDATMKLQKGDFSGALTLVRATVYGDIPGLGSNYAKDRD LANGLLGLGEESVEGTIQRVVGEANKQ ANIA_08355 MPPREIAPGRSCLECRRRKIKCDRLHPCSYCVKVRINCKYPASR RAGENYDALSRVASLESKIVALEKRLAEVEEPSLSRSASGSKLSARYGGSNRFQDTEY SEVRVLRVQGDGAMSVLPSSSLADTAGTRSSMLLQPQAAVDLGLFRPTPSTIAGLWQR YLEIVDPLLKIFHTPTVQMLVFQEIRSRDKLDLASECLLFAIYYATVAAMTLSNHVSP LLVVANSQITARNDPDGPDVYALVGLATGIALKMGLNQDAEAQGYPPFEVEIRRRVWW QLFTLDIRVAEDRGSEPCILESSFNTRLPSNVADTTMHPAMSRPPRGQGRTEMLFSLV RFEGSYFARQMVFSERFRAENSYARLSSNERRHAIDLFQERIEKQYLGVCDESVPLDR VTVQSIRLILAKLRLVVAAGAEAEESTASKGRASARSARNWAQILQDAEALRGYAEGK QWLWLFQAYIEWDGLAHLLEYLRADPSPEDNEQWELTNRVYSYWTEEQSFQRDGRWKA IQDLWAEVVERQLGPYTP ANIA_08356 MATETSPRALVSYGPHKSGGWKLKNVALRPLREKELLVEMVASG ICQTDLHFAGMETGYGVHYPRVMGHEGAGYVRAVGPDTTVARVGDPVILSFSACKDCE PCKGGHPAHCSNFNAINFEAVAEDYVFRDASSPEPASGGDIYGRFFGQSSFASLSIVQ QDSIVNVAGVVKSRQDLALLSPLGCGIQTGSGAIINAAGARPADRVAIMGLGGVGLSA VMGAKIAGCTQIIGIDRHGSRLELAKELGATHVVQVAEGMPLDEVTAAVKAITGGLGS NITLDTTGVPALIAEGVKMTAFKGKILQVGTAPETATLSIPIHEFMVSGKQFIGVVEG DVVPQEYLPKLLNWVNEGKLPLDRIVKFYQAEAFETAIRDMQSGVTVKPVILW ANIA_08357 MARIPQTGHTAAPAATVASSGPTCSSDTCGRVLVGSQMRARRPD GNGPVPGHPCQRCFSQGVHCSYSDSAVVHRKKKDDSQSLAHSDALDMGSEMGSFEADV LSTTALQMPLPDPDLQLGAFMPNPSDTPFFPEGLDYSSLSWQDFLTMTTESETLRTPP MEASYAPSLRFLDRFTSNTGFVDSFDCGTRYQREQMLSWSQEDSWTWLDDSLSLKTHQ ILLLVKEVVMIKPRNSAVTLDWSPVLEQMCLQFFSPSNLRKYLQSYWAIWHPHVNIVH RPSFDPVDAKPSVLATMALIGACVSPDPSDNENARMWFNCVEELVFIDEDFNSDLSFF SSAKISAHRNKIQALQAAYMVCLYQTWEGNDASKRRVRRNRFATLVSTRSEFDWREFA VREEFVRVLTWTFLLDTAFTIFNNLPPRMVIKEMKIHTALPEACFQAMNADECYEKIH LFLPSESLYWKVSLCSAFQTLCRESLEVTVRDALAGLGPLNLFALTSAIHSLIFQYRN SWGSLQLSSPIHNALSNWKAVWQQFTAALVPGMSPHVTVDHNHIRPDQMWKRVGFFSY CPEYWLLANLMTDKLTPRNAAGEAAAQGLERSEDGPLDSFLNKYDQTSMRQVNDLILS LSDFRLAG ANIA_08358 MLPLTPILAIAGLLALIFRLSLIGRRPKNYPPGPPTLPLLGNIH QMPSRDAHLQFAKWAKEYGPIYSLMLGTQCLIILSSDEAVKELLDRRSGIYSHRQEMY TGQQLCSGGLRMLMMGYGPTWRIMRKMVHGLLNVSTSRNYIPYQMLENKQMLYQFLTE PDDFLKHIRRYSNALTTTMVFGWRTPTYEDPKMKQLFDGFSEFAEINQAGAAAFLDFF PLLQKLPDFLVPTKRKAKELHKHEMGLYKGHWMKAKEEIEAKNIKPCFCQGMYEVQKK EGFSDDQAAYISGTLLEAGSDTTSSTLYAFVQAMLLYPEIQRKAQAEIDRVIGPDRLP VMDDLADLQYIRSCMKETLRWMPTTILGAVPHAVTQDDSYMGYFIPKGAGVMNNVWAI HHDEKRHPNPRQFNPDRYKDDTQSLGDAAANPDASKRDAFTFGAGRRICPGIHVAERS LFLGMSRILWAFNISPKKDASGKEIIPDQERLTQGFVCMPEEFPATITPRDEKRAEMV RNEWRQAEKELDSETKQWVVAPVDIKI ANIA_08359 MPRTKEGYMWTPTSTTEGLITDAVQKSTPASGIKAQYDVIVIGA GFAGLIAARDLSQKHNLNVLLIEARDRIGGRTWTAKVLDEEIEMGGLGCIGSSLIFMR SFVGMITPERQAFKPGSGRTAELSIPGSGDVLGKVAQKVFSIDGMDKLPQWGKELLES NVNTFGSAPGQHIGFVEALRWYALGGHSMGAIFEKAGVYKLANGDEYRGERLFNTAVS EIDNLRNSAQITTRSGQIFTAKAIITTVPLNCLADIRFNPPVSTLRQAAIAQGHINKG AKIHFKLCETLPGWFWTSSDSAHSSFVFAFSDHNGTQPTGPSGTWCIGFGFNGKLNDK KDAKHIISEFKKNVNSGVSVEAYATHDWMNYPFVKDTERRWACG ANIA_11602 MKANPMTPFSKTEPSMQNGTSIAGYVISSPQLESIPIDHAIPSL DQPELFRNVVKKNSAECLSGEQTHRTVKIAIKAQT ANIA_08360 MDTPTPPATPKDLSGLIERRYQDGQSLHISNQSVPQTISTILEH RSIRAFLPTPLPPGTLETLIAAGQSASTFSMLQTWSVVAIHDPQHKDAVARVSGNQDF IRQAPLFLIFCADLHRHANVIDKYRTAGKPLEKIDMLIAGVVDASIAAENVAIAAESL GLGICMVGGVRNNAESLVELLHLPEKTFGIVGLAVGYADPTAHEDIKPRLPMREILHY ETWDSNQQRQDENVAMFDDVLSKHYALHSMLGRKPWSRFAAEWNADGRMDGRDRMRGL LERQGFGLN ANIA_08361 MAPAAKDYRLPPLPEFVSSWNPVDLGDRSNIRYEHAPNDDEADD HPVRPVGLGISQPAGHSRSTSYDTTQTTATTPDPASSRFVNSETSLLGERERSHSRVQ SQSQSHLVKCPTKKTIVHRRLSWVPATILVLAFYSTIFSGIYLVVAFIKPRYGRFIGV DGKLAASTATLLSTLFAKTIELAYVTVCVAFLGQVLTRRAITKGSRGISISDMSMRTW IMQPGSLIVHWESLRYSGWTILGAITLTATVVAMLYTTAAEALVTPKLKWGAVEERVI VGNVWTSFANADYLADNCETPVAIQDDLEFRNTTCLNMQHAGQAYNNYQSFIKEWASI AEGIQPSPTDAASRPRPTGSVYDNTTVEGSWVDRVSIADLAEKYGRIVHNVTAAMPHG GIIGAANHPDNKIIQPKDQSGEGMYELEASVPSPAVNVLCAGMNATELAPIVYNEWPN HGNFNAVSWTTNVPDDVPNIEHWHNRTVVDDIFEFGPDYPDQYAPVFGKLPEEFNTIV NVTSPYSNGAIFLLGASPNGTKPEYFLCRLKAKQSTRCSTRYKATATGSHLYTVCNEN QPLQYDLRVPDAVESWANDWMYIASEWSFTLSLNAGITDGAAANARLLMQFAPTARTL NPKLPTLSEALAVLAGNTLLMASANAPFVPFWNYTNTYPETNILKTPEKQYINATLRA IEYASGGTQKWQGIFYPILLFAFFTSALCLAFMLLEIRGKQITDFTEPQNLFALAMNS PSTTKLQGACGSGPAGKQLGERWRRRARP ANIA_08362 MAPFIPPPPSPDKPGTLPPDTGSAIPIPISPPPWTLRARSWTFL YTSSSPNAPLEASSQNPNNTPEVLQSVLPAGAYHPFETIHASALQRLSDGTSQFRETW LKAVMIVRYEETDVGPYDELAFIPGRAANPETGKKEIRISSIYVSTDASVWNGRRNWN IPKYRARFEFTPVGADTALRVYHPENSPAPLDSKTPFFTVLLKSSSLPRLPLPRLAPL TIAQPPLAKSRWPPGIQDAVIATDDPENGRENQWLNITPSFKGRWGLAYAHTLEEKDG KETLQSHGDGLGFPKVKLRCLGGYFEGEIEFGIADIVG ANIA_08363 MRTIYAALLAGAGLATAIPHASPSVPASAPTGVSYASGFDMTRS WANLSPYKDAGSFGVPKGVPKGCELSQVHVLHRHAERYPTGYPLDGEGMEDFATKLAN YTKTHSVKGPVATGPLSFLNDWEYLLGEDTLMVTGAATEATSGAEFWIKYGRLLYRPD RDHVAAWDESLNVYPNGTARPKPVFRTTSQARILESARWWLSGFFGNSGANSSYEQYD LVVIPEESGSNNTLASYDSCPDTDWTRLSDDDAYVFIPRYTKNAVARLSAYLPSDFNL TAFDILAMQNLCAYEYTSFGASAFCSLFTEQEWKDFAYNVDIQYYGDYAYGSPTGRAQ GIGYVLELAARLQNQLITTSDTSINATLDDNTATFPLDQPFYMDMSHDDIILSVISAL GLQYFRFGPHGLPGNVDHAPNRTFSLSEMTPFGARMMSEVWTCPANTSFTSLDPVLYA NPLLKSAGAGTSKYIRFLLNGAPLPLKGLVGCEHAVNGFCPLEGFLSGVPTLKERAQY QRACFGEYPTGEQVGDGVPPPA ANIA_08364 MIHYDAIGSGNTIMKNPFWRDELASKTDILCFDTGAAGLKDQFP CLVKRGISDHADSHSTDEWRGLEAEIEICEVLDWHTNDDYSVQQNDNLEPREPGTGGW FLQTLEFEDWVESPGKLLFYPSIAGAGKTTIASIVVDYPQEEYENDPNCSVAYIYFNH MRLEKQTIRHLLATLLRQLSENATHLHSLIRYLYQKHRKERKRPSVNALVQGLDESAG LQSRQFIVVDALDE ANIA_08365 MPVRARLRAAFQRRATDDSTAEVPVAATTADKPEVSPEAGRGAE DAVANPQDEIPTEDAQRGVHEVEAVTLTWSKASLIAVFINIWCLYFVNAFQSSIISNL LPYVTSDFESHSLLTTIYVVGDCFSAAVFIPLSKILDIWGRAEGFLFMTILATLGMVI MAACHNLATFCAAYVFYTIGFSGMTYCIDVITADSSKLKNRALAYAFTSSPYIITAFA GPKASEDFYALYGWRWGFGVFSIVFPITAAPLYVLFKLNLKKAKNRGVLARESSGRTF LQSVWHYIIEFDAFGMFVFSAGLVIFFLPFDIADSAPNGWGTGYIIAMIVVGVVMLGI FILHEVYIAPVPMLKFSFLWNRTVVGACLLDATYQISYYCWANYFTSFLQIVNNLTLA ESGYVSNTFDVVSGVLLFGVGFLIRKTGRFKWLLYIAVPLYIFAQGLMIYFRRPNQTV GYLVMCQIFISIGGSIFIIIEQLAILAAVDHQHIATVLAILNVVGTIGGAMGNTISGS IWTNTFRKALIRYLPESAMPDLDMIYEDLATQKSYAVGTPTRLAIQKAYGYAQTRMLA AGVGIMSLCFVWTIMIRNINVGKIAQVKGQTAASKPILTTAAFVLRLHNDNTAALAVK RKLLFHTSQNLTMTIASCCADQHSTIAIPIFHINSVPIKEQRSNCSITSSTASICVSD SVSCHGFRNAERRRKMQACDFAR ANIA_08366 MGDRLQPVDQQVVTEKNPNNNDQEPTPKTSTDQLPKGPIGPSPP PNGGLVAWLHVVAGFMLFFNTWGLLNTFGVFQTYYESGTLFRRSSSDISWIGSVQAFM LLLVGFITGPIYDRGYLRTLLTVGSFGIVFGTMMLSLCKEYWQVLLAQGFCVGIGAGC LFVPCVSILPTYFSSKLGAALGLAVAGSSLGGIIYPIVLNRLLSPLGFGWAVRVIGFI ALGTLLLPIVIMKQRVKPPKARALIDWTAFTDIPFMTLVIAGLIAFMGLFTLLFYISY VASARHLTSNDMAFYLVPILNAASCFGRTIPTAMADKFGPFNLIGPFCMVVGVLILCM MAVVNEAGIIVIAVFAGFFSGALIGLPPLCFVALTKDKSKIGTRLGMGFGIMAFGVLA GGPGAGDILGDTHDLDWNGVWIFGGASCLAAAAIFAGLRVSQYGFKLRVKA ANIA_09503 MVSQNMEPLLVPRRLPIIPEATERLYEQAQWPDVLPIFKPQSPP MPTGNCEPSLRVDNPQAPERSLKLHWRDAIRQQDTREELTGQVPRSTSHTSFYNMARL VNIKHQRGFWGKVLIEYGVYTMCAAFVYLVLIGMPLWKGAVYWLYWLVKHNYTYIPLL AIFEDNAPGPEYYEQRNIEPRALNTALLIPTYKSAPILDRTLTAALRVFPAQNIYIIA NGNSSTPLDNTEEICRRYGVNHIWSPVGSKIVALFVGCYAVKPFRSVLVMDDDCILPS NFIVVASRLSDTTRCIGYTIKSAGISEDEGTWCQKAQDLEYKLAGLQRCFAAKVGSAT FPHGAISLWDRDFLQKTLEHHPGFSISEDWFLGDSCRQMGGRIQMCSAVFVETGTPSS LLIGERDQERGGFGEMTVCRQRFTRWNFFNTTGVWHNIAYIFGSWKLGVWEIGTKVFV LQEVSQLIVHQVYETVLYLLTPFVLPVSLIVQPWFCVSMTVAILGLYLLHVILFNEIH LRRKKERLKIVTGIPFVFIKVNKERVTAQAKLFGFEYDSHTITVLLGYTASELRTIIA DPNIDIHAFAAFTDPEGALFRCTDDVGGLELVEFCFEGCTTPPNGDVCEA ANIA_08367 MQAQKSAVKSAVVVPLYIYPLSAESWAPLYAAIETNPTLTFLVI VNPNSGPGLPEHPSPDASYSQQVPRLNAYRNVLTIGYIRVDYCRKPLQEALAEIARYA SWSEHYETTGLGVRGIFVDETPNHYSREKEEYLRSITSFVKECPGILDEKLIAHNPGT PPDVRLAQPAEVTFVCEESYARFRSDEVQNWLAAHPVDRDRAGYMISGVPVDELPQLV RDLRTRAAWLFVTDVKENFYERFSDAWRDGTFIRALHGKNQC ANIA_08368 MESLKHTQEGSNPVSRLRKCDLRRRWWITIGVVVAIAVILAVVI PLALILPNRGDKGEPSSVIFPLYIYPETDSTWAPLYDAIAAHPDLQFLLVVNPSSGPG DSSTPDEAYQTAIRQLNTYANVQKIGYVRTNYAQRNVSEVLADISTYSGWPSQSADLA VTGIFFDESPHQYAAETVEYLERINAAVINASGIGGDKTIIHNPGTLPDSQLVLNTTS ITVVFEQSYDHYKTSQESRLSAVADSADRDSWAYIFHSVPQMGNSNMDSFVREISRQA AYLYATTRSTEYYEHFDTRLEEFCDAVPT ANIA_08369 MGIEYLGLHATGWERMTQTWWQQTLAACLLATIVLGGVYILYLV GRQLYHYWRTRHELSGLPSNVARRIERLKRETPRLYTPTAAVPEPRSFGVYLGAFANP PTSDQARLLSQWDAVVLDPLQAGVSDVLPSTTAKHILARLQIRKLVESDGSPDSGDCG DNGDDNSMRAISAIVRVLQTHLKRPGDAQSFFTGILLADFSEHFQPVILNAVVSLLGG LGLTVWLEVGPSSYPPEKTCRAIDMAQIRGIVYRNGTILANGDRRNYFQMAELRTVMR VVAAQKPIGESTLAMWETVDDGVTLTHDVLHRSYKWCNYNSAMSWIGPRSALTDAAVA AQKTVLHEPIGALMWMKDERTLAVHDFWRQNGSLQKLPLGHENTRLYESLEHLVPGVK DRLALYPPKEKQLEGQVFVIDELQWAGLNEPLISNPFAFSPDGHSYSGLGCFQLGLDC TMKDIEELLQAQRHTRDLNLLDRFKPNELQRIAGELRELIKADVEWARPARELHDLLV SCTGNEDDRLCGYSGLHSGFRTRLETQVWGMYEQDPTGPLNIYLSGKTEKERAGTFLH TYMSSRGYTRYQCFMAEVALSTANGTLSDRWQLPTRIVSDIEQLTPTEAMLFLRRLSS TLDQDCAELTAKVRACCEHCLINVPSLTQLRALASSEYLSGRVSPEELVSARLSWYAE QGCWAPDPESAIALFREIDNRLPQVLMIGDARTLGQLAAVIQETMQEDRIDAAADFFA LAVFCAIRRLSFNEIYLEVLDRNPLPNGHPVQAAVFAELYALGARCDLFLDMTPNLLG KIISAKYRDYYNRHQPTRREENFTELPTAYASMDIDLDPNGEQHDVPFYYRITFLGIF ALPALIDIMMLTTVGRGLYLTTFMSSTEKTLATTALMVALLVCGGFGSWISSGGSYYL YAMGFPAMSMFVMTRFIAGLAVTLVGGLIAFICICCIKSFAAGIVFFLYFFFLSTYLM LLSVLAIYQLPGFQFQSGRTVIMSCVPILFIGPIVTLWVGHDTVIYLCLLGVFVASLL LGARRIIARWNTWYLNIPRVTDGDVVNWYISSRPNINVEEVSTSSTPRKALFEAVQKE RRRRFWSKRTTDEFVRRMADGYDATIFLLVWYCRYSRTKMPLPYSPTWNLQLKAAVDT LGDMQKGLRMHSAFLHWRHTGADVWCGILYFVIALMDKWTALFTGESLVGLSTASSSE YRLSVGFGLAYYLAGAVILDAVSQPLWTAVTQRTPVPVKNLSTLREVLSTNSGDRKRL YWSNLAKFFFLHIWGTAVTLALMWAFEASQNATIMFLAYIGSYSGLLFYQYNRIFTGP EAARCLAAGSVVGFVIGMTMHTVIASFTWSSVICLGSGTWTAAIYSLWLSDIGMPTFR PKNLSVLESNSQKELATYTSSSLEPYLDLSPTTVAETFDNINALPDDLRHKLDPETHP GIEVKEIILSNSGYRTSALVQAAFPDAAQFLREIARLWVSGQTVIEFVSAEHLLQTEQ RVRAISRLTGDSLHIFIVIGPGLVGQDWTTNIRRNCRAIAEAVVQATAEARLGLTHDE SMMTELLIGTHQDNYDLSLPEGVKYQLERSPAECARVAKHGQRTFLRHLLLGIDCDLE WDELPKSARSFLLRRVAGKPGRLSSEELSWLQSRVGSEDIQNLAAHVARYNLGVAMSL GVWHYAQRWMEHDAYPSYPVFPDTTYEKPIQTLLPPPIGLHIRFTDALKLSFLQVSHS VRTCLKFSIIALVADPQYQRELEYMLRGQPLVFAVPMTLLLNSVWSFAKLLQRILIPL VLFYGRKSISDVYKSSRGWKTVLHKNRVAIESLEGPTTCFAKSQGEGTTLLYQYSGSH MHEPEDNKALKAINTYTDRLVLLKREEYRAGQLINAFSYEYAQDTPKGRRTRPLPIQR LCTAGELEGQVVIYDESGYISSGSFMQGMNPVNFKYAFRKNAKFDDELLRAEYVFPHI TIRVSWCMPPSRHPEKEDKWIPYPRVSQAAFIEPGNVYQSKWTYDHKFHPVITTTLNG ENVETPAMISEDWFRVLDKPQRSSFLHDNPLFFFRSVRTNIVSRLLGLNVKTRPIPTS RARTHLWKAWKGSKTFDAVTTTWLDEILLRSDSILRPYWRNRDFGHLDAAGEYLDAQV DTILARVDIDPDISSWTQMAFKISDLYSFGIGGDARINTRTLSTQLQDTSTQLHVLAM DTATWPNEPGGVSACRRDMVNDLRGIRWHIISENANDYGVPKFQIERNVQSLTVLPQW GLDFLNPTHGVFQNTLDSAVVERSQDTRKDDIKRHFVPILSRLVRCARTANLKRHHIE EATNALVDLNTYFESGRSWNDVWMSKTVKTAWRELWLSDDVDDALPVEKWWDAEHPSL QQLDTALDMWHRYLFIFSIPVPERIPDVFQVSHHFTGATYGVLCKAKRKCALHVWDHC ISFREMTTFLSAAVSFDSSFVNTTLMSLGHLACVLIEHHADVILPCAEYFNPGWEIEL GTAEGALQHRKAFARKIDPVVNGITNMERYKPIEKIRTETPTVVMLSHIRYVKDIKTA IMATDLIVNKWGFRDYRLHIYGDMERAPAYASECQEIIASKGLREHVVLKGLGNPSVV LQDAWLFMNSSISEGLPLAMGEAALTGVPVVCTDVGASFCVVTDRNTGKRFSEVVAPN DSDSLARAQLRVLALLDKWAPFAEDEPGTIVPTLDFHPTPEQIKAVSERMYAKIEQRR KFGMLGRANVLNSFSSDRYLREHEQLLWIGKWQSRSFVTRTALSSAANLSTSAFFQMG KEKEKVNNSAVRLYIGNVPSTPDSIYQPVPVSPWRAWRDSRHASSSGTRTPV ANIA_08370 MACTVPPKTWESGFACPAETYSWGDKRNYITETGEQAHYRLPVH IDAPTSTIGNALGLTHLRTWPSLYDGTAYQRPEWFKPAEEVDVLICGDKASTPCLSGR ADAVHPRANEHLHSWGISHEATEEGPLINSTAIYSRGVKLRHNNHPISDSRYRGSSVI TQGQLERIYIRDLLRHRVIVERETLVKEFQVQEAGAEATHPVRATLRNVATGKEETVR AQYLIGADGAASNIREQMKVPFDGLGTDIYWAIIDCQFKTDYPHLFGMSMITSEHGGC IVIPREEGFTRFYIQVNEETARRLHQTRQSRRNASSVGTMRVDDHGITPDEALEQLRK ILAPWTVEFASPISWFSVWKVNERVARHFSSPDLRVHLGGDAAHVHSVLGAFGLNSSI YDAANLGWKLGLCIRKQALPSVILPTYDSERRLAANRVIRCSGAYLRFLCNSHLPLAS LRGLHDELESHDERLPKIDGSIEADSQFMGTFYRQNSGFLMGLEFPIIESAITHPDPE KQPLAVRNGARAPSPRFGGKNRFNVVLVAKALPYQIAELLGPRNGQGDELEQLRGHAT FIYDDRAPDDDAHYWYGVNHARGAVAVVRPDLALGVSIWPDEVEKLEAYFAGFLVKQD VTVSEGGNSKTSQVEFSSQETNGTEKSRAASSAANRPKRSLRSWFASMLAL ANIA_11603 MRLFMALVAVIFSVDSTGHRILVVILRDHLLHGTSPGSLSSPHT DP ANIA_08371 MSRPRRGSLAFYLMLACDEAQINKFLALTERPNVTFTPEFHLEQ LPVAKEELRDAVKGVFGSEERALVMQPFVMFRLSCTIPVDSRAQLLNSLEGSKCILFL QSIIVINNPNQLRQILRAASMGLANLARVIAIAGELGNAALATYDTVNNPDAAVVNMP GMLFGAGAFTKVSRDAKGLKGVASYRRGMPTGEIASLGKFFENGDGNAQAILGKMCW ANIA_08372 MLREVKFRPIISSGGKRLESRPNDQSDADGHRAVAPLPSHLITT KRPMMSHMHDHNIHYILGAPGSGKTTIVPYLRELLPRWIIIDWDELMVPAEMLAGRSI RDNESLWKPYAALVKSVLDMLAPNSVLLLGVCTPAQLAGWPIREWVILDCDDRERTAR LQQRGESADEIQEAVSDAAEYRALGLRTTDGTTITPQETAQAIAGIICMP ANIA_08373 MDTVSESQDFHHVWFQNDQSIPFATYNINLPSQPKQVVGIPTLT SLIIPSTITHTLQHRSTAMAGWKIEQCTVADAAALARNNMAAFWEDPTWIALWPKDIT LDFLIEQSTKRQARNLLRSREVTRHEKAVDPTTGAVVGYARWILPRGHAIAADGKPVW TEAQVPEVSEEERKHYEDLAASAWWNPQEGMDEIDAKNEVVMERILSERPYITVHPEN QGKGIGTALVQSGIRHAEQLRLPIFILAFKAGRSIYERLGFREVDRVIQDDTKYGGEG EYSAYFMVYGVPTKPRSRQE ANIA_08374 MVQSSHDRDDDVPVQFNPTEFGMTEEDLEAAKHRIDEVSDSQVR EVVQNVLQFHRNDFNFPSAILHRFSEFSRTPEVSQSDRPETVLLRAQVRLLVALILTN SPYPEVRAIVGNKDDPQTPCSTIRSWAIGLLFVVGGSAVNQLFSIRQPPIGIAPMAIQ LLSYPLGKAAEQLLPDVGFSFCGVRHSLNPGPFSPKEHLLITIMANVLPQYYGQSYAS AFSYQVLLSLSSDLMGYGLAGLVRKFLVYPSFCLFPQCLTTLALTKALHGAFGPFRRT YNMSRSRFFMYAFVGMFCYAWFPGYLFERLSIFNWLSWISPSNVNLAAITGIQTGLGL NPFPTFDWNMVTAALDPLVVPLLATLNVFAGAIVSGVLIAIFWFTNIYWASYLPINTN RLFNRWGTAYNVSEILDSHALLDQARYEQYSTAFLAPSSLVRYAFFFATYSATISYSI FYHGQAIMAGFRNLVRKTGGVTASATDIHSRLMRTYKEGDHAISKQLADTQVANRLLH PVSEIWYAALNVLALTLAVVIITAWPTSTNVGVIFVAFCVSAAFLVPVGQIYALTGIA INLKGPMDARKSPFSQLFQAIRSTDVTAPFLTTRYTTLANALPFISDLKLAHYAKLPP WHTFSAQVIATVVSAVHWPSQDVWPWRSLLGFTRCVPDRSHPASDTLLRTTTIILLAA HKSSDAAARGNHLVAAFSSAIAIASLLIYFSLQSNNIELPWWGNYADSGCEEHACTRL SLTGEEYFGPREGEWA ANIA_11604 MCRFRLNGQTGSKPKYFLHPAAIHQYLQKNAAAKLLKKPAASTP ANIA_11605 MKYSIDHLARGIQESNTLSLHTPTIKEAASRAGWRVQKENHVEN HPDALDGKRAVDDVLSEAFRTAIAVEPDGRRRRIAEVGLWFI ANIA_08375 MKLYALFVFASTIAAVAAAPVEETPRSIDATVKNDVSDGPEKRS SDARYKYDSYDAPEKRSSDARYKYDSYDAPEKRSSDARYKYDSYDAPEKRSSDARYKY DVYDAPQ ANIA_08376 MDAKNVVTYKAIKPRSSFTSSCSTTGEDSESLLERNEVLRRRHV WRELSLSNYIWVVHAVFFLFSLSFFLSGLQQRYPTEQQCAAQLSAYSPALEAVEYETV RFQGALLDKNPYKGEPSPELDAAWDEIVDMRQVKVDPSDLAYLKKPPTQTKLRTTDRD WYTGGLEVFHQLHCVNLVRQYTYFDYYSRLENRPLPFTDSNHTLRLHIDHCIDMLRQV VQCHGDVGIVTGSWVEGFPDPYPDFSTWHKCRKFQPLKDYTQEHLLEEKVVKTSEDLT LPKPPCENAGPHDICP ANIA_08377 MIRPRRNWTAEEDIILKREVRRVQAEGDNISWHEIAAFLPGRTN KDCRKRWYGTAGAKVKKGPWTEAEDARLRKAIERHGTKWAVVASVVGTRLPDQCSKRW SHAINPDIDRSPWTPQERHGHYWQQIVSLYFPGRTSLAAKNRYHILQRRLKTEGGGVT DQLYSWERVMDASSWQGILWSDPAQPPCDNSLGIERSIQELPSSLATSPASDTLSLIA AGHAGPDQGRSAWLPLTPCSPIYSGHSEPNVSQIIQEPGNGPHIYVFHNYNHALVHSR LVDGLGLNRAGA ANIA_08378 MDEEEARKSKFRVIIVGGSVAGLTLAHCLARADIDHIVLEKRAE ISPQEGAFIGIWLNGGRILDQLGLYDELKSATAPIHKMHVRFPDEFVFNSLLPWDIYE SRVRSETWRLAEATQSGIATTLERNVEYACIFGISEQLAGLKIGEHINSYSKGLCVIT FHGRESRVFWFILVKLPQKSENPNTPRFSADDAAKFCRKFSPVRVTEHVCVGDLWATR TFASMTALEEGLLQTWHFSRFVLLGDSVHKMTPNNGQGANTSVEDAAMLASLLREALR LNLEYCNATLALDKLLAEFQSRRYNRVKGTYLRSEFGAMLGRQAL ANIA_08379 MAKLYYMPYIFNEGMPLASGPPTLPEDFTPEKSGFRVLVEVRRM KGHFPAQKWRKRNQEWQCINNETLLPDHVRNGHLAKNVPYRPYRPVYVPFAMTVSPTT DAMGTSEETRSKQTKGSNDDILSAKIAGRVARPVYHCTSARLHDLAYDPWPITTIDTH GLSSLKAPSTHTRILIIGAGFGGLLFAVRLLQAGFSRDDLLLVDSAGGFGGTWYWNRY PGLMCDIESYIYMPLLEETGHMPSRKYVPGEELRTHAEGIAAKWELEQRALFRTTIRT LEWDEGGNQWIAHAEQLGVFTDAKQGGNGGGGPLTFTATFAIIASGTLSKPKVPNLHG VDDFQGHIFHTARWDYDYTGGSPANPAMHRLQGKRVGVIGTGSTAVQVIPQLARWSKE LIVFQRTPAAVGLQKNQVTDPVWWKGNILKAGSGWQRKRSENFNAFISISNPPCMENL VNDGWTSSPSFSAAIGGALNMQPDFLDLVKAIDRPRLEAAQDHIRSTVRDDTTAEALI NLNHGWCKRPCFHQGYFETYNLPHVRLIKTDAAGVTGLSPKGILVGDTLYEVDLVVLA TGYDLGSLCPADRAQIQVLGSEGVAMKEKWAGGPTTLHGVMTRGFPNLFFPGTSQAGV TANQSYMFDRAAEHVAYIIQNSTLEAGGYIDKIRIEPTAEGEKHWTTQSVARISAFAA TTACGTGDYTISNRYRSSDVDTMARHMPWGEGMASYVKILEAWRESGTMEGLDIRYHS SEGSR ANIA_08380 MRTTLNDQLLSSSFMSICCDVGWESVYAFIYPISSSHWAGGICA CGNSWVHGRSSSIGPWNWPRSGLPLERRVVPDYGKSWISLSVGLSRQYSRGVTEYLQW PHGGDPWLDSPLCWFYIGITLTLDAIYPTVFFHFRRTESGRDGKEAKGD ANIA_08381 MYASNKTYPSSRALPCLVEKVEAQHVDGAIRVRITTLEQKDWRQ AKAAAVEAKYEAEREIQLRAHGNVKDIEITRESAFEHFATDPWAQHVGVDIEAQRERL LAEPGSRKILIIGAGFGGLLFAVRLIQTGRFTAEDITMIDSAAGFGGTWYWNRYPGLM CDTESYIYMPLLEETGYMPRNKYASGNEIREHAERIAQTYGLATRAMFRTVVEKLDWN EAEKVWTVAGSMLGIANNGQRDNMMSFQMVSQFTIMASGSFASPRVPDYPNIFDYKGK LFHTARWDYNYTGGSVENPKMLGLADKTVAIIGTGASAVQIVPQLAKYSNKLIVFQRT PAAVDARNNCPTDPVWWETETQAEGTGWQKRRQENFNAFTCNEKPLPSVNKVDDGWTR MPSFSILIGGPQGLDPDYVDRMRAVDMNRQEKIRARAHNIVQSEGSADLLTPWYPGWC KRPCFHDDYLSAFNLPNVELVDIRHNGISHFTANGLVANDIEYELDVIILSTGYTVPV TRASPSSRANIAVSGRNGTTMEAKWANGLATLHGVMTRDLPNLFFAGTSQAGACVNLV YALDQNATHVAYILANAFDRRPSDSARVIIEPTPGSEEAWAMQVLQRAAGFRGIAGCT PGYLNGYGMDASSLSPEQQINAARLAAWGEGIASYVRYLEAWRAKGDLNGIELTFFAK F ANIA_08382 MKSSTIALLSMLSHGMALPTPQATEDQAPNLDAPTTCSSNPGTA VFPSMAGYPGFMGGWPGVLGTAPSSGTYGNSGFYGFPGFGGFDGSHSMPGGGGGAPTG SITAGPAAASSPGFGGFPGFGGFPGFGGSSGFSGFPGFSGFLGSGGFPNFFGSSLNPS TTTQGGISHNACTPVPHPLTKGEDLEDGPENAKADAPQSTPKDDRPADNPDKAEMIDA TIEHIDAPQAATAAAVPSPTAAP ANIA_08383 MGSLDDNTLQQVSVLFGPKYPEVELPAGHIRRYLSNQRNANWLH DAIRDLPSVWHDILRLWPAAEKLHGDARLRQLSAFLGGGTLRPDMAEPMNFLLVPATV LRHLVDFLELKEDKNYDVCDIQGFCVGFLAAIAAACWSDNEDEFGKVVSTVLRLAVYI GAAVDLDELCEQPARSIAVRWRTAQEHKLLTEVLTRYQGAYISCVTDENAVTVTVWDS QSVSFAKELEKHGLSVKTTTLRGRFHHSNHTQAVEDILQSCERNSRLCLPSKCHKRSL PRSNINGRVCEADSLFTVAVESILTTQANWKITVTATLDNMGQSDARSIIPIGAGQFV PRHARCRMLNIVEFNKGEHINGRRKMQSATALDVGVNVTAPETTAVPIAVTGMACRYP QADSVEELWRILDLGQCTVSPMPNSRLKSGSLQREPKGPFFGNYLARPDAFDHRFFGI SAREAESMDPQQRVLLQVAYEAMESAGYCGLRRSKLPDDIGCYVGVGCDDYSENVGSR NATAFSATGTLQAFNSGRISHYFGWSGPSVTVDTACSSAAVAIHLACQAIRTNDCAIA VAGGVNIMTDPRWSQNLAGASFLSPTGASKAFDADANGYCRGEGAGLLVLRPLEAALR DGDPIHAVITGTSVNQGANCSPITVPDSNSQRSLYLKALSLSGLTPDVVGYVEAHGTG TQVGDPIEFESIRKTFSGPNRATKLYVGSIKDNIGHTETSSGVAGMLKTILMIQKRRI PKQANFRRLNPRITLNERNHIEIPTQSIDWEAEKRVAMVTNYGAAGSNAAIVLREPAS TPATSNSAHRETLPSHVPFYVSARTEESLRSYCEALQSTIREVAQSGTNTVQHIAYNL ARKQNRDMEHFVTFPAAAGEPSELMTRLGSIASAHTQVERRSQSFHPVIICFGGQTGD TASISRNLFESCELLRFHVDECENACNALDLPSLFPAIVSPFPNKDIVNLHCVLFSIQ YATAKAWLDSGLQVTRMIGHSFGQLTALCVAGGLSLIDGMRLVATRAQLIQKHWGPHT GVMLSLRASKEKVQALLDAASGHADLACLNGPDNFVVAGDEESIRRIEIIATEKGMHV ELKRLKNTHAFHSRLVDAILPGLSEVANTLTFRQLDIPVEACAEQEDDWLWVTGDKIV QHSRKPVFFHDAVERTLSRVDGPCVWLEAGTASPVINMVRRVVEASRPLKSHVYLPTD LSGAQAQANLAKVTCTLWSKAVPVQFWPFHPSETGYRWINLPPYQFAKTSHWIEYNPD AFRSPPQVPDQENVQEASLVRLLRQDGKEALFTINNKDNVFRMCTAGHAVANQNLCPA SLYFELVVQAALLVSSTATKPTMYHIESLNICSPLVLGMPGAVLLQLTQQDESHGQWS FVLSTRDGLQDAVTHATGRVSLQAAGSNTGICARLSSLQRLLNLASWNSIATSPSSSG LKRSTVYQAFARAVNYADYYRGVEEVYAVGHEATGRVILPSSPTKCNPCDPILIDNFI QVAGIHVNCLSETHDDEVFVCSSVGDVLIGESFVRRDTAATVPWAVYSNYEPESKKKI VCDVFVLDHTTGALAVCMLSATFTGVSIQSLKRTLNRLSNHTARPTEAEQVSINVAAE ATALSSTPVAHVSSSDGDLLAVQTMLGELLGISADELSAAAALGDIGVDSLMSTEVLT EINKRFGVAISNAELTQIPDVGGLVQRIFPGHSVVRIKTHSQGAVETEITITDREPKS ISVDLAPVCDTSPTAFVDKASKLFATTRTSAEFSRKTRFAGFCDTVFPQQMELVTSYV VEAFHALGADLASLTPGQVVPPVKILPQHGKVMNQLVAVLEYSDLIERRESEIIRSQQ PVGTVPSLILYKKILNKHAQHASEHKLLHTTGSRLAECLSGKADPLSLLFQNAEARAL MTDVYSNAPMFKSATIQLAQYLKDLLFNLGTQREIKVLEIGAGTGGTTNYLVQELAAV PGLRFQYTFTDISSSLVTLARKRFKAYDFMRYTTLDIENDPSPELQGQYDIIISTNCI HATRNLITSCTNIRRLLRPEGILCLIELTRNLFWFDLVFGLLEGWWLFNDGRSHALAH ERLWDHNLRQAGFNWVDWTDNDSAESDILRLIVASSTQPFYALEGDDECEADCNTVQE QTVLYNTRDGLELFADIYYPEKTDRSGAKRPIALLIHGGGHIMLSRKEIHHEQVRMLF DMGFLPVSIDYRLCPEVSLLDGPMQDACDALAWARNKLPQLQLQRRDILPDGNNVVAV GWSTGGHLAMTLAWTAPARGVSAPEAILSFYSPTDYTDPFWSKPNFPYRVDVSTSDIQ TGNPLDALQDAPISGYNPPPSKRALGGWMAPSDPRSRIALYMNWTGQTLPVLFYGCNY RARAAESGQDYEVVLPEPILSEVQKVCPFSQISAGSYRAPTFLIHGTLDDLIPVQQAQ RTHDKMQACGVDSDLRIVRDGLHLFDLEANFAGNQHAFQAVVDGYEFLRRHVGL ANIA_08384 MIAMQPETQLKTALKNGFDPNILYKDPLTIVKEPMCTILEKHSK IPVDKVVSHVNKVRDRAFAVFPYACIGQFSFVELSIAASPYYPEMLERVKNGHKLLDL GCAFGQELRQLIYDGAPGESLYGSDIQQEFLNLGYELFLDRATLPESHLIASNILDRQ SPLFTHLTGKLNIVYISLFLHVFDFEQQITVAGNVLDLLAAEPGSLIVCRVTACRDQG VLNATAERMPYYYHDRASWEQLWEVVQKRTGVKLCVDTWEQPDELVKKHPLPGIYILG SAIRRV ANIA_08385 MPFEPNPVHMSPERDSCELYLGTSKPESRYGGRWILILEFPECD TYSAFYSIGSPFRDNPYEHELVHDGQCSEYLRDFDEVILIGVVQQERFRAFLEAFYDT RPGPDQYFVLRVVFALWEQGLVESIVLDIFLNQPGLQYSAGEKEYHYYHLATMDYLFF EDLKKCGFLVQRRAGEAKMEDKAGMRWWLREKDLLGCSSCLDYFD ANIA_11077 MIPKTELDYVAIEKAKALQGVCWGDEYERMISGMLYNPLSPELI DGRENARRLIAEFNAPPYPDLPFSETIARRESALRRLFGHAGEGIYIEAPLFVDYGCN VSVGKAFYANFNLTILDCGLVTIGDHVEIGPNVSIITGEHYTEIIARRTNRGKEFTGQ VVIGNDCWIGANVTILAGVTIGEGCTIGAGSVVKRDIPALSIAVGCPARVIRSAGRAE GEAE ANIA_11085 MTQSNSPRLYNKVAIVTGASSGLGRAIATRYAREGAKVVCADVS PTARSLEESDITTHDLILKHGGEALFIQTDVGDAAQMESLVKATVQHYGRLDILVNNA GISLEARKPAVLHLTDEETWDTTMRVNAKSVFLGCKYGLAQMLRQEPHPSGDRGWIVN ISSIMGMIVGPENPSYCASKGAVSQLTRQIALDYAPHRIYANALCPGYTQTAIFKETT THLTPWEDLNRRHPLKGPGLPDDVARMAVVLASEDASWVTGVCLPVDGGYTTR ANIA_08387 MHWVSGTWLWAKHKASRSTSSGAPIYLEQSKGTSERRNRPRTWS LPTNPPDDTSTASSRSRGSSYSQSHGYSHGHTHSLHRVETYNQAQYNNPLAVAQLRCI RCGGLRSREYHYRHFDDPVKYPSVGICSRQRTRCARAKSDPVPLAAMDPPATAVAPVF DIPELPDTSTSRPKTASGEIEPDSL ANIA_08388 MLLSIVRALSRYRPPGIYWIRCIQEGLPVGPGDDYLFHAGEIHG HNVVIAAFAAGQPYGTNSATSLASHVKKFFPNLWFGLLVGVSTGLPNFSCSPPRDIRL SNVIVAWSPPGGDYPAIIPYGLGKQKGDVGLSCCAMDIPCLRQDALWAPQLVRSRRKR DAQVIEGYYRDIADTARKFPDRGQENDLLYLPGENLPVLRQHRPDAERTRVWYGSIGS GDKLLKSSRDRDEISDKYNVIGLEMEAAGVLNVIPVGVIRGVCDYGTSKKKKKKKKVK TGSPMQRLRDSLATDPETELRHIEATKGGLLDSSFRWVLENAEFQKWHSSPPEPVALD QGRCWQGQDYAYDWDHELVRQVQSEPLQSIAYCLCQIGLKKKSKTNKF ANIA_08389 MRTVYEGILDMLTFFLSVLFSRVSLAGNAVDSFYPPLNHTTYIT NASFGTYGGVFHAPSDQASDTGDSVYNYCTMPHPHVDTYSLPSPVANGSVGAKLVYLE YIQRHQRRTPYNILPGGENQGYNCDAIHPHLFAAPSSGAPAPLQAYGQAYTDPTNPFL TDYVNGSCQYPQLTIGGYLDGYQHGRDLHEVYSKKLGLVPSNLTHDDRRVWLRSSTSP LTQGSAGGVLRGLWPSRKEPLPLHQQAESVDTINRGFPCAKRDALLDAIQSTTEWKEH LTVTSGLRNKLADMFDAQEESSWLDTWDHFADNFQGRLCNGYELPCRLQDQSQCVSRQ QAEEVFRAGDWMYNYWWRKNPNVTEYIQTVEGLFIAELVRNFELVQSGSSEVVYSHTF AHDGDVAPLLGALGIKALRWPGMGSNVAFEIWATETDEFYARVLYSGRTIETVHGVLE WIPIQRLIEILSPFIPENIIALCK ANIA_08390 MSDITDKPSDETINLNRMKTAESVFLPISRETFEKLYLTPKHPS VQTDLRKKFGNPTPICLMGFLIASTPHACMLMGWRGAGGNGSALVPTYIFFGGIVQIL GGIGEWIIGNTFSCAIFFTYGTFWLVQGGGLMPFFASGMNYSPTGNSFEGQQTGEYAA TIAFYYVFLGLLTFIYLICSIRTNVCLFSALFLLVITFSLVAGAFFQLANGAHELAEK LLVAGGAFNFALCVPVWHIFLTQILEAVDFPISVPVGDLSSIVPGRSQKVKRAED ANIA_08391 MGSQSSGDLTLSQPRRHIIKACEGCRQQKIKCNGKSPCERCARL SLPCTVRTVARQRRQKILQKRAQQDDVEIIQTALRPVRITDRATGRSAVYGPTSTIAL LHLLAANKVNYAISVEVSSTSLVHTDLCMNGLKLNPLAHQPYTIPPTLGLSLTPPLCL TTIPNQILQFFLNREIGNFDKAYALLGPTASKIYAAGFHIEPRGPEVEKLIHILVGLE GFICIALGRPPILGPGIQVSHENESTDAKFFTGLFAILSPSLRAQNNPATGFDELCHS IWTTQARLKAYWEEYEPLLRTAQTEPHRPWNIEDTMRLNTNLYEYAVLTNMKPFLLYM GHQCSALSRAASPASQSSGGMGVNTGALTVTARLGDPFKTANDNAKMASATDCILTSA KSIISTISELRQGCSDAKDLPMHSFFLEAACISLIAYGAWSNNQAGVWDSIELGVRCM EQLQYQRAAAQRLATVRTAMEQTGLKRQL ANIA_08392 MCYEPNVLKVHTQFARSPVSSMAAQTITIRSNALAVTVYIDNEG AAYLEPITPVRCTPRRPISRYFESHHAPLVEVRLAGEGTQKHKSSKSLVGGYIGPRLR YRSSVIRDSEGSKTADITLSDPELNFTVIVHLTVYGSTPTLRATTTIRNHSDQELIVT QVTSLVLGGLTTGSAEWWDEYTLSIPTNSWFREAQWIEHDLPGLGLDDYGVYGRPENH FASLAHYAVSNRGTFSTEGHLPMGLLKRRDNGDTWLWQVENNGSWRWEIGDWKDSVYL AAGGPVETDHDWRLILQPGEESTTVPVALVHVYDDYEKAFSALTRYRRIIRRKHEDNS RLPIIFNDYMNCLMGDPTEEKILALVDPVIKAGAEYFVIDCGWYADEAGWWDDVGEWE PSNKRFPNGFSTLLAQLRKKGLIPGLWIEPEVIGVRSKVAAQLPDEAFFQRDGERILE KGRYQLDYRHSAVRSRMHGIIERLVIEFGVGYFKFDYNIDVTQGTDISTSSPGAAQLE HNRAYLTWVNELLDRYPGLVVENCSSGGQRMDYAMLSTHPIQSSSDQQDPVKYAAISA ALPTAVTPEQGAVWVYPQPEWDDETNAMSVVNALLGRVHLSGRLDLLSEQQVSLVNEG MDIYRSIRGDLPNATAFWPLGLPGWHDGWIVLGMAVDGGERFYMAVWRRGGLDTVVLP APLLRARDLKAELLYPKAFATHFEWNVAEGSLRVGLASTLCARLFKLTVS ANIA_08393 MSIPSYNDYQIWIGVVDDHPSLELEEYIVALHKSNGLVCHWFST IRTLDGTIKHFSESFSPGGAEHLACEQLRKRMLVSHLSDWQLRKFVEIFEETQARESQ FFIFRWLYDCVDSGILKEEDVDRVKPWLDFAAESSHAVDANK ANIA_08394 MSIIMKGYDTALIGSFYAHPEFKSVRAQVAGQVLCGKLHVLPNF KNDYANHGTFILMGVMQTLVNRPDEWSYRIPYAVPWIWPALLCVIAISMPESPWWQAG MSANYSYKLALGGAAIAFIGTVLSWFLMKGFGRRSMYLGGVAMMCVYLFNIGILDLVR EMAGVKWAQSSLCIIWLFTYFLSVGPLGWSIAPEVSSTRLRSKTIVLAQNTYYIAIVV ANVIEPYFINPTAWNWLGKTDFFWFGTGLATLIWGFFRLTETKGRTFEELDIMFAAKV LTRRFKAYHVDLYAEDLNIKDSAKENGHHIPASWEFVPGTDPDRG ANIA_08395 MKVATPLMAILGLSAANPHYGAGDISQWTGPGAGDYRGPCPMMN TLANHNFLPHDGRNITRDKLIRALGEALNFHPSLASLMFDMAIVVNPEPNATWFTLDQ LNQHNILEHDASLSRTDAFFGNNHIFNASIFAETTHYWTNTTLTPLMLANSKLARQIT SRAFNPTYTFTNSTEQFSLGEVAAPVVAFGNLNDGTVEKELVEYFFRNERFPTHLGWK TRDQVVQLADITKLSRIIGGMTDLLTSTGDAATASPARVKRADLHAGFRG ANIA_11606 MDKKARLLQSLGTDSTDMVSKEEVVRICKQHGLSAHDAKKEFEK IDIDNDGEMPRNSLFAQFSHFFVFRSNELKSPAGL ANIA_08396 METTTTTLAEYLFKRLHQLGVDSIFGLPGDYNLQLLDYVAPSRL HWIGSCNELNAGYAADAYSRVKGIGALVTTFGVGELSAVNAIAGAYAERAPVVHVVGT PVRESQESRALIHHTFNDGEYKRFDRMQEHITVAQAILTDHRSAPAEIDRVLQQCLLH SRPVRIAIPLDMVSLRVPKMALEHKICVPLPCRQPQLEDKALKAILDRMYSAKKPVIL VDGEVRSAKIMEEVEHIVKSTEWPTFTSGFGKSLVDETLPNVYGVFTPRYKDFIDSSD LVLCFGPHFSNTNSYLYQTVPQQHKTIFFHPTSVQIDSEIIRDLPANHFLPQLIGHLE VAKLNRYTCNFDHPSSVAPPDVQASDLVTQAGGFWHRMSSFFQEGDIILAETGTASYG ANEFRLPPKTRLFKAVTWLSIGYMLPATLGASLAQHDLIARSEYHDFLEARSILFIGD GSFQLTAQELATIIHRKLNVIIFLINNDGYTIERAIHGRNQGYNNITPWRYLKGLEFF GAPTEGEYAAHTWQVRTWGDLEKVLTDERMIKGKGVRMVEVFMDRLDVPPPLDRILEM QIERENGVQ ANIA_08397 MTFKSTSRTKLRSQFTKPHVQALFHRTSRCDGKDPFSPDGQLHF ELDFVNFGSAFQWHYLVPKKGDCLTVVVDLVRPIFDPGEVTLINSEPLTQPAIDFNFF SNDLDIITMREGMRFAYDLLTKADGLRELVILEYPWEMPLDSDAKMKPQCWTGAKRCS TLLGPPDSQRTSNRALVILS ANIA_08398 MSKIGDSKAPSENPSHTIDHANPSIFKPKYLVLDDINVDLLSFN ILAASGLAYLFSCLDAKLAAAVAATVLPLRLIFPRPKTPTGTVLVTGASSGIGAELSY IFAEKGHDLILVARNEDQLNVVKNNIQQKYGVRADVVSIDLSVPGSAQRVYDIVTKEK QLTVNVLVNGAALGAAGDPFEQPVELVERMTTLNCISLVQLSHLFGNDMIKRGIGWMM HISSVGSYISSPGQNIYHSTKYFVRAFSEALSVELRAYPGIVNTLLLPGPAETQFITR SHAQETVMMAASGAVEDPKSVAQVGYKALCKGKREAFSSWNAMFTSLVFSVVPKSVHL TMASVFNLPLRGRLRAREPLGDQRVRGQTL ANIA_08399 MGSRWVVIEGRLNVLYECLLLQWSDPIFMESTETMSETVEKDFS ETWAEVLAPDPQYEKDFRVVDNKFAFSPGQLNKLLNPKSLGAFYALGGLQGLEYGLQT DLENGLSTTETVLSRVVSIDGARQAAWSSKGLATSSCSHPTIPPSQPQSQPGSTLFAD RTRVFGTNALPSAPKKKFIRLLWDAYNDKIIILLTIAAVVSLALGIYEAASGQSQVDW IEGVAVCVAIAIVVAVTAGNDWQKQRQFGKLNKRKLDRSVRAIRDGKTTQVHITELTV GDIVHLDPGDAAPADGVIIVNHDIKCDESTATGESDQVEKVSGYTAWERLRNGSGSVG KEIDPFIISGSKVLEGLGTYLVTSVGPHSTYGRIMVSLSTETDPTPLQVKLARLAGWI GWFGLGSALLLFFVLFFRFIAQLSGIYENDTPAIKGQHFMDILIVAVTVIVVAIPEGL PLAVTLALAFATARMLKENNLVRLLRACETMGNATVICSDKTGTLTQNKMSVVAGFCS AGESFGKLPSDPAEAPAMTMPGMLERFPAALKELLVHSLALNTTAFEEKDTNGREFVG NKTEIALLQLASQHLGMDLSRIQADNRISHVYPFDSSRKAMAVVYQLPTGYRCLVKGA PEILLDAAVQIVQPGPTGAAVLPAQISDSDRHLISGRINSYARASLRTIGIAYRDFST WPPNMKRTPNFSEILKEITWIGAFGIHDPLRPEVVEAIGNCHSAGVQVKMVTGDNINT ALSIAESCGIKTEDGIAMEGPELRKLDKDQLDEVVPKLQVLARSSPNDKELLVKHLKR LGEIVAVTGDGTNDGPALKAADVGFSMGLSGTDVAREASSIILLDDNFRSIVTAISWG RAVNDAVAKFLQFQITVNITAVLLTVVTAIYNSRNESVFRAVQLLWLNLIMDTFAALA LATDPPTADILKRPPTPRHAPLFTVTMWKMILGQSIYKLALCFVLYFCGHSILDLDRD SYQEKLELDTIIFNTFVWMQIFNEFNCRRLDNRFNIFEGIHRNVWFFVINLIMVGGQI LIIFVGGAAFGVTRLTGRQWGICLGFAVVCIPWAALLKLVPDQMYKILWSPFAKGYKK MRGSVRPSRLHNGKERVGDEEAPPDQIH ANIA_08400 MSSVVESPPKTGFSLVLQNPYLCGVASFSTLGGLLFGYDQGVIS GVITMESFGARFPHIFTDSGFKGWFVSTLLLAAWFGSLINGPIADRLGRKMSINLAVV IFIVGSAIQCAAVNVGMLFAGRAVAGLAVGMLTMVVPLYISEVSIPEIRGGLVVVQQL SVTIGILVSYWIDYGSNYIGGARCAPNVPYAGSSFDPYTDVPAGGCTGQSEASWRLPL AVQIAPAIILGAGMLFFPDSPRWLLMKERDDEALSALSRLRRQARDAPVLRNEYLEIR ASIMLENSFARENFPNLSGIRLHAAQYLSFLTTWARFRRLAIGCCVMFFQQFMGCNAI IYYAPTIFSQLGLDGNTSSLLATGVYGIVNCLSTLPALFFIDKVGRRVLLMAGATGTC ISLVIVGAIVGAYGASLVDHKAAGWAGIAFIYIYDVNFSYSFAPIGWVLPSEIFNLSI RSKAISITTSATWMCNFIIGLVTPDMLDSISWGTYIFFAAFCLLALAFTFFFIPETRG KTLEDMDLIFGDTAAHEEKTRIKRIEAELHGTGLDEDEAQKPMKQHAEVVDAV ANIA_08401 MAVFKSWNLALLSSLFIPALCQSNYPDCTTGPLSELPICDTSLS PLERAKSLVSALTLEEKINNTGHEAAGSSRLGLPAYNWWNEALHGVAEKHGVSFEESG DFSYATSFPAPIVLGAAFNDALIRRVAEIISTEARAFSNSDHAGIDYWTPNVNPFKDP RWGRGQETPGEDPLHCSRYVKEFVGGLQGDDPEKPKVVATCKHLAAYDLEEWGGVSRF EFDAKVSAVDLLEYYLPPFKTCAVDASVGAFMCSYNALNGVPACADRYLLQTVLREHW GWEGPGHWVTGDCGAVERIQTYHHYVESGPEAAAAALNAGVDLDCGTWLPSYLGEAER QGLISNETLDAALTRLYTSLVQLGYFDPAEGQPLRSLGWDDVATSEAEELAKTVAIQG TVLLKNIDWTLPLKANGTLALIGPFINFTTELQSNYAGPAKHIPTMIEAAERLGYNVL TAPGTEVNSTSTDGFDDALAIAAEADALIFFGGIDNTVEEESLDRTRIDWPGNQEELI LELAELGRPLTVVQFGGGQVDDSALLASAGVGAIVWAGYPSQAGGAGVFDVLTGKAAP AGRLPITQYPKSYVDEVPMTDMNLQPGTDNPGRTYRWYEDAVLPFGFGLHYTTFNVSW AKKAFGPYDAATLARGKNPSSNIVDTFSLAVTNTGDVASDYVALVFASAPELGAQPAP IKTLVGYSRASLIKPGETRKVDVEVTVAPLTRATEDGRVVLYPGEYTLLVDVNDEYPT AKFEIKGDVQVLEKFPLSGNDSD ANIA_08402 MPVTELACLRLKNSLPLGHSDNTAVSIKLRAGVEEQAKYSNAKT SVLSQIEDPSYIYILGKWDSVAQHMEEWVLSQRNQEIMAGLSEGLELAWIQHVDLASG SVDERIPYSAPVVAIERYTVAAENIDGFGSAFAETKHHLKGFERGRDISGGWCVDGDG QFILFSGREAVEKHNSFAQSEGFKEFGRIRDYVEEVEIKYAQWEFTLG ANIA_08403 MSDLPGFPNYFNLTGKVALVTGGSRGIGLHIATTFLRAGAKTVI ITARKAPGVEQAVAQLNALPGIQGKAIGIPGSAAETDEIQALVDKVKGIEPKLDILVA NAGATWGGPFETSPDWASKKVVDLNVRGVFNLVRLFLPLLEAAGSHSSPARVVIVSSV AGSVVSHGGDNGTIMYSISKAAATHLARNLAVELGPRNITANSLSPGFFPSKLANGLI EILGGEKELKKANPRQRLGVPDDIGAAILFLVGPGANYVNGVDLKLDGGANLARGGMA QAKL ANIA_08404 MSLQAHAQALVTSLSQAALVPGSAPLIPDDFKPTTELNVTFGEK AVNLGNLFRVSEVKSAPTVSFVKEEQSPECQLYTLLLVDPDAPTPDDPKFAYWRHWLV SGLTASQSINAESAKTLTEYLGPGPKDGSRPHRYLFLLFREPEGLALSKEDVGGEEFV QRRSFQAAEWVQKHGLVLVGVNWMLGAGDGWTENSLPLLLGVAFLYLLSMFVITSITV PPYTSMTTVPVS ANIA_08405 MRMIFLELPFLVLLAAAGSALAAPRNGDCKLTPHDAAWPSDEEW SALNATINGTLIRTTPAASSCYPGNPFNAPTNCTEVMAHWSYAAYHAAWPESIDYSIF TNHSCLPPGVDGNEAQIMIAMKWADDRNIRVVIKGTGHDLNGRSTGAYALSIWTHNLS HFRHDPAWRIPGTNSTADVVVLGSGNNWGSAYTAVHSINRALVGGEDATVGLGGLVQN GGHGLLSSTHGLASDNLYQVTVITPDGRRLVANDVQNKDLFWAVRGAGGGQFGVATEF VLATHPVPENVVSGGLTFYAVQGAGLNASDASLDALVETARSIPDLMDSGLTGTVMAL TGTRATSLLGLSINEYLPGIAGVINLTKFNSTIASMNMTLNELASRLSRASGDRVTIA LQTISSQSYWSSTKPNSSASQSAGASSMISSRLLGRRELVDTPSDQVRHYLRQILTPP TGSSGSMALFGLQGGPATSKTSILRRGSVHPAWRRAYAHLMTYGAPVNDSADAKAALE TGAVWYEGHIEPVWRKWTGEEGGSYANEGNVFSSTWKEDFYGPRDSGIYEKLLGIKKT YDPKGSLFVWGGVGSDEWEYDLHTGLLCQA ANIA_08406 MQAVVFKGPLKVALEQRPIPTIQDPTDAIVKVRYTALCGSELHV FRGHQPSGTDFIMGHEFTGEIASVGSSVSKFKPGDKVVSPFTVSCGDCFYCSHNTSSR CAKCQLYGSVVLDGAQADYVRVPLADSTLVSAPEKIDEKKLVMMADILPTGFFAAKNA FSGLDESIIKESTVILFGCGPVGIFALISALEYKPKHLIAIDSVPSRLELAKSLGAEP WNFATDSEGLKNRVKELTDGRGADVAIEVVGHSDALRMAFDMIRPWGKISSVGVHNGE IPWTGNEAYGKNLQLQMGRCPVRSIFEDALKLLEKKQDSIEFMVQDIRPLSQALQAYD DFNQMRSQKVIFEAGK ANIA_08407 MSLILNPMAPSISLSDPAPPLQVSHAHSVTKHPKTTIDVNIVEI TPSDRDISSSSSYSSEIQIDELENERARLRRHIGLDSAHPPQSQPLFFIERVPDTDTA PIPCSLPGCREGIAPGSQRLALNPGMSGVSWFRSSSDYYHIPCFERIADFNESAYLNR LVPLTRNTFRLRGLKLSSVSDGSYLLSGGAERLILEWKVRRGMDIDKRDGVFDPACYE LDRGVHALMYEAGSRGYWPSGRPTGLDMYEYYTLARTVAVNESGDGDEEEWNLFEAFL GHGEIGSGCGRHDLSELLERWEQSKVSSLFPSDLCCAPVRATAGTLTTGRALCCRKTK RVEEYKTPSVRLPSEQSGDYRQFPRRRSAITGSGRRHLKLSCVALSGRFLWRLVPVLL CD ANIA_11607 MHPHYTYYNPAPSFAGRGRRFYRQNYMRGLNPRAGGIGGLVKVT LVGTAAYFVCKKIYQCPEQ ANIA_08408 MGFVNTLTQASDQENQRLRASPQVFKLFVLILFVLLVLKIRRHR ANRITNQYGRQICELHGDARVAKFAFSKQLSDQGKALAGDEPFIIRNGRARELVVTKP EHIYDFYKGDTKPCSLLGHAVGALAGERWSMIRRYFDPAFSFQSARQAIPELSASIDR WLDDLPLQGTGTGKGFALEIKKPCRFLPLRLAAEFVYGEIFDDKLFSALLDLNVLHEV ILHDVIANKRLATRLGCWFDRAAAKRMEEFRSRWMEFNLGIIQSARGASKACPAERIY HGVEKGDLKLEEFLHTLDEILFANVDVSSAVLGTLFEHLAVNTAFQQKLRAEIETHIQ TRTHTPDTDSDIDINTETGKYLSKQDTLMNFAVMEAMRLSPAFDCDFAAFSLPECTAV PKEIGGYRVPARCPVVIDAKRLNADRATWGKDGDTYRPERFRDIPPSKSRYGFMRFGV GAASGRCLGKHLADTLFKLTLIAVIERYSLHSVHDGPEVELREVVVRV ANIA_08409 MIPPKQQTALKITPEGRIAAVSSPLPSLQDNELLVCVKSIALNP FDAKSAEMSPTIGATLGCDFAGKIVATGSNANDFNFSIGDRVCGCVFGNNPNRLDNGA FAEYVAVPADLLLRIPEHMDYNEAATLGVGLATVGMSLYHCLRLPMKPEQAGKSPSGY AAITTCSPHNFNLVKSLGATAAFDYHSPTCGRQIRDFSSGNLWYALDCITDTRSMAVC YEAIGPSGGRYLSLDPFPIRGHTRRSVKPNWVLSVTMYNQPIPWKRPFKRDACPQDLE FAKSWFQIAQRMIDAGEIRPHTSDVKAGGWNGIPGGLELLQKGEVSGRKLVYEVASH ANIA_08410 MWSILSIKRSTSRMELMCLNAAECPINVFPEQQRNMPTESLNPG TVLIVGGGPVGLITATTLAKYGVRSVILERNLTTTKWPKMDLTNSRSMEIYQRLGIAD ALRNVAVPSHYPFTCLFSSGLHADKAITAWDLPSPDEYRRRIREQNDGSMPSEPWLRV SQEIFEAWLKELGMENPLIDFRAGWKVKGARELDHGVEVEAIHSDTGEVWKVSADFVI GCDGAHSAIRKSLEIPLDGGPIHGYAVLVHFKSRDLSRIQKQGQFWHLFFPNAASDGG SIKGAVIAQDEVDTWTVHRFMRPDVDHTQLSSEEIVYDLLGGMGGQPFPIRIDEVLVR STWTPSVALARSYAGPKHRIFIAGDACHQTVPTGGYGMNTGIADGYDIGWKLAAVIQG WAGPATLLSYEKERRPVGELALQWSKVHMGNLMKMSAELGLDAHMIELNNETGAELRG AMHSYLQTHDGHNQSIGVEMGYRYVSNICVPGALDAELSPPEFHPRKYTPCTMPGYRA PHVYLTTGTPVSRLFGDGFTLVAFPEGEGLNASTEQLREAARKKALPLEVVELPGEMH AHEVWGASLVLVRPDGFVSWHGDSVRSQEEADRIIAQASGFDSEHLGNHVQAQERSAL ANIA_08411 MSLASTLPRASFETLLQHTNFMDGIKFVFFAFVVYSCFTIAVGW VVYEWKRKAHGCGKIPRYPHRDPFFGFDIVFGMAKSLRNDYFLVWLNKVHENLPKTFL VNFVGTRFIYTIEPENMKSMSAINWQDFAVGPMRRNNKATAPFADKGVNTVDGHEWEF SRFLIKPFFKRETFTDTSRLTLHVDRVLEQLPADGETVNIQPLIQRWFLDVTTASLFG ESIESLVYPERAPICWAMVDVLRGLRLRLQWYKYLWLFRHQAWLDAVEVVHRYLNAHI DRTYKELDEYKRQGKNPEAADRNDLLWYMASNLQDKEALRSQICLIFVPNNDTTSIFI SHILWNLARHPGIYEKCRQEVLALGDAELSFSVLRNMKYLNAVLNETHRLFPNGVTQV RKCIRDTTLPVGGGPDGKQPIFVRKGDVVQVNKNVIHRDHDIWGPDAEDFRPERWENL RPYWNFVPFGGGPRRCPAQMLVTAEASYFLARLMRVYKRIEARDPNPYVGVMRVGPSN KTGVHIALFKE ANIA_08412 MQDLIAIVGSACRFPGQSDSPSKLWTRLKEPIDLRKTFPPQRLN LARFYHPDGEHHGSTDVRGTSYLLSEDPRQFDASFFNINPREAEGMDPQQRLLLETAF EALEAAGYSLEAMNGSKTSVHVGVMNSDFSNIQLRDPEVLPTYNATGTAISILSNRLS YFFNLKGPSVTIDTACSSSLVALHQAVQGLRAGDATAAIVAGANLIFDPAMYIAESSL HMLSPDSCSRMWDKDANGYARGEGVGVLVLKPLSRAIMDGDHVEAVIRSTGVNSDGRT KGITMPNAESQTELIRQTYRDAGLDPIRDRCQYFECHGTGTATGDPIEARAVHDAFFP TETRTASNTLIPDGKLYVGSVKTIIGHLEGCAGIAGVLKAVLAIKNRTIPPNMHFHEP NPRVIPFCDRLEIPTVPIPWPDTGRSPLRASINSFGFGGTNAHAIIEGYDALSSPVRE ATITPDDLFIGPLLFSANSSSSLVANVKNMAERIRSDPSIDLESLVWTLYARRSVLPV KAFFTGGTVQRLLNFMDRFVAESEETTSSTAGIKYQPLNPTETPGILGIFTGQGAQWA LMGFSLLQQNHVFRAAIERCQAALATLHDSPSWSLLDELVKGADESRIGEAALSQPLC TALQIGLVDMLHSAGIKLDAVVGHSSGEIAAVYAAGIINADAAIKIAYYRGYYAKLAA GARGQAGRMMATAMSFDEAEEFCAQPQWRGRLAAAASNSPQSVTLSGDIDAIEEALQL FEAEKKFARILRTDTAYHSHHMQPCAERYLKSLQACQIKVSPPRKDCVWISSVRGDTQ LLEGDLSTLADQYWVDNMCNPVLFSQAVETSIWNGGPFDVAVELGPHPALKGPVEQTI KAVYGPIPAYAGLMRRGDNEIEAFSGGVGFVWSRLGPDYVDMSGYRKGFIGADRLRPQ VLKDLPVYCWDHSKLYWKESRISRQYRLRQDTPHELLGRRVPDDSDDSRRWRNVLRLS ELPWIRGHVFQGQVLFPGAGYVAMALEAARELTEGRPATLFEIENVSLRRALVISEQA GIETAFTARVIDAKEGRNDTNRLEADFACYFCSAEGNEPLVKACTGRLIINYGDPAAE ALPQRTRLPSNNVPVDMGRFYDAMNSVGLDYQGIFRGLVHGKRSLGCSSVKAAWGEDM QIDNYVVNPGFLDVAFQSVYTAFSSPASGEIWAPYLPIHIERLAVNPNVSYRSAKDEV QMEADAFVTVSNSTLLKGDIQLYQIDSQHAAIQVEGISMKSMSEPQPENDRCLFSETV WGPDVSLGVSEVTSRATEDDTPLVEALDRVSLFYWQNLLQEVGAEEIAQFQWYHQRMF DAVRFQVSSVRSGQHPIAKPEWLEDDWETILAVSEPYASRVDMRLIHAVGENLASVVR EDTQLLEVMVQDDMLNRFYMEGYGFSVINNAVSDALEQITFKYPHANILEIGAGTGGT TRSILDRIGSRYGSYTYTDISPAFFEAAAEKFEDAREKIQFKVLDVEKEVGAQGFDEH GYDVIVAANVLHATRKLEETMKNARALLKPGGYLVLMEITGPDVLRTQFIMGGLPGWW YGVDDGRVLSPAISAQQWNQLLLDTGFSGLDCLAPDMLDEYKHSFSLMVSQAIDEKIQ MLRDPVLSKTLLPAADVLIIGGRTHSNVIHEIRRYLSAWTSRVEVWDRIQTKQLDQLA EFENIICLEELDQPLFSTTMTSETLLALQKVLSGTKNVVWAINSAKSQNPHVNMTVGL GRAMRTEVPGLNLLFVDIDAVENPIACAQLLSQMLLQLRTGSLTAAENTLWAAELEIR VQDGRRLIPRVLPMTQMNETYNASRRVISKPVDIETTCVKMEDSAGSLRLVPGACMVD GPVQRGHNRLRIHRSLPLAFGGGTPCYLCSGDLRENGLPALALSTSNANLADIPNDMV FALDQDQPCDAAMLEATGRQLLARDICNRLPASNRILVYQPSHDFAQALLLTGRHFSF VSADKASCFSDWVYIHSRASRRAIQSRLPKDFDALIDCTGELPENLIACLSRNCRVLN MTLHQLDGSLLGPAYSAALAHVSSSSIGPSIRVKDLPLATPSKLPMVDWTGVDTVELT LQPLSMRKLFSSSATYLLAGMTGDLGLSLCRWMIDNGARNIALTSRNPNVGEELLQDM RRSGADIRVFRNDITDQNAVRTLVENIRLSMPPIAGVFNACMVLRDGLFSEMDVDTLN DPLAPKVDGSKILDDIFQDDSLDFFVLFSSLASIIGNAANPTTTLQTCSSLVLPPTAA RRVWQPSPHNSSRQHEIILGLEPFIDSPNATKRPPWEHNPMFSHYVSRPLLQETPAAT TVEAAADVKQLLRSTVSGEAVIPIVQEAFARKLESMMQLPANSINLNVPLIDLGCDSL LAIEIRRWFIKELGIDVPVLKVLSGDTTAQICEDAVRQFLALQLEKQDTVAPNMTEKP ETKSHPSSNATIIDNDALDKADAANGDYESSSQGDDSRGNSSSSSSHTSPSIQATTPD IKPNTPVPLDVDADPLGRGFQRTMIRAEPASFAQSRLWFLTQYLHDPTTYNVTVRYDV RGNLPASRIVSSLNRTICHHQSLQTCFFMDSDKETLMQGVLSPSYSSIKHIPSGSEQT VREEYNRLRSRVWDLQKGETFAVTVVSLSPEQHTIIFGYHHIVMDGVSWHLFLRDLDL AYRLRPLPSIEMEYIDWSKKQFQSAQRGDFTRPLEYWRKQHSPPPSVMPLLPMAQTSS RKPLTSYDSHVISVQIDRQLVSHIRLVSQSLGVTPFHFHLAVIQSILCRLLKTEDLCI GVVDANRTSEAHSGTVGFFLNLLPVRFTTREHSTFQDLVSSTKRTILGAISNSEAPFD LILEDLKVLRSIEHSPLFQVAVNYRMGAMLQVPLGDGTMEVAAADDAKNPYDLSFGIT ETSTGTCLLELTSQKQLYTEQSTELLLQMYMDVLRASSDNPSLPVNQLPVTLEPSTGK ALAVAKGPRAEYSWPNTLWERYDAIRKSFPEETAIKDGKSELSYSQLTRSVEKLAAML ISQGVTAGDSVGVLLHPSIDAIACMLALLRVGCIYTPLDTRLPVARLSIIVNRSKSSL VLYHASTHDVALELGKFSKLANVEDMCESGQAQVPAIAPQSNPASFLFYTSGSTGTPK GILLSQQNFVNHLAAKTDKLNLGREVVLQQSSLGFDMSVVQTFCALGNGGTLVIAPKE ARGDPIALSTIMAKERVTLTIATPSEYSLLLRFGLEQLQRPYSWRHACMGGEVVSRQL VQQFCQLDHPDLQLTNCYGPTEITAAATFQDISLQMKDQSTTDGSLVGKALPNYSVYI MDASSGSPVPIGVTGEICIGGAGVSLGYLNSLEQTDAKFVRDPFASPEDITRGWTKMY RTGDMGCLTEDGTLIFMGRMDGDNQVKLNGLRIELDEIANSILTTGNDLVSEAVVTVH SGSGSGSPLLVAHVVPLGDNVDNSRLQQLARDLPLPQYMLPSVVVSLDRLPINANGKV DRKAIMALPLPTQRTESAAGTGTDTARHLSLAEGELRLLWEKVLPASGGPSRLDADSD FFMRGGTSMLLVRLQGAIKESIGVSIPVAELYQFPTLGQMARRISRRKEDHQASHATV INWDSETALTQDLIYAAKNQFSTRQTKAHDRQDILLTGSTSFLGKNILQSLLHNPLVE RVHCVAVPAEDIPRLPASEKISIYTGSLLTPSLGLTKTEIAVLQSSLDVIIHAGSTGH CLNNYSSLRASNVDSTKFLAAIALLCRIPIHFISSNRVTLLSGSTSLPPASVSSSLPN TDGSEGFTASKWASERLLESVANLASGLPVTIHRPCAVFGEEAPNEDALNALLKYSKL TRCVPRFENFEGYLDFEDVHRVAATIAADALSAESRESKSAARVRHHSSGHKVSMKDF KGRMETLFACPFKEVSMAEWTERALQAGIDPLITGYLEAMTMKGEIIRFPYMGEAGSL ANIA_08413 MSSVRESSKDESIVHPPKAPESEPFPDGGARAWMVALGAGGVLF CTFGYVNAFGVYQDYYITHQLSNYSASDIAWIGSVQTFFLFGSGLVGGPLFDRYGAKV IWAPAVLVIFSVMMTSLCTKFYQFFLAQGILGGMSMGLSLAPALSSTAQYFQKKRAAA MGITIAGSSLGGVIFPIALEQMLYSSLGFAWAVRIVGFIILGVMSFAVLGIRARLPPK RQRFLKLEAFKKTHYVATLTAVFFLNVGIFTPFFYLPLYGQSHGMSTGLAFYLIAIQN ASSFFGRLVPGVIADKIGPYNMLSTVSIITAIITFCWIRMTTNASIIVFSVLYGFFSG GIIGITPAAIANCAGHPQEIGTYIGMGMAVMSVATLIGPPINGALLNEYGGFLQVQIF SAAVMMFGGVLAFGAKMISFSGEGKGMRKFDHAVAGLAYYVREGGSRMEHIYFGSVAI VAGHLHGPVCAQNKRQMLVTGIGAEMVYVLEYFVEAG ANIA_08414 MHVDSRGNAPVRRRRPAVACTECRRRKIRCDQATPCRHCEKAAL RCIYNHLRPNTSQSKISPPTSASGFISGSQLSVNDSPQLPLSNDTKFHGFGSGSLKSD LSLEYVPSALPTSSSIFVEPNSLSSLSEPLAWEIAPPETGVGILAESDSPLNMQGQTG ELRDQHTAPWMEILHCDPDEFWFDSEELRRMWRKTRDLELLLATSKMPSEWLYWSPGP TAPEASSLIPPRATCDVLLELQYWSSPDSMSDVFLCKLLLAMAIGTLFAPASPSAGQL TDMRPRALAWMHYGQQWLFRKIVLDAQLNLDILQTSPTSIGDRHFWLSEDCLVRMAMK LGLHRDPHIHNPAMLGTEVEVRRRLWVTLLELSLQASLDAKLPVPLPSDGGFDTELPS NLSDTDLGSIATLCNPNPRTFFTHSTMQILLAETQRIRIRILNLLYSPATSIPYQEAL KLASELRRACNTNLRLLQSFTPQTPGAMMPTEFQTKILDLWTRRFLLALLTPYADEAR SDYSLYYTRKARIDASSLLLSYPLSHSTATGPSPIGSYYLQLQISGQGIFRNVLKQAT AAICQDLIQELVEDAFPVTDREPHAKLCQIIRDSISIYRTRMELSQPCMQEYVAFVCA SAQIGALRSRCDNKHDFFPTAKKALGQCHHILESNHRSNSPEKYRAETMHVLDIDQGM NFWSDLLSTAGASPLSPPSSSSFFLEHGLSHTVPWEAPHSREVVDNER ANIA_11608 MPIKSLTRHQKQPWKASTAYYIVCTRQGCLLTVEIHHHSKYKGV YSLQSCNS ANIA_08415 MAEISSVPFAEPPYLRGLPSPYYNESHRRFQKACRAFLYENLLK HAMEWEKAGTVPEHVFSDFCKANMLLPNLPAPLPVAWLKRLGIHDILGVKVEEWDYLH TGIYSDEMARSGLSGPSGSLTAGFAFGTPPIIKYGSKELQEKFLPDLLTGKKRNCIAI TEPDAGSDVAGITTTATKSADGKYYIVNGNKKWITNGIWSDYSTMAVRTGGPGAGGLS LLVVPLKNYPGVTMQRLKVSGQITGGTTYIELDEVKVPVENLIGLEGDGMKMIMNNFN HERLTIAVGVTRQARVALSTAFSYCLKREAFGKTLMDQPVVRHRLAKAGAELETMWAF VEQLLYQLTNLPKEEADRQLGGITAMAKAKGAMVLNECAQTAVLLFGGAGFTKQGQGE LAEAILRDVPGARIPGGSEDVLLDLSIRQLVKLFKAEEKKLGKARI ANIA_08416 MGLRERLQVKQGDASLATEAVASNKDLDPIPLDSPKRTWRWPSL LGFWVAEAFSISMYQVTSTSVSKGLSAPMAIAAVVVGHILVCIPAMLDGYVGAIFGIN FPVYTRASFGMKGSYFAVFVRGIVAIIWFGTQTYQAGQCVSTMLSAIWPSFNHFPNHL PSSGPITSAELLCFFLAIILQAPLLWLKVSKLRYLFIVKTCIMPIFGIVLFAWAVKAA NGFGPVFSKPSKITDGTPVAVVFLQCVTSAIGPKATLALNMPDFTRYAKTPREVFWTQ AVGLVVLVSLCGVLGATVSSASEVIYGVQTWNPLEVAVLWNNRAAQFFAAFCWCLAAI GTNISANSVSFSNDLALWFPKYVDTRRGAYICALLSILSMPWYIQNSAASFSSFLGGY SLFLGAIAGVIVVDYWVCRGRRLRLRSLYEAHGTHYFTKGVNIRAMISFVCGIAPNLP GLAAVTGQDGVPKGANYLYSCSWLVSIVVSGMVYYLLFFVWPFDVEEKVIVLEGMEEG DRVVRVEEAVVQKKEAVSA ANIA_08417 MTRTVRLAAAQMGTTNKWDDRTDTLARMIALLREAASQGAQVVL FPEIAFTTFFPRYLITDETELESWFEHGDIRTAPNTKALFDAAHELGVDICVGFAEAT ESGEHYNSCVYYHAATGDILSRYRKIHLPGDFEPLPDPTAVNQLEKRYFLPGNLGFKA FRVPGLVEQVLKSDSSAGTEGTAKGDPILGLMICNDRRWAESWRAYGLQGVEIVLCGY NTNGFAPQFWGQSGDMSREEAEALSLFHHKLVMQAHSYTNATFSVSSARCGNDDGKYP LIGGSMIVDPEGRAIAETKTVEDEVIVADCDLELCNAGKKRTFDFARHRRVEHYKILV EQTGVVEPPLLADTSAVGGIEEEARAPPVETNSTISPDIIRGDTGPDKKYRILLVNPN ATWSMTFNCLEMVAPTLPSDIQVDGFTSPQPAPTAIEGSLDAVLSTAAAVRAIIPIAR QYDAFLVACYSEHPLIKALREELTTPVVGIMEASLFAARTLGGRFGIVVTSSRSGVLH TDSIRRYGYEGFSIGVESCGLGVLGLERKGEQEVLDAMCGAARKLVERGADVITLGCA GMTKLKEAVEEAVGPEIQVVDGVVAGVHHLIGLLRMGGRTAKRGVYASSRLTREMRGQ DYL ANIA_08418 MADIELIITNATIVQPLSLPATASDILPNTDIAISGGKIYLLGQ NLSSLFPTAPTLSADGAYVLPGGVDSHVHLQQDNSPTGDTWETGTRSAIAGGTTTVLA FASQKRTDGSLFPVVEEYHRRASGNAFCDYGFHLILSNPTEKILAEELPVLVKEEGIS SVKLYMTYQPMRLRDSELLDVMGTTRSLGMTTMIHAENADMIDWMTKRLESQGRTEPY AHALARPNIAEDEATYRALSLAELADVPILIVHMSSSVAAKHVRRAQTKLLPVHAETC PHYLFFTSEKLKGEDFRGAMCVCSPALRESPMDLKAMWDGLVNGTFTTFSSDHAPSKY IFLPSLLHQLPTVAPTRFDHQLGKKKGTSSFTQIPNGLPGLETRMPSLFCAGVLTGRL SVQKFVELTASNPAKLYGLSDRKGTIAPGYDADLVIWYPTAEQAEAMQAGSSSRVTMK SFQLKNEMLHHDIDYTPFEGMEFTNWPRYTILRGKLVWDRDGGGVIGGKGDGGYLKRG MSTLSRPRGVFVNDFDPYQ ANIA_11609 MSYPQQPPPGAYYPPQQPGYGPPPPQAYPPPQMQYQQAPPPEEK KDRGCLTACLMTLCCCFLCEEACECCIECAECLCCGC ANIA_08419 MASISPKEARSVVITIDDDATPLGETDLAIRELQAVAKEGVALG AGLAAILLQIAHPLVGQGVADHSTFASRTISRTQYTQMYIFTMIFGSDEEKAAMKKWV DEAHSRVKGEVASGQRKSEAYDAMNPELQLWVAATIYASMVGMYEKVYGELPPLKAEL VYQAFACMGTSLQVPREMWPADRRAFKTYWEDVVTNQLEVTADARGVLNELFHPKGLP LWAKPFAWMLLPIVRPVTIEQLPPNVREGFGLKSTRRTRAVTALFMSTVAALAEELFL KVAEEENEEAGREAGKVVASSRGYTRAY ANIA_08420 MSNEPGTEAQPSTETAAAIVDAASPPSRAESKSDAIPITTDKIY TEDDLLIHNKDGDLWLAIDGTVYDLTKFSEEHPGGKKILLGVAGADASKKYRKYHGDN ILQRYALEYKIGTLRVEAKKEPGRLFSIFKRRK ANIA_11610 MLRVTAGGNKLLWKPPFPPIFSSAISGAMEYANLQELGWATLTS DDANAESKAADAEEKQAPQLIWPDDLP ANIA_11611 MIISLRGNMQIRRYINPYTSRANNGCVSVCSLSIQTINSFKPPQ WPRALEGLMQMTRLNSWTAPLWIFLVNHYNRSPSSPERY ANIA_08421 MRLAILGALLASLGRISALEIQLNDPQSIKDAASKTAYGSLLWY SGNETGGNPGAFPEKWWEGSALFMSLMLYWYYTGDSQYNSLITQGMQHQAGNGDYLPS NYSSYLGYDDQFFWGATAMLAAEIGFPEDDVEYSWLSLAQGVYNTQIRAWDTSNCGGG LRWQMFPYQAGYAMKNSISNAGLFQLAARLARYTNNDTYAEKAQMVWDWVVSSPLVNN KTWNVADSTDINDGCTSQGNNQWSYNYGAFLMGAAYMYNYTEKAEWKTVVDGLLGKLL DEFFPEQYGGGKIFSEYLCEPKALCNYNEILFKGIVSTWITFVGLIVPETYDRIFAKL QTSAQAAALSCSGAGNNTCGIKWYKSSWDGSIGMEQQIIATDVLSSVLVSEKSNPPLT TKTGGNSTSDPNAGTSDSKHNTGEAKPITTGDRAGAGILTVVFVGLWGAMIAWMVLGE GL ANIA_08422 MQIVNSIVRIFQAISAIIVLGISVDLARGQDTRLQSVPPATGYA AFCGGFGTLVSFIGIISLFISSLEGLITLSLDALSGVTMLASGIAYAVLLRHTDCSNA YYSEATWRNELLSGGCVEIGDGIGCRYGGREEEGKLKSRCASAKADTAFMFTSFVACI GIVGYSFFARGRGKGVSYA ANIA_08423 MTDQVAKGKTSLDQPMAVDASQNPAFEDVKIADAERDAAPASIS SAHKPKPRSLWVAWLYLFDWYPSHYSKQERKLLFKLDCVLLPLCCLAYFIKWLDQVNI NNAYSSGMKEDLNLYGNEYSLFGTFYNIGYMLFEIPSMMIMSRPKFARYFLPTMEVCW SVLTFAQSRLRNHHDIYGLRFLLGVLETPVSSGTMYILSSWYRGDELFKRAGVWFVSS NLGSFAGGYLQAAAHETLDGVHGMAGWRWLFIIDGCISLPIALGSFFFFPGLPHGEKV WWLTDADHRLCVQRMRNEGVRESRKIGKRMLRRVFTHWHFYIAVFTYIFFQCTSYVAG QMILWLKDQADRYGTWTVSQINMIPTGVQAVSVFAGVLATSLVMVYPPWAVMGVVASV LLFSNVCLLVWDIPLGLKFTAYYLLGFTSCVTPILFPWVNMVMRDDSEARAFTSGAMM TFGWVFFSFYPITVFPVVEAPKWRKGYAVNTIFVICWCTLFMVGQWLWRRDVKAGKYS VGEYAIKDKEEESDGKDIDAKREVLEHVEVLDGKGAKA ANIA_08424 MPPLSGFSDNAFTTRSDLVCAAKSLLSALESYKSPFKARIRLSV ATAAGFDETAAQLEGFARPLWVVPFLLEDRCETLDLQSWVDGLRAGVDPKSSEYWGDL GDFDQRMVEMESIAVALLARPDAFLDKLNDVHRKNLITWLRQMNRHKIPQNNWLWFRV FVNLALIRSLGVPRDELQDEITAALNTLDTFNIGQGWSSDGLWDDGRKQADYYSGSFA IQFAQLLYVRFAGEEDQPRAKRYCQSAKDFGSVFWRYFDPDGAAIPFGRSMTYRFAFA AFWSAAACAGVQLDEPVSSLGAIKGLLLRHLRWWSKQPHIFNVDGTLNIGYTYPNMYM AENYNSPQSVYWCLKAFIVLMLPEAHPFWKTPELPHPSASKTEPSPRQVELLWPPRHI LVNSPEHHFLLSSGQMTKKGHKAREAKYGKLAYSSAFAFSVPCGMLLEQTAPDSTLAV SFDGGESWRVRDAPVQERALDVHCSTGQKDGTVPGLFSVWQPWRYIEMRVSTVLVPLG EVYPGWHVRVHRIQGTSEQRGLSEDIQLVDSGFAISSETASGGFITAAQPNSQTFEGR YSEPGSCLIISRAGASGIADLTANTQFLVSSSGKEMVYVQSRVFCFRPDPNTNLIASR GFLPSVRHDLPLSSLGNSREIWLVSGVFAVAASAGLGHESVRDMWMKRPKLFIRAAGD DLDISVT ANIA_11078 MNGHSISCTSSTSSSPDGLSPLPKKRKADSITSLSDGQTDSDET PVTPQILRDQAPQYDVPDLFDENILAKVFRTASASLNDPKVFTKPTGVPIGYPEIVPQ DGPSFGQYEFRDPEFWTCGFFPGSLYALLERSIKYPHCVSIRSSIKIQDVRTQLRSLS KAWSKSLHSMAFRTDTHDIGFIVMPALKRDWELSGNEQSLRSITQAAWSLATRYVPTA GAIRSWDCLVKKEITVTDQSENVLVIVDSLCNLDLLFYASAHTGDDSFAKLAEIHART LLRTHLREENGIVVPKGGYQGQLYSTCHVANIDPANGSLKWRWTAQGYSNDSTWSRGQ AWAILGYAQTYMWTKDTSFLDAACGTAEYFLHRLETAPLCVEIPLDVYSPCLSTAGNK KRRSTKGRHVPLWDFDAPVDPSSPLRDSSAGLIAANGMLVLFQALLSTGQDKLARRFL DAAVEIVGDTINLCLALEKAQLVGEGSNISVEDSTPGYTVSQIELQSPVSHTQVSIRV GDVGPPCSRYQCPS ANIA_11086 MLYKTRNRSLKLLPSTTTEPDLSAPSELEFSGNVYLELIHRDPK VATANVTFAPCARIHWHTHEEGQVIKVTTGNGWICDKGKKPRRIKAGDVI ANIA_08426 MEEDNGPDSSGSADQTIRGPEVGHACNSCRRRKLRCSREVPACQ HCRKSASECYYEAKRAKPGMKAGALDNIHRRLDALERSLEKHQAGLESSAGAGLPQQS NSAIQAILSTLAAGFQKLEQSGSLGAGPSSKKRRRNENEVHCIAPSAEIPLLPDDEVL SHVLEAYFNYIHPWTPVIHEGRLRRRLIDDCDREKLQLVIQSMILVTQPYIEDAETAS HLATLINDAENTRDWLVSQAMKQPSVENLQALVILASNDIGSGRAFQAWPLVGSLSRM VEYLQLTVEHDEAVQHPFCQPYRVLPPPTDWTEAEERRRIFWAIFALDRFCSNPVVTP YFGIWDKSAGRIGNPIAFLPTHPTPAPARAVEDEADAPSEAGTSPGATSSVVDMSTVG AYAYCIEATESLSRVTTYFLQQKVNLNDQKEFGAWLTRFKELDLRLVHWKMLLPQKWT VNVAQQGSTRMDPNLTLAHVTHNASMILLHQPIAFPLPEWPFKSRLPSLCSMDTCQAA AVEVASITNHYLKSSLPMSPLSSQFTFCVFIAARALLLSWKHSPRQKSVAPEFWVLVQ SLDAMATRWTGVHAGAGGRPSNLPAKYSSTLAELHRRCVQDESFSLDIARYTTEISHA DEMVQLQPDILPPTSHTMSDSPPPSTQRVNSGPHPTIASPALAKHIAGGSREPRGGPD SILGQQAFSTPRVPSITPNAFSLNVDVPSADTDIPNIFRADLAADTEASMAMSQMLLD QQFTGLDRVISYNEGLFGSEFGGKW ANIA_08427 MNREEANGIWTTSASDSAAGIHPVPQDTNNLGQYTRNALLISDY GRTRPTLWQNMPQLDNEGAVIAWYNATFLWAPTPQPRGVIEANIATLNMIDPIQILIN QNILHALAILHGTGRCNPAGYGISARTYFSMAWNRRRNPKLRIMHYICRECRKNRVFA LERPKCPGLVAGASNKTHLDFIIRRDHSAFSQIDTDRA ANIA_08428 MGLFGAWALCLSLLPLSLAQDCNREFYITSQADADSVGRDCPIV NSSVLINGSYTGSLVLNSVRNITGTLKAVWPDSTSPQPMSVELPDLEYVNGIDFPALT ASRFSAPMLETANYMYLGQAAPGNGLSICSIDGCAEEEPYSAMTSVMNLTLSSLTSVG RFKLAVKTERFALRYSDGAES ANIA_08429 MTSHPLNISLPIVEAEGLFLTGPIERIDLPGLREFESIYINSSL PLDCGPLVRQFSDTANTKSARYTCKSTAEEDAGLSMRVKVAIGVVIGVVGAGILGGLA FWWRRRRHGLSKSCHVSQVELTDLSRGGVRGQRNWERAPNDEAPPPYSPR ANIA_11612 MRLIAAFTAFLALAYAAPSVDLEKTSLDKRQQGENCVEVFFPES CADRGLVECDGAGSIRICCTRCY ANIA_08430 MVRAAWKKLLNDASVQRSSQTLSIVGADAFIYGGELRPREPVDS AVYRISVGSDGGAEALLSTIAGVPTSPPPRVGSASTTVAGKIYIFSGRGGTAMAPIEE EGAFWVFDPLAGNGEWSRIQPANPQAPYPVGRSYHALTSDGKETIFLHAGCPEKGRLR DLWAFNVNSGEWSELPQAPGPERGGTSIAYAGGKIYRINGFDGKTEQGGALEVFDLES KTWRTIEYPADGANGPSPRSVSCLLALEIGGKPSLVTMFGEHDPSSLGHQGAGKMLSD AWAFDIESEKWDKILVEGEEPPSRGWFDADVLYGSSIILHGGLAESNERLGDAWSLQF AGA ANIA_08431 MSTEQSQQVRRCVKTLKIRRDRSGCRSCRKRGKKCDEAKPICQA CVRLGLECRYGVDFAFRNYGRDAFIQGDPTSQPDRAALRRGLCASSICSSIESGEIIK SWYLDHFISHVRHLLPASPSHFTHLQRTLQSPQLRSAALCISASNLSILSTQVQRRCI PSDSRRSVSSPLVNQLHHAQARKYHEQTLSYCRKVTAPNMESEAPTILTALTVLAYYH HASTDHRRFRLAVWDTVRFVSNHREALTRSADGNQALQMWYRLCVSHRLGKPPAMLLE GEGRSVYGPNLYPGSFEELYLHCIVGLNLDDLIYDILIKTIEIRSRIMAFRCVAGRYG LSDEAREIGEVAHGLLARYLGREDNVVELAETHSGYMRGAHLRGLFHIQRERLAVWTS HLSVSKDRSPGPDSPIPARIRTHRDAMNALYSLLCEVMFDEAEAETIGDIPTLSSHSY AQKFIHILSTLDLAASITSDIYTFSLTEVLLQLVQCCRSPALFTYILDTFWPLLETNG RGYEHSHYPTHLVKRIISLIAAYWEQRREIKLVLPAVSENVPKMRLLDIYSEVSVVVC GWDGQGEREGKGESCFLERVRLP ANIA_08432 MKSRKLLSLVPLVGVSHALNATSLTSQYLGADAPWYTSRIPLFE TSVSSIEEVYYYRWSIFRAHQRDLGSLGYISTEFINDVSWQTSPWGILIDAANFHLRE GRWCRDRRFKEDYADTLFGPNTYPYQFSEVLADGVWAGYLVDGVIDDAVQRLEAMVSV YEGWSDENATGGVGGYDESKGLYWIQPLDDATEYTISSIDASGGYDGFFGGEAFRPSI NAYQFANAKAISNLAASSGNEDLADEYNAKAETIKSLVQQYLWNTTFNHFIDRFYVNN ENVTYWDPIRGRELVGYVPWTHDLPDDNETYAQAWSHILDSEKLAGSHGLRTNEPSYE YYMRQYRYEGSNPECQWNGPSWPYQTTQVLTGLANLLDHYPVSSAAGIISKKDYNSLL TQYANLHYNPSYDGILNLEEDYNADTGVPIVGLARSPHYFHSGFIDQVISGFVGIRPS AEDVLDVNPLADADEVSYFRLENVLYHGHDVSVQWDADGTRYGSSGLQVEVDGEVVAS SDTLSRLSVNITRVVPPAIDRPIAKSIQLGLDTEYPVGNVSVPGVDASDIHFVIDGRV WFYPEIQNWWDTPIGSGEEIWYEINFGEAVKVTRAEIAFAVVEEANVDIPNSYRFECL DGDEWVGLDIDGE ANIA_08433 MAVSSWDGDLTYGELDELSLRLAHHLVGLGVGPEKFVLSCFEKS TWAIVARLAVLRAGGAYISIHATNPPIYLDSVIQRTEAGVLLSDVKFAARFQHLVPHF VGITPEWLRSLPVNHAPPCDTVVPENACLVLFTSGSTGQPKGIVQVHQSYTTAIRDYV RNIGLDSTTRMLHFDDYAFDISNLELLVPLTIGGCCCVPPPVKTTDNLINAISSLRAN ITFLTPTVAIKLDPAEISSLHTICVGGEPLPKELINKYSSSSTRLINQFGMGEVAICC AYNDSIHPDRGNTIGRPASGPIYIVDSASPERLLPLGAVGELLIEGPHLSRRYLDNTA VSRTAAGFLSKTPRWMAELHPARSVSRMYRTGDLGRLNADGTLDYIGRKDTILKLDGC RVDAIEVEHQARKCLSPADAIVVDLLGAIDGTEDPQIAALLYLDGHTDSKIPAVNGEP VITNAEKDGMAREKVDTIREAIKQALPAYMVPSVFLLMSWMPRTASKKTDRKKIRMLA QKYYMAERKLRMDSPCYVQQSQVLPP ANIA_08434 MTLHSASSDGTLTRAALQRYLVLVEIDALDDRGFTPLAVAIKNG HPSVVKLLLQNGASADKPVRDGRTPLYLAANAKQNRARIVQLLLSHDPRPDIDASSPE WNNETPLMVAITQGRDPEVVRLLVEAGASLTRTNDRGETAVALADQSTNPAIRDALSL GGQRGWAAALAQLLVSAILFALAYADKWPGVKEIIQNVIRSAYNQANPALPGSLPPAG TDIDDPHTVEEFKHNITNIIQKNGLDDFFPANDPYIQSVAEKAAAFRKDQVNPLANNV PFIMKAAAAALYQPVLYIDDSGSMAEDGRMERARELVTLITEVATKFVNVNTGVHLRF INKDDSTANNLSANEVRQRMSFTPQGWTELGTNLTKKILQPMVYDAIAQGVLERPFLI LTITDGVPSKEQPGEFRKAIVRCKRELRDRGYQKEAVFFDLSQVGNDPGAIAFIESFE GDEATEDVLHRTAENTDRLFDELREAGDPSQLALWTIPCGMFLMQ ANIA_08435 MVYKYYPITGIRAGKGPNGELPLRQDIDVWSSKPENRVQVVLFL KALKRLQDVPPENRDSFFQIAGIHGMPFTSWDEPFETEANVAQKGYCTHANYLFPSWH RPYLLLYEQQIYEIMINEIIPQYPEKHRPTLRAAADTWRLPYWDWAVNPKIPWLAEDP ELQVSLFGELETLQNPLYQFRMPDGKAMEHHGVGDVQAMGEDIIYSYGKCKATSRCPK DEQNKPDSEAWIKGVVNNDEVIKYMTMHSSVDGKDYGAAAELVYRLLTYPLEYEQFST TAIFTSEPKVSADVNIEFIHNNIHWWAGGEGGHMSQIPVATFDPIFWLHHCNIDRLFA IWQDLNPDKFFTEGSRGDFFQEVIGLPDTISPETPLRPFHKDEEGNYWTSREVRDFRA LGYTYPDLHPVTPGSGGIPFDAAAYKTKLFQTVTLKYGISRLEALTQLELSKNGVGKP LPEGMREVDGGIAGNDFAISIRFSKFAFGGRPFNIEIYLEPAEGGRHFTADEYVTNIY NFSTPSTVNGQEVCSNCTDLQARDVRLTAYVPITPILNRLILEGRLSSLKKDDVEAIL KRLYWRVTMAGRPVPEEQWTALNLQVLVSMAEMSHSKDPANPSKTETEPEVLPDVGQP EPPRPVEPQPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEAEPEPEPSKEPGTPP QPETAICSPGLSLEQTYKLGKEASTGQSITVTTSAFNLSIPSRRDMNRIIFMHFDESQ GELDTDNFDALLSINIIRRLSSIQIQTKAAGESWENKEMIPFPAWLLVLLSGLQIRVD VRNSMLEIYINGFHLYSLARQFGNKGVTHVRYEARSAEDQPAFGAVLGVHST ANIA_11084 MPTYVSGCGGVPGSLEGSGSGSASGSGSGSGSGSGSGSGSGSGS GSGSGSGSGSGSG ANIA_11613 MSEHNRAPSCETREHGNAVVFRPRHELQLKLPDSSLTG ANIA_08436 MSCNDISNLGFESGLNYWYTAPSTVASVQNGDLAYAGSYYLDIA TTASNPSGTVSQDLYWLDNEQSYTLTVQFRLAETISSVNSCTVSAYLGEDSEAGAIAS DLVWTAGSWETLTGEIQPTERNTTINLAATCTFGGPGAISEGNVLFDEQYGICVQASI LLTGPKGHYDQTPMA ANIA_08437 MEITAYFCGALLLLLWYRVCARQSVDKTQVQLRQNIILVKNTPY AIPTPEAYQVHFSSATHIKQLIQAPDTHLSLHALAKDMFQPTHTMDGLAVDDCMSANG TIHQRALQAELRSHLPALTQPLSECIARTLTKEVSQASGSLAAVGDGWRALQLFPLAK RLVVSANALTFFGPEVSSNPIFLNAALEYPEHMMQTAEILRLLPSALTVLLDTLIPII ERRLYEHQSRPEQAEARVPRDCIQFFVNAVKHKKQLDKWHAQRIVQVLLGIWFASVHQ PAMCLFYALDDLCLHPEYVVPLREKISQAVQIDISTLPLLDAFLKESARLHPTDSISV RRKALRPFTFSDGTSLAKGDVACIPLQPALQNPESYANPLTFNPHRFLKDKMTSTYIR SSRSRFTDADVAFPIWGLGKHACPGRHYASLLLKLVLAHVLLRYEIKLPDRNRRSEKR SFYWRSAIVPRSGAVLYFRERGSCTE ANIA_08438 MPPYVIVSELLLIRLVCGLVGYVLWRLWRFTVHPLLQPNLPREL PYTIPFIGKDLRPSQTIQSTDSLRPCNFPATEPARFNIVYHDALEVLRDRPEVINTEH IKDLLHGLGCSKPGILEIDSHQRGNISVPDSKAALTNRPPLFKVAEGLLSKQLLDRSL GNDLLARTLEVLETQGIPQVSLMIMSRWTNDLWKLLFKVPEPFARDVYTARTRVQRCL QEFLRLPESEKSDQSWAIEAAVAEMRSRGMSEADTASYLLVVFWAINANTFKIIFWLI AHIISNPTILTAVTTEISSILSDWPSNESLSSLSIRLERNTLLEALHNEAHRLALNTS SARTMKQDVNINGRIFTSGTHAVIPYRYLAMRHPLLSQDWDAFQPERFLSNPGLGQSK SFLPFGGGKHKCMGRFLSRRLVLTFTSLFLHRFKVRPLDGVPKMGFRPVSSGPASPVD DMRLVISHKEY ANIA_08439 MALVYTSYLRLATRVASSPSANSLLIFICSLPPLLLGKHYGKHG TQPETRRNYEREDEQVACGYYQYPLWLSFLLLASTAIELPLILAFHPVTIAYPADILD LPSPGRLTLQVLGLFLMEGLCRRLIRSLVLPVSFKLRKEMDEDQDQEDGEDEHIAEDD IATNAVVDFSTARITLMVGSAILGMPRRGSLTGQLGKLHPLSVAGWAIVDGTWRNL ANIA_11079 MYTFCIGMAIGSVVNCAGLEDTDDAYCRFIAGPMVIIVSVINVV TDVYVLILPVPRLLKLQVTRRRKIGLLLVFASGLGACAAGLARLINASIHYHNTDTFW VQGRNAEFSIVEMNVAIIVACATSLPTFFTQVRSLGGSIYDSAVSLLHISRESASKRT SGSLTEESGRSSAGGSRNENARLHNLERLKGATTGHWGINGRGDSQELELLSTNSV ANIA_11081 MSPSSLSPVLITGGNGFIAYHIIAKLLAEDPNCTIHCIDINTTR NIHASDSVTYHQGDLSSLADVSRIMELARPVTIFHTASPEFSEAPESAYHDVIVTGTH HLLNSAASIGTVKALINTSTSGVINDNHTDLVNGTEDMPILRPPVQKRLYCLAKADAE EAIQAANRQHGFLTCALRPCLAFGERDTNSMGKMVAVARAGRSRFQMGYGKNAYDFVY VGNLADAHLLAAHALIDAHANPPLPADRRVEGEVFNITNGEPWLFWDFQREISKQLGF PIQEKDIVVIPKWVGLLIGFVSEWLVWLLSGGSKVANSTREGIRFSTLERTLNCEKAR RVLGYQPRVGMQEGIERGVRWFKENPEAIP ANIA_08441 MESNSVFAGLNRPGSQQWPQRRRKRAQVARACGGCRIRHIKCDN RIPCSNCTMSGNDCSNSDASTSFTLSQAHQEIAALRRKVIQLEAELESRSGDLSHQAE LTTPTSSISSTARVQTNHEYPKQLGYWGGVQFSPARSTSSRPIWLGPSSLYSYAQRLS AFLSLTLHQEHSVDQLIPISASDNKLLDRPSADPKESMARRLCPSASEHPPKSVYLTP IQEDYFISYFWQTYHVSLFPILDEAQFKRHYQSLWIAGGRERRDSPLVDIIVAMCMQY HISTLPLESQSFLVDDKSALVAGRWYYWRGQTLLTYELESPSLSTLQCHLLCAVYLCG RSFHNMLDIAVSNAVRTAYTLGLHVDPPSSMPGPEQELRRRLWWAVYVMDSKTGMKLG RPFNLNNTHAMPALPSDTFEAATMGGSGSAFNPINEDTTWLSFNLQQTKLYMTIRAAH NAFYNKDFHLQDGQTIWDDPRALQEGAGVLAQFAPSLQTWCNNVPNALRLLRQGNSMP FSTDPGSALFTLEQFAPPWLQRQRVLLELSYHHLCIHLYRPLISFKYCPCPGSLIEEL TLRCVAHAVSLTKITHQVLQETSILDGWHEAFYFQWNAAMTLIGAIMVSPFSSVACDI KSALALAVAVFENFGARMPVAANAMKIIRCLLRKVENLEHGQDLNRDQNEYENYSEET LWEDLSGLPRDQGHSSSGFWAPLTEDENGSRGRSELELLDLVGDIDFWNSIDKLWPET NLDYCFGAR ANIA_08442 MPATSKASNAAPSFPLAGASQDGWSNEERATATCFCGAVQLSFP TTRPGLINTFVCHCTDCRKITASMFATNFTISDKYLTHERGQNRLTSYSQSKTIATGK TMTNYFCSTCGSLMYRRSSRFPGLSILRTGTVDDFSLHEGRLKPRVEQFVKDRVCWVH AVDGVTQVEAGIGTATVGAASLWLSPF ANIA_08443 MAAGSCTLGSTKHCQVHNHHLSLVGQQPVISRSLRLLERPQHEL TPPSSRRAFAWSKVRYRTTARSLPEARGVCPGLGKSKKPALVVSHVQSDGDTEWLTGS DLAKKYHLCIYDVDGSDDTAASATLRTPSNRAHEAMAYLTFLIDNYDSLPSSGAVFVH GSRWAWHNDAPDYDNAYLLTQLDVDRALEPAGYHNLRCDWSVSTCSADTPPQGSLQMA FQSKIDPWDARAASDAALPGALATIFGHNDPAGTVMLSRHEALRSQCCAQFVVSQERM WSHDRDEYVALRQWLLDGADASTAGSSSRIIRGQGVAPKDDRVAGRIISYIWHILFLD VTGSSGSDAADAMDLDVLNRAACPSAQDCYCRLYGRCDLQCRSPGSCAGQYTIPPGYK VPGHGRKDPA ANIA_08444 MFKETKINALDIVELPPVAQAQVGQRYRRPLFHAAHAARWASNV YFLTRLALLLSSARQSWPMWLMLTIEWISAHGSRQTYLSAIAAGYKAQSPRARLRLEE TSAENLPSVDVFIPTCGEPLDVVLDTIRAACTLDYPTSRFRVLVLDDAGSATLKKSIE ELRLTSYPNLSYNSRLSSAKGRVFAKSANLNYALFTLQQDPAFQPQPEYCAILDADCI PTPDFLRATLPHLLLNPAAALVTTRQYYYNLPPGDPLAQSRIYFYACDNANLDAQNRA IDAGSGAVFRRKAILDVGGYPTFSFSEDWQLSLILRGKGHQVLQVEEPLQWGTVPGSL KGHVAQRDRWNIGHAQQIKLLLPSVRKGLRLAGKLGWDIGVDGVRIMSGLAGCFVVQW AAPVLLLAVALGQEIVPACEKDWLSQLQLILALVHIGSIWIYGWLQMASTGFRVTLFP HLENSWLAGLFRLKFVSDTALEHLYAIIKFYCVTSNPKEGSFVTGSTANSWNQTCTQN PSVNRAAVADTATATDLMRSVSTRRRPSRWEILATGAVPGTLLWLVITAGAIIFSIWR AFYTDNTNAPAKRTLTGLLYPPLLHLLYLTVKNSWGAVTCLLDPPMSPERREVMEATP SGMRFPKTEVRDEVVGRSEGSFESAMVPGIAAVIFGGILLKATL ANIA_11083 MCMKATCSTCHKTTWWGCGNHIPAVLDAVPESERCTCEPKVERD GKQYPPKGSN ANIA_08445 MKSILVPALSLASLAWAGKHKPLVTPEALQDLITLDDLLAGSQQ LQDFAYAYPERNRVFGGRAHDDTVNWLYRELKRTGYYHVYKQPQVHLYSNAEESLTVN GEAIEATTMTYSPSANASAELAVISGLGCSPADFASDVAGKVVLVQRGNCTFGEKSVY AAAADAAATIVYNNVEGSLSGTLGAAQSEQGPYSGIVGISLADGEALLALAEEGPVHV DLWIDSVMENRTTYNVIAQTKGGDPDNVVTLGGHSDSVEAGPGINDDGSGIISNLVIA RALTKFSTKHAVRFFFWTAEEFGLLGSDYYVSSLSPAELAKIRLYLNFDMIASPNYGL LLYDGDGSAFNLTGPAGSDAIEKLFYDYFQSIGQATVETEFDGRSDYEAFILNGIPAG GVFTGAEEIKSEEEVALWGGEAGVAYDANYHQVGDTIDNLNTEAYLLNSKATAFAVAT YANDLSTIPKREMTTAVKRANVNGHMHRRTMPKKRQTAHRHAAKGCFHSRVEQ ANIA_08446 MASHMSSKAAPIVVVGAGVFGLSTAIHLAQRGYTNIKVLDKQAY HETLYSYDNGCDAASADNTKIIRAAYGSQIEYQSLALGAISRWREWNNEIRSGKIVPP GFTTEDVLFVNNGNLTLTSSETLPEFEKQTIKNMTEAGLANTQIVLTDPSDVERATAN GFDFAINPLNRKQNFGLLDTQGGFVYADKACRFALQKAQSLGVRFVLGGTEGTLSKLL YDATSRVIGVQTIDGESHLAELTIMACGGWTPSLVPKLDGLCETTAGSVCMFQLPRNS SQWDRFAPERFPTWTYDIRSGKNGGLYGFARDSNGIVKIGYRGAKFTNPQTQPDGAAR SVPITRWTPQSTRQLPLSAAQVIRKFVQENLPDLIACPTKSRLCWYTDSFDNHFVIDF VPDSPGLMVATGGSGHGFKFLPNLGSHVVDRIEGKSSPYLSLWQWRHLKPGVAPYNKI MEGVASQQTLQNQPLTDEDRLTGTACHL ANIA_08447 MPDPIKPRPARGALQRVRTGSRTTVACLGCKDKKLRCDAQVPIC TNCRRYNTECLVEDPATKRHHPRNYLETLEQRVATLEGVIRGMHATPPSNDRTAVQDN ELSDLSSMIGTLSLNAAGAEPHYLGSSSAFAFARFVEPVIRQAITSMPPRIAVQLSDA YFGNIHTQYPFLHEPTFRQWETRLYNPTHAAILTDSGRVPLYFLNMAYAVGALLLPNS GYSPEQLYASALLYIDDILCYDNLECIQAILCSATYSLRSSKGTSHWKLAGQALRQCI NLGYHRNHRRLGLNVSPAQVEMQKRTFWSAYTMECAAAVMLGRPLSLNYNEIDAEFPL DVEYDADGSRNPRPTGLLTYANPGFSIRALQGRIQTALYADLTSASDTETRSKQIENL REVLKTWNTSIPSTPITPPAVGALSFFTTPDWYQCSYNYTLLQLYRLQITNVKVPAPF DIILECIQAAESSCRCFRRQFIGTPTTYTWSALHELFIAGLTYLYCLWISPTAREVIR PDRVSSTCTDCIMVLVAIAEQWKDAAPYRDVFEILSNNTMTIMTSWQEGNALQTATGA TAVQTTARHDTIFPSYLLDWMTGISQEAGISTGVDRLLNGFFDDFVFPELPDIV ANIA_08448 MAVRKFFQGSSLAHHVGAVRNAPREMIFNRTLLFSCILYAMSAV PLGFQEQFDFDSGNSALANRNFVSIVWVGAGVGGGASFFLNDILGRRWSFRLYAAIWI LGQVVATSSPTLSGLYVSRIISGLGIGALTVIGPMSIAEIAPSEIRGTLTAWFNVAMN MGGTAGAFCVYGVFQNMTGTSLQYRVVWFTPAIFLFLCIVASFFISESPRWLLLVGRQ EEAAQALVELRGLGLENPRVHLELQEMKIAIQEEQAMNAEGMSGILREALTIPSNLRR VQQSLVTYGLAQLSGANSVTSYFVPILTFIGIGGDSGRNIFLNCMYSFSKFCFAIIAS LFFVDALGRRKSLFVGASLQMVSDLYLGVYIKYSQEGAEIAHSASTGAIAFIFIHGFG YVVGLYILPYVFGGELWPNRIRSFGSALGQCFHWLFMFAMAYGTPSLLAQTNNWGAFI FFAAWCFVALVYVYLMVPETSGLSVEAMDKVFEGPWFNAARRVHRSPPAQGGVEVDGE SGKVAR ANIA_08449 MPPATKLDIFNEPDWTKTHSHRVGLRSRDDRFPGLTHSGDDWRF VLEEEAEEKIAELKRKVERGELLTVRDFLAKQQDFHLQRPEVHPQNWRYVLHTSENHI KEEQPWFINEKKKERREEEEKDKEREGENAERRDSKLDGQDMGRKSDEDQGSKEEQEE QKGLSPEEKELLELLRNEEAHMRSLKQNTGQGHSPAKSDFLPAEIDEIDQMTPDNWIP RTDHLIRITGKHPLNAEPVVTELFDAGLITPNWLHYVRSHGSVPHLLWENHRLEISVG ENMTLLMDDLKDQFESINIPVFVACDGNRRKELNMIKRSKGFNWGPGAVGCAYWRGVR LRDVLKRAGIKALMNEYSESRLWVNFQGAETLSEGKYETCLPLEYVMDKTHDVLLAYE MNDSPLPPDHGYPLRLVVPGYVGGRWVKWLEKIWVTDKENDSHYHIWDNRVVPEFVTD KESELAETVYRNPSTACMEQVLNSILVRPGPKEKIDLVNVKKGKKYRIQGFAYNGGGN EIQRVEISLDEGVSWLYCARRVRYLLAQGRGIADRSSTPNIRCDMGRNSGHGYTGIEI IRVRCWDVNKNAQPEHPTWNLEGMMNNCHYTVKSDIVEDEESGRISIIFRHPCEPATG EGGWMKPSAQIQAEEIQRQASTPGKQFTREEIEKHSTEDDCWIVINGNVYDATGVMSW HPGGKAPIMAHAGRVHQDTTNEFESIHDDFANSKLKECILGTVTKKAKDFMQQEVKVK AKERASSSKQEGQIALKRHKWTQARFVRKTPLSGDTNRYTFELLERTKKLGLQTGQHI QIGFHFKDQLVFRSYTPVKPIMEEEEDGTFDLIVKTYYPDPGQPGGTMSNILDCLAEG EEVEIKGPAGEIVYKGNGTFKIDHKERTFERITLVLGGSGVTPGYQVIAKILLSDGRD KTKIRVIDGNRTENDILLRKELQDFAKEHPEQFQIVHVLSHAGDDWKGERGHVSAEIL HKFGFEPDEKSVALLCGPPAMIQKAVLPALVDWGYDQDSNLFGF ANIA_08450 MKQSGRDEGWLRAWCTKLDVPVDDFKPLLPIISTYMVSTQKEDE AQADLLLAQASEVRRNGEFKTTTTVSKIKKDKWTYEDYCCVLSDLVNSRGSVGVAESV WESFRLQKPKKARTGRFARRQQAGNEETDDLLFLLLCSTMRYKQTDMFHFLAHSASQD VVNRMLPTAIQMRSQRYTQILLEENADPNTCAEEFITLVRAGDEEFVRILLQAQTPID HDTLTSALPDAVQRGNSSIVHLLLAHGADANAHNASAVEKAIRAKRTDLALLMFMCPN HPLPETLAPIVAYVFFKMEILEDKKYGLIELLLNGGAAGECVSLALGGAVRQRWMEMV ELFVDKGVSINDYNAGSYRHAVHEVDLEVVRILNSGKLSDDLATDLFGEIYKTKYGSS IPNEKWRALAELLLNQGATGQVVDEALIERVRARDLASVKLLLREGASVDYDNAAALD DAVASHNEEFIDALLQYQPAAESVNSVFWRVEDLPHSVQVRIARKLLDAGANGEQVDR VLKVAIAPLAGERNRELIKVLVDGGADVNQRNGELLHLAAQSADIETLQILLLGFPSP TILSTCVPLAMKHCEAQRYKIIHMLLHAGARGDEISQALVDSIDGTTSGLDLALLLLT TGEANTGFENGRSFKKAIESSNIGFLELVAQYNHLRDSDFCSCLLAAIDLHQRDRTRL EKIRILLLSGPDLSGNTGTAALRHEMEGLKRRSESTLGVLHMILEAGADVNYQQGRIF VDAIALDMFDCFKLFLGVHPSFQSLELAFDKALLYATPTNDRAADLRYLQELLATGVP QATLDKALLHSTDKQSEQLVLLLLRYGASVNYQDGAAVRKAIQKLDVELLAHLLRHEP TVETLNSGFGLCMAVHDIETKYQSYELLLKAGPISQRLRDEALVLTADAGWMQASICE LLLKYKASPDYATGTPICRAIKSSNYKVDLTRIILLYNVSEDTVAAALTCAFDALHAE ARITTMELLLTAHKPQQTLDQLLLRAVRAPYCDGKLVQSLLKAGASVFYQGGECILHS VMNNDVDTLRILEPYLHGHSGMTEIFMTVWGNGKRANSQQEKDVLSILLAAGASGEPV SMALLESVRTVSSTEVGFSFIVNLLRAGADVNYDEGASLVEASMQGNTRLLKEVLAYG PQRVYMTRAFPLVFQSGVDGQGLREIAVAFCSHKSAPDLTYEHPTYGPILWQMFKKYP NEDELLQYLIDEGCTVDPIVKATLPLSPAEEDVTLLCWALSKQTSSLKEEVIEVLVAG GANVNYQSLISQSTPLQLAILSARKNTITALLRFGADPSLESNGISPLSLAASIGDAK IVRQLVKAGAAPGDGALHEAARMVNVSVLQVLLIEGKQRDYPCSRFQGRTALAELCLR AANKPVSQIKAAVALLKNNGDVKRKSRGKSVLHFALDNPNAILVTQAVLDVFMSDYIN DDFNLFEDGRYRYSPLVYVSRGLNKAPAEQRAGLVDLLLEFSCLERFWAVEGDQPADM VGAPEEIMRAIRSQRQRQLTIQEEQEDHQRRLLQRRAEQDQELAALRARHNLTLENNR ELATDTARIEHETASRQATIASQRYDAELAYMRQVTELTNKRKDDANSREIEYRRNLA IMEKAQREEAYNYEKVRREEEASFIQRREKLLTSGYEDRAKIDRERHAAQMGLFEQQK NILEMNSRHQGVVQQQRQIGPQQIYTNNQPASKLHVSPSTLTSLRTNYFCPAHSFLQQ KMSSLRAHQKKNPTPTQCQNQTTLDSICKDIQTGKITRIVALVGAGLSTSSGLADFRT PDTGLYAKLEPLQLPYPEALFHISYFKHTPEPFYAIARGRHPWNTKPGVGHAFLALLE KKGVLGFVFTQNIDGLELDAGVSRERVMNLHGDWSDQHCIKCRSSYPADRMRKAILTG EVPFCVQANCEGIVKPAIVMFGESLPEGFDSREEEMLSTADLLLVIGTSLKVAPCSEI PRRLPSHVPRVLVNRELVGNIGTRESDVCLLGDCDAWLREVARHLGWDEELESVWKDT LVRKEKSSRDKGWDDKAEQSPTLEECIVRAAEQMKVRMGVSEGHRRMLEGHLGEKMAE IMAKRGQ ANIA_08451 MPTTSVREGGPTLKGPSDFPDSPLRVASRQPLMLGVFLDLQDRK LSSFPTSNSWTFDYNLEIVRKAEEAGFDIAFSRMQWLPKGGYDGEASLDAFIALGAMA AATSKILLISTIHVLYGPLHPLHIAKFGATLDHISKGRWGINIVTGHRAVEHQMFGWN RIEHDKRYEMAGELFDVVNSLWGETENLTYEGKVSPWKLENAWVTPKPLYGRPVLVNA TGSPAGVDFAVRYSDLVFITSPAGADIQSALDSLPDHIAGIKNAAGNAHREIKVLINP VIVSRNTAEDTQAYLQSIVDGMGVDEKERRPFTKYDSDAHAWRGQNDFRKQGYNLGGN VEVIGTPEEVVEQLKALHSVGIDGVQLGFYDWKVDFDHFLEHILPLLKEGGLRIG ANIA_08452 MTHHFRNGRHGHGEIQETLNNKAQGVFRYVECQLTALKRAKNGN QLDKCQFSLPSDLDETYERILYNIHSDHAEDVRRILTILCLAKRPLTLEELIDAHAVD LEDHHASIAKVDHTTLMKTGHDVGTEQHTASSMLILMFAVG ANIA_11614 MAMHFRLLLIKAMRKWYRYCWIKAQRSLLKVERLRSGTEPPIMA PI ANIA_08453 MFIKNGLLLSLATSVLATHGARSHSQAATSKLSKRFTFPIPNSE GSVTLDEVYEIDGETFDGGMKTYGRGVSCTGQDEGGDSDAVFIVKNGGTLKNVIIGSD QIEGVHCEGSCTIENVWWEAVCEDALSLKTGDGPFNVVGGGAQGADDKVIQHNGGGTV SISDFTVYDFGKLYRSCGNCGDQYERHVVIEGVTAVDGKYLVGINSNYGDTATIDSAT CATDVKTICAEYKGTDNNDEEPEEVSDGPSDYCIYTEPLSEC ANIA_08454 MATLHPFDPITPGEITLATKILQAAFPGVKLRYKKIDLQEPIKA EVVPYIEAERLGKPLPSKPTRLLQVLFHRMDTGAFFKGLLNADSKSVVYAKELPREIQ GPVDTDELIEIEQLCLSHPAVKKEIAKLKLPPGVTVCNDPWIYGCDDPNETRRLFQCY MYIVSTDHPQNNHYSVPCKFSPVFDGLTRELVRMDYLPATTDASTDSETKPWKPVETI QYAHDLLTEQLRRDLKPYIVTQPQGPSFTVAGNEVSWQKWRFRVGFNSREGLVIHNIT YDSRNVFYRLSMSEMTVPYGDPRAPFHRKQAFDVGDVGFGITANQLSLGCDCLGHIKY FDGYRCDSRGEPVHLENVICLHEQDAGLQHKHTNYRSGAATAVRNRQLVVQMICTVSN YEYIFAYIFDQAANIELEVRATGILSTVPFDNEKFGTTVPWGTNVGPGVMAPFHQHMF SFRIDPAIDGHKNTVIYQDSVPMAEDSNNPYLVGYTTNETVVKTSSSAETSVEKHRVF KIRNDSQINPITYKPVSYKLMAAPSQMLLANPKSFGHARAVFATKPIWVTKYRDGELF AGGEFTNQSKKSEGVEGWVARNENVEDEDLVLWHTFGLTHNPRIEDFPVMPVERVSVM LKPDGFFTKNPALDVPQSSQAFNQSTLHPEAKACCSTGGVKL ANIA_08455 MSDQYSLSHLLEQQHLADCTKYERQWRPSDDNSPPPNEPVGPGT MRDVREEQFYLGGKNTVQPWDDSFPSPLMPAIYDPYPDYNSGVWSDTWKGTFRTCEGP RGGTLDRQNVEDMVLTYPGVQGGFPYPKYGSYEALGLDGYTCTTRASRLAAYGYSESG LNSTADPIVWDNVNWGTLQSECLQRNINRYHEPKRTPRLSILPLNPIRTEAPANDDEG ISSPATKKRTAVVLRAWHDIVWTENLKEHVRSLIMELSLHSGAEYEVFLLTHVKDNDL LLCGVDEAKIRALKKKFIPREFWDITVLFDERTLQSWYPKVDEHRPVYQYAQPLQIFS TVFPDFHYYWQLEMDARFTGHTYHFLERASEFAKQQSRKYLWERNAYFYIPGAHGTWE EFMHTVDKSLLKRESNTVWGPAPPHSWIQPVGPEPPISSPQDDDYEWGVGEEADLITF LPIFDPSDTTWVFWNLLWNLPIETTTRRTSPVMIGRFSHRLLQVMHNAQIEQGVALVS EMMPSSFALWHGLKAVHAPHPIYADGKWMPKELNNIVNKVAGGPEKINGGKDSFWNWD HKLDHIVYRMSYMFTGQPAEDFYRRWLGYKPNPAQYTDGSRHQDPQGRNWFDGGHLNT ELDKGPDMAVPV ANIA_08456 MHHLSTENILLKARCEGLEEALVNERKRRKRGKPLIFQLQAPEA GNAVFYSPRRIQQARDLQKEKDEAIQQAKAAKKEAKLYRQQEKEEKQRNTEKRRRIKA LERQIRLQEAEKKKLEKEQQKEEARIAKEAVIQLQNNIRTARQSKSKLPATPKAPEKQ KPSCPAPEAIPGTSTTINRRGRQIRLPRRFRNS ANIA_08457 MRLKMRPSSRDEFAIAIICALTLEAEAVEDLFDEIYDRLGEHYR KEPGDDNAYVNGRIGYHNVVVCYMPGMGKGSAASVASSLKISYKRIEVALVVGICGGA PYPSSGGEVFLGDVIISDSVVQYDFGRQYPGGFEKKLGVRDTLGRPNRAIRSILASLQ ARRSCKDLQDKLLQHLQAIQKSPSDWQFPSIDDILFEASYQHKHYGFTSPACPCLDSM SKDTCKTAVESPCTSLGCDMDRSEGVVGFEMEGAGVWDNISCIIIKGVCDYADSHKNK AWQVYAAATGAAAAKAFLEYWEPTAREDSNKFRIPLDLSAVPAIEEFIGREEELNCLW DYLQPASSQRRKVAVLHGLGGIGKTQLAIHFARKHKNKFTAIFWLNSKDQSALVSSLS SCLSQIQGQLIEDQAVNEEEAVQRANQVLQWLARPGNTRWLIIFDNIDQYSPAQGHGH CGYDIYEFFPKADHGSIMITSRLQGLTELGKSFPVRRLMHKDATQLLFQSSGFSAKDI TQMGAEQDLINLASLLDGLSLAIVIAGAFMRQTGTTFKEYLELYQTSWFDLQSQSAPT RQYQQGNIVQTWIITYKEIQKRDPTAAKLLLLLAFFDNQDIWYELTQNGLDYSNPPPW FKAAVSSKLVFRTKIKALVEFSLVEIKQQEGSYTLHPVVQDWCYHIAASNDLTNQLQE LAFISVGYTVPSRDTRDYARLEQRLLPHANNLIQRNIGYWLDIQPEDRINIFGAFHGL GNLYLHQGKLKEAEGMYQRALAGKEKALGPDHTSALDTVNNLGLLYSDQGRLKEAEEM YQRALAGYKKALGPDHTSTLNTVNNLGNLYSDQGKLREAEEMYQQALAGYEKALGPAH TSTLDTVNNLGNLYSDQGRLKEAEEMYQQALAGKEKALGLDHTSTLDTVGNLGLLYRD QGKLREAEEMFQRALTGKEKALGPDHTSTLNTVNNLGNLYSDQGRLKEAEEMYQRALA GKEKALGLDHTSTLNTVNNLGLLYRDQGKLKEAEEMFQRALTGKEKALGPDHTSTLDT VGNLGLLYRDQGKLREAEEMFQRALAGYEKALGPNHSKTRIVSNNLVSLASLHAGQDS PRHIHVAPNPFSGSTHTGAEHPWRPLKERPRKRDILYSILRKS ANIA_08458 MRSVAFAVVASLAALTSASPSARARDSRMQAEKRQIDSVTIAFH GTSDNTWDQTFPTDLTTHDIVQDNPAVVTRISNPGGAICVFSGTEGGSWTVHIGETEL EDPQPLKSGFCSHL ANIA_08459 MSMLDSKSSVEEEVSTEKTPPVADVDDDYPHGARLAIIVLSLML GMFLVALDNTILGTAIPKITDEFHDLNKVSWYGSAYFMTFGGFQSTWGKVYKYFPVKI WFIIAMFVFEVGSLVCAVAQNPTTLIVGRAIAGVGGAGVAVGVFTMLAFAGPPEKRPV LLGFTGATYGIAAVMGPLIGGAFTDKVTWRWYFYINLPIGGLAAAVVLLFFKAPASAV PAKATLMEKMIQMDFAGAGLMMALIISYILALQYGGQTHSWKSSEVIGLLVGVVLISV AFVFWERYQQERAMIVPRLFLKRYVWVGSIYMFFFAGAYFITLYYLPIYFQSVFNSSP IGSGVRMLALIIPLTIAAIVQGMALVKIGIVPLFWIIGGILGTVGAGLFYTMDVDTST GQWIGFQIIVGFTVGWTFQVALSNGQVHASPEDMSQVTAIINFSVTVGGAIFLSAAQC VFNNQLLKELAATLPEIDPAIALATGATQIREAFEPNQIPLVISAYMVGLKAVFAIII AAFGIATLIGGSLGSWKRLHKDSLAKAAGGAA ANIA_08460 MNGLSDEPTAKFRKVRKGTHSCQECRRRKVKCIFASTNDSTCIV CRRRGTRCVSQGELLRDEVDERQTPGMPPTPVSAHSVSVAEPSLHGKTAKALLDTLPC QKDIQILLGRVSRYSTLSYRSNFKSLSPEELLKEQIQVPTLLHPETHPVLLGRQMLLF AAALQHISPNAEIPGLNEGHRLIMERLAESAIRMVTSNDELLGTLEGLENIILESFYH IDSGNIRRAWITLRRAVMAAHLMGLHQPGHYRFKLIDNRNSLDPAVMWVCVVSMERFL SLLLGLPTSTADAKTSTQCATNGDLSALVMHLTSRILHRNQLNSTLQAARDMTKEIDQ ELIQMTEKLPPSFWRPLALAGLEVESVEAFWESRRAMDHMCYYMLVNQLHLPYILCQS HNPYVLYSRLACLSASREILARQIAVRTFNPVTAYSRIGDFLALTAGMTLMLVHIVSH GHKEIGNSSLLIHERLSDRAAVEQALDCMKSMLELQEDVLAAKCAVLLRELLVIEAVT AQGRHSSREHTIVRLAELSIQVPYLGAVRVSSQGITITPHSKLGHHSVPSEGASVTLG GLGSIHVQSPTNPANAAPQVGNPSLDTMSDNTALQLPVQMQDQMFPDAAAGVDNWVFQ GFDTAYFDTLFRGLGEQLDSATT ANIA_08461 MQWKSLVFTLATAGSALARSHGSHKHRHGVRNEAAQEVGGLYDS EPVTVTETAWECCTTSTLSSITPTQPATFVEIPSETPSSTEVPTSTEAPAEPSTSTQA STENPAPEPETTSSSVAPTSTEAPTSTSADHSAWTNFPSNGEFCFDGFGGRTKQSITG NKFTYSGNVGSPWGSNIQEVSAEKAAEYKYVVQFHGSKTDRWTVVVWNKIGPDMKMTG WYGNSAVSFTLEPEEIRYVAFDENSQGSWGAAKGDSLPTDEYGGYSCTWGEFDLGSEV NQGWSGWDVSAIQAQAAGQHIQGMKMCSYDDTNCSIITTGAKKVQDAYLEKDKWVDGI GGKVRPGPVRIVTYLDYDE ANIA_08462 MNTRAMTVPTAPRESPLLALPTELLVQIFQSAPSFRAVTNLAAS SKQLYDIWRQYLTPIYNEVSRSCIPNKSALLSMLADLGKISLDTHPLTLDHIACIVRE SRRSDALIKGYTLMHPHLLNDPQTPASLSPPEERRLVRGMYQLIGLLSLDKRTRDKRV KEMDLKTLFLLSDFTCVIDPYFITMDSDFNGDPDLRAILEEDSVSIRLLQRDLRARRN KVFEQMYGRRYRPVACTPFESGGRHAWWCDCQQKTFKDMLTGRVFGEKGAAGVPKVRD DLWYDSAEE ANIA_08463 MSRVWHISGANTGLGLELTLKALSEGDRVIAAVRTPSKVPDSLK RNDVEIHQFDLSWPQEAMDMYAKKAFAAFGKIDVLVNSAGYSYMGAIEESEDAAVKTQ FDINVFAQLRLICAALPHLRGQGSGTIINFSSIGGLISGPSNGIYCATKFALEGLTQA LAAEIAPFGLKALVVEPGYFRTSFLSSVTSGTNIAPALDVYEGTAAHEARKAFFDYDG NQRGDPKEGVKRIWEYVADEGLLKGKEKLLRLPLGSDTGSVLTAVAKELEKTAEAYEE VWRSTDFTEGK ANIA_08464 MLSFKTVFSAIFLAVGGLGFLFGYDSGIITSTISLPTFIDYFSN PSSTVTGGIVSSFQGGAILGTMVNMAGADWAGRKMTVLAGAIVSVLGCALQAGAVNMT MLIIGRFIAGVAVGMLTSTIPMYAAELSEPKWRATLSGLLQWMLSWGFLVAQWLGYGW RFPLAFQNVPGLILIAGIWFLDESPRWLMEKDRHEEAKAVLTRIRKGASADTVELEFR EIRDVIAADRAVGNTSWRTILTKPSWRRRLILGCGVQAFGPLSGINTSLMIIGISGAL SIVYCSIGLYLVDRVGRVKPLFVSALFLGAALLVNAVQAQYMDQNNENQLRSMVAMNF VFSLFYTPVGIISWVYPAEIFPVEVRALGNALTTFTNWTVNLVFAQFTPSALDSVGFK YFYLFFVLNLIAATCYYFFFPETKGRTLEQIDELFGDQLVPHALEDPDAAYAAMEKEH ATHMEERGARA ANIA_08465 MEVTRCGIHGFHETLGIDTDEIRFFWVLEGGCSRSEQTAYRIAV SSEKKGLEEQPDAWDSGRVESDAQRNIICKPESGFKSTTTYYWQVTVWDQEGKESKSA VNDFYTSYPRSSRLLPPYSMNQTYMPHTNLIFRTWFENEADRWKAVWIGNGGDKPIYL RKSIQLSSAKRVEKVVIFASGLGHFNLFVNGKPASTHVLDPGWTNYHRTVQFVGYNVT PQWSEKENVIGAHVGNGFYAGDQGDRFFWPMYEDNTYVRYGNELCFFAEIHVHYDDGS HETIISDPSWKVRKSATTLANIYASEDHDRRGYPFGWDTPGYDDSTWASAKPLTGPRG KLRYQSQPPVILHNLFTPVKHKQLRPGVTMFDLGQNSSIMPRVEVSGPAGSEIIIRYS ETVDDVGSVFMPDPLFKEFEYGVYSKLILSGTGVEIWTPDFSFTSARYIQIEGASLDE TDNLPTIHSVSARHISSAARQLGYVKTDRKDVNDLINACYWTFSSNIFSYHTDCPQIE KFGWLEVTSLLAPATQYVRDMEAVYSKILDDIIDTQESNGLVPTMAPEIRYMCGPLHD TITWGCAIAFLPELIKRYYGSTEVFGKIYQPCIRYMEYMKTKERQGGLIEHGLGDWGR DIAFGNHQANIETAVYYRCLRNVALMAKELGQADDEAKFTAWAERIYAVYNKHLLIND KASRPYAFYTSLDNPGTYDCTMVAQAVALQFGLVPVEHRAEVIKAFLSACEASGNRIE AGEIGLKYLWNTLAEPDVDRPDIVLAMARQEEHPSYMRFLRRGETTLLEFWQDACRSK CHDMLGTIYEWFYEAVLGVKPVGDAYKTWTLRPPFVSEFGLVEGEVDCPYGLIKVRFE RGESGKVRLDVRIPTSTNCRLVLPVGARVVAGLPTKVRVSSKSEIHLPQGEHQLVVSL ANIA_08466 MAISLRLNLLPTLLLALQSSAIRLDAREPPADCSTNRTYTAVSG DTCAKIASAHSPGALCMDIAAANSLSIQELLEWNTYINPECTNLQAGDEVCVALPTPS PTTTFVASPTTLQKRGYATSIVEPPGPVPHGTTKNCGLYYGVKPGDFCDSIADRFSID YQLFRDINPAIDADCTNLVPGLYYCVSPTRDWDQTTTTISTSTYASAPAPTTSGTTSN CYEWYVVHSGDTCNQIASIYGISVQELRLWNPSLRQDCSNLRPGHAYCVHGELPSVPT PHSTPAQPTPDFS ANIA_08467 MGSPDAKKPFFGLRGGWLTFWLTVACATDMTLFGYDQGVFSGVT VTQDFLELHDLVGPSRTQVLSTVTAIYDIGCFFGAIAAFTCGERLGRKKAILLGTTIM AIGTIIKASSYSLAQMFVGRIVLGIGNGINTTTAPVWQTETAHHKWRGKLVIFELVMN IAGFSLVNWINYGLSFRGGAVAWRFPIAFQFVFIVILFATVPWLPESPRWLMEQGREK EAVEILAAIEDKPVTDSYILTQRNEIRFSVQYERENAPTWTELFLRKKGTNDTKSLRR LLLGAGTQFMQQFEGINIMSYYMPLILINAVGLSESMARLLSACNSVSYLLFTCLAVP LVERWGRRGLMLFSTAGQGFSFLVITILLRYAETSPDKEKIASASIAFFFLYFISFGM GMLGVPWLYPTEINSLPMRNKGAAVATATNWMTNFVVVEITPIGIQNIGWRFWIVWTI FNAGFLPVIYFLYPETANRTLEDLDSYYRTNPSLVVTGDPDATCVKRPLKYIQHEDEE LQKNAKGISMEVEEVIKSEPQTYS ANIA_08468 MDPLSCAASVTAVIQLAGALADVCGEYIKKVTNAQKEINYLNEE ITRLMRILESLNGMLQGPDREKLAALHKISDDVGTCKVVLENLGKKVNPENTQNSFRW QGFQDWKWPLQSQEIDDAIGQLKRYTSLFVAALQIDHVGFTDPVEQNFDLHDLKIVEE AIHDSFENKNEECFPETRTELLRQVGNWAESSHGKCIYWLTGEAGTGKSTISRTVARQ LKERKLFAASFYFRRNEEGRNNMKSLFLAFAQQLANAVPDLGLEIERTVKDDPYISGK APAEQFRKLILQPLMTMDLEPVITLVAVIDALDECRSDAENDDHDIRVLLRLIPQVQE SESVRLRFFMTSRPELPVRLGFKAVENSLQNMDLHSIPSSETTRDVSIFLEHSLTQIR GTHGLPADWPGEEAINDLLARTLPLFISAATMCRFISSTYDPQDRLQKVLNDKTSYVS EMARTYLPVLNQVLAGQNEWEAARLNQSFKDIVGPLIALATPLPVNALSQLLALKSSI TSNHVKSLLNCLHSVLIIPDNPELPVRPRHLSFRDFLFDSTTKDNKQSEKFWIDEKAI HQKLSDQCLRVMESKLKKNICMLSDDTLQRSEIDSNSVNEHLPVELQYACRYWTQHMM QSWNPAGAVEKACSFLKKYFLHWMEVMSILGVMPEVIRAICRLQSGIQDDKDSELSKF LYDARRFVLNNRHLAETAPLQLYSSGLMFSPEGSITRRIFNYNLSGWSQLPKVEQTWS AEQQTLENHLGPVESVVFSPDGKQLVSGSYDDTVKIWDPATGELLQTLDGHSGTVESL AFSPDGKLLASGSYDNTIDLWDSATGELLQTFEGHPHSIWSVAFAPDGKELASASDDS TIKIWDLATGELQQTLDSHSQSVRSVAFSPDGKLLASSSLDSTIKVWNPATGELQQSL EGRSGWVKSVAFSPDGKKLASGSEKNTVKLWNPATGELLQTLEGHSQSVRSVAFSPDG KQLASSSSDTTIKLWNSTTGELQQTFKGHDLWIRAVAFSPDGKHLVSGSDDNTIKLWD LATSELQQSLEDHSRSVHAVAFSPDDKQLASSSLDSTIKLWDSATGELQRTLEGHSQG VRSVTFSPDGKLLASNSYDGTIKLWNPLTGELQQTLTGRSDWVDSVAFSPDGKQLASG YYDSTIKLWDSATGELLQTLEGHSDRIQSVVFSPDGKLLASGSYDQTAKLWDPATGEL LQIFEGHSKWVESVAFSPDGKLLASSSYGETIKLWDPVTGELLQTLNDPDESAGSVAF SPDGNRLASVDIFDTKIWDPATGELLQALKGHSKWVWSRTGAGISIFLDQWLCLQEKR ILWLPQSYQPFCISVNDGVLVLGHSSGRVSFIQGPRFLIESEET ANIA_08469 MTCHQSGIRSRTKGGARSRKGCPECRSRKIKCDEQTPQCGQCLK TGRVCRIVDSLFKPHSYTFLAQTSPEPKSRDARAVRAEDKDVDEGLTRKTNPLNGDHQ INIKYAVAPKAVDSKPSEARTHPLYQDDRALTAETMTIPPLPKRVQTERVSLASMSKR PEDATTQAGETYHDRCETAFFLRHFCEGPGQWMDLCTDQSYFSQNVVAISDKSPLVRY AACALAAKQLGQTRHPESHIRQTNVQRSMMKALIDSKLGFTWYGAKYYEKAIQLLAQQ LSSRDRGTYSRLPGHVYGVGTTNGQPACGNDEEMYAETISLTVDLVVTQRKTRVDPDD IVLWCKMGLPVDAYGNLLPETRHDGLFETILFKALIRLMCRLVNLDFGNATKWTLISE EYDRWQGAVPSSFYIPITWTPNGPNPGDSIDPCSRGNISRETWFANDICAMTVAFYHM SRIILLANRPNSIAVRPHGIENGLIATCNALQQELRKHALEIMAIVQAMPSERVQKYM LQPLYVAGRHLTDPQERSDILQMLRNMGDDFGLFTDYRIRDLCDEWGMECNGIDRRDG YGILT ANIA_08470 MVFKVIVVGGGIAGLAAAIALRQHDREVTVLEQSPISEEIGATI SLQPNASKIVEGQWGLAAKLKERGSMVDEAFKVYNLDGELQLHIPLQTKGKYGAERVM YHRADLHEALRERATSHAFPGKAATVRTSSKVVNCNCEEGTVALASGEVISADLIVGA DGIKSVVRESVLGKKVIARPTGLSAYRMMISAESLQREADFAKVIDPRRGCTTMVIGR DRRLIMGPARCGTIYGIVALVPDENMNEDPTSTSWTTKGDHDKMMQSFADFPDWAQKP LLLAKSSSLGLWQLRDLDPLHTWYRGRAILIGDAAHAMLPTQGQGASQAIEDAEALGA FFEEFEKSASANAMDAIARVNEAIFRCRVYGL ANIA_08471 MSTTTAEVSPVVTVTGTRPKARMALDGSPTNYGDFRDALNRDGY AVIKSAIPLDRATKYADEFYNYLEGFGLGYRRDDPSTVKPSTLPVINEKGMILAYGVT HEKWVWDIRGEPSVVDTFAKVYGDDDLIVSFDVVNVQFSNRKEMPENKNDLLTRHSKP WPHQDQDPAKPGFRCLQGLVNLNPCGPDDGGLIVCPGGHKISEQFHSEMANEPRIPAW TPEWFGFTDNGIKWLADHGLKWVKVCAEPGDLIVWDSRVPHYNLPPSGKNDRLAVYTC YMPVADASQEDLVRKKKAFEARLGTTHWPNAVHVGTNVAMRNGKPCPKSRERPVQEPI LNEMTFKLTGIPYIKQEA ANIA_08472 MRFQSSLLALASLLPQGLAATLPIPRQNQPGQYAGYLLSTFTDA NPSVFWYLSSAEDPLAFKPLNGGNPVLQATVGTRAVRDIFLTASEERNEYFIIATDLD INADGFSWDEATRRGSRGLTIWRSDNLVDWDDATLEICRIESPEAGMAWAPSVVYNAT ESEYYLFWASRLYAEDDADHTDTASLDRIRYATTPDFSTGSFSEPADYVALDAENIPL IDQEFLYLGNEGHYARFLKDENVLHVYQETTTGGLFGEWTRTQPEGEYIRTSVYEGPA AFPDVNVEGRYYLLMDNYEEYVPFVTENVLSGEWEELSFDETGLPRGLKHGNVFLLTE SEYQAVVERYGV ANIA_08473 MDRPPFAKRPRLSMACNICRQRKVKCDAEYPKCQNCRVRNQECI TTDPQRPGCPGFREWLQVPEKEKTQIEPPRRNQTANVGEYAEGEAEMDTARIFEYHNA SMAAEDDGNEASPVRQPFETSVNTEQGTNRTKILGGSSSQCLAKSLDVYFKAARMEPV SGFFRHGMRRAEELNIPLALTLPELPDAERRKEYCSVFRLRIYPLYPIFNFTAFSASL EQLAAVEDLSTISRDDIPVLVSAYLLMSIGVDERAQYPTEEGERYLHAAAGLLAHVIV VPYLPTVQALLLFTVAYRGRNQEGLAWQTLGIAIRIAYTLGLHRSQKQTTDEVHTRIW ATCCSLEKVMHLASGWPTLIPDDLMADPDSLRLNHRFLQWHLALAHYQGSISRHLYSH RPTDRAGAEGTTKRTVRQILLDTARLDNCLQSWANKIPSDQRPGSDLLTSSADFHILA FLSIEYHGSMIALHRAALIAPRSKIEEEVKRHCSGEDFSHRLTHGESICANSARIIAR LSIELQDYGADSALIPAGTAGLACIALAIWLMKHPSSRLRETDLALLKGCVVYCSMRF KQCGFDQRYTEGLGLIYEQVRGKLDGFTAATGPGNATTTKSRAEYHSHVNGKPDAPGF ASGHLPTPLTSSHGPATPTPHGQTHQYRQVCGVTSTISQRTSSGSSASITHLPVHEQQ NGNGGFPDIPEPNMGDQSSGFTESFPFEGFNVEELWNWMLYFDSPPRTDML ANIA_08474 MTEVDKADITYVEDIEDGRVSATDGSGKKKVMGTVKLTDGKVVY IPTPTADPQGITLLSHALRPGVLTKHIVDPLNMAIWQKWIVLVVISIFSTLGLALVSG FGGLLGFYIPGYEAVGKGYDDITHLMTYPTLFMGIGNLIGMPLAIGIGRRIVLLASTI VLVIGAILCAAQENYEWHLWSRCAVGLAAGQSEALVPMITQEIFFLHERSKALMGQQA IQVSLTAVWVLFAGEIANAITPQWWYGLGAALAGAQLVLAFFFLPETKYERSIASFQE GNSDEDGDAKVGVEVVCTERPPLDFERYAARTWKSDMRLWVGKPDWGLVWDVLRQTFE LLFFPNVFWALCLNGLTIGTNIAIGTTYANILHASPYNWPNKSASYVNCGQIVVALLA LPLLGHGSDWIAKHMARKNNGLHEPETRIVSLIIPIVIGTFTAVLYGQAGQYPEKYHW FVYPARAGPLLVIICAFRGIISFGTSYGTATFVETHGYDGAFGTFAGLTAALGLCGVP IYLWGKRIRAFTGRFARGFVVS ANIA_08475 MSTTTTIQEAAEATNESFTVEDLVDFSGRQYGDWRDEFHKNGCV VLKNVISPERAKYYCDKQIEWLKKFELGFDEHDESTWTAEHLPAVSKRANMEERSMYF AYGSTHEKMAWEARTEPAVIEIFEKLWETKELLCSFDGMNISLPRRKDLNWSPWPHCD QNPNRKGMQAVQGLLNFAPNGPKDGGLMLMKGSAKLFDEFFAQKREAYDHEDAPPPEL KYMDLFLFHQKDLKWFQDRECELVKINMEPGDFVLWDSRTMHYACLPEGDQIRHVQYI CMTPRRFATEKALELKKICFENYMGTTHWPHCNIRPAVEKPMRNGEVCPKYRTEPFER PELTNTVLKLAGVKPY ANIA_08476 MPPPVQAAYKRIKPPQAGENGYVPPQPGKTEILDAGWNGFNAKP LTSPILIEHDVELVVRDGARLYADIYRPPSSNDNVKVPAILSWSFYGKKYSALDMLPM CVWNCCVPRSDLSGLEKFEGLDPATWCPRGYAIVSVDTRGAGHSDGLIGVMGAQDAED GYDVVEALAKMPWCNGAIGMAGNSALAISQWFIAAQQPPSLKAIAPWEGSADIYREQF CRGGWFSMSNFDLITNEIVRGPPSSGIEDFEEMYRRSNVSSPFWEDKRADLTRVQCPA YIRGSDVSSIHTMGSIRGWLEVPHDKKWIQWGSRQEWYELYSCPESMDELGRFFDRYL KGIENGWEQATPRVRWSALQFGDREAIDNIVLEDFPMPKTQYRELFLSKNKLNESPGS DYEIVSYNSESSSDFAEFSFTFMQDSRLIGLPKAILYMSCEDRDDFTVFVIIRKKDKN GKPLMHLNFPFHATPVASIEEIPPKEQASLNLHPGSVGILRASHRAFDPEKSIHPQFP FHPHTRQEKVKPGEIVKLEIGIWAMGVDFEAGETVSIRVSGQYPSIAEYKTWSRPRPE HELNRGKHFIHCGGECPSSVILPFI ANIA_08477 MRAYTNPILPGWHSDPSCVYVAEQETFFCATSTFIAFPGLPLYA SKDLVHWTLASNIFNRPSQIPSLAVTEDQQGGIYAPTLRYRDGKFYLIVSFLGPNVEG LVFTSDNPYDDDSWSKPVVFPVHGIDPDIFWEEDGQVYVTSADNNQIQHYTLDLATGE IGPVTYLWNGTGGAYPEGPHLFKKADGYYYLLIAEGGTETNHSATMARSRNRTGPWEP CPANPILSNRNTTRYFQTVGHADLFQDGQGHWWGVALSTRSGPEWVNYPMGRETVLTS GTWEDGEWPVLEPVNGIMKAPLPAKQELKNPINRPEHLTFAPGTEIPKHLMYWRFPEE SSYVVSPAGHPHTLRLTPSAYGPSYNPASVTDPITFIARRQTDTLFSFSVDLTFSPSS PGVEAGISLFLTQEQHVDVSIVDIARSSSSPARVLQLKTYGRGNYNGSLSNATVEIPK QWVDRTVTLSLEAVDDTTYEFSASLASGPKERVYVGSADARILSGDTGRFTGTLVGVY ASRAGHPGGNRTDFAYFSNWKYEGLGQKVDYDVVVPSY ANIA_08478 MSIHVVSKTNNHQHATIDLPPSDSSPLKQSSVRIRPSLLSLTSN NLTYALLGNFLRWWDAYPVPQSAPAPYNNTTEWGIVPAWGLATVLESTLPGIPLGTVL FGFWPTGSHAVDLELKPSELDGHWKEVSEHRAHLMPLYNRYRVFDTQGKDVTEFAWDA AVGTVFAAGYLMSEYIFTSDPAVRPPIHPCGIVTDQGVQDWTAADADLSRAVLVSLGA STKTARSMAYNLFQRPSGTGPLGLLQFTSSPGPIGEAARALNDANGKSKFAVGNLGYP DVGLAAKWLADIEPLPRKVIIADFGSRDGILESVVEDIKKMESLQGVDIVIIAVGNQQ KVYTLGEIQARQASFASLNKVQMNTSDVYEAAIKLRGAADYFREVDQAWQSWLENREA AAPDLNLVWGEGIAGQEGIEAGWDRLCASSVAPGEALVYRI ANIA_08479 MAPHISALSQTLESLTWSKIRELEKQRSLYEDRKAAILAEAERQ PDLAARVSVILRGTQAIHPTASKDETVRSIDRWLDQHRFDPSITDGMLRQYEDELRSQ LDAHSRKLSLADLYSRLLTEWTNTGDVQGSDGDITEEDYAVVDERQKQRLQQLCDQFE ETVFTPLETNAEEIYAFLNELFPGDEERKQLNELREKIADESQEMFEEDAPFDKKALT ATIKGLLTEDILSDAKQDVLKGFLKNEVALTEIADVLNMRWADLENWDWFAGEEGIPV LPRQQLNGKYRIWMDEDVLQLIFVQYIGTRLCNMLKNKLKEFILSNSVWNWKVGPVLT EHDKERQKYYFESPRTYGTAFQHGPEKSRKKDYLERYFLCQMPLELTTLADGGAPYDD DNSDEDDNSDEGSENGWNSSHHDSSATADRNIKQQLLRKVATETLIQRYVYGEAAVIQ SDLRWYATGLPHSTIFAVMRYVGFSENWIHFFRKYLESPLNMDMSFEGRMPTGPRTRR RGVPMAHSSEKLLGELVLFFMDLTVNRTTGLLLYRLHDDLWLCGEPSKCAQSWEAIRR FANVTGLEFNKNKTGSVYLSNSVDPAVQSRLPGGPVTFGFLKLDSSGVWVIDQGQVDA HVKQLKAQLDKCESVMAWIRTWNSCIGRFFKNTFGQPATCFGQGHVDMILSTYRAMQK TLFGESTATVTQHLRQMIQARFGVSDIPDAFFYYPEELGGLGLRNPSISPFLVRNSLQ TSPQQHIDNFFSEERKLYDRLKKAFDETPQKARMTHFAQANDGMERRFVSRAERDTFM SFEELTRFRWSQSTIFRTLYQRLQDVPKPENIILISKVSQALYSALGMVKVRMMDQEK RWVLQMYAPEVLRDYGGMALVDKKYLPVGVMAMVKERRVKWQMVL ANIA_08480 MRPAKVSVSWFQVLSIFLVIAICLFISTARASHSHLHHRHHDRR HNKSELSQNQGDQDVPDDPETMVRNALAVLAHVNKERVEYPNFNLYTTREDDETKLAS ATATPLPYTSEAVRKAQLRRRDDSTDASKRGSQPYSIPPELAKAAKTVAESTDNIPNG NHSDVAAMIRNKYGRDKRYTNTPNQAIIHSNGLYDYQSNTKPTNISISTQDGARDNTL QQRAPSQYWMPHMKKNGLSPYATSDYKIWRNVRDYGAKGDGVTDDTEAINRAISDGGR CGENCGSSTRFPAVVWFPGGKYLVSSSIIQYYNTQFIGDPLNVPTILAASSFVGLGVI TSDPYVGDNAQWYLNQNNFLRSIKNFKIDIRLTDPSAYVCGIHWQVAQGTSLENIEFY MLYNSDVPENTQQGIYMENGSGGFLADLTFFTTSQLVFVNCKTALQVHWDWAWTMQDY VIESCTSGLTIVGGAGGPLSTGQGVGSLILADAIIANTPNGIVTSLHAENSTSLLLHN VGFFNVKTAVIDNVMNKVLLAGGDEVLKDSWGFGTVTDASGVSTFVNGADIPVMSRTA IMTGTQAYVKPNWFTRRRPQYEDLATEDIVNVKLFGVKGDGVTDDTVMLNWVLSYAAN LSSVVYFPYGVYAIKDTLKVPVGSRIVGQAWPQIMAMGLKFQDVDSPHVAVKVGDPGD TGVIEIQDMLFTTAGPTAGAVVVEWNVAQSTKGSTAMWDSHIRIGGAYGSRLRKQQCP KKTGSVNPNCIGASLLLHLTPTSTPYLENIWAWVADHDLDLKSQDQIDVYSARGILIE SKQAWLYGTSSEHNVLYQYQLSGAENILLAMIQTESPYYQPVPLAPQPFTPGRFPNDP TFDDCTTNKLKCAMSWAARIIDSSNIYMLGSGFYSFFSDYSQTCLETNNCQRKGLEIE QSTNIWIHNLCTKAIVEMVSPFNGVPTYARDNVNGFLSSILAWLGGAEETAGRREFAG FTLYSTSSLDHLELPDSCKTALKERVKCHPYVKLMTKSGYHRSPGNATLTDSVCDPSC GRSLQQWHDSVARNCAGYKMSRGASPTMFGAYMWAGFNETCSKDETTGEYCNDIISRF TVVNSVEQMPQDEICSYCYVERHKMMQSTPYSAYDDFYKFRLETINKRCGLSAPTEIK EIPLDPLPVEESPCFSEKTYITSDGDTCTSIAQANNISSAALYMGNQRVIRWCSSIKT GLELCLPLSCQRIYDLQQDDSCSSIEFAFELERGDVRKFNPWVTPECDNLEVGREIYG TTICLSPQGGEHVADKQGTGTTPSTSDGHQWLMIPPPDNATVAENTTMNCGRWHEAVE GESCVAICLQNMITHALFVEVNPSLDSVGCTASLQVGKIYCTGPTYDWNTAVESNPNE VS ANIA_08481 MTTAALTALAPVIICLNAMRQGHALRDAATSMGTVGWGPTRLDC AEDVCVAGCDRRSECDPGGYGEFAERAKCPLNVCCPKFGFCGTTKDFCGNKEVKRPSC SDTNGMSRVVGYYEGWSMRRYCHSFYPEQIPRGIYTHLNYAFASIDPETFEILAPDAY EAKMMKRLTSLKNLDPDLKVFIAVGGWTFNDPGPTATVFSDIASSLKNQRAFFKSLIS FMSTYNFDGIDLDWEYPVADDRSGRPADYENFPRFIANLKKALKGSGGRDGLSITLPA SYWYLQHFDIINLQDHVDFFNIMSYDLHGAWDQNNKWLEPQLNSHTNLTEITNALDLL WRNNIKPGKVVLGMAFYARVFAAASPSCMEPGCLFQSGGNAGPCSNEVGILLNSEIVD IMNEQQVKPSLDKEAAVKILKFDINQWLTYDDAETFKLKAQFASSQCLGGVMVWAVSH DLPYGNFSRALGEAANRKIKAIAMSAHSEDNEVRKVHQQCMWTDCYEDCPSGWTIVKR MDDDGSGEGMIDHTGCLSGSDHFFCCPPSSKLPTCGWYGHRNGDCNGRNNCPAGMIEI GSNDQHCDTHNYQAACCTYQDIPSLKLYSQCQWGNSPKCDKDSCSGGTTLVANSSTGT GGDFCEYRSYWEDWKGNYATYQERVYCCDQEEDTRWEDCEWEEDYGLLRVEPNMDLEN YCFTNCPDDKVRVALETRNGCDAANGGRVRCCKPKYITTHEKGAADYTDEEKRLNDQL KEFMEHPTCGYYDETLSKRGWVDDEYAYGNASLSIFDERSHPLVRRVTYTAETATAYI VYRLVFEVAPSPELSPSQLMNMWSLNVLPLYQYLTIQRIRTYAHETLDWVQDGFETFV TQLICNMAYFNRLFSGSDNGLDCECDTVGCCTEDMVCDEIPAGTVDVDVEEYGEYGLE TELVTRGNNRVLDPRLADGQKFKFVGRVYPKKSNVVKDPNHWLRNRAFGFTSGNLCYS WSMSIMPKLSNKDLENFDIEHKLEMNTLSQYMEFATNRELPSGNPVPADLPALPVDYI RNHLRSPVLQNAPRMKGGELQPRPLIRIMNALGSNRNAEGFVMLLSGVNSCKVQLWRG TDVWDANIMANQVQDWDPAVGKIVLQKLRSLAGVIDYLNHPTIQAQMVIEAHEVEEEF RLADDAWVANGNKEKNIGARWWRLIYKDLLETRSAKAQSLMKKWCDEMVKNWGVRTGD DAKEILDAVKTLSQAPMAISLATLVRRTKVHWGCTIYRTTYTPLSEAHFARIIDLINV LIKENVRTQHDNASDKERAAYHTLMEYYEPIIMNDKSQFDGMTINDVRSHYETYLAMP DPDGYIDEKIVDPDEWMERESHGPIEISESTETRPWTHSNFCIIIDEEVVQNLASADS AMLLATNEDGRFPNANISKHWVKVVDILLVHPELQQRTNPELNQSVYNTMHSRPLRQA MAGIFLL ANIA_11082 MRADAARPRRTGAPDYDDPSFWDTKFATGQDVGEWLNPGEVLLD ALLSFLESEPAPTAAQPNTPKVLHLGPGISKLGSRARDAFVARGWKANGIVNADFSSE AVRLGQEAEKMQDPSHAMHWIQTDLRSWTDVSRLLPAGPFEAIIDKSTSDAIATSSPV TFTSAAAHTSAVCPVIKDILKNAKEAGEGITLTAIELLGLHLVPLTKEGSKWFVLSYS AFRFEDVPRLSSFWEIVGRMSLEAPGGRTASGALAPAVYHWVYTLRRR ANIA_11080 MVATPDDPRAQTIVDLFNGQGSAPAPFDVLTSALSFPTRDQEQW WRKTGPMFGQMLASSGYTLDQQYRHLTFYYNQLVPRLGPHPATFHSSLTVSGLPMEFS INYQQKGAHPMVRIGAEPIDSFSGTERDPFNQIPPAEMVNHFSRAGVKGFDPELYAYF EPKHSLTREQQARLPKEVPGGDKLKTQYAFGFDFKGDEVSLKGYSYPGLKATMAGQEV AKLVGDGVKDLKNQGKLDCTEAWAAVEAYMTELNGWGYHNLWAWDYVSPAKSRLKFYS FVMDVVDKTKLEELWTLNGRATSPAHQEGLRHLKELWDIIDLKNVGKRDLPADAPQIP EDAAPMVWNYEMTAGNPLPFGKGYFPLQGLNDAGCIQKLVKFFELMGWKDLAAKYPET IQSFYPGLDLSKTSHLLMWVSYTYSEKTGVYLSIYNHPCPEK ANIA_08483 MSAPQVVQSLSSASGPAGGWFRTQLATLRNRFINTEKRRQRAAL IGTSFAAHRPVWITAGGGAYTTAVAVYLTMKLMRRIPV ANIA_08484 MRFKPASILAGFLALTTTLATPTRVARQPTLVQRDSAAIVSKVA EINTQVLALGSDIAAYTGGDTSAIEESSNQLIAVIDSGTEIVLAGDSLTSIEALDLVT PILDLTSDVDATIVSLIEKKDLVVAAGSGPSVYAQLTAQLTAANAFAEALSSKVPAAL KDIADELSAGIRASIQKGIDAYADVATPTTTSTTTTVTSTSTSTTETTTTTETTTTDT TTTETSTTTDTTSTTETTSPPTSTSTTSEPCETETQEPEPTTTPCETETETPPPSSTT EPCETDSETPEPTSTPCDTETETPPTSEPCETETETPPPSSTTPCETESDTPPPSTSE PCETETETPPPSTTETDEPEPTKTESSTEPPTGPGHTEPPAPEPTDSSSTTLVPTTSQ TGVSPAPTGGSSDENETSPEPETPSDTDTSPEGPESSGGVSEETEPVTQPETPSNTDT GPAAPEFTGAAAMNALRSSLLGAMVLGGVIMVL ANIA_11615 MAPEVMVALSDRLPATFESRAKNWEKDPTDIASIAASEAAGAQF RKMALPGSAS ANIA_08485 MAPSFVSIDTTPDFDMTPTSSKSADSGKRTLLLAPPSIATQEDK LRTLFTTYDRSTTDLQMLDRVSAGFVSLPANTYDLVLVLTGTDGTRRSEALQLLKREV YAAVVPAMKGGAKLQTEDNFFGEAEDREAVLAGLVKKETGFEKMDVGNGAAVPLRLGR KKKAAPAPAPVVQPPPIISSDDNDLNDDELIDEDTLLSADDLKRPIVPPPECQPKAGK RRRACKDCTCGLAAQIEAEDRERREAADKSLNVMKLESDDLNELDFTVQGKTGSCGNC ALGDAFRCDGCPFIGLPAFKPGQEVQILNDVAQL ANIA_08486 MQDAFVTFHRNALNYLKEFNQNEPPYPLSLDLAENKKFELKTQV PAVRVACLEHQPLSLEEDSLRLAFPKLYQFAPYRGGGEGEIVLDVTNSARDYLSAGNL VTTSGRGVTLVPHAPSLLTIPLDITAGDASSLGLLLLKQINAEGKNIAPLTCTVDARW ANATSVIEAGPNGILGHGFLNDRSRNVVQTKLDGASYLETSLTGFHPQDPGLWTHIQI QPSWFDLLSPRVSDASLLHITGVDPIDYHTEHTQAYPALLDRILGIYPFPGNTSKNGF DMDLDLVTVELAISLYFTDGLSRVGAHRQRNTWSLLPAWKNRDWGAITSAEQARTLVR NGKPAKIFPRPPVLEGRNWTEMMMRAWFFGYAMTPQNWFDYVAIILLLIHVFLAFAHT IWSLWRGETSEAWDTIPELVALSQQSPPAPAPLLDNTCAGTRSMQTMGHVVRVEPYSK LPGEGAMNTLHLTFRKSFEKRMQDSIPKVESPYGTNNESHDSSKCPDSSKCPDSSKCP DSVIDTTTDCSSLLEAGKTW ANIA_08487 MKFFDYCDDNKILLATYPPHSTHSLQPLDVGIFSPLSHAYSSEL EAYLHISMGLSHITKQDFFRLFFPAWVKALSSKNIISSWRTVGIHPFNPEIVLARFSR EPQSRPSTKNILLKLQCKGLQIALQNKKKKRQRGKPLQFQLKASDNGGAVFYSPQKIQ QAQDLQLGKERAAEQLKASKEEQKVRRQQEKEAKQRLIEDHRKIQASQQEIHCLEAEQ KRQEKEDARISKEAAKQLQIDFQQAKKTPRKSSKASNHTDTQDTGPPSHVVVEEVPPT VNRRGREIRLPQRFRTN ANIA_08488 MKIVYIGVLRNAQPDPIELCCERELSSYSRFTRGSIAEFTTMFS KLLTGRTSPGQRQDVQEKDFTFHVYASSASPGITGVIISDDEYPSMAAHQILSKLLDE FTTQNPSATSATGPVSFPALTSYITKYQDPQNVGSILKIQKELDETKVVLHKTIESVL QRGEKLDDLVQKSEGLSSQSKMFYTSAKKQNSCCIIM ANIA_08489 MSTLTVGDTALPRESRETMVSIRDSDSTTTVLGEDSASTTDPNV SRADGWALMPQVKEQNEREAESGFKRRELGVTWQNLSVEVVSADAAVQENFLSQFNVP KLARESRNKPPLRTILDNSHGCVKPGEMLLVLGRPGSGCTTLLKMLANQRLGYKAVQG DVRYGSMTAKEAEQYRGQIVMNTEEELFFPSLTVGETMDFATRLKVPFRLPNGVESPE AYREEYKKFLLQSMGISHTVDTKVGNEFIRGVSGGERKRVSIIECLATRASVFCWDNS TRGLDASTALEWTKAIRAMTDVLGLSTIVTLYQAGNGIYDLFDKVLVLDEGKQIYYGP MTQARPYMEALGFVCREGSNVADFLTGVTVPTERKIRSGFEARFPRNADAMLEEYNKS AVKADMISEYDYPDSEYAKLRTEDFKQAIAEEKAKQLPKSSPFTVDFMNQVKICVTRQ YQILWGDKATFIIKQVSTLIQALIAGSLFYDAPNNSGGLFVKSGALFFSLLYNSLLAM AEVTESFQGRPVLIKHKSFAFFHPAAFCIAQIAADIPVLIFQVTIFALPVYFMVGLEM DAGVFFTYWILVFATTMAMTAVFRACGAAFKTFDDASKVSGFLISALIMYTGYMIRKP EMHPWFVWIYWIDPLAYGFDALLSNEFHGKIIPCVGTNLVPAGPGYENATTQSCTGVG GSIPGRNYVTGDDYLASLSYSHGHVWRNFGILWAWWALFVVVTIIATSRWKGASENGP SLLIPRESVEKHRQHGHRDEESQSNEKTSTKGKSEGVQDSSDIDNQLVRNTSVFTWKD LCYTVKTPSGDRQLLDHVYGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTAGTIQGSV LVDGRPLPVSFQRSAGYCEQLDVHEPYATVREALEFSALLRQPRTTPREEKLKYVDVI IDLLELHDIADTLIGRVGAGLSVEQRKRVTIGVELVSKPSILIFLDEPTSGLDGQSAY NTVRFLRKLADVGQAVLVTIHQPSAQLFAEFDSLLLLAKGGKMVYFGDIGDNGSTVKE YFARHGAPCPPNANPAEHMIDVVSGSLSQGRDWHEVWKASPEHTNAQKELDRIISEAA SKPPGTVDDGHEFAMPLWQQTVIVTKRTCLAVYRNTDYVNNKLALHIGSALFNGFSFW KMGASVGELQLKLFALFNFIFVAPGAIAQLQPLFIERRDIYDAREKKSRMYSWVAFVT GLIVSELPYLVLCAVLYFVCFYYQTGLPTSSDKAGAVFFVMLLYEGLYTGIGQFISAY APNAVFATLTNPLVIGTLVSFCGVLVPYGQIQEFWRYWIYWLNPFNYLMGSLLTFTIF DVDIKCRESEFATFDPPNGSSCIDYLSTYLQGLGVSANLINPDATSQCQVCQYTRGSD YLYSLNLKDYYYGWRDTAIVALFVLSSYALVYGLMKLRTKASKKAEE ANIA_11616 MRLTIWLGLSFKLSLPNGATVAGIRNIPTDSPSPHYRPLIVGLH GGTYDCHYFDGS ANIA_08490 MSLRSNLKNVKIDDEDEFLCQFYACARHSTDSARCQTIIWFDEE ERVRSVIPPAVRAPRTPRTPRKQVDIRSFGEYTAPSTLKRKAEPASPSVSRSVKTARI GYVDAGTQTGETETISPLAARSASFTATRLAPTTRPLPRRRLFDNYLADAERKSATID PVAPQTREHNTNNQDFSLPTSPTCQRPWQSLSPPKTPMSSPRNQRIESVLFSAGGYPR SPSPQIRQPTTPPFMQSTRIGLITPGGDCPRSSHHHWSAEWEKPEPSTPTNSNHRSQS QSQQPRVTLVNSDSDDESYDWDDDLNQTMLEVVESVENQGVSRLFI ANIA_08491 MRPLTEEETQTLFKKLAEYCGSGLKELIKPLDSSPNADRYVFRL SGNRVYYSLLSIANAATAFPRDQLLSLGICMGKFTKTGKFRLHITALPIIAEHARNKI WIKPNGVMPFLYGSNVAKAHVAKLPDEMAEHSGVIVCTQDDVPLGFGITARSTAEARR LDPTGIVCFRQADCGEYLRDEDTLFASG ANIA_08492 MLSRRFLRSIFQMNTVSHFAKSAPSLTQTMRKSDYLLKASEADE NERLDIQHRLLLHMMHQKLLHPDIPERFERVADIATGNGDWLFELKKVAESEPSTTQT KYHGFDISSSLFPKLESVANIDFSVHDFYKPFPEEHIGKYDLVHARHLVLAVRKSSLP TAVDNISSLLKPGGYIQWEEYDFADQLAACPPCKMTSNWEAVFDWIVQRGYSLTFSSD TAFGW ANIA_08493 MWGSLAGDNLQLDAWLENPSYYTLDYESSTSAGLSSAGSLTDFV KTCAILSNVDGSASRKRLRDWNTSETVDGENNSARLWQSCICDSVIVRIVATANRGKT YDPKVHCIRDLERLGCNKLSQVPVRVLADCNARSSPPGRRGGYVKSSGCEWYLGGIVM KLSCYSTKILEDVIAHAQEPLRYAAESA ANIA_08494 MAVSCYVVVRIQKARVLVVGPGGIGAMCSYALEQGRKAEVTAVL RSNYDAVMKYGFEIDSVQYGRISGWRPANVAPRDTTIILAQNGLNLEGPVISAFPSNP ILSSVVYLRATQYAPGKILHDDPDIQRIDAFANQKFDEGVVENEAKRWITIYNSNNKL ETIFDPDVKRARWRKLVYNASYNPVAAILGLDTPRVRMSRHIIDDLIRPIMREIIAAA EACGVSWFPEDLLEIVIRNDPIDTEFKPSMAQDAEKGGFMEIENIVGEPLREGMKRGV DMPTLRTVYGLLKGLQLKAKESRALWVPGFENGHPYKDAEDLVSACTLKEKIEVFCIA ARFQCVKSIFLPPPSKTDVRSQRQRLEDICSSSPPAINGNGDFALGNRGNFSQDI ANIA_08495 MSTPEGRPSYEPLTPHNTSPVTQALDDPNPVPAGVDLDNEPVDA GLALPATAPPVNVTGEHRGNRVRFRSFSSYREADDRGFTTEPAPAVTRPPILRNDSDY SVRSYHSMMDYDPVSANASEASAADLISRSPPDSDSRQNSREDIPIHELGQLKEKPVD GQSEGTPATPDRNMSVRERFRHAGELIRKKTVFLGERLGRDVYDDGGDLGASLLPDDL EGSFPPLHRSRPHDEEKAGDPNAPAEPLPSAEAHRLVRSVTQSHARRRRPRSAYARSG ASTPEGLRPDSWYGSGKFGGGGILSQLLRLQAVQSSGSTSAPVSDAESDTESPISSGA ATPKKEKLKWYKKPNHHSTASLVGASMNLSSATLPAPAEALMTPKRGRRKAYRKTRLE DEIRVTVHIAEILARQRYIMQLCRALMRYGAPTHRMEEYMKMTARVLEVEGQFLYLPG CMIMSFDDPTTRTAEVKLVRVVQGVDLGRLADTHNVYKNVVHDLIGVEEAISELDQIM SRKPRFNKWLLVPAYGFASVAVGPFAFQARPIDMPIIFILGSIVGFMQHVLAPKSVLY SNVFEVTAAVLTSFLARAFGSIRSTIGGEEQNLFCFSALAQSSIALILPGFIVLCASL ELQSHQMIAGSIRMIYAIIYSLFLGYGVTVGTTIYGLMDGNASSEKECKNLDVYNSVY IQKFCFVPLFVIFVAIINQAKWKQLPVMILIALCGYITNYFSTTKLGSNSEVANTVGA FTIGLLGNLYSRLWRGHAAAAILPAIFVLVPSGLASSGSLIAGLNYADSVRDGIASGN TSNIASQDTSIASLGFGMVQIAIGITVGLFVSALVVYPFGKRRSGLFNF ANIA_08496 MPPSATDPTPSAATGKGTTNSQESSASSAKVKMQFPKPPVFEDK LQEREYLKGRLAAAFRIFGKNGYDEGVAGHITVRDPVDPTTFWVNPFGVSFSQIKASD LIQVDHSGNVIDGGPCRLLNAAAFMIHSAIHAARPDVLCAAHSHSLYGRAFCSLGREL DIITQDACAFYNVETPFNIFADHVVYRQFNGVVLAEEEGRNIASALGSKKAALLQNHG LLTVGKTIEETVFWFVSLEKCCHAQLLADAAAAGRGGATVKINDADAAFTYKTVGTPM AGWFSAKPLFDVIHQETGVSRNPVS ANIA_08497 MSAKTLNGACLCGKVTYTIDLASSEPTPKVIACHCTSCKKYTGS AFSTNIIIHPSQLRYTSGEPKVFMDLSTDSGNPLPRTFCGDCGCHFTSSPTGADWAAL KWGTLDEDSRKDCGELGGEIYCKRRDSWLENLAEGKGEGVFKKEAGMG ANIA_08498 MEDGKHHSSSRQDGEYIWPRGRTRRSGSPTLLALTVLAGLSWWL FPISYWDIEAKASASPASENQFSWTQLTPSESLEYHDCFSGFQCARLDVPMDYHRSDG QGARMAIAVARLPAKVPVTDPRYGGAILINPGGPGGSGVAQALISGRNLQAIADATVV PTDTNHSNLYFDIIGFDPRGVNNTTPGFSCFPNLFSQKNWELQAEADGMLGSSADSFM RNWQRAIALNPACSQAILTPSVDGDALGKHTNTPPVARDMLEIIERHGEWREKQGFNA QRQFDQMHGYDPQQHILERIKWHRGREKLLYWGRSYGTVLGATFAALFPDRIERMLLD GVVDADKYYTGIGPEPIGDADAIFDKFSIYCNEVGTKCPLYAAGGPAAIKASYEKLEG SLYSSSLPVRSSETRGPEVVTWSDLKIVQRISVYQPLAGFTYLAGIVSDLLKGDGSKL ADFKHSRRSPTCPTEECLIAGPWSSECAAPGQNEVYSTSAILCSDAEYMQSTDEQDFK RHWRNLQELSSAIGDYWAHTRLNCAGWSVKPKWKLPGRLPAPYQRSRLTTVVPVTANT SFPILLVNNILDPVTPLASAKKMSHAFPGSVLLQQDSEGHCTLAAPSTCVSQAIRKYF QTGELPMPGTVCDADLKPMLGAPKKPQEGKTPDDIALQEAVLDEAQRFRIPLPL ANIA_08499 MPDDVWSGSSTCSLSSYEISVQRMKQQSHKNWPYSWAKNVDPAI TEKTPQDEAEVAGVTKIKAVEAVGGKKGKYLMYAGLAMVMIIYELDNSTVGTYRNFAT SDFHQLGMLATLNTAASIITAIGKPPIAKLSDVLGRAEAYIITVTFYILSYILCASSK SFSTYAGGYVFYSVGQAGMAILNSTIVSDLSSMRWRGFAYNILYIPFLVTPWVSAFIV DSVVHGIGWRWGIGMFAILMPFCASFIIITLLVLQRRAKNAGLILNERLTMYSFCSRI DLGGILLLSGGFALVLIPITLAATATDRWSTPWVDALIVLGALVLISLVPYEKYVSQH PVVPVRYLRTVSVVISVLLGCIDNIGYGATHTYLFVWSMVSHNFSPRDAQFLTYTNGV AQALSGMGTGLLMYRYRTYKWIGVAGAVIRMIGYGVMVRLRTNESSIAELFVVQLVQG IGSGIIETIIIVAAQISVPHAELAQVTSLVMLGTFLGNGIGSAVAGAIYTGQLRNRLR VHLGTNVGAEQLTRLYNSITGTLPDWGTAERTAVNQAVHYNRGFGFRGPNCDTDFVTA QQKTRVSLLPAVQYTANLPSDGHNLVQEAPSPDSLEIKKPQT ANIA_08500 MRFQTLPALALALINLTTAGATSINDFSCRSGNNSNPIVFLHGL GATYYEDLNLLQAFLQNQGYCTYAQTYGAYDGFPFVGGLRPIADSASEIAAYIREVHE QTGADKVDIVGHSEGGMQALYVPKFEDGIAEIVERIVAIAPPTRGTTFIGLYNLAYIL GDASRVLVSQLLHLFGCPACDDLGPDGAAVERLNDGTPIVQSGTRLTVIASKFDEMVT PTSTSFVHEEGVQNVWVQDFCPNDPVGHIGEAYDLNVWNIVKNALEDQIDRSFPCSIG SPGK ANIA_08501 MPPFRAAVGPFRMKLATFSCSKLNLRELQLPLTGAAHVGNSPQT ASQLHHGFSSIECVYDIAPGEDFKPISQADEIRNLRDEIRDLKSRLENSSPSQRRLKQ LRSLFNTIRSAPEDVLERVIAEIRGEDSSRRDPPTEPWTEERAAYNETNNVGGDGISG ADGEHELLIVPRRFSRGSSEDSDTVDSAYGSICRMDSSSSVLDIFIERFVDAFSPEVD AKAGEAGAIRRAAEIRMFSPILRDAFDSVSHSFFGRSVQNQTIEVKGFSGYPRVLRSL QEALLDPERSKAESTLATVVLLMAFESVERTGQESLIAHVLGALRLIQHRGPENHMFG VEHLIFTELRPYWVSASFTARKPSFLAREEWKTVPWSAGTTPKNILHYLLDLAVEIPG ILSQHDELQVGIQSNILSAHERSVKQTAFWNAVGDLTDRFALWKINWVDGYPDGPPRE VPAADESEASFPVFRCRDLRTGAVITPTKFEYPDLLLTQTMCIYYTSCLILSSVDTRP TDRISPIEQYQLACGICRSLEWYILKSPGNMINRLAFPVRVAWEAFPDGGPERRFLWE VLKLVEKRHSLALWGSGMAELSVRHNSPPRTSV ANIA_08502 MADDIVEKANITHDEEVGHVATLSPEELAIERKLRRRIDSIIMP LVILVYLMNYIDRNNYPAAKLQGLPEDLGLVGNQYQVGLSILFVGYILMQVPSNLLLN YMGRPSLYLGFFIVAWGLVSAVTSQVTNYAGIVACRFILGLVEAPFFAGVLFYLSKWY TKKELALRMSIFYSGSLLSGAFGNLIAAGILDGLAGARGLSAWQWLYIIEGAITCFIG LVVCVVLPDFPENWRLLPEEMKHVAVKRLAIEAAQADVDKAGKGSQVKGLKMAFADIK TYALAIAYMAITGASGFQNFFPTLTDTLGYNKTISLLLVAPPYIFMVIYSLIHSHLSD RYQSRFWFFVYPIPITIIGFVIFMTTDGFGPRYFSFFLMIFVFAQNGTVYSWVAGAIP RPPAKRAAAYAFINSVGNSASIWTPFTYRDSDGPYYRPAMGVCIALQVIGGLMAIFMY FHLKSLNKRQERFEDVDAVLTEKDQKVLEKIAEREGIDIAAARELQSGFRYGL ANIA_08503 MALNATRPTLQRSVSSSSALQQHHRPFSIAVPARYATQTSNHTL SQSQPQTPRGFLAEKGPFKFQQRAISYEEVVSRSRSNENAAENIPAASELATLRKRTE EQKKTIGVLQGTM ANIA_08504 MIVGEKPQNAVQALESLKSRSEIKEVPAFKQYLGMNIKATSTGI HLSQEDQIDDLVNSFRLHNAHPTKSPLDPGTIIDDAPDPKINIKEYQRGTGVLQYLAT KLGQISAELPPSLLSACQPEDFPNLDTIALAGEPVPQGLADAWCGMISTGTRLFPRQK ITIGLPLPGMNAYLFNHRRCPVPAGVIGELYLSGEQVTPGYWNFKHNAAFTHDPFSPG QIMYRTGDLAYWDSFKNLVYVRRVDNQIKVRGYRVELEEIEHALGRADSHVRSAAAIV VDNVRIIAFVAPETVDASALRRQLVTLLPKYTRPTEIFALPSLPTSANFKIDRRVLLD LARTNKGQGELPSTPTETLIAGIWRNLLQSHLDPTREIHRDDDFLGIGGTSLLSIEAA RSISTALGHQIPISVLIRETILSDLAGRVDEYSKTEHGTTGSFASYLKANPTLAEDVT TPSYLEEGLFHLYSQTSTKSAFRVAVQFVANGIVNHEALCDAFIAIIREDPILRARYS AEGGVLRRTISEQVSPPKFYVGSAINSEQLEDLANKPFNLGSDQLLRVVIWKRDTKTT VIIIVVHHIITDKASIALMLQALTHNYHAALGRLSATHNPCTRLSEYQGNYITWAQWL CDTGSTRGSTEATRKREVFWKSYLRDITLLPALSSLSSKTACHEGTHRSNLIPYHAGK PYSQLAIATTALSLHSAFQATDMVLAVPFVNRDDEATATTLGLFVDRLPIRLNLNKYL ESDSILHQNKDTFVADVSDQITGAVDNYLPYRHIAKLLSDTTTDTDSLPAEPFFAAMV VYHWASDALENITVRSCPLRPRGAMHPLTFEFTEQEDGLLCVLEFNPVLMADEHLTVI LEALPRVLSGLVKGLGPQDILTAVTVGQSSAGLARA ANIA_09477 MAVLLYNDRRPMALTSDPEIQPCAHFTPYSAWINGDANIKHLVK FGSPAWMHLHGASKYASKPTSKIDPKAKKVHVVGYQGSHIYVIWDPEINQLRDTSNIL IKEEFSPPQNKLYKATKAAKTIKATKAAEPKTNGKPSYTGYIRHYLQRRAIPTCQRIC YPKIY ANIA_09476 MCTDHKAPFAGIARMPLKALQGNKSNDVPFQMTRRHCTYKPETS FSADNQISNDMADEDQPDEPATMENILPGLLRQIREEITKQVRDESITPHVQETISWE DSTLAAELWARITSTYGLSTAEERLMTVKALLDINPQGNYPAMIRDPQQIGAKLKCLW QQSFIYTKLDKFFSCGRGPIKNLDIAALADQLVA ANIA_09475 MRNPSLTLGQDFNSVVYISNINPTLKFLQPTYMSTGKACGVAWQ VWLIVNQRRIMISYVTYLQDSIIFLSLIEAVQNSAKLDKQDII ANIA_11670 MDDNIDPVDWEEDINDILSDTFEEEDQPTTLTDLTDAKLYPLQM LYIEYIDNLPEYPKTYINGHTYIIAADKMSQSEAE ANIA_08505 MDGLSAAASVFAVIQLTGSLVELCGGYIQQVKHARDEVLTLQRA IVGLQGTLQDLQNLVQTNNGNTLPTSSRLVGNITDCLSDLRGLETRLDPGKRKKLMRK VGWRALKWPLKRIEVEEVIQNLERYKTSFLLSLQVDQSSLMVGRAQDMDFEKLEGVME AAFESFSDRDEVQCLQGTRTVLLQQIMDWALLPSQKSIFWLKGMAGTGKSTISRTVAE SLKNINHLGASFFFKRGEGDRGNAKKFFPTLIRQLILRIPGLRPGVQMVLRDNPDIAS KSLREQFEKLLLQPLVILDQLGRQPQTAVIVVDALDECEHDQDIRAIIRLLPSLQKAK SVHLRIFLTSRPELPISLGFSEIRDHEYQDLALHEIPEEVTEHDIYLFLQDRFVKIKR DKNISEDWPGDEVIQKLVEMSAPLFISAATLCRYIESSKMEPKLRLAELLKDQAKYAS RMDKTYLPILTRLLDDQESDEFEQQQLLQEFQAIPRSACQDSTFIISGFLVQSRTKFL VDAPKKHKDIAKCCLKTMQSHLQKDICSLESPGTRRADINPQDIRQYLPPELRYSCRY WIHHLKESQVSSSEIEDVRLFLQKHFLHWVEAMCLLGLISEVVGMLDLLHTDILVSNI GNNDSLLADFLHDAKRFVLKNCQVADEAPLQIYCAGLVFAPQTALIRSNFSEDLPSWI CQLPKVNEKWGAELQTLEGHSNSVWAVLASGSDDETVRLWDPATGSLQQTLEGHSGWV LSVAFSPDGRLLASGSFDKTVRLWDPATGSLQQTLRGHSNWVRSVAFSPDGRLLASGS FDKTVRLWDPATGSLQQTLRGHSDTVRSVAFSPDGRLLASGSFDKTVRLWDPATGTLQ QTLIIKGTVTELQFSQDGSYISTNLGSLNIQSRCGNNLSSSPKMHSDILINGPDWISV NGREVLWLPPEARPSCSAIKANTLALGHASGRISFIGFRV ANIA_08506 MDRKGTRVPADMVHRWSRTPLSCRLCRSKKLRCDRAQPCSNCVQ RKVECVYAGRGESPSTDRRSNTPTTATAAAAASKREQSVPEPGSASIQRLEDIILQQA NQMPRPSSVERGSSSGPQGAALASPAHGQQPAWSSAVGSSVSSPMDTTSKASSLIEEI SSGNMQHGVMPFGSSMPNEIQQLASPSPAPLDAAALARCLPPLAQAMEMFNHFARCMH PTFGALHIPSTRALMQQIYQNLLDGDEPNIAGLALVYAIFAGAALAWTTELLEALHAT QDEAKTAFSTYSHLALCILDDRQQTVPSSTVTLQAITTLGYVLSHTDGFSQKVHTLRI RQLLVARSMQIHRLDTAKRREERRLNGSNVIETEVQRRIWWHMVSSDWLLSLSGGPNE GVYLLQPRHMNVNYPSNIDDEIIPASGTQYGFPLSIPTSMSAFLCRIRLAELCREVVD TMPSLLLESPDVSSQEVDYDLVLDLDARFQNFLNALPIFFKLDHRSIQQSLTICRERP YVAWQRTYLHLGINTRICRLHRPFHLEGFTNPKYAYSRMMCIRAAETVLSLRRSMEDI GGLINLNPSRFWLIVQHVFLAAITLATDVSLKPDAPEAVPRREEVLAACRMLERSQHE SATLKKAIQKNTHTLLMILQNQMSLPKLSSPAANSAVGGGSVFQSSAGLMITNMGTNQ VFPQSSGGAQLLNTVPTTMHEPMPLMPNSSAPMTGQWSGGAQGQQSDEDTWGKLWSDV FNAGLDLDMPQWSSILDDMEFTELGSGA ANIA_08507 MARPEKPQDNVHASDDSLEQTLPKEEKSQPPPEAKEGTVKAYLA LLGGSLGLFISFGWLNCIALFQAEYEENELKSYSSSEISWITSTEFFLMLFFSPMSGY LFDNYGPRLPIFIGGLLQVFGLMMASLSSKYYQIMLSQSIVAGIGTSLIFNPCVTSPM TYFRKARALAGGLTVAGSSIGGVVFPLMVNHLLPKIGFGRTVRACAFMILGLWSIVVC FISANLPRKNRDFDFHRYLKPFKEPNFIILFIFDFFLYWAIIIPLNYLSVSAISRGMS MSMALNLIPIMNAASFLGRTVPNVLADKYGRFNVMMAMLLFTCIIELALWLPGKSNAA IIVFAALFGIGSGACIGLAPVLVMNLSPSPAEYGFRMGAALAVAGIASLTSPPIAGAI AARSSGSYDNAFIFAAANGFVSLIFLIILRGRVIGWNFFANESVGKEGGH ANIA_08508 METYGAGPPSLQAGAGNCGRGLNYYDSQPGLDTSSGTSAKADAG MMTPAGSHGGLASEAESSGGLLPPQPLLLCNTREKTKCFRPGIGYTTRICDQSKHQNP ARGGGAWPAMQYSAVLQLAFDLDSLCRELRQVLEAERPALTVLVEMQLRHAMLALLQP FVLPARTDAQFYLARQMCLQCAMALVTYAHESQSPASVGAEPSLAGPSLLLLQMQMTA RGYLRGAFHPAVICALAIEIIVQLSGEAGAATSGRPPYSLDSMARAQRAPIIKTLERL NSQLREMMNQAFPALKRYQLHLGSDSCLEDRNAS ANIA_08509 MGWSDELSERFAPSFLTGAFLILLIITQMESHGSTTLPASNTTE GKRLRRACNGCHRMKLRCSGTKPCAHCQDTGNDCAYAFVAKLGKPRGSRNKKTIERER ERQRQVSAAASEQGEGNGEAEPDTRSSSPASTPPPPSTVQRKLTDSGNYSGNSCVSNS GPDTVDGMDWTALVHAAVTTPASLPIDPALGWMDEMDGLDGESCLQSTMCDLSAFQLS WRCLMLIASFVSRAEDLQSHWPMPVRIEELALEQGSTASANPAKPAPVAESPSGARRS PTMSTGQAFCDCLRTLFESLCSLRAPNTRGRTQIQSPPTTPPPGPSGNNAFLLTLNAF STLQKVQKCQLPSCHSDYETTELVILTVDHVFRRLQRLLCERTGAEAGFTDLQLHLGG QTVSESEPLSLVRQALLGLVRERAQQAVLETRRRMGMLGTNLNTNAGADLEEALNGNT EKMYVVKLRMAGMRLDTMLQQIEWRLH ANIA_08510 MSNALNPILALTARYRAVDRKRSLTGPDYVWPFGNFRHMFAESR ALSHKWRKEYGDIYRIWTGFYSEVWGLGYWMLSREKYQSAKAYLARWEAFLMRTSQAR KDQTDLPITRLWRDVEVGNLSKDELLQTLTESTVFNLEPTSHALIMTIFLISDNQAFQ DNLVAELDANRSAITRYLGRKDTLLHYALLEAVRLQPVLPFTLPEAASVDKGLGGYLI PKGTSVIADSYGINVRNPFWGPDATSYRPSRFTTLTPAEIHRNLSMFWFGARKCLGQF FADKQVRAVVFNLFDRYKVTCSDYSGIAPGINVDKTEYVDRFGLEFLL ANIA_08511 MTEWKVTIIHADLTSASLTPANGDVQCQFSRQEVYEGLKGIPGT ESQWPTPHPPLHSPGSRTPLPRSVNLAVAQNAEFVPSHESQGMLYIRPILFGSSACIQ LTPPDKYTFCVYVTPVAAYNGINPLDALILEGFDRAAPRGTGSGKVGGNYAPVMKWSD QARREGYAITLHLDSATRSEVEEFSTAGFVGVKEKADGDGVAVVVPDSGNVVDSVTVR CILAVAARMGWAVERRVTEMVLTGHLGQIKYEELASFSEILACGTAVTVIPIKSITCK SRGNRFTYLEASVSKPGPYAAKLAATLGDIQRGKVET ANIA_08512 MVHAVEAWVWVAVVLAVAILRYVSRILQTGALQNLQLEDVAMAV TVIFYILLTVFLVQIDKRGTNGIPPSELDTIDPATIPDRITGSKMVVAAEQFWMVVVW GCKCCLLLLYSTMTSGLWQNRAVKIIGLVCASSFVLIEILFFAAWCRPFNAYWSYPPK SQQCSVYTNHVIITLAFNVSTDVMIMAIPLPLLIKAKLSLSKKLTLCALFSLGAFVIL CSILSKYYSISSPYGMKWVDWYVREAATAVIVANIPQTWTLFRRLFNFKSFLQHSSYN RSRSKSGTKYGTRFDSSTIHLSRFRGDKSQVQSTIDRTESGEHINREPLEIWAHRQFH VTNELDEGRSSTSSGSVSQGRETPEFDPTKAATVVTVGRTE ANIA_08513 MAPSKTEIAPLRAAKYPFGNIVDALRHAAAHTDEGIIVYHPNSI STSSPPQTVSYKDLLHQAEANATRLLQQKLCSPKSIVLVHFESALDSIVWYWSVLLAG GIPALTGPGMFSQNPADRERHLRHLSETLNSPVCLTRPALLAPFEEQTADDRIKARTV DEILAAPEIADVADAPLPALTPSSTDMLALMLTSGSSGNAKAVPLTHQQLLAAFRGKS TAASLRFPRSPFLSWVHMDHVANLVHCHIFAIVSGISQIQVPAPDLLINPAQLLNLIS RHRVSRTFMPNFLCAKLRRQLESGSPEYILDPGLNLETLYIDTGGEANVTEVCIALQS LLSRYGAPDNVFKPSFGMTETVAGCIFNSHCPSYDHAQRHEFACLGKPMPGVRMRVTR LDTPSEEAAPGERGSLEVTGEVVFKGYYNNPAATAEAFTSDGWFRTGDLAFIDSNGNL HLDGRTKEMININGVKYLPYELDAALEQAQIPGATPSYFCTFSSRDATMDTEVVVVLY LPSYVESDDEARFSTQSSIIRVVAMHTRSRPRVVPLRPQDMPKSTLGKLSRAKLKTAL EEGQFATQQQINDEAIRRYQQKTRASPETPDEAVILDIIKEQLEIRSDDDSFGVNDSI LSIGATSMDLVAIIHRINKCLQPSQPLRLTDILKDSTARGLAVALATGAAPRSQDQSS THVYDPVVTLQPHGTKSPLWLVHPGVGEVLVFVNLAHHITDRPVYAFRAKGFNAAAGL PETPFTSLEELFTTYRDAIKERQPHGPYAIAGYSFGGMVAFEVSKLLEQDGDEVRYCG SWNLPPHIKFRMRELVWEECVIHLFYFVGLMTELAAYTHKPTLQEFNRANRRLDAIRY LRQHCDAARWDELGLSEEYYLLWCMDVFVADPLSHVAKDRIDWVEGRLSAWKEFVRED VRFHDVQGAHYTMLNREYVEAFAGTLKNVLRERGL ANIA_08514 MATEYWSRHLRSVLAPLFAAAGTYSPEDQESHLAFIDEHIAPNL GPLPWEPHGPYSTPSSLVGSPFDPSINIVSSGKAKVRFDFDVISPPDRTGPDPFAEGS AREILHRLADLVGADTQWMGYLMDALYLTPAEAEVAKTKLPPGVAIPPSSVGFDFDGP ERTLKFYIPSVRKALATGQDVSELMLKTLRGLQPLGSELVPAMDLIASYLSTRTNDAM LPLVGIDCLDPRTHKNARVKCYLHTSSNSFAVVRDVLTLGGRLSDDTSLKRVETLKSV WPLLINELEGPQSDAATMDESWSKPERLNRTGYSGIQYTIEITPGQAIPDTKIYVPLF QYTDSSEVAERNFESALKKLGNEWGLSGKYRSVMQEIFKDVENYGQTYASFSYTEGKG VYTTSYVAMPIKDEGGGSLAGDFGFRN ANIA_08518 MHAALVPTWSSPCPIYTEIPDPGPPPPEQLQLKVLAVGIPRVVR LRARGIHPTAKSASLPYDPSIDGVGIDEQTGIMYYILPLSASCLAEKVNVDRDNLVPL QPGAPKPQPRNGPENGYGIALGDAADHRAETLDPIAIAGLVNPVSSSWMALRTRVDGE ITGKTVLVLGATSKSGRAAVLVARFLGANKVIGVARREEGLRSVEGLDGWVTSGDMLP GETGVRFALPDWVGPVHIVLDYVGGSVAAGVLGSAEIEEGRELQYVQVGNLALELGTG EKHMFETLPGHLISRKPICIRGSGMGSFSRRDLVREMPGLVAFLARMKAPFGIASAPM CEVASVWQDEDTKGSRVVIVP ANIA_08516 MGSIGANNAVADPTPLFSSRVQKWEPGAIRSLLPLEALPGMISL VAGKPSPETFPIAEIAISLKDTPAGTGRIVVDGDELNQALQYGLPRGNAQLIQVCSVP YGSKVFRGASTASMRTEAGRAALAHGSQELIHRVIQVFTDPGDPVLLETWVSLPLGVA GFLRADGQELIPVYSDAQGLNPASLEQALSEWPGDSPRPKVLYTTPTGSNPTGQSCTE SRKAEILRLAKRFNFIILEDDAYYYLNYGDDKQRARSYLALERDVNGESGRVVRFDSL SKIVSPGMRLGILTAQAAVVDKVVRITENINLQPSSTTQLLALSLLRHWGQAGFLKHC AEAAEVYRRRRDVFVSAAERHLQGRATWVVPTAGMFVWLELKLPPEMDSFELLKSQGM KNGVLAIPGVAFMPGNEQTCYIRVSFSLVPERDMDEACRRIAGLVDRCACHS ANIA_08520 MVNRPYANMNDLANAVAESVQKYLDNSGQARNGLFQKTNNGERD IETERLVISPTVTSAICARYSNRRLNMGPCFWSQCYSRRKRRQRTMDIHPPVRLCPLP PASPAPRRAPTLQAGVQASTIRLIVLSPVSLAKSSLTPGGWLEMQELSNPVTSDDGTL SENNPLSQWGRLLIEASKKMNRPVDNPAKYETWMREAGFVNCHTVAYNWPTNPWPADE KGKTLGLWNLYNVLQRFEEFSVALLVKVLGWEMDDAKTFLGNVKEELMNEGVHGYWPV YVVYGQKPAAPGSDVITDSE ANIA_08521 MNLKIPVETKYETPSDLRMNGRRVHVFDVEFSNVVRGWSVARIS RLSNRAADIAPLLSIKAMLAGNNNSLHARPQFIREYNVLITEGGAIGVSANGLRLFDR LGVYESLSKRGSSRSDFAVHSLSGGRLGGLDDFAARARAEMGYGYMRIKRADVVDVLL EAVRKAGIPVHFGRKITGIDDTSAGEGADVGVRFEDGSSDSADMLMGCDGIHSAVRRL YVDRDLKLEYSGLSGLFSIIPTAQLPSFVTDQLTGLNVTLTEKGMFMAAPCTAAMDEV YWGFQREIPVPDPQDDRDGWEVRGRQEVDGFKGNLHEILASGRGDWTDALRQLVDATD VMKFYPIYRLPLGGTWSRGRCLLLGDAAHATQPHAGQGVSLAVEDVFLVSRLLADPSR SVEEAFTLFQQIRRPRVAEIHETAAQNAGARKETGPITQWLRENALRIALSTRLGLGI GQQLLGQRYTIYDVDTEQI ANIA_08522 MGPILEPNPDLPVTILDLIQTSFTLCFRVPWVIGTTLLRRWLPW NQTYKPPPVREHLFRHVMTCIGNHVPLSVWQWYTASDTSGSSLKHSTRYGHLTHLFEP VRTPKFCGYWICRGMSEEAVHPRNADLVVFHAHGGGYVTGHPSAGAPEHVLLAETLQQ HNITTAIFSLDYTLSPRGVFPKQRDEALAAYDWLCGDMGVDPSKVVVIGDSAGAHLIL SLLVGLFERRRRSVDENGRDLRPAAAVLVSPWTNLHTSHPRVLDLHWEERLFKRSLDT YCKWLLRDVTPELDVLYGNFALGREARGSWADILPARTWVTAGSEELVFLYDIEDFVM QAKVDTADVVLDVATGKDHAWQCAEAFTQHSRLLALPRDEQFPSDLMVGYRELAMNIL KVIRAEPSG ANIA_08523 MATKLILPLLSALVFYTIFYLFEINGASKLVKESVATGKLPGSD AALRKVYTGIAPIDELMEILVVFFWPTTDGSNLSLLVHTIGFSGTFGSAWVLVTLESW RKGNAWKMVAFPVVFGLIAQVMTFAFSAPLYFAIHLFTSATAIRPTAENIRVPRAVLN AIPLVFVIGYMVPSGLLLLPVSEQVTTDLKQIFIALWQPWPAYVSILLTLVHVFFSPF TRNDGNVEGGRATLHSFRWVYAFAFANTALTHIIAWVIPLSTVATPSLFKEEYLHPLH PLVVYDIPKPWEAPVLVESVGAGAHAFLRWDYLIGSTGVLIWALSLYRAGHRLVYGQV GCVGLVFKVAALTILTGPVGAAVELIWERDELVFNELGGVKRAAPVGKKLA ANIA_08524 MSDPDQYTVGWICALQTEYVAARAFLDKKHSLPETLSPNDNNHY TLGEIGGHQFSTHSFWAHGWYGGGVPTRHDIRLVLRAAVSGLQAQYEEEGHRLRESIH TVLEGNKRLKRKFARPPLESDRLFDSHFVHSNSEGSCDSHKSKEWQGWAAMVAAAYTR DLLYQTVPHRVKAERKASEVLDGIRNRLDNIFENIHDLHSITAGSAQEIRALAESTDL KELPIAAGVKFGTYMDQHEEGCLQGTREELLDETFKWTVSPEGKCMFWLNGLAGTGKS TISRTIAKSFQKQGLLGASFFFKRGEGDRGNAARFFPTLTKQLFTNIPELRWLFYSLN QSKLQNSPLMIVVDALDEWEHENEIHIILQLLPRVQEFKYPYLRFFITSRPELPIRLG FRTIGHHNLILHEVPEPLIERDISMFLENKLNAIRHEQSLPPDWPGNANFRTLVTMSV PLFIFAATICRLFADHNLDPGQCLAEILKYQNQESKLDGTYLPLLTNALMGDVRLAQK DQTRKSFTWDRYEISSLKARRPFFVLLVWASLIGSTKEENGYIYHLLDKKWNGRRSAV FKRMRKGDKHCRVIRLIDPITGINRLRHNPIRLIDYLIMNCENHRRNHSLCSLSALNV SDQCIPRQQPQPIYHHLRAFKKRANTLIRVRYSSMQSSKKCESQISAIPGLRRRRRTR VRARGA ANIA_08525 MVSETLEFYTKALGAMSSLGIARASQKLQSIPHHFTYQTTPNPK NVVIIGGSYAGTRLAQRLTETLPTGYRAVLIERNSHFNHFFVFPRFSVVKGKEEKAFI PYDNLAKSAPAGIFEHIRDTATEITPKTVKLSSGVEVEYEYLTLATGSWQPAPSKYDV LTKTEGVNAFRATQRAVEAANTIAVVGGGPVGVQIATDIKSYYPAKEITLVHSREKVL SAFGPRLQGAVMDALRKMGVGMVMGERPVIKKDAPDGAGAGMVGPGSLTFKDGTQKSY DLVLPCTGQRPNSSILAHLAPGAIDPQTRQILVHPTLQINDGSTSSSDKEVTISERIF SLGDVAKTGGPRFARAARAQAEIVTSNILHLIRGQKDKLSEYHPAMYEGAIKLTLGKS DYLFCGRMPDGREIVKFGKTQPQNENFEVQSAWEELGAREDSAETGLAARTEKLEKHK EKFSACWGQGWGQGWEKNRDQQLPWQRRDA ANIA_08526 MPSEQVYHLHPLGWETDPQEERFKISTLDYLSVQSYNNYALFFR LDDEQKPTAVDIIKAGLERTLSQNRHLCGTIEKDPEGGHSYVKKKESTVKFVVQWLDK DGDQYPSIDEIEQAHFSAVKLGDLNTWSVPPMTYGEKPEANPDSSPTVAAYKANFVRG GLVFIMHHHHYSNDIMGWAGLTHQLAENCYATLHKTEFPPWDPANIDLSRITKPDPPE DQKVNGPPAPQRHPDQRTGVSLLFHLPKSKAAQLKALATPDDGSWISTYDAFSAFIMR TLTRLRAPVFNPDPSSQIFWAEAVDMRRRFHDPPVPPRMQGNVMSAALNTTAQISMPN PTISEIISDWPFPKLASYIRALTNSVTQEGLDQMLTLVSTVLDKKTLNIRIDSKPPMS ILQTDHRDANITAADFGFARPITYRHLLDCVTNGVIIVYPPRAGTGNEDEGCEFAIFY EKDLAQTLIEDPEWNQYFEYRGVDAVDAASTDR ANIA_08527 MGAVIVRAVICLVCLAWPGATAQGAPSLSYLSKVTDLVLNHEPD PLTLSPTPSPSLTFTLPPTIVPNPPTKYGVVLFPAFEMLDVFGPLEVLSWAARLHEKL DLYLLAETLDPVTTQPQSAAMNTFNSSFFPTVNPTHTFDDNPELDVLIVPGGLGTRNP NMDRTLQFISSTYPRVQYLITVCTGSALVAQTGILDGRRATTNKASWDSVIVNGPNTT WVPEARWVVDGNIWTSSGISAGIDATLAFVAELYGKENATVISEYMEYEWHEDSDWDP YAEIWGVV ANIA_08528 MAVQGHCDSSFNNVREVFAEHLRTGAEIGASVCLSINGETVIDL WGGYASAERTQPWARDTIAPVWSISKTITALATLLLIDRGQLHPDDPVAKYWPAFDTP DKRGVLVRHFLSHTSALPAWDPPIQASELFTNTALATEKLVAQEPWWEPGTASGYHLV SQGLLLGELVHRISGKPLPQFIADELAGPLNADFHLGLQNESEWGRIAIMEPPPPFPA GLLDKPPKPDNPMYISLRAMRGIRGLDGPASSTPEFRRCGIGSMGGLSNARGFNKILE IITNRGTVNGKQFLKPETVDLIFQTQAEGIDLVLGTPLKMGMGFGLSNGSLDWVPTGK ICFWGGWGGSLAIMDLERGMTLTYAMNKMENGTLGNSNAEAYTRAVYEVIRRQGIGKA SESANTNANL ANIA_08529 MPTGVQKPTRRFHKKSRTGCTQCRSRRIKCDETHPVCRNCQRAG MVCHYTVQSSPNTGAAQSIPGPLSLPESRTSFDMLDLTLMHHYTAVTSVSLFGEEQKE LWQAEVPTMACSSPLLMHGLLATAALHMAFFCKDSPSAFINRALHHHSLGLELFNAEI STLSAENGKSPLLFTFGLILVIWAYASPTLPNAVTTTADESDLDTLLSSLDLVRGNKI IFEISAEIILSQPIGRFLKPLEEFARPRALPQPIQAALTDLRKNVDDFVESMAIDQLE RYLLDTVSTSANDMRRPLGWPALAEAPFWERVRKHRPAALLIFMHYAIILAYYEPRTW WMQGWSERLVGAVNHVLVKQDKNRPDWGFCAGRPERNRRTAAVKPSSDSVAPRLILP ANIA_08530 MDSLSLSNSQSIAGVLALLLLSGIYVYLNSPSYPVVNGKRPWEL RIIHAQKRFLSNARDLIDSGLARWPAFHLVTEAGYRLVLDPKYANEIRSHEALSFGKA TAQDFHAGIHGFEPFEQGTRSDQIVSDVVRMKLTQSLGNVTKPLSDETAIALQKNWTD EADWHTIPVKKTVLDIVAQLSSKVFLGDQICRNPEWLRITVAYTVDSFLAAQALRMWP TFMRRLVAPFIPGVQKIRAELEEARRIILPVLEKRKAEKQTAIAAGKTPARYNDAMEW MEQCAKGRPYDAAVSQLSLSLGAIHTTSDMLTQVLYDICGHSDLVDELRQEVLTVIAA EGWQKTTLYKLKLMDSVLKESQRVKPIGIVKLSDGTVIPKNANLIVSSQRMWDESIYP SPDKFDPYRFLRLRETPGHETSAQFVSPSPDHMGFGFGKHSCPGRFFAANEIKIALCH ILLKYDFRLTEEWRNPRPIASGAGLTAEPRATMEIRRRKEEIQL ANIA_08531 MADTEKTFRSYTSDQGRNYAQNRLDYHQDLYNQIVEHHTSTGGK LDTLIDVGCGPGNVASNLSKHFVHTIGLDPSEGMIATARALNSSPAADSGKLRFEVST AEDLGSNLSPPIADSSVDMIVAATAAHWFDMPAFWRSAARVLKSGGSVAIWGSANMRT SPGTPNAEAIQARIDQFEAEIRPYFLPGNELTRGLYKDLELPWTIEPAVDGFDEKAFF RKDWDTTEKFLALGAPEIDMDVFERMLGTMSPVTRWREDHPEATGEADVVRVFRRDIE RLLREAGVGEGKEKVKGSAQGFLLIVKKT ANIA_08532 MHRPPPWSLVLALVLLSPALSSEDINYDPATNYRPENVTGLDYY YYPWVGSYYNGTATFTISGLSIRSRSYDEDEDQELCTQLQNYTYTLSYPALLAITETE PEDERPENTNPVNVILSTSYSNFTKYFNDYMDSSNMQIRDEPWVFESIDVSRRMYGYE TTDPNFNLSMVESTGADTPYRVTGSSSGEDNSLQGLQTNMSSCSKIEDWWGVTFYSGI VMPALQLTFDAHAASFMLASGAVMNTLGTSNSDDDMPQLAGRIAVEFLGRIDEARSDV LVSPGSEGEPRWLRTVGFGNNSANLDYSLESAASNPVTTGSALIGVLGVVGYLLL ANIA_11618 MHTNTFISDVLYDAKKYILRNVNMGKSAYWTYLPNFILQQVKQR LS ANIA_08533 MIIYTGYMQIGDHNVAIACLPAEITGKASAATVARDMIRSFPAM RFGLMVGVGGGAPYYGVRGNNGFLATKEEGNPDDSEDSEDGSEDIRDIRLGDVVISLH SKSSEAVVQYDFGKSLQEKEFLRSGGALNKPPSIVLSAIGVLKAQHQLEGHKICQTLA EMVSRYPALAKKFQYPGSQKDYLFKSDFVHKAGRRTCKTCRSSDSNLVKRPNRPDNSP RLHYGTIGSADQVINDAILRDKWAREEKVICFEMEAAG ANIA_08534 MGYAEWIGRTNAAVARSPVGRWFRLEGSGHPRERKGAYFFTELR AGLATFFAMAYIISVNANITSDTGGTCVCPAEDLATACDNNTEYLLCKQEVNRDLVTA TAAIAAIASFFLGLLANLPVALAPGMGLNAYFAYTVVGHHGTGLIPYSLAVTAVFVEG WIFLGLTLLGIRQWLARAIPASIKLATGAGIGLYLTLIGLSYSAGLGVVQGGTSSPIQ LAGCASDTFGDDGLCPSSEKMRNPTMWIGIFCGGVFTVFLMMYRVKGAVIAGILLVSI ISWPRPTPVTYFPHTETGDSSFDFFKKVVTFHPIQHTLVAQEWNISSNGGQFGLALIT FLYVDILDATGTLYSMAKFAGAMDERTQDFEGSAMAYMVDAICISIGSLFGSPPVTAF VESGAGISEGGKTGLTSCMTGICFFIAVFFAPIFASIPPWATGSTLVIVGSMMMHATL EINWRYLGDAVPAFLTISLMPFTYSIADGLIAGILSYILINGGVWIVAKLTGGRISPP NREEEHEPWTWKIPGGFFPPWLVRAVHGKKDFWRAEDDANDLSLGVMPHGSLSSQDRA GEKLASSKEQVTEK ANIA_08535 MPNPKSRRLVPVVSDTISLPVQKRRKNVGTACSSCKARKLKCTG APPCANCLKSRLECTLDETADKRRRGVLKRKIDKLEDKEDLLVRLLEFFRESSNRSTI PLLNLIRSHASPAEIRFYIEQQPNLPQTPELLEVELPQRHILDARKSSDAPRFSVPAR PWTSIIDDDDLVSRLISSWFTWVHPICNFIDRDLFIRDMQSGSLSASYCSPLLVNIIL SDACAYSSDNLSDLRTNFYTEAKRLLDKEEGRISLPTVQALSVLWMCNSATNIGRDRQ AWIIGGQLAYSLRELLASCNSPPDYVNHTNWGIFNLSLAHALLVRKFPAIPPPSQPPP SNQCKNHTWCSQSDAHTFCLFNAVCELNRTAYNLGQALFTPLLHLDLSSKKLDALQYL GEWLDQLPICLNERNVDIPHVLSLHMHYHTIMSAVYGLFRFQSVDPSTHPLSPNIREA LRSPAYAFDGCLSSACKVAQLTLVHRSRWGADRMPAINVPYIITALFNLLEVIDDPIN RDAFISLVVATGAFSQRSPVTKGYMRTLQNMALERKISLPAETGPFFLNSDS ANIA_08536 MTAVEMGTVNSRPLVQIFEEIGPDRWEDREPDLNILESRPEANF LVLLSNEFREYAKEHMPEFKNKFLDEFWPVLEDDINGSFHCEYNDSNARVTPAVTWSC FKIKEVKTAGEYKWKQPAIHVDWNADTGRHVVHVIEPPLQRPSEFLPRIPPAEERRCN PFSWHAAFARMVLEQYDRAFWLLRDLVRKQEKERSESAHKPNDFPHLHDILRHLFHYE ETIEVAQHTLRMMAAEKDRWRNEDEEDIRQNLGIWIKTRQRILHEEKRAHSLKTRSKS LNDRHRNEINLVTHLAQQVSMVYLPGTFVSGLFGTNFFSFQADPGNTWLMADEFWLYW AVTLPLTFATVVIWAIWHWQDKFVFLRNKAQGQRSNTSAGFTNSRGNLESNDRPNITF LRRMTTALGWGEGVQRVETV ANIA_08537 MPPEDAQFLPTYSQIACVGAGISGIALGATLKRWYQMDDIRYFE RHSDCGGTWHISSYPGMSVESIEFSANSIYKGCACDVPSALYSYSFAQNPSWTKLMPS YKEIKAYQQEVADNYGLHEKMTFRTEVKECWWREDASRWLMKLRNVETDEISYHECQI LFGATGVLVEPRACDIPGASTFKGSLFHTARWNHDVSLDGKKVVVIGNGCTAAQVVPA IMDRSGSVTQIIRSKHWVVETANVQYTPTMLWAFRNIPGLQALHRFAIYQGAEADWQL FPMTKSAAKYRQTRRKEIEAYMRRAAPAKYHDLLIPDFEVGCKRRIFDCGYLDSLHND KYLLTDAKILEITPEGIQTSNGLIEADVIVLATGFNTNTFLPGMQVHGRDGITVDEHW SRQGGPGAYNTCAMNGFPNFFVLLGPNTVTGHTSAVMAAENSVNYALRVLKPVLDGAA SAVEVKADAEHAYVESVQTALRNTVWNAGCHSWYVNEKGWNAMAYPWTQPHFWYRSLF PVWKDWNIKWAQKPATQAWRRLLLAVLLVVSLGVFNRAATSRNVSWWTGIVTGLRKRV TA ANIA_08538 MSDHGDTAPLLGEQRQPEYGAVEEAPPVAESRTSFKRNLGTAEA FSIIISIVIGSGIFTSPGSIDTNVPSPGFALIVWLVGGILAWTGAATMAELGTTIPGE GGVQPYLQYIFGDVFGFLAAWTWIVAVIPASLGIMSIVFVESVFSAIGENNRTQGPLH KLLSILVLIVFSMANGISTKATNRLNRFFVTAKFTAIAATFVAGIAVVVYHLAAQTDK STQDWWTKSWFGYRTSVGPDGSEIDWSKVTRWEMLGHYSAALYGALWAYSGWDKAIYI TAELSAPARQLPLAINTAIPIVVGSYIAVNAAYYILLPWDVVSTSDSVAVTAFNHLLG PGVGLLAAAVICLVVAGSLLGSAFVGSRMIVSASNKNWLPRFLGRVGYIGLRPASPSD ESDAPINALIFSTACSALYIIFGNFRALVTFNGLGEYSFFFLTMVGALVLRFREPKLH RPYKPIVLIPVVFTLVSGFVVARGAVFAPFQAAVLIAVWGLGLGFYWARRWWLRRRG ANIA_08539 MPQATLHTPRLKLVPYADEHYPLSKALDQDPEVMKHIGFGRPLT DDETKQVHAWLLNSCTSVPGLGTWVAYAEDKPDPIGWWILAPTPLDPSKPTENFSTER SETGYRLLREHWGKGYATEGSKEVIRHAFQELGLTEVFGETMTVNKPSRKVMEKLGMK HVDTWFNTYPTPPPGIEEGEVRYRITRGEWEAMQYGSPPSSC ANIA_08540 MTIGSKFSLLAGTRKTDGPTEISASSPPDVETPSAEKTATASAG NKEVGINDNSSDEALPSQHVQTGVQKIQAVTLVWSKWSLVAVFCLLWLVTLANGFRQS ILYSLTPYATSSFQSHSLLTVINIVSSAMVSALYIPVAKVVDVWGRAEGWLVMVGLST LGLIMMAASKNLETYCAADVFYSVGFAGMNYILCVLAADITNLRNRGIAFAFTSSPYM ITAFAGSKAAEKFLVNVNWRWGFGAFAIIFPFVASPVYFVLKVGLNRAEKQGIIQPRL RMSFQFNSRSQQTHISDWITAVPGVILLAGGLTVFLLPFTLATRAPNGWKSDYIIAMI VTGFVVMVLFVLYQAYWAPQPFLKYEFLTNRTVLGACLIDATYQMSYYCWNSYFNSFL QVVCNLPVAEAGYVGSTFQVVSGVLLFMVGFAIRKTGYFRWLLFIGVPLYIFAQGLMI HFRQPNQYIGYIVMCEIFISIGGSIFVLLQQLAVLVAVDHQYVAAALAVLFISGGIGG AVGNAISGAIWTNTFLPALMRNLPESAKANAVAIYGDLRVQLSYPVNSPERIAIQESY GYAQARMLAAGTGLMALMFIWMFMVKNYNVKNMSQTKGMVF ANIA_11620 MAPFRPNPATPSNSNRPYIAARLAMLKVLNYFSI ANIA_08541 MDIWTTGSSTALLLKNIIPRAENGHVLFTSRNRQLALKLASPNM IPIPDMDQNTAKEIFRKLLIRKDILQDDYVTNILLEHLTFLPLAINQAAAYINQNDIS LERYMSLLDEQEKSTIELLGEEFEDDARYPEVQNTVATTWLISFLQVQQVDEIASDYL SFMACINPRDIPESILPPTISAKRKEDALGLLKAYSFISVQVDDSSFSLHRLVHLATR NWLRKRETFESWIVRAADRLDEIFPDNNHDNRQKWRKYLSHAQYLTGSEEFKACQDNY NEFLERIGSCLQADGRYVEGETLLRNVLKCRERTCGLEHPDTLTSISQLGSVLADQGK YEEAEAMHRRDLVGSEKVLGPEHPNTLVSVSELGSVLAGQGKYEEAEAMHRQALQRPQ LGSVLAYQGKYEEAEVMHRQALRGREKVLGPEHPNTLVSVSQLGSVLAGQGKYEEAEA MHRQALQGYKKVLGPEHPNTLISMQNLAIAVKGLGNISDALSLTRNCADLRNKALGSN HPHAIVSSNTLAGWEQEANHLSIHQSPRGSDDIPSAQSLVHPFAGDNIRSHLKPGGRK RRVLLDIFRRR ANIA_08542 MRPKSRNDFAIAIICALPLEADAVEALFDEHYDRLGKYYGKQRR DANAYINGRIGKHDVVLCYMPGMGKGSAASVAASLRASYTGIELALVVGICGGAPPPP KYQDIFLGDVIISDSVIEYDFGRQYPGGFQRKTGVKDTLGRPGPEIRAFLNGLRAEKT RNELQSQTQQYLHTLQQRTKWCHPGVSDILFKASYLHKHYSHTSAAGCSCLGSDSFGQ VCDQICEEALGKDCDDLNCDKGQQIRCREISEAKPISIYIGPVASADTVMKSGQHRDE IVKTEKVIGFEMEGAGVWENVPCIIIKGVCDYADSHKSKLWQAYAAATGASTAKAFLE Y ANIA_08543 MISNRCRRRVWTPLPQSHTIILFFLDNHIIVNMSFSIDVGGANT FSIGAGRAPLVLDESTRTLYNRAISNLFSLTDQERRPVTHRSPPHEKDTLCQNACDLS MGELVTKAINISNNNGDDNDGFLAASA ANIA_08544 MVRISLLVLTASLATTLALPQNYCTAVCRPVKPECPAGEVATGS ENCWGCCQPVSISANRVANATEMCTAVCRPEKPECPAGEAPTGQEGCWGCCAPITKTE EHICTRKCRLEKPECPAGEAPTGQEGCWGCCQPISKREERICTLECRLEKPECPAGEA PTGSEGCWGCCQPITASEKREGENDGDMCLAVCQEKKPECPAGEAPTGSEGCWGCCQP NAIAEKVAEGDSDVCMAVCQEKKPECPAGEAPTGQEGCWGCCTSVALVARQDQAHERP NDDHYWVTEDAGLAQ ANIA_08545 MRPRSRNEFAIAIICALTLEADAVEAVFDVTYDRLGRDYGKEPG DANTYVNGRIGGHNVVLCYLPRKGKGSAASVASSLQVSYRNIRLALVVGICGGAPSIG EDQIYLGDVVISDSVVEYDYGRQYPGGFQRKTNVEDTLGGLDRRLGTFLSGLKATRTM AEFGRRVSECLNTLQQSEPRWGRPGIADVLYEAAYLHRHYTNDGSIKCNCAEECTSDN ICEIALQKDCDELGCEDTHIVRRRAAGESVPSVYVRKVASADTVLKSGQYRDAIVRTE NVAAFEMEGAGVWNNLPCVIIKGVCDYSDSHKNKLWQAYAAATAASATKVFLEYWKPL QSDDAATAGYFMLPFMRNPRFVGRHHELQELEEWIATPDGPRKLAITGLGGVGKTQVA LELAYRMRDREPRCSIFWIPCITREAVEQAYLAIADTIGIHADRDSVKEQLQRYFTET KEQWLLIFDNADDIDMWTDGSETLPALQEFLPQSNQGHILFTTRNRKAAVKMASASNY VTHVAEPSENDGLEMLRRSLIDKKLLDDPEVCITLLEQLCFLPLAITQATAFINENSI GLSDYLELLSEQEAAVTELLSKEFGDEGRYQDVQNPVALTWHISFKQILEMDAMAADY LSLLACVHSRDIPQSFLPQPKSKTALIETLGLLKAYSFVTLQGNGSISMHRLVSLAMR NWLNMRNKLSAYVLWAADRFEEIFPDPDHINRRLWRQFLPHVLHLLDEAAFKEAQSKG RYLLLVDDIMGCLWKENRHREAEPLARDLMTYYQKAYGDSDRRTLSCMNDLVYCYLHQ DKISQAEEVALQATSICRETLGLADRTTATSMSFLVQVYVHQGKYKEAEKLQLEVLST SGDVENSLRGISNLASIYSDLGRAEEAAKLRQKVLKLETESLGVDHPDTIATMHNLAQ TYESQGRLAEAEDLAVQVLKTNKRVLGLKHPSTARSMATLAVVYRGQGRLEEAEELLI EAVDISQHVFGTTHTYTVTMTSELATTYYLQERWSEAEEIQDHVLKLRMEKLGPMHPD TLLSMYRLALTWRAAGAQALAIQMMEECFERSAKYLGPDHPATIWSKKELDNWEDLDN AEADSTGAVSLSNKPRGLSGPGDAGEEKTSQPVRRRKREIISRFICSR ANIA_08546 MNWLRGASDPPRPASPADSEEPLLNDDDRSHRSSQDEEATQNDV KRSPRSRTRVVTLFILLTSSITTVAVVIYLLVAHRLPAPTGPLHHHDSNTPIKNVVVL VQENLSFDTLAGGLNYSRDIDNIVNLPPNKRFCNPSNVADPSSPLICAKPLAKNVAPD DPDHSIAGGNFQVYGTDHPDLSIHKPTMQGFVSEQIRSHGINGDLKRAAEVINYYAPE HIPVFNALAENYLLLDRWFASVPGPTNPNRAYLTSGTSHGHGWNDPSFDHSSLPQVSI FQQLTEANISWINYSNATGFAPDALFYTWTAKSEAGRNSIKSIDQFYSDAAAGNLPQF TWINPECCSYTSFHPPSPTNLGEGFVKSVYEALRAGPQWNETLFILTFDEHGGFADHV PPPEKVPPGDGIPYTEVAKDGKPTTFHFDRLGIRVPTLLISPYVQKGAVVHGPKGKGK KGQAKGEYTHTSILKYVDELWGLDILTPRVEWSASFGDLIEKKFREDTPELLPEPVIF ANIA_08547 MGSIADPENTYDYIVCGGGTSGCVVAGRLAENKDVRILLLEAGQ HNRDLENVHMAGGWSNNFDSETDWNLITKPMAGVDNRQVKLSRGRFLGGSSGCNGTLC IRGSKQDYDDWGLEGWSGDEFFEAMKKSETFHGKPWFKADESAHGYSGPLHTEPHDLA PIADRLIDSFVDQGLPLHHDMFSTGDVPHGCGHVPRTVYKGVRTTSADFITKEYDRTN ITIQTDATVDRIIIERKDDGLRAVGALTRSADGTSKAYYARREVIVSGGAYCSPAILM RSGIGARDELAQFGIDCLVDLPGVGKNLMDHLIVFIFYEASKSGLTNCDKVYHDNALE KALQEYREHKTGFLSTFPFGSFAFARLDDRLKDEPLWRDAPRLPGRDPMGLTPKQPNI EFFSTETYGGPKQYNQFPIDHKHAFSIIAELFSPKSRGTVTLASADPLQNPVIDCNYL DHPLDLLVLSEACRFANEIVTKGAGTKDIVKGSWPENLDHHTYTTREQWVPYVKEHAT TCYHAAGTCAMGKPDDPNAVLDNKLRVRGVKGLRVADCSIMPTLHGGHTQMPAYGIGE RCADFIKEEW ANIA_08548 MATATPFQKEAWTEYGVGVIVLLLRLFARMKVVGIRNWQGDDYF SIIALIFWTAELTMLELIGQYGTNIGLTDEQRAAVTPEQTARLVVGSKCLLAGWTCYV TLIWSLKACMLFFYNRLTLGLFQQKLVKINVVLCAATYVAVILTIFLHCRPLTKHFQV YPDPGLNCTADFINYIMIATTNVVTDAILLTIPIPLLAKVRLALRRKLVIGVLLCGGV FVMAATLLRCILSLKDINSINNSTVWAIRETFVAIIAVNAPCIKPLFSSSVWLRSSSK DPSSGLGNYSKSKGASFGMSALGRSKHTQLESGTVSKAGRDSDEYGMLREANNRGAAL ASASRYDGSSFEDEENGRKGGIQVTTMYEVRRDGRE ANIA_11621 MTAFFQTQYEHPTDAASCDTGALSIEMEAAGLMQDFPCIVIRGI CDYADSHKNKQWQGYAALVAASYAKELLSCIPRGQVLQEKLAADISTIN ANIA_08549 MPVDNYGVLKCRAITYKLEDGQQSPRAPQLSLYVRDTGSPTSQL NGCVHPSHLQEARAGLPVHRAAINITSGDLDDSRLAYWVNHQIGQNPIVNRLSQLEYG FHPVENNKTLGLDYIRDSLFTSTNGRLLPHDIPGQYTDIIDVLSPYIQHAVREKANLY LFGSESRSDTRGSAPVIHNIHMNQGNARKFRADDGVFQDGGLIFHFPCARPDSDTGCV EDRPRGEWLGIFLAFASQAVHTNPSSGHAISGVGWSDILRPDIIEEGVVIREARLHLD SSGTDADAVTDESETGARPCIGRRKSISLTVTLSNHTNRAVRLGDWTIRNRSGCVHTL PRGIALRPMVDQHFELGDYTLSEDGDTILLLNEHGLKVDGVSYNSAQEGMGLKGKGKG GSIVFVH ANIA_08550 MRVSAVAVFLTLTVAAQSQDTLGLSNGYRKVSTANFDLSLVQDA QILASLRPKGQDFDFSPSDFLQHRAGNEQYHVGDVNFRFTAAGDSSTWVDASSASSRQ PVEDVPLTSALAEADLTDTLPDSFPLKVMRKWTDVDGDLGLSFTVTNAQNHSIELGSL GLPIEFNSIFYNYTAEEALDTCSLIDPYIGLGGGYVQVTPTRGTGPALVITPLGDTPF EAWNFLKEPTNGALPYHSQVFEGFYEWQTLSKAHAETEWADVEPWNEPTSKILAPGSS WTVGLRISLVKEGAWFNDSTDPFGRSPSVISYDRIADTQVDQDPRVWIAGLMDEGGSG AWLATLMKQSIQPEPEEISKLEQFIEDTLWSDIQVRDDQTGPTNTSADIYGVRKSVFF YEPSYAPRYDYDSSIDWRNWWSWNRADSYSIDGRAYNYVHVTAAYWAMYRVARAYPDL VSLHDWEWFLNQAYETVMRCYVQDESGYYYVGYALVGLMGETVWGELLNDLKREDQTE KAARLETVMQDRVDYWTSLPAPFGSEQAWDSTGQEGVYYWTRYFGDEGLVNKTINSIL GYMPTVAHWGWNGNARRYWDNIYGGKLQRFERQIHHYGSGLNALPLLSHFRDNPQDTY LLHVGYGGMNGPLSNIDIDGFASASFHSWPDTLAWDGYSGDYGPNFLGLALGSAVYLV EDMKLGLVAYGGNFNRTSGSTAVVATRDAAKHRIFIGPISVYITIDAGAIEKFEYNTE QRTVSLTIATKSSSAPATSADAEAVVIWIDNLSGLGGYELVGEYTHERGGTKVSFGKQ SEVTVVVRPG ANIA_08551 MAGSLVNGSSSKHDIVLKTFRLLIADLCQQFGGGHPGGAIGMAA IGVALWRYVMRYAPHTPNFFNRDRFVLSNGHTCLFQYTFLHLTGYKAMTFEQLKSYHS EREDALCPGHPEIEHEGIEVTTGPLGQGVANAVGLAMATKNLAATYNRPGYEVVNNHT WCMIGDACLQEGVALEAISLAGHWKLNNLTIMYDNNQITCDGSVDLTNTEDINAKMRA CGWDVINVEDGCYDVEGIVEALSKARASTEKPTFINIRTVIGLDSKVAGQAAAHGAAF GVEDVAAMKRKLGFNPEEHFVIGETVREFFADLPARGEGYVKEWKDLIQRYSEAHPEL ADEFQRRVRGELPTDWQKLIPSELPDKPTATRVSSGLVFNPIAQYIKSFMVGTADLSP SVNMIWKGKVDFQHPDLRTTCGINGNYSGRYIHYGVREHAMAAISNGLAAFNPNTFIP VTSSFFMFYLYAAPAVRMGALQHLQVIHAATHDSIGMGEDGPTHQPIELASLYRAMPN LLFIRPGDSEETAGAWIAAINAKKSSTIISTSRHALPQLKQTRREGVIKGAYVLEEAS NADVTIIGVGAELSFALDVAARLKEEKGATARVVSFPCQRLFEQQSLEYRRSVLQRHK GIPAVVIEPYAPNGWERYADAGISVRRFGHSLPGKAAYKFFGFDIGVMTEKVGHYLQQ LKEDEALRGEFVDL ANIA_08552 MPYLANPSLQVTADHQIKLVEAPVHEPGKGEVLVHIKATGVCGS DIHFWKTGRIGELIFHGDCIIGHEAAGVVLKCGEGVTDLQPGDRVAIEPGVPCENCFL CDEGRYNLCEDVAFAGVYPYAGTIQRYKVHPAKWLHKLPPSLSYLDGALLEPLSVVMR GIQVAQLELGRGVVICGAGPIGLIAAAAARASGAHPVVITDIDPSRLSFARRFLPTIQ TYQNNPTLDAQGNAKAIRALFGDNEYNAPDRVLECTGVESSICTAAYTARRGGLVVVV GVGKEIINNVPFMHLSLAEIDLKFINRYRDTWPRAISCMAAGIITDLKPLISHTFPLE RADEALELCADMGRPSIKVTIVDEGDATV ANIA_08553 MVTTAQSQCRHATEVRPPEACLWPQTRFFFRNSSTSTGRSCWSA WFILANSSGGSGAFGHFEVTKDVSDLTKAHFLRSPGIKTPVFIRFSTVTLGREYPDLA RNPRGFAVKFYTGEGNYDIVGLNFPVFFCRDPIQGPDVIRSQYRNPQNFLLDHNSLFD LLANTPEGNHAGMMFFSDHGTPAGWQNIHGYGCHTFKWVNAEGKFVYIKYHFLADHGQ KQFNADEALRYGGEDPDYSKRELWRTIENGKELSWTAYVQVMKPEDADPEKLGFDPFD VTKVWPKKQFPLQEFGKLTLNKNPENFHRDVEQAAFSPGSMVPGIEDSPDPLLQFRMF FYRDAQYHRIGVNLHQVPVNCPFMASSYSSLNFDGQLRVDANHAMNPQYAPNSFVHKF RTDTAEAPYQLADGTVSRKSHFFHEGKASEYDQPRELYERVMDEKARQHLHTNTARLL KLVEYPKIQAKYLGQLLRISEKYARGVYDLLPEKKFGFDEVQSFAKGAEVAGKEAKFR PNMPTDKLLGLCPAMAVYGP ANIA_08554 MHLLQRAVDKDVNLQTHTPVLSLSPAESGSGYTLQTPRGTLTAK KLILATNSYTASLIPRYRDSIVPVRGTCGRIVIPPSASSRSASDYILPPKLTTSYMIR HNTVNYDYLSLEATDDSVVLDAAKRYFDGYMQKHFVGWESSRAVTEIVWTGSMIPTPS GLEFQEAKKLMGYSSDNLPHLGRVPGEQNQWILAGLTGHGMPQVFLAAEGVARMVVQG AQYTETGLPSLFETSEQRLERERQKERAMNETNGLVSKL ANIA_08555 MFMLDCDREAGWTSYRWISTVRICNACPAPTHSSVPTPAPKVVI MTLRRVRERWYPTLSGLIAVDEPLASNDEVAITCEQASLILSSKNVVFDVVGTLISYD HLFQAIDDRLGDRLPEHGIKLSLLAYTWIEIAEREYTYLSMSGRYTVFADVFRALFYR MFWMAGAKECVQKLRDAGFKVWCFTAGDAKRVSGYIENEGVEMPTGDLIVGKPDLEAY RPLLERLKPEHGGRVPWFAAGHMWDVSAARRAE ANIA_08556 MALANVFHFKVYGYFEYYMGFIKCAVVILMICFLFIMASGGIPA AHGPLVFSYWKNPGAFNNGIKGIAKSFVQAAFSFGGGEHIAVIAGEVAPPRSTIRKTV RPVFWRMFTFFVVDIWLVGMDAGSPFVIALKRADVWGLAHAINGFIFLSVISCGVTSV YVASRSLTALADLGLVHRTLGKKDATGRPWVAIAVSLAIGVGLRYLNVDGTGSIVAIV TLFQWASIYIAHLRFRTGLLAQGRNLADLPLKGLLTPYAQCFSLLIVLFIFGCEFYLA CFPFGEKGSAKSFFSTYLAAPLFFFDYGVYKWWFKTRIVKPSEMDFSAAVVFDNMEQA DRIALEEKAKHGKKSWLKRVQYMNDRPSVFLWASIHPAAPTPYALLSQNAQSTIWNIG EKGYHTDRPRCSADLPFSYGHGRGMTYP ANIA_08557 MPTTPEPPEPPNQKSARLSRDDRLRILTLRDAGFTYHQIATQLR LTHRQVQYTCQSQQATPKKPRGQKPKLSEEDVDKILEFICSSERTRRLPYYKVIQELD LPVGTTALARALAKRGYTRCKALERPPQSDSNNSNQHSNATCLTSGYHKGIYMTRKGG EEFESWLWTSPQKLWNVWETNPWLFSSALCLLEKWTFRELQQRKTQYLKTATAPGASK TVDLGPEIPTGTTEATVFETAETYKTVERIQSAKGIKIDDPSGQLHAKLAAEDPDRRL QGEPVSGTLGIEESTSGMSGELEIPAYQAVAPRKIVAFKIEKLDRTNVSSWKVQYKLF LRTQGCWSVVEHTYNWRGNAPWVKKLLEDPAWEALDAMAKLYILQNIKVEDKASVLRL ETSGDMWAFLMKKYERRTQVDVTNAIRKVTRWQMDPKMSLEGAMQQLDQYNAELEDIS SGKVKFDSMAILIIFLNGLPSKYDSMKFSLPVHEDLTRGVVLSRLQQQDSMMSTAKEN WIVSANLTKTNVTTWKSDVREFCQIQGVWEVVEQTLRRQNKPEELQKLLDQPLWASQD ATARYYIMKSIKEEDMTAVRDMKSSGAVWKYLMSRYERTTQYDTVRLAQRITQWKKNP KVDIEASLQQLEQLNADLYEVSDQKIKLHELMILVIFLNGLPEEYSTMRDCLFGNIPL ERGFILSRLQQKELQLRNSTSEKTGKSANRAEQRKCFNCGKRGHLIRDCGASKDLEQS NSWENPQGRSHRKGQSRARIHGNGRDKSRPAEEQLGSC ANIA_08558 MSPSTWDTERCCVDPRRPLLWIAGTSAIVSLLYLFHLFVVPQLV RFEFRADLSWYDLGAYGFGPSRGYVSFEYESPLVQISQTDNSTGCDDRYTFLAPRGDS VAHEGPMILDAQGELVWMKHNWDTTHDFKVQRYRGEDYLTYWEGSQVEGRGYGSWYML DSTYTPRYVINPVGDYGGDLHELHITSAETALVTIYDPTLADLSSIGGPEVGWIYDCL FQEIDIATGDLIFEWRASKYFPVNSSYNALNGSGKKRASAFDFFHINSVDKDGEGNYI ISARHLHAVICISRHTGEILWTLGGRTNEFQDLSDGEATSFSWQHDARWHAESNTLTL FDNAAHAYSDQDLASHGMAIYLDIPKREATLLDAYYHPYNTKSVSQGNVQILDESGRV LVGWGHSAAYSEFSADGQLLCNVHFGASAYWGFGRVVSYRAYKADWIGNPQSQPDATV LGDVVYVSWNGATEVVTWRLETWDIVSGELIDEPDRTGNVIAVQEYEKTGFETEISVP AELDSPVFRLAALDVEGNVLGVTDPLQRTGEESTLDNVNHWIVGIASVACICGLVFAL SRLRTPCVRRQGRWRRSEYQLVPFGAQ ANIA_08559 MVPIPRACRLVGLYGRRSYSTAPSPSTRLNLPIDYKSTPLLHHT PSSLANSLNLPPSSTSKSMNLYTAINAALRTALSKSDKVMLFGEDVAFGGVFRCSMDL QTEFGSERVFNTPLTEQGIIGFAIGAAAEGMKPVAEIQFADYVFPAFDQIVNEAAKFR YREGATGGNAGGLVIRMPCGAVGHGALYHSQSPEALFAHIPGLQVVIPRSPSQAKGLL LASIFESKNPVVFMEPKVLYRAAVEHVPSEYYTIPLNKAEVIKPGNDVTIISYGQPLY LCSAAIAAAEKNLGASVELIDLRTIYPWDRQTVLDSVNKTGRAIVVHESMVNFGVGAE VAATIQTGAFLRLEAPVQRVAGWSTHTGLTYEKLILPDVTRIYDAIKRTLEY ANIA_11087 MERQRAAEKALHDQTNILPRGQLFVVFTGLAISLLITFVDQNGI SVTLPTIAEDLDARNTISWAGTSSLIANTMFTVLYGRLSDIFGRKVIYLSTLVLLCIS DILCGVSVNPEMFYVFRGLAGVAGGGVTSLTMIIVSDIVTLQQRGKYQGILGAAMGLG NIIGPFVGAAFIMRSTWRGFFWLIAPLAAVSALVGYFLIPNSHKNDSFSNNVKRIDFL GILTSSVAVILILIPISGGGSYFNWESAMTFLLGAVHQAYLYYLPLYYQNAREWSPIV SAALTCPLMVCHSLTSITTGQYISRRKRYGELIWLGFGLWTLGGGLMIRFDRSTSPAV IAVTVGIAGIGIGSTFQPTMIACQAHCTKSQRAVVISDRNFFRCLGGACGLAVSAAVL QAVLRSHLPEAYKSLAHSTYSLPSRETISDAEWSTIIDAYAAASRAVFILQVPLIGAC FLLCVFVRDRGLERPKDPNEEEPNEQRQPAGSQTPNEHQKPEAVTKARK ANIA_11088 MSQAAVPSTQPIRGVRSGPGPFVFSLESVILCTSTKEREVPRPC SPSYRRGGDISLAPVRTDTSKSLVSWLFSLHHPAKQQTLLEVRFAAVSDDLEKPGLLS VYRRPSGTLVESLALHDLGLAFVRSKIADAESSNLAAASFCLNWYDLYDANVVPNSDM FLRHIWRLSYVLDLFLTHICFSLLMGNTSNSAHGADVNYRDAEGKTAIHHAVQAERSG MAEL ANIA_08561 MQHLTQGNQDNNGSLIKPSQPSYWHRFYHWRCLWYYIFLPSSVE ATLTYPGIGRSIAHTYAQNGISALALADISLPALEATQAELLQSHPHLADRIAIYTVN VTKEEEISAAVQCAAHRFGRIDISIHGAGITGTGAHTHELDLKEWQRVIDVNQTGVML CDKWMVKQMLSQELITGYRGRGIIVNISSIYGVVAPDGRLGAAAYAASKHAVIGLTKL DAKNYAKDGVRINAVCPGFVDTPLTHRNLEEGVLSPEIENTVLKRPARPEEIADAVLF LTSRMGSYMCAAALVVDGGYTA ANIA_08562 MMALTSQPWLSINPSSSITALSIPIYNRFMTTLSSLPSLPAKHS QFLQYVASHPNTPMPELVRPYNDYDAQLRKIFAQEPTHPAVADNIVNIVPLFDANGSA DVRIRARDLASESETTRSKYLMPLKDEHRKPTGVPAVVSHIKNFQTNFNIFSESSLTD LDWNNVVAAGSAVATSLLPVPDKYSDSKRGMRQFYHEEFAPASDVDLFLYGLTEDQAI EKIKQIERCIRDSILTEVSTIRTKNAITIVSQHPTRHVQIVLRRYKSIAEILTGFDVD CSCAAYDGSQVYLAPRAVGAFITQVNQIDLTRRSPSYENRLSKYSHRGFEIFWPDLDR SRIDPSIFERSFTRTVGLARLLVLEKLPKSSDREEYLAQRRKERGRPPPNTFYRPNRL AGNIKNDWQDEIAEWVDEDEVSDYHTFTIPYGPKYHARKIEKLLYTKDLLLNAEWNKP KDREVNLHRHPAFFGRAEDVMHDCCGFCPKPTTSEEEEVAAEESKIYISGDVSFLSDN PGRQEIGSFNPITDTDWTEMAYVGNTAGLCQAIVDNDLEEVQRWLAQGEIDVNRRDHT GRTPLHLACMTSTPEIVQHLVDRGARLIARLADGRTALHLAAARGSVEIVRILLTKSE QNEEEESRKENAQKAARKVKKDDASENSDEEMFDVSSDEEDSYAHSNTTGSFVKVKKE QDDEQAVAPDDADELDPDIYDINVLAWDNKASPLHLAILNGHVAVVDELVTSFGADVL LPVKLVHSYSNSPRAAILTLVLAMRLPLDAAKAMTEKLLQVGASPAQADINQKTALHY LAHYGRHELLDIYKQYDQPAVDRAINHLSTTGSYYSFSAVSPLTHAITAKDRTKVMKL LEMGAHPAISLEDYLKAAKSLEYSLGNHPSVVDHKREFELNILQPVILAIELDMPLVA AELLARGVDPNTLTRDGYRVLRNEWSRNDTSGSSLLDYVQAKVTALRKYKGEKVCAQK PIELDPDDSTYLQGLQEDTYKMWLARESLRQKRERFAKEQKIYEDDVKEKENGEGIAE KKAAIEALLADYEKLETTLLSKGAKTFKQLHPDIDYPLNNPRQSRPYRSPQLEPYKTH FGFHVELCTDEIKEGYLQLFEAAWVGDIETIKSLTLSNWGPENQQPPLEIGVTDLSNY SAFTLAVIRGHYEAAKAIIAISIAQFKPGEQQKNTRYRIADIDEDSDADSEDIELETH VVDDQFTIEDIGEKKNQVESKISPETILQGTCSLKLFLGGIQELDNRRISLLEYAIWK DDIELLKFLITLGQELSARKNDKHHSEIYSISHHDFNLAMRLGRVRLLEEMIKRTGAG LPIDKLAENSGVEVKEKPKYYQGLSVHGKKRADWAAAGGGMPVTRAIDMSPPLLLAAR KGNLAVVEWFLGTAPGRYYTEFARTHRKDPRLRKLSMSAKGAEQSILEWLSSRRDLVL HCAILCDDITDESNALVEYLVKTMPQCLEVRSLDDYTPLGLAFQTHHLTAAKILIAAG ADQTVRCKDGMNLIHLALSPKRARKEVTLSDMLSLIDPRLFPSMLTERCSSHQGSLTP LALWMTRHHHSDRNAERILQTILDLAEPTGQKHLELLDGSGNTVIHRAVNELECGALK EFLSRRPDLLHRENAVGTTPAELAENRWVAKMTSDPPIAPHPSRYCSYQNIDLSNCSI LYKWPREFAKKDKPAGYSKTWTERDAHGICTEIWKQQRGAKRKLVSLFDANEVAKRLA VREGSDANRRYRRRRYRHSENYEEVDGELKGDEVMQWL ANIA_08563 MCRCRGRYKRYKEAAAITESLLSKQRAGEEEVEEEEEEEEEEEE EEEDDDDDNDANTSTLISLSDLAITYQRQGRWKEAEELNVQVFELRKKFLGSEHPDTL MLGPEHPDTLASMANLACTYGSQGQWLEAEELQVRVLKMRKQVLCPQHPDTLQSMNNL AHTWHSERKVHDALALMERCVELRIRVLGYSHPASESSSFYLRD ANIA_08564 MTFTHDSYTIAWICALPIELAAAKIFLDEVHPRLHQPKSDLNVY TLGSIGGHNIVVVCLPAGVYGTTSATAAVAYLKSTYPNIQFALTVGIGGGVPLGKPDI RLGDIVVSKPSDTFGGVIQYDYGKTVGNGHFYHTGSLNKPPPILLKTIAQMESDYMLG KISLCNIMASSLHKEEVQTQFPRPSKDQLFQSTYDHVGNKSDCSACDTSELVDRPERT TEEPQVHYGLIASGNQVMKDARTRDSIAQGRNILCFEMEAAGLMDEIPSLVIRGICDY CDSHKHKEWQGYAAFVAAAYAKALLTLIPIHGKGAVRNGVKEDPHWMVPFRKNPGFVG REGEISKIDGLIQEIHGPLKIAICGLGGVGKTQIALELAYRTREHILDPMYDLCEY ANIA_08565 MSPLNGVARSFPRPFQAVTRRPFRVVQPAIACPSNSRSFNHSRS LRSTGSQSPAPSPRDSSNPALSFPCLDAQEAKSALLSARSLGSGPEPSYTAGHHERFH SDEPLLLDWGGLLPEFDIAYETWGQLNEKKDNVILLHTGLSASSHAHSTEANPKPGWW EKFIGPGKTLDTDKYFVICTNVLGGCYGSTGPSTVDPSDGKKYATRFPILTIEDMVRA QFRLLDHLGVRKLYASVGSSMGGMQSLAAGVLFPERVGKIVSISGCARSHPYSIAMRH TQRQVLMMDPNWARGFYYDSIPPHSGMKLAREIATVTYRSGPEWEKRFGRKRADPSKQ PALCPDFLIETYLDHAGEKFCLEYDANSLLYISKAMDLFDLGLTQQLATKKQRAEAQA KISSGTNTVNDASCSLTLPEQPYQEQPSASTSAEQSASASETGSAPNDLVAGLAPLKD HQVLVIGVASDILFPAWQQREIAETLIQAGNKTVEHIELGNDVSLFGHDTFLLDVKNV GGAVRKFLD ANIA_08566 MSTNPRFDPNFTPYVINSMGPKTPERARVILGSLIRHIHDFARE VELTPAEWMLGVEFINSIGKISTPIRNECHRICDVIGLESLVDEIANRIVTEQGLSPT SNVILGPFWSPNAPFRELGDSIIQDPNPNGKVTFMHGVLRDMETGAPIAGAVLDIWQA SANGQYDFQDPNQSENNLRGKFRSNEKGEFYWYCYHPTPYSLPTDGPAGVLLNLMDRS PMRPAHIHLMITHPDYATVINQIYPSDDPHLDIDSVFAVKDDLVVDFKPKTDDPKAEL DLEYNVKMALKKHHPNPNSAPPVSSFERYNKAGKEKL ANIA_08567 MTKSTLVTTSKADKYLRVNNPEPRRAETPLPHMPQTIAAGSHET NRHQASAPPFRNSNHIQQRIRQVSYLHQLILLLGVIMGESGEFWRDVKEARKRRKQEN PPRRRCWDWMIVSGNCHYAKNRSSFQVYRRVGQTVHNCTGGDTYIAGVGTVELYVRAS PKRRSPTRKLVLDNVLHIPSALCNGFSINTYHFTHGGTTRCSPFFQGTDRQGSALWYG QPFRGLEKLVLAGNPQGESYLDDGPKLLSMTLGKTELDEILSAD ANIA_08568 MHTRDRLRLSILPQNAEEPVQYEEFVREQIARDDKEWKRLQETC DRVFDQHLQSHEALRRAKAVLEAENADLKQQILDLNRELEEEAPVTAELRQKLEEVTA ERNEMALRLARKGSHAMSVVSATSAPKSHKIADPEQLSDGKEDPKFEEWLLAMERKLT VNADRFPTEYGKITYILSRTARTHTRLEKKSSTT ANIA_08569 MNREEMIWEWFSADPERLRLSSSFMEADRGSRPSWVEWCSLPGQ LAKVFDGGVEDDFMVDVAGGRGHDLKSFTDKFPDVKGQFILEDLGHVLEQSVDGLRAK KIAFDLFKEQPFKGAYVYYLKFILHDWSDGQCHRILTHIREAMRPESKLIIEEFILPE KDCPMLSAMWDWEMMVFCNSFERSESHWRKVLSKAGFQAKFYYPPGDGQVRFLQSKGT FSVLTILE ANIA_08570 MVQDQSLSITAVSWTLGSLCVVIVAVRLYTRALVTRQLGWDDSF ITLSLVAVYYGLGKHTGDISNPGHRTQAAKYTVIALNFSVGPGLPSQHGILCATLPTL PQAYAAILHKRPSYYDSSNYRSGKSEPKHPLIRLQRLPVASLFETVAAEERVSSQENI IDKVGKDGMRI ANIA_08571 MSHGKVIQVDNPVIFKALVSSGPVVVDFFATWCGPCKAVAPVVG KFSETYTGVKFLQVDVDKARSIAQEHQIRAMPTFVLYKDGKPLDKRVVGGNMKELEEG IKSIIA ANIA_08572 MTTADSTGSATEVEVILRSRDDWFEWYEVIKDHAKKQGVWEYFD PDVKDETRPDPPARPRVPPEIEVTEDVYQSYQKALQSWKGTQDAIISTKEAIRGSVAL HIRSFIAGEEPYKLLRILKKLYEPSDLEADLGALKKYNTAISRPIRQGKISAWLNDFE SAYLAIRRRNLPESNDRHVKRQFLAAISAVSYSFADRQFVLMVEPTYEKEDFHSLLRR YRAYLDYTKSFKTRTSSMASATFHGQNDGALNSTKSNTKRNPCVCGKNHAYSNCWYII SSKRPTWWKPNKQTEAKVSEAIAKDDKLGRKLKALLERDVQQNKDKDQKERNQANEHY VMELVSSAGIC ANIA_08573 MDDNIDPVNWEEDINDILSDTFEEEAREDVMEDQPTTLTDLTDA KLHPLQTLYIEYIDDLPEYPKTHINGHTYIIAADKMSQSEAEQRVQDPSLRLLHHTYL DEKAWQEIQTIRKDIDLVENDIRKRNAFSLYRSKKRFFNSGKACIENLSSCAPVFRKS FYPDINNEHHPYISCINSTPGPLQKHYHSSLKGHTSIDLEFLEQLFNESILPSQEECA VIEPLTTRRKFCVNIQETPYILFTSHGIHQHPPPLPHKPPEQILKGIESRIRNMRNPS LTLAKFLRSPELEAFCQQYNASTPAEIHASLSNIDRISAIIQKQRLLTYPEGQDFNGV VYISNINPTLKQYVQQKYRDPDGIMILCAYKEQIMLLNKLTSF ANIA_08574 MLACGVAWQVWLIANQRRIMISYVTYLQDSIIFLSLIEAVQNSA KLDKQDIIQYQNRDYFGVHHSYRTANMEANYLRHLAREESRKRRRSSSAHLSSQFDRR SQTRPRITRSPSESFQSPSVNILSFEEQRQALELRQIEIKLRREEEEVRALQLQNEEK ELEFMERRRRLQEFDF ANIA_11622 MKKSFAMCLTAQRSFSTSMLRIYFLKADQPVNQKTQKIVDHKVH ISKCDYYVLFDCGDDYGDAAVSQGDNEASEAVA ANIA_08575 MFLVKIHPILVKGHAAIDLGFLEELFNNETLQETEECGVIEPLA SRRVFCERDHPQGPGRLEHIQCDVMFNALVPVDINECPYILFTSHGIHKHPPPPPTKP PERILQGIKQMIEQIRDPSLTTAQFLRSPQLEAFCEQYNTSTLAEIHISFCNKDRISA ILQKQRLLAYPNGQDIDGVIFLQQSNQHIKDYIQETYHDSEGTMVICAYREQIQLLAQ LSSFEVDMSYKRIRTKDINEVLFATFLPDQCKVITLLRVFTSDDSTRGYYLLFKRVFT LVQKLTQTPVLFDPIHGSGIYGIIMDMDSKLYTGLGQYLSEVDPQRRDITWQLERIIV FCQVHFQRSILKAIGTRSHGTPLWGLMMSLLDCNSEVEYDELLELLIENEAAAVRDWA VQKKSSIIKAGLNKACSKIQPYHFSELRNYTNAVKQAQQKSNATCKYLTLVEAITNSA KLDQSDILQYQNLTRFNIHHSYRTSEMEDNYLRHMSREESRSRYPRQNSGDDGSVRSS QNLRRTASEDALTLEQRRQELELRKLEADIRKQELDIRKQEADIKQQEVDIRLQQLKN DQLELDLMERRARIQDLQAITGWLINNFHF ANIA_11623 MDTNQPVVDIVDIDGVVDIGSSSPEIEEHRIPIPLTNAKTSPLT TLHIEYIDDLPEYPTSHFQGYTYVVASRGRSQAEMEQIAHELLSA ANIA_08576 MPRPSINLEPYREEISTLYKSGKSPPTIAMILGDRYGIQVSERT IKTHLSIWGIRRANRTASSDIVLHARITVLLFQVGLSEDEIVYILQQEGWNIQPRTLK HVRYQQGLLRRTVNPTADQAEVERVLNQLRADLATGQIEGNGVGIVYHHSKQGFQIGR WLYCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLTTTILLSPFFFSDSFFMRRPFT MTMEEESVTLLLQQLQELRTEMRTQKQQLQEENNSLRAELQAVRNSQLRNHPPVTTTV TSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNLRTKFAIDAACYPTEEEQVYY AYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDRQRKALVRVNTIKQ GRRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAINVELLKAMVGIRQEDSYDNYC NQLREINHNLQRVARLTRKGSHAAVPMHVARTRPAGGSDRTGTPDQMDWEATHAQIAA LQKEVAALRMKGTRTPRKASQAPAEEKQKRLSEGKCLRCGDPDHFVQLDIGAHTEKGA YFYVIPDNLGYDLILGLPWLEQHDGRLEAKRGRLYLRTTGVRLWSTTKRPLPKLDIAQ ISAATMGGFIQRKRCHGQDIEIFAVSLADIQKALAPKRHIDPRTKLPRQYWKYLRLFE QDKAEELPPHRGDGIDHKIELKGFIRVSHSPAAAPVLFKDRYPLPLIHETLNQIGQAR WFTKLDVSAAFHKIRIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTFQKYINWTLR EYLDEFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKQGRESKWTRRK VKAIKEWETPTTIKGVRGFLGFANFYRRFIPNFSGIVRPLNNLTKKGTPFLWTKECQD SFDLLKEKFITGPVLATFNPSYPECNYEIYDKELLAIVRCLEAWDAELRSCGEFQVIT DHKNLEYFFSPRKLTERHVRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDMPDDKD DRVKSRTMQLFSKKHLGKMVVATLQPTGEPPREPCEKGDMWKEALKQDKGYNEAIQCL KDGARKFPPHLQLKVGTSECQLDAQGYILFRGRRWVPGSEQLRTNIIQAAHDSMLTGH PGREQTYMLVSRINRRLSTAHHPQTDGSTERMNSTVETYLRIYTCYDQRDWNRLLPLA ELAINGRTSTATGVSPFYLSHGYNLSPFSPTEEVEQLAEEPAKSPIQKGEAIVRKVKE ALDWAQASMAYSQQNAENQANKHRSPATNYQVGDKVWLSLKNICTDRPSKKLDWKNAK YEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDTQPPGIIVNGEKEY MVEKILDERPRRYGRGHRLEYLVKWSGYARPTWEAATALEEAQALDEWLDRTKQYRLQ DGSLNRDAYIKAKAT ANIA_08577 MAGKRKASGADSAPPSSKKPKQARGKGKKVEEPTETAPTTGVIV EDTINQALETAFLGTVLLDVRCLSIQWENQKRNRELQPSLVARLKDAFKNGIKRYAIE DHLKATTTNASFERLLEINFPLSEQKRDSELAYLRSRAATHSGLKSTMLHVKTVSPAT PLTLRNGQHRVQALVELCDEQKQLAASGAFDDSGNPIGPPGDDDYLWAVDLYDSSQLK PDTLNALISNCDVVRKTNSEGYNALNIITYIEQLDDEERAERLKASNFKQWLNNVFGV NLTYTTRMITILKDKTLSKMIRLYCGTRYGENSFNWSLMARIQAGKQTEMWKQEFQKF FKFCSTIFGAKHQNLIVYNDWKMILALDQGRPLSQLRLLFFPKREDYWTEFTNGSVQR RKQPFPISSDYSSKLELPKLESPIVIQNQEFANRRPGFLVNLSDASYKDVFRHLHAHQ NLYCPTWNNWVDLEKNVSSVVSKFLFLDEDDSAPSSETPEHKAWEFLQNLWELVRNEN LWQDPNLQTFLNVPESTLGDNLEIDEENTPKKGQKMRNAEESRQQYLRRFQYVHWIQV VKLVIDARPDCLQGIMSEFNDINRLTAAYEPYTPWGDIFCKMNFSQNKMLQKTPCLNS MVIRSDIALQGDILGAIVHYRHLKQQMILTCEWGWKKPARKNPARPLVLIASPAEYET AVEVLQELAMLLAKFGYETDIDNWNEDLQSFTINRSNRTEPLQDRPAKKGFLTARPPK YVNLEDEARAMVKALQTSIVKNRLERPLPAKTLRLLKKSLSNVDLITSVEEQPVEKIL RSDGTLLDGDDYVEFRSLIRKLHVKLQEILDAEDTDQLKNWRLEYRKVHSDFDVACSD ELSGKILDDYSDLDEDGDGEDGDGEDGDGEDGDGEDGDGEDGDGEDGDGEDGDGEDGD GEDGDGKGGDSKDGDGEGGDQFSLTPR ANIA_08578 MATQAIAFDNVERKAGSQNGMPFPYDSRLEIDPCSEEIIIVNRV IRDDPSKGTMHNREPITPKLLWKSLCNYDLWPIYIIGLTFQTPMMPPQQYLTLTLRGL GDSSHHNDGPPGVYGERANELTLISVLAHPIQVGWNSRNSNTARSRTVSATMYNMCVQ SSGIIASNIYREDDSPRYRRGNRALVALVTANITIYCLTKVCYVWKNKRREKKWNTMS EMQQLEYLSTTTDEGNKRLAFRLAH ANIA_05094 MEEESVTLLLQQLQELRTEMRTQKQQLPRRGITAYGRNYSYPRP RHPDVEPFTGEDPKDYPPFQMNLHTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLP WLLARQKSETPVLWAEFSAVLDKAFSDPDRQRKALVQVNTIKQGRCDFEEFLNEFDKE LLNAGGINWDDNQKKALLDTAINVELLKAMVGIRQEDSYDNYYNQLREINHNLQRVAR LT ANIA_05095 MGGFIQRKRCHGQDIEIFAVSLADIQKALAPKRHIDPCTKLPRQ YWKYLRLFEQDKAEELPPHRGDGIDHKIELIWEESGKDPEVPWGPLYNMTQEELIVLW KTLSELLQKGFICDCYPLPLIHETLNQIGQARWFTKLDVSAAFYKICIAKGQEWMTAF HMRYGLFEWLVTPFGLANALSTFQKYINWTLREYLDEFCSAYIDNVLVYTNGDLCQHR KHI ANIA_10632 MLVSYEYFWPNISQDIRRFVQNCDICGRTKSWRDQRKGLLKPLP VPDHPWQEVSMDFITDLPESEGCTNIMVITDRLTKGVILEGMSEIDSESVAWALVRVL ISKHGIPKAITSDRGSQFTRVSPFYLSHGYNLSPFSPTEEVEQLAEEPAKSPIQKGEA IVRKVKEALDWAQASMAYSQQNAENQANKHRSLATNYQVGDKVWLSLKNICTDRPSKK LDWKNAKYEVIGLVGSHAVRLNTPPGIYPVFHVDLLRLASSDLLPSQKNDDTQPPGII VNGEKEYMVEKILDERPRRYGRGHRLEYLVKWSGYARPTWEAATALEEAQALDEWLDR TKQYRLQDGSLNRDAYIKAKAT ANIA_11463 MYKKESLKKRKRDRTDQRLEIDREPRDQSRVRYAIKYYS ANIA_05098 MKPSKNQLRRARKKALKSQGTLSTGNRTDQQHEEVSPPAPATNG IDKGHIDTLDPEDPLWQIYKDIATKFDDTEDNTPEAEPSKPEVYFDDDADIPEEEENK VPTLSKRKRKELNKLSVAELKAMVKKPELVEWTDTSAPDPRLLVHIKAHRNVVPVPSH WSLKREYLSSKRGIEKAPFSLPKFIQETGIAEMRDAALEKQEQATLKQKQRERVQPKM GRLDIDYQKLYEAFFRFQTKPELTRYGEVYYEGKEFETNQRHLRPGELSSELKEALNM PPGAPPPWLINQQRYGPPPSYPALKIPGLNAPPPPGAMWGYHPGGYGKPPVDEHNRPL YGGDIFGVLQPQQTMQQGEPVEKDLWGELQEPELSDEDSEDEEEELDEEDVDAGLQTP SGMESPSGLVSAVPSELAGVENVSGEFDVRKHYQGTQTEESADRRSAYHIIPERQTEV QGFFGGDRAYDLRPATDSLPVLGTEDQTRKRKKPGDVDVSVNPDDMQSEDGISKDNLQ RLYESQRQQESNPNWEFQEDLSDMIAHESRKRLKQEKRNKH ANIA_05099 MTSFPTASLLASSHKPNMGRLPSVSSLMSPPESKPFESFNSTFP PHKLPQDQSHSQEMKLAPISVNRKRTQLEMELPSPPVTPYVGNNKKSKSTDPEQPDGD VLVSSRDPLLFSTHEPVPEIASYEPLIAPLLPPSPEAVMEQHIDSHMAMFDNKLNKPT RDEYFLALSCVPIVSAQYNRNPAAWAKEERRTLERQLIMMNRHRPEVSNGRLKRIAPA PTKRVVPAQSRVQRTPRVKRTPKSTPKQKVLDSFDVHTPNSKPSRAIGTSRDDTDFSS IKDYSPSLETLGGNAKALKADWKGQMLDLSNDPDRHLLSPAELNLAATLRLSCATYLC SKRRIFEARVKALRVGKEFRKTDAQQACKIDVNKASKLWTAYERVGLFNPSHFQEYLK ANIA_05100 MSHSQGNSIEPFSEQEHRGDTDIDKKPKNRRPANTAFRQQRLKA WQPILTPKSVLPLFFIVGVIFAPIGGLLLWASSTVQELVIDYSDCKDATTDAVSIPDD KFKYTFKSSFDQRPSWQRFRNDNGEDHCRLMFDIPDTIGPPVFMYYRLTNFYQNHRRY VKSLDMDQLKGKAVKNATINGGSCDPLKLDENGKAYYPCGLIANSMFNDTINNPILVN GRGGDPETYNMTKKGIAWDSDKELIKKTEYEPGAVVPPPNWRERYPNYDSGIPNLHED EDFMVWMRTAALPTFSKLSRRNDNESMQSGRYRLDIKDPFPVTDYGGTKSILISTRSV LGGRNPFMGIAYVVVGGVCVLLGAMFTIAHLVRPSSAIATGRDDRNGAHSR ANIA_05101 MLQLRRLQDLVLMLAFLQQTCLAVPRWGRGYCATAGPDESLKAE FRKLSALENDGIVEQGSRKALEPIEIEVWFHVVSSKASGDVVSDGMIATQLSYLQDAY QNASISYRLEGVTRHINDKWARNEDELSMKDALRRGSYRTLNVYFQSDLQVLSGSESQ GRLLGTSEQLSASVLGFCTLPDPSINSTSLRSSYVKDGCNVLAKTMPGGSLTHYNRGG TAIHEIGHWNGLLHTFEGESCSLDNEGDYIEDTPQESIPTDGCPARKDSCPGSPGVDP VHNFMDYSSDECYEHFTPAQVKRMRDMWFTMREGK ANIA_05102 MGDEIVIDKTAFFNRLSSFYAAWKADKRSTNSVFGGAGSIIILM GKTDEANSYQKNNAIHFWLLGYEFPATLFVFTPEVMYVVTTAKKAKHLEPLKGGKIPV EILVTTKDQEEKTRLFEKCVDIIKSAGNKVGILPRDTTTGPFVEDWKRVYGKISGDVE EVDISPALSAACFSVKDTDELVSIRNASRACSGLMSDYFVDEMSRLLDEEKQMTHKAL SMRIDAKIDDAKFFNKLAKLPSEFDPQQIDWAYGPVIQSGGKYDLKLTAVSDDNNLEP GIIIAGFGIRYKTYSSIIGRTYLVDPTKSQEANYSLLLSVHEAVLKEARDGVVAKELY NKAIGIVRARKPELESHFVKNVGAGIGIELRDSNMILNGKNTRVLKSGMTFSITVGLV DVEEPSVKDKKKNVYSMMITDTVRVGEQGPHVFTKDAGIDMDSVSFYFGDEEEPQKPA KEKKETKSSAIASRNVTRTKLRAERPTQVNEGAEARRREHQKELAAKKTKEGLDRFAG TTGDDNGVTQKKFKRFESYKRDNQLPAKVKDLTVYVDHKASTVIVPVMGRPVPFHINT IKNASKSDEGEYAYLRINFLSPGQGVGRKDDQPFEDLSAHFLRNLTLRSKDNDRFAQV AQDITELRKNALRREQEKKEMEDVVEQDKLVEIRNRRPVKLPDVYLRPPLDGKRVPGE VEIHQNGLRYVSPFRNEHVDVLFSNVKHLFFQPCAHELIVLIHVHLKTPIMIGKRKTR DIQFYREATEMQFDETGNRRRKHRYGDEEEFEAEQEERRRRAALDREFKAFAEKIADA GKDEGVDVDIPFREIGFTGVPNRSNVLIQPTTDALVQLTEPPFLVISLNEIEIAHLER VQFGLKNFDLVFVFKDFHRAPVHINTIPVENLEGVKDWLDSVDIAYTEGPLNLNWTTI MKTVVSDPYGFFADGGWSFLAAESDSEDGSDEEEESAFELSESELAADESSEEDSDYD DDASADDDFSADEDESGEDWDELEHQAKKKDRESGLDDEDRGKKRKR ANIA_05103 MDVLPEDSMSQQIQSDGGLSTSEDSSTRRNPRSNAATGASAAGV RALSAQLVAFYFRIPVKAFFRTRVDYMAFARAVSPRLSEGGRWSLHTTTPGLLIHAVR AYGWRFIPNQVVPPLLANAGVGAVLYTSYLQVLGALYEPVSQGVRRTYPPASPIDTFV AGLVAGSIQSVVAAPLDALQVRLRTSDIIEGRYQSMWHYGQRKLQQIGVRGIFAGWSL SFLRDSFGSAVFFSFFEYIKSQAYYSFVTRYYGSSSPQKVGYLQSSQSSDRGVPLIKP HYALEPCFLLAAGLLASVAQQIIQHPLNAIQNIHIARLEYLDHQAALRPSRRQMLRIY YLAYQETYKRCIRKAARAGGWTRWLYRGFLGNTLRQVPSTSAGLVIFELVRRKHASMA DATYIRKDGYDILLP ANIA_05104 MSPGSRQSTQTHGLVGKPLLYFTSVFVSLGVFLFGYDQGVMSGI ITGLYFKDYFNQPSRAEIGTVVAILEVGAFISSLLVGKIGDLIGRRRTILYGSMVFFV GGAFQTFATGLPMMMLGRIVAGLGVGALSTIVPVYQSEISPPHNRGKLACIEFTGNIF GYAASVWVDYFCSFIRSDFSWRLPLLLQCIMGFLLGLGSLIICESPRWLLDNDHDEEG MVVIANLYGKGDLHNPEAQREYREIKMNVLITRQEGERSYVDMFKRYNRRVLIAMSAQ ALAQLNGINVISYYAPLVFESAGWAGRDAILMTGINGLTYLASTVPPWYLVDGWGRRP ILLSGALAMVLSLSAISYFIFIDIEATPTLTVIFVMIYNAAFGASWGPIPWLYPPEIL PLSIRAKGASLSTATNWAFNWLVGEVTPVLQAAIKWRLYLVHAFFCACSFVVVWFLYP ETSGVRLEDMDVLFGDATTAMPTPATQGERGSLMSTGSPVPSLDIRRQYGQHGADSAI PGLNINPPNVTGENNGKSPQTGPQDDNGSRPEGLGGWISNIVSRNMGSSNRPHDTQYR RLEQDEEAEQ ANIA_05105 MAEIGFASTTGASFAPDVRRRNVRTEGQARTSGLIEPDDKKHKQ RPNSYLSALADWEPLIAPILLTVLSMFTRMYRIGRSNIVTWDEAHFGKFGSHYLKREF YFDVHPPLGKMLVGLSGLLAGYNGSFEFKSGETYPEDLNYTFMRLFNAAFGVVCVPLA YLTARELGFRRGTVWLVSLMVLFENSYATISRFILLDSMLLCFTFTTTFCWAKFHRLQ HASFSIEWFTWLFLTGVSIGCVCSVKWVGLFCTALVGLYTIEDLWNKFGDLKMSEATL AKHFAVRVVGLILIPALVYIFSFYIHFLILENSGPGDAQMSSLFQANLKGTQVGKDSP LEIAFGSRVTLKNMGYGGGLLHSHVQTYPEGSSQQQVTCYHHKDANNDWFIYPNRKEP QYDAEAPLRFVGDGDVIRLIHGQTGRNLHSHNIPAPITKNHHEVSCYGNLTIGDDKDH WKVEVVDDVASRDRSRIRTLTTAFRLRHAVLGCYLRAGNTNLPQWGFKQIETTCVKEN KPRDVYTHWNIETHTNDRLPPGDPGSYKSPFFKDFVHLNVAMMTSNNALVPDPDKQDD LASKPWQWPILNVGLRMCSWDEKVVKYYLLGNPVVYWGSTLSLAVFGLLTLWYLVRWQ RGYNELSQADIDHIHYAGLYPVLGWFLHYVPFIAMARVTYVHHYYPALYYAILTFGFC VDWLTKTLNTKVRFLVYGLLYALVAGVFVYFRVIVFGIEGPSQQWRHLNWLSGWRIAN ANIA_05106 MKLSLWDTAGQETYKSITRSYFRGASGALLVFDITRPATFASCT QWLEDLRQIAEEGIVVILVGNKSDLAGDDADSNQRRVTRQEAEEWCRMNNVVRYLETS AKSGEGVERAFLEVAERIYRNIEMGKYDLNDRRSGVKSFGATGGANNRMPKTVTLGMN DAMRKGGNGLGGGCC ANIA_05107 MFSEYASRFLAQSQSRMVSRSDDRDRKDWDRITKQPGNSRYISS RSFLNRKSVDPYQPGASQFSNFAFGSRYLAQQAPLFHSATEDFHEEDEEAEHEREIAD FYALQKSRRHFGSSHLKDSETGEDSNHSLDASHQKAPNKSEEWPEREAEGSWQAGKFD KWKIRDTVAEAADGEEVLRDSGNGTKGKLVDVRLEDTLKSCYHLDDDDPSEIEDNKPP SVQQFREQPQMSNLGINSLPTPHEESEQPLLEELQQSNAAITRQSSAHLGRFDSSSHD VFWAHLFMISLACLFATAFLVYLHTSPPPGDKTTWGDTIYLTVHGSFYRLGIYTLVSV FISLIWLALLRSYVRLLVYAIIFIVPVILYSFSLYAFVSSFRDTATVQDKVMRFGSFV PFIMGTAWVYNVIRSRHAIGKAIGILEFACRILAANAELLLLGLGNLVLILSWTWVWM LMFTRVFLGGHVAKPNSFIINVSSWWLGVFFVLVYLWSLGVIAGIQRAVTAATVSQWY FHRLANPAPTSKQIVQAATVHSLTALFGTICLSRLTALLIRMPFLILPRRVASILSLV AYAFVPTPITALTDPLTLTYAAIHSQPLSLSARGLIQMTNLSPSVATSSLHPKSFSRS GINHSHLLPYKLSKLILHAVRLMMSIALGFGGWVSTARSFRVPTDHGVIRGSIYAYIV GLIAGAIGWSVLGAIEGVVADIVDASIICWSSEVGAYGREARYCREAGWLFGQEPNPE VRYSV ANIA_05108 MSSRSIDASVRPSTFKPGKSTHIEQDLVDWRLKRLREDVLPIYP FLLTVPTDVPFRLGSRFVNNWAVSDAGPFNPEEQQLQYMTFLTHDESDSLLVAVGDWS DASGSVMADQRSRPQSAISTPSSNSGKKKISLHDYRHKRKNGTSPSPLSQEAPGQQSA FTLHIANHNQTPKHIPASDNNRQRPSKTHPPSNLAAQVHNEHVDRKRLSDRDHDRRGL QAKDSAPAKRRKLSHEPPTPPSKAEISNGNGLPELLSPTLPPTSSTPRLPRLLSPTLP PDLERELARLGDEPLASDSQPEPTVTSDTVRLKAWKGKSYARGISHLESTSATTNPNL SGKSPRLVSEKTATKDTDKTSLSSHTVASADSKGQVSCRLSQQLSTKPVQLFSSGPRL VVKLKYGRSNRKRVEALLKFTGKRKLSRQSSPLPDAAERGLAHSMRSDQPRILVSSQA SKSVQSTAKSKTAAGNQANSSLKDHPKEPRLGAEKPQTPGVNQTQQEKAGPVTISPGK EPKYSTRQELLSIDGKGHSHPAYRKPPADYSLSSTTTLSPSQPNSADRNGERRAWKEE YQKYGNLGRELKHAADRHTAKDKVTSNDEKLAAATAIEAIICFVLAFIAEDQSKAISR QIGDSSTWLSIIAYWRVVKKNSIPYPPLHSLCLILGAVSYDAIHALDLERLAVTPVPG EHTPVPTPASDGQPVNSEESKRSLKDFLELKNRLPECYRESQKLWSDGFRGLSEDILA REFPETWSKRARTYSEQGKKRLKPGEYSGEIYLPLGKASTPLEIVRFSCALLREWCSK EKINWRSKLDL ANIA_05109 MVPTSIPTTQLKDGTSIPVIGYGTGTAWFKKKGDTSINRDLVES IKTAIRLGYHHLDSAEVYGTERELGVAIKECGVPREQLFVTTKVNQNIANVPKALEDS LEKLQLSYVDLYLIHQPFFAESPTELQDAWAAMEKVKEAGKARAIGVSNFLESHLETI LDSARIPPAINQIEYHPYLQHGSLVPYHERKGIAVASYGPLTPVTRAKGGPLDPLLSE LAGKYGVGEGEILLRWSLYRGAVAITTSGKESRLSTYLNVFKFQLTHEEVLKISRIGD QKHYRGFWSDKFAADDRS ANIA_05110 MSFRAAFSQSQILRSRLGKMASQFSKADMGAGLSLAELPKSNVF TSKLPPDPAFDTPEASHKAQRERLYPRTVKGAAFTFVRPETTEDPELLGVSPRAMKDL GLKPGEENTAQFKAVVAGNEFYWDEENGGVYPWAQCYGVVLAFRHEGTEAEHQQRAIS LFESTNPSTNVRYEVQLKGAGRTPYSRFADGKAVLRSSIHVVAISNTCIFGTFDLPHS RGDRNMVRKLATYVAEDVFNGWESLPGAVSVGKDQQADSVEDPPRGLLGDKIQDHHGV EENRFARLYREIARRNAKTVAAWQAYGFMNGVLNTDNTSVYGLSLDYGPFAFMDNFDP QYTPNHDDHMLRYSYKNQPSVIWWNLVRLGECLGELIGAGPQVDDENFVKQGVTEDAA PALIKRAETIIERTGDEYRTVFLNQYKCLMSKRLGLRTQKESDFQELYSELLDTLEAL ELDFNHFFRRLSSVPIVDLETEAGRKDIAHIFFHIEGHGGIGYTEDSARERIAKWLDR WRQRVLEDWGTEADADIKRQEAMKKVNPKFVPRGWILEEVIERVERRGDRDILGRVMN MALNPFNEEWGLNREEEERFCGDVPRFQRAMMCSCSS ANIA_05111 MSFGGRVCFNCGEATHQARDCPKKGTPTCYNCGGQGHVSRECTV APKEKSCYRCGAVGHISRECPQAGENERPAGGQECYKCGRVGHIARNCSQGGSYGGGF GGGYGGRQQTCYSCGGFGHMARDCTQGQKCYNCGETGHVSRDCPTEAKGERVCYQCKQ PGHIQSACPNN ANIA_05112 MSRPSENIQGLMEGKKLEHSTFDKSEVPVLAGKKEYGAGFRRLE KTGDRPVALLQLHAIVLAVQLHLSLTQYTRHSFDTAYTGLKAELATYNARVKDAEERT EKAEQAHQKAAAEVQKLKQEISLLQEQLRQDEALTKETETAAESALRLDETYEPGHVL GNKALSELDATDLRRISDRYTELYQQATIIVQASGKLRGLVKRHKTKVARLKALLQRQ EPTSVLDGKASEPYRGFAIPVNLLERPELQRPTANLLNDPARAPKKRAPSGSPLSPTF EKTGNQSCRSTKDVCDGASEIRLQERHQELLSTPSDASTDELPAIGGNGSNVMVETLK RKRAAPEQSEQKSNPLSGSLGKGDSLQPILVKSETLSSSPLMTQSPQPGPSGTQDLDD IGGTIVTPTKKIRFYSDQDYYITSENSPTTSRLASGRHAPLRNRTARANSIASNVLQP VDGNLRNLSAGQMANKKRTENLGSSTRISSLAEDGDETRPLPWRRFMRSPQSDTKARA SSRVLRLSNILEGAPPTSPTLQTKSTTNASATTPGRPSHKSVRGNLKTTSSEANAMST TTLATSDSPRRHPKTRRAENNSGKLQPQSGCSSQNETIPNEKPCRARPPHQLGLEDFR INPDYNNGLNYAYDEVVRKRDERKHASGCTRPGCCGEKFSAMARFGIPLDIPGKATSD REILEEYLGEDMSKIDTLNSKIRDSLLVEAKTRVFANRFGKHRHQHHRSGTPPGFWRT EMPGTQELEEDREEAGRLEREKVQERYREAMRPGGLWKFADE ANIA_05113 MDAASKFRSPVPAVLLQPSKWLPLYEDFLTKNASSVGQVESALR SLTYIIPGRYRDSEIPSECVHSGVQLLSLYHDRLISRVISNLPPTIRRPNPTPHTRYT KYWSSQSPLYKKVALTLQTIQYTELLWEMLARRRGEKVRWRVVVFIEVVKAICRLFLL RLTNSRPLVSPPLPEREIDPRSLEEDDSDWNGMESPVSERLSDLSWNMPRTGLSLPSL PDVNDVSNYLISKVLTADDIKPPKALLHRVTGQGQLAEVLHIIRPVIYALALQKWGSD KRSWRPWLIGFGMEYGSRQLAKADFRERVAGGLRGLTGLEREELKKRGWSMGWWLMRG AFYENITRSTLRGLTDKMRGKPLLDLVGSIIEDYEYLWDNYYFPTATL ANIA_05114 MDEEIPTSPFPNTEPVAVDISTFLPPSIDKPRLLAGESYAHFSP APPAISTQTIATNPEHDYLILGIDEAGRGPVLGPMVYSAFYLPSSLHHSLLSEEYSFN DSKVLTAGVRANLMRILCTQGSPLHESCGWATKLLSARDISSGMMRPAAGVYNLNAQA MDATIELIRGVVEERKLNVREVYIDTIGNPASYQKKLEMVFPSLRITVAKKADSLYPC VSAASVVAKVTRDVALDVCYEVLKENGGVGDGWGSGYPSDSKCVGWMRTNMDPVFGWG NECRFSWGTAKEMLEVKGGEKVDWPAEEDNTQLMGFLLTAGSMSRGPDQELREWFGKK TAEVL ANIA_05115 MAPRASVKARDFDYSNVGKAGRPSGTQLTVNRRTGITLAEGKRD EHGMEEVDGIFSSPEKSPLGDNGLDTSESIGSDMSMDEGNGPGPADFLSGTNGTRTPR FPPPVPRSPSKSGITGSPRRTPGLRSSQSPQRHLPSSSPSDGRSVRRDSRREVSPLTD RSVNATPSLEHSHAARTKGSRKAEKPARIDVSDSEANGDENGDSMDQDGFVGSYINGD DTTLGNDPEEVEDNSAEERPVQSPPSNKRKGPRKGRKPLTAAKSRQTLTAQDASEEQE TTQKRKRAGRPPKSQNQPTDDIEERRPQKKAKTTVPERRVSGPSGDPELDKMVENYVN RTGPLKGRSLYILKRENPADPSATHTRSGRVSVRPLAYWKNERCVYGDEEAAEGQRFP LSKIKEIIRTEELEPEKRKRRKTKKSKSKKSKDGSDSEDDQYRDPYEEKGGVLHGYIR KWDNETQTALDEEEVLDIAYAPSGIETRDVKGASFRFAKLLSSPFIGSGIVELPPGGV KKPKNAKKMHMIFYVVNGRVLVDISGVQFSAGKGCVFQVPRGQY ANIA_05116 MSFQPTPTDIPVAITTPFTSSPSDEPRLHSERRITPTWTVQQVK AKLETMTGIPPSSQKLRLKTPGRAEHWVDGDDTIIGEWGLTRGCEIEVHDTRPQAARV NFTDLSSVEKYVLPTETYESLPNSVLAWKKSQKLGRFDPNALSPVEAMAEQARKDKEE VEKRDISVSKRAIILPSSPPHVRRGTIRFVGPVPAIPVPGVDIETVDTPALPIWVGIE LDEPTGKNDGSVNGKRYFMCPNRCGVFVKPEKVQVGDFPPLGLDDELDEDMEEI ANIA_05117 MSVRLSQAELKRVEYPRIPVSATASDIVPADTFLLGLGFIKAVS RSIFIVAAKRCPSKSPRLIGWPIPHQPSPGKREWEGSEDWEWPTAAMELSRRVASLGS DAVNNVSPVRPPPPVSTPNHVSRCFSHHSSAVPQIYDSRRVPDSPAAAAAVWQHSRPS HVARLSVFAGKQASTD ANIA_05118 MHLAAFHLILPSKVHFSFLLLDSANLSFPSSPFLCCFCFSSEFI PQLDGHLKILSRLVPSTHRSSSLHGYQPSSSIPSYLFTVYTRLDTRFTAPWCARCFFR FDVFLDHRCGWSVTGYAPKRLSLRRAASPGLTRPVLSAAPSLAQGAPAGSIALPAHPE IKTRNYLATLLNVPVLLVLSQGWPSVIYGLFVLLDSAGLVNRQDVHCTALRTPSKRSG IKGSRDSEDFEPFFSSRHEEEAASVNMMSTTSTLPPVSAMQPLPPMSSIAPPPPVGVG LATQSSVPSAPSGPPLTAKVPAGAGTKRLQPAHTAESPAKKQSKWSPEEDALIIELRG SGMKWEDISKRLPGRSAISCRLHYQNYLERRSEWDEDKKNKLARLYERYTTL ANIA_05119 MTSIDRDEAYEEKNVHEVYQQIAKHFSATRYKVQPWPIVERFLQ DQKPGAVGLDVGCGNGKYLPVNKSVYILASDRSENLAQVARQHEPHSTILADILNLPH PDSFFDFAISIAVVHHLSTPERRVQAIREILRTLKPASGDTPGGRALVYVWALEQKNS RRGWDKGDDQDIMVPWVLKTPNSDNTSDTQPKAEELERDVESAGGRVLESGYDRDNWW AIATRVDQKN ANIA_05120 MTFPQTAQFINNLLLQISHVTTQNIRPSGNDEFEAQTQTQRRGY YRLTQTKSRSAFPTSQAANLKPLMLTLHCIFPNDFLLALDILDRGLVRRVCSHACSQQ NTSTGTEDGKDEGEHVAENQTQLKEDFFFAWNCTCPFFTISAFRDMITEPSSPSFSDQ TVSADGDGNEKATHNRVGYENFSVDAGADTLDTNAYADAYSFGGTLRLHQESAPPVCK HILACLLAALCPSLGVNGKDEEGRSVTLDKEEIAALCAGWGG ANIA_05121 MPATTADTLSLVTRTVTVAPLVLLSVADHYGRSAKGTRKRVVGV LLGEHIGGNVRVSNSFAVPFEEDEKDPSVWFLDHNFVESMRDMFKKINAREKLIGWYH SGPKLRASDLEINELFKRYTPNPLLVIVDVQPKEVGVPTDAYFAVDEIKDDGTTTSRT FVHTPSTIEAEEAEEIGVEHLLRDIRDVAVGTLSTRITSQLQSLQGLHLRLRDIGQYL QKVLDHELPVNHAILGNLQDVFNLLPNLSTPSTTARVGGEPEQSTDNAELARAMSIKT NDQLMAIYLSSLVRAVTAYHDLIENKIQNRQQQEEVEQKREQEANSAKNEKEGAKKVT NTSEPKEESKEKTKR ANIA_05122 MAPITDDVVAGLKSTIGKLEARIEDLESRLGGEPKPKSIAEHMR IILMGPPGAGKGTQAPKIKEKYCVCHLATGDMLRSQVAKKTDLGREAKKIMDQGGLVS DEIMVNMIKSELENNAECKNGFILDGFPRTVAQAERLDEMLVARNQKLQHAIELKIDD ALLVARITGRLVHPASGRSYHKIFNPPKEAMKDDITGEPLVQRSDDNAEALKKRLVTY HAQTAPVCDYYKKTGIWRGIDASQEPGQVWKSLLGVFNNKN ANIA_05123 MAPYNIRWGILATGGIADAFVRDLLLDPSTRSASDISHTVVAVS SSSSASRAEKFIANTGIPSPCTAYGSYEALVADPNVDVVYVATPHSHHFQNVMLCLEA GKHVLCEKAFTVNAQQTRILVETARKKNLFLMEAVWTRYFPLSIEIRELIKNGAIGEV LRVTADNSFGGTPEEKFSTEHRMVNKDLAGGALLDLGIYSLTWVFQTLYHTLPREARK PPSRVSAHITPYHLTGADEATTILLSFPTTTPSNSEHPGESQAVASTSIRVATDPDET NTARPAIRIQGTKGEIQVDGPAFRPEVYRIIPVKGQGMGEFKEKKFDFPANGRGMYWE ADEVARCLRDGKLESETMSLEESIVIMDVMDEARRQNGLTYPEKIESTVYPTQL ANIA_05124 MSAALASDEVAEDYKNSLEDLTTNDRFQISNLTVIAKENTEHAM AISRVLENHIRTTPPAQKLPALYVVDSIVKNVGTPYTLFLGRNMYQTFMNAYTLVDSQ TRRKLDEMLKTWKEPVPGSLDTRPVFPPDITRSIENALIKARTAALQQQQARGQQDVF NRSRVGTPPGWRNTLTTPQMPGRYPPPANSLQGQRPASNGYPTGDPLPVRSTPTPQLQ VQEVDLSSLNRDVEVLIAAARTEFANNPLDPSVQQRLKALLDLQGIMQRQQLTQEQLK LVRDQVSALAPKPSIQAAQPAPSHIPVTSAPTIATPPVAQSFSQPLQQLLNPGTLAEL IKATAARQQPTPPQVPSVPQLPVSSTPQIPSSAPENPLIAALRAKGLLPASSAPPGGS VPSPTAGAFPFIVPGQVRYTPPVPTPQAVSTAEVQVNVQMNTASIKIPRSMLIASLYE ARSNRCGTCGRRFFATEEGKQKKARHLDWHFRTNQRMADAARRAQNRSWYVDERDWIK SRELGDDQVITDAEATSENTAGNDSESKKGPAKQWIRAPNDATLRNTPCPICQEKFES TWSEDVQDWIWQDAIKVGNRVYHASCYAEVTKDGPPRGRETPSGRTGTPDSVLGKRKA EGTDSPSQNVRIKTEPV ANIA_05125 MKLISAAVAACLLPLALANSILVTYPKDTPDSVIESAKDSVRKN GGVITHEYSLVIKGFAATAPEEAIQQISTQSTAYKPTIEQDQTVSIS ANIA_05126 MESERTVSHSEALLAWVNSFDLVGEPKQIAELSDGRIIWDILHD IDPERFPDVTDPKKSNLENLVTIHGRLQYNILDLRKSEGWPRGLDPEPNLIEFAENNS ARDAEKLLKLVFFAATITAKGNTASYETYGDAIQKLDSPIQESLQDFLENVEEGQYEL DDLARESRESQLVKTIEELKQENTVLREKYVKTEQRVLELEYAEENYKSELEFMKERI EVLKSGKGEFGFSKRDLDQKTEEIAALEEQLFIASEQNGKLSSRIDELLREAKDYQAV RDKLDIEKNNYANANRNAKFAAEQKSKEIEMLQTKNQNLEAEKKELKKQVLEYDVQVQ RLNDQLRESNNALARSEREAREMSITKGNVDLDNEELRRRLEGAEKEIHDLKGRIGEL EGIDDEYSGTPRSRTPTTGVLTPGIQGNLQKDLEAAGFEESTLSIGEEEHTDFTSRVE EGPGEELNKENDEQQLAMKEEDKAKLLQEMLKPLEEAKEQLAQFISQQTGAGSEAIQQ SLEELTKQISDLIEKDHERLAQRAQYIHQQNEQIKVLRERISELETVVEEANAAEREE DTVSKERERALEKQLDALTRELALMSSAWYELQSKLHSTNNVPTSRYRHGSAGLVDAQ KSWLARQRSAVAGP ANIA_05127 MASRFSRSDLHRRDPRASSSLFDSYDGISRPASKSPGNVGGYGY GSYPGADRSMNGNGYTGYKSAMPNSKGHYSDAVLSHLESQNEEEVEGITAKVRMLKDL TLAIGDEIRDTTHISDLNDSFENTRTRIRGNMNRMMRMAERTGVGWKVWAGFFALVFF LFFYVWIT ANIA_05128 MSESNQATFQGNDPAAHAPDAAAYDKGKGKAVEDMDVSMDEEEE ESEESDAEIMVEDEDDDGDSNLEPVSTENIISGGRRTRGKTIDYQEAANKIDADEMDD EEDDDEDFKPSDK ANIA_05129 MAPAVGIDLGTTYSCVGVFRDDRIDIIANDQGNRTTPSFVAFTD TERLIGDAAKNQVAMNPHNTVFDAKRLIGRRFGDAEVQADMKHWPFKVVDKSGKPIIE VEFKGETKQFTPEEISSMVLTKMRETAEAFLGGTVNNAVITVPAYFNDSQRQATKDAG LIAGLNVLRIINEPTAAAIAYGLDKKVEGERNVLIFDLGGGTFDVSLLTIEEGIFEVK ATAGDTHLGGEDFDNRLVNHFVTEFKRKHKKDLSTNARALRRLRTACERAKRTLSSAA QTSIEIDSLFEGIDFYTSITRARFEELCQDLFRGTMEPVERVLRDAKIDKSSVHEIVL VGGSTRIPKIQRLVSDYFNKEANKSINPDEAVAYGAAVQAAILSGDTSSKSTNEILLL DVAPLSVGIETAGGVMTPLVKRNTTIPTKKSETFSTYSDNQPGVLIQVYEGERARTKD NNLLGKFELTGIPPAPRGVPQIEVTFDLDANGIMNVSAVEKGTGKTNKITITNDKGRL SKEDIERMLADAEKYKAEDEAEAARIQAKNGLESYAYSLKNTISEGQLQISEDDKKKV SDKIDEVISWLDNNQTAEKDEYESQQKELEGVANPIISAAYAAAGGAPGGAAPGAGAA PGGGAGFRNDGVVEENEELD ANIA_05130 MALPRLYSAPFRRSLSRFSAPCRKPNNHQTPFHRFYSERTSQSE KSTRSSHPGKTQDKRGKKEFTVWRPYLRLAIGIPFVTLLVWDMMTGEVTQLDSPSIVE IDEALKKQASVNETSPMRLRMEKLIKDHQQKIVEELSRIDGKQFRADTWTRPNGGGGI SCVLQDGNVFEKAGVNVSIVYGDLPRAAIEKMRADHKSFVGADVESLSFFAAGLSLVL HPHNPMAPTVHLNYRYFETSDPKDPINGDKNWWFGGGTDLTPSYLFPEDVQHFHKTIK EACDRHDATYYPRFKEWCDKYFYIPHRRECRGVGGIFFDDLDANFLESSSTSSQNPQE TLFSFVSDALASFLPSYVPIVERRKDMPFTPAQKEWQQLRRGRYVEFNLVYDRGTSFG LRTPSARIESILMSLPRTASWAYMDPVSGTRTEHMDNEEELGEDKAHEKEMMDVLRHP RQWV ANIA_05131 MRSKFKDEHPFEKRKAEAERIRAKYADRIPVICEKVEKSDIATI DKKKYLVPADLTVGQFVYVIRKRIKLSPEKAIFIFVDEVLPPTAALMSSIYEEHKDED GFLYITYSGENTFGDC ANIA_05132 MSPAAAHGSASKDVKKESATARLLGSGSAGIAELLVFHPVDTTA KRLMSNQTRITSASEFNQVVFKEYANAPLARKFTSLFPGLGYAAGYKVLQRIYKYGGQ PFARDYLAKHHGADFDKAFGKGTGKAIMHATAGSLIGIGEIVLLPLDVLKIKRQTNPE AFRGRGLFKIISDEGMGLYRGAGWTAARNAPGSFALFGGSAFAKEYIYSLQDYNKASW AQNFVASVCGASASLIVSAPLDVIKTRIQNRNFENPESGFRIVSNMMKNEGPTAFFKG LTPKLLMTGPKLVFSFWLAQTLIPAFGQIV ANIA_05133 MISLPLFAPRDAHELWFGPQAVPSSQQTEPLSSSSSVSASHAQA ARRHDSTQHRTGGTAPRLGASALATSDTLAALILEERALRARKNNIASFGCSWIKPAG CPKTMLGMKEEEAEREEAMAAADAEFAAAAAAAAAAAGGEGEEGMDEDDETGMERDLD ADIPDADEDEEGEDDEGLIEDGEEGLEADGGVDEEEYMERDLDDDVPEAFEDYDDDEE EDFDNQPDLDDEIPSADEGVDEMSELEQEEEHAERDLDDDIPEADAGEDEWQHTDTDA EFDDEEDVSFLQQSFRTSTASSTRGPSLPQAPMRPRETEAQRRFLQRWSGGGDVFDTS SLNTSMLVDEFDQEHGRDRGRDHGRDLRASLASQTSRRSSGNGSIFGRFPRRRGRSGM PRDSLEF ANIA_05134 MGLNLEEIYGQTIVEEQRPNEYSEYQPKKGYGWANTLPERQGLY DPEYEKDACGVGFAANIKGKASHKIVSDARNLLCNMTHRGAVGSDARDGDGAGVMTSI PHKFFIKNFAREVGVDLPPLGQYAVGNLFFKPDEEALKESIKQFEEIATSLGLRVLGW REVPRDSTILGPAALSREPTILQPFVVLKSAYGEGNKPDITDPEQFDTKTFELQLYVL RKRATHIIGLANWFYLCSLSNRNIVYKGQLAPIQVYQYYHDLVNVDYEGHFALVHSRF STNTFPSWDRAQPLRWAAHNGEINTLRGNKNWMRAREGLLKSDIFGEELESLLPIVED GGSDSAAFDNVLELLMINGVLSLPEAVMIMIPEAWQDNPAMDPAKAAFYEWAACQMEP WDGPALFTFSDGRYCGANLDRNGLRPCRFYVTDDDRIICASEVGAVDIDQERVVQKGR LQPGKMLLVDTVAGRIIDDSELKYTVAHRHDFSSWLNKELVKLPAITEKLVEQNMDLR HDLDNTTVQNDPRLKAFGYSFEQVTLLLGPMGADSKEALGSMGNDAPLACIAKQPRLL YEYFRQLFAQVTNPPIDPIREAVVMSLECYVGPQGNLLEMDPSQCRRLLLPSPILSIP EFNALKNINTVHKDWTVRLIDITFEKKKGVPGYIEALDRICDAATEAIQQGDKILILS DRATSADRVPVSALLATGLVHHHLVRNKWRSLAALIVETAEAREVHHMCVLVGYGADG INPYLAMECILKMNREKLIRKELSDEKVIENYKASCDGGILKVMSKMGISTLASYKGA QIFEALGIDDSVIDRCFTGTASRIRGMNFELIAQDAFAIHERGYPSRAIVDIPGLNES GEYHWRDGGEDHINDPVSIANIQDAVRTKNDKSYEAYAKAAHEQIKNCTLRGMLEFDF DQRTPIPIDQVEPWTEIVRRFVTGAMSYGSISMESHSTLAVAMNRLGGKSNTGEGGED PERSKRMENGDTMRSAIKQIASGRFGVTSHYLADADELQIKMAQGAKPGEGGELPGHK VVGPIAHTRYSTPGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRARVSVKLVSEVGV GIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDLRGR VIVQTDGQIRTGRDVAVACLLGAEEFGFATTPLIAMGCIMMRKCHLNTCPVGIATQDP ELRKKFEGQPEHVINFFYYIANELRAIMAKLGIRTINEMVGRAELLKVRDDLTNPKQE NIDLSLILTPAHSLRPGVATYNVRKQDHRLHTRLDNKLIAESELALEKGLPCRVECDV VNTDRALGATLSYQVSRRYGGEGLPQDTIHSNIKGSAGQSFGAYLAPGITLELEGDAN DYVGKGLSGGRLIVYPPRGAAFKAEENVIVGNTCLYGATRGTCFFRGVAAERFAVRNS GATAVVEGVGDHGCEYMTGGRVVVLGSTGRNFAAGMSGGIAYILDMNQDFLSKVNMEM VECSGLEDPAEIAFLRGLIEDHHHYTGSELAARILLDFTRALPHFIKVLPTDYKRTLE EEAAKAAAAKKAETTIPQLPSVPVEKQKPKAEGDAKKAELLDIEDSVTDSKVEKKRTA LILDKTRGFMKYNRRSEKYRNPATRTRDWAELSSRLTEDELKYQSARCMDCGVPFCQS DTGCPISNIIPKWNELVFQNQWQDALNRLLMTNNFPEFTGRVCPAPCEGACVLGINED PVGIKSIECAIIDRGFEMGWMVPRPPPVRTGKTVAIIGSGPAGLAAADQLNRVGHSVT VYERADRIGGLLMYGIPNMKLDKKIVQRRVDLMAAEGVKFVTGVSVGPDSEVSLDSLR KSNDAVIIATGATVARDLKVPGRELEGIHFAMQFLHKNTKSLLDSELADGAYISAKDK HVVVIGGGDTGNDCIGTSVRHGAKSVVNFELLPQPPPERARDNPWPQWPRIYRVDYGH SEVKTHMGKDPREYCIMSKEFVDDGNGRVKGINTVRVEWTKSATGGWDMKTVEGSEQF FPADLVLLSMGFLGPEDRLLGEEIERDARKNVKTPPGHYGTNVPGVYAAGDCRRGQSL IVWGINEGRQCAREVDTFLSGISSQLPVTGGIVRRPAVDAVRQATETVTIAA ANIA_05135 MPILYSLVDRLSDVLIAAVNPAKRARNRDYDRESSLSPAPKLHH RRREYDYDDPDDDHEPLSYYGRGLSPQFGEEVATPIAEEGDLSGIGYSNSSNNDKGVR YCRTPRHGKSVHWGGISNEFLDGVPEASAPGLSEYPQRRTKKSQNYVYGADYGAERQS SSRNLRKSRRESPSRSGSGSGIGILETPDSHEEAEAQEQPSIFWSPHASSLSFPSSLP GRRQLLHRTHVPRKSILKTPTTLDDEMLIRNLWAMVSISDSRLDYTYEFLAGVLLVGD KVLDTMWSAGEMMMYEEARRRQGGGQEGCKNGKGGGRRANSSVQCRGGQSLHKKSLSM CEMREQKGSLGF ANIA_05136 MGWLWNSLAPKKDSPESQPTQPSAQPTQPPTQQQSESQKLSREE QADAEVAKLWASLQSDIKQAEQTQQASVEGATTDSATGTSALDLQSPPTSIAPESLYP DTMSCRDAFDYAFFCQSLGGQFVNVYRYGELRSCSEHWENFWLCMKTRTFRETEKKKI IRDHYRKKAIKYKTGPSSEDVWDVRTEPVKGCFQGDFAALEREIQAEEEAQARARHAA NTV ANIA_10634 MTRALFSGRVFHGYRRLPCWRISSAYRVLNVYTARQASSTSTIG SANVSSLETGHITLEDNEGLVFVNNIFPRKLQWLLQLGPLNGTHSYEKALKRINRPQL AASDPLHIIRRVLPQDLDIDVKDVIPRFREGGAFVKYARKSEATDAEIEASIKEHLEK NPIRPWFNPFQQATVAHVQGRPWIEDLYRIPSPRIRVEFHPATPEGSATELTTEVLYS VFRRYGKLRYIEQQPPDSKVTPRYALVEFARPSNAVTAKNCVHGFTIPPEGGEGKSGT RVKIKYERKIRLSMIKDWLLSHPRLVIPAVAALIAAITVTIFDPIRTFFIKMKIKATL QIEENKFLQWVRYQVSKANIYFRQSKPDVRGLSAIWEDRHNDIEQLNSWLTESAETFI VIHGPRGSGKRELVLDRTLKDNKYKLIIDCKQIQDAKGDTAKIARAASQVGYRPVFSW MNSISSFIDLAAQGMIGTKAGFSETLDAQLSNIWQNTAVALKSITLEHRKKTDPDAQL SDEEYLEAHPEVRPVVVIDNYLHNNPEATSVVYDKITEWAAGLATGNIAHVIFLTTDV SFAKPLSKALPNTVFRTISLGDCSLEVGRKFVLNHLEHEARNKNKDTRHEEDLAELDS CIGVLGGRLTDLEFMAHRIEAGETPRGAVTRIVEQSASEILKMFILNPESESQKWTHQ QAWHLIKTLARSKDGSVPYNHVIQSDLFKSNSQALRELEQAELISIVTVNGSPERVRA GRPVYQAVFKRLTENKALSSRLDMEVLSQLISKENKSIGKYEEELLLLGKLPKQPREL TGRIQWLLQKVYNSQNKIAKYEAESAALQKMLQSEH ANIA_10647 MNTIQGAYDGLQPKTLVLAAIYILICVIIFTRILTGLQSYKKTD TAQPRRPRTAPYWIPWFGHSLSFARNHIEFLENTRHRLNETVFAIVMSGAKHNVVMSP SMIKSVLTFRGVTTAPLVQHVSRNILGDRGVFQKLNPSDRHVFVHNVPNQFMHEPSLS QTSGAAARFIERETPNLVTFSAAPIDQMLWERPGDVTVIEGKGQQVCEVDFFALIRYF VGTVTTTSLFGQAILDTFPTLLQDVWSVDDQFATLSMGPPRYLTPGISAAYMARDRLL DALAIFHQALLLWDEGKDLGMEFRDLRDLEDVSEPIKNRARMAKDMGLTPQESAPAHL ALLWAMNGNSPNIVFYHLLHLYANPTLLEDLRKEIAPFVKVSRPTREETGFPILEAPR LSIDIDKLCDSCELLKASFYETLRLDSAGLSFRQLTADLTITESEEEASKAGRLTPES YSLKNGELVIIPHGVIHNDPTHFSNPDQFDPLRFIRTDPQSGQKYAKSETMTPFGGGM PACKGRAFAEKKILALSAAIISLWQITPAEGKKFKIPEHRISSAAFLPKNDIRVRMSP RYPS ANIA_05138 MDKDENQVLADYHLSTPITSTSGLRQGLTSYGDAHFSLFLRKVF VKALGYSEDALSRPIIGIINTYSGFNPCHGNVPQLIEAAKRGIQLNGGLAVEFPTISV HESFSHPTSMFLRNLMSMDTEEMIRAQPLDACVMIGGCDKTVPAQLMGGISANKPILP LITGPMMPGSHRGQRIGACTDCRNNWAAFRAGELDIEEISTINEELAPTIGTCGVMGT ASTMACITAALGMMPLRGASAPAVSSARARVAEETGANAVRAANSKWKPQDILSKESF LNAITVLQAIGGSTNAVVHLMAIANRHPKVQGVITLQTFEEVGCKTPLLVDLKPSGDN YMTDFHNAGGMLALLHTLRPLLHLSAMTITGQTIGELLDASPFRGFSLSQKIIRPLSD PLYPSSSLIVLQGNIAPNGAVMKASASKDRRLLTHTGPAVVFENSADLARRIDDPDLD VTADSILVLKNIGPIGNPGMPEAGLLPIPRKLAAAGVKDMLRISDGRMSGTAGGTIIL HVSPESAVPESPFGIVQTGDLITCDIESRGLHLEISGEELKSRIGARKAAAAVKPRQR GYRGLYERSVNQAQDGADFDFLTANGGSRD ANIA_10635 MSDPVRPRGRPAHTPGTTVLTYTPDGRYIITGGSNSAIRIYTDG EDGEPKTVEEGADAHLAIGATNEYFFMGAEDGTVWQYEVKSGRMDKLLTRTALAVRDI AITKDNGWVAVASDELTVKLVNIEDMTKVKYMREQTKGTKHITFDPNGRYVAVSCTDG IVYLYSMDTEEPELARKLDGVIRRLEPEDEATARVVWHPDGTAFATADASRDIALFSV GEWKKEMSFSGGHNGDITAMSWSPNGALMVTAAKDGQVLLWESKTQKILHRYNFPNVI NLAWHPTKNGVSLTTSDGEIFIFDGFVPKDYQALLQKPLQAAPIFPGALTEISDNVQR PLASRPKEALRRGSIDSLDDILGYDQDMEDFVEDDDGAGYVEDVNGFGKRTNKHLGDI EGHMDKRTLTSFPKPKIHPPLQPGSTPWRGNRRYLCLNLTGAVWTVDQETHNTVTVEF YDRELHRDFHFTDPFLYDRACLNENGALFSNNPVDDSPATIFYRPHETWTTRADWKTT LPKGEHIRALALSDSYIVAVTTKDYVRVYTLYGTPFRVYRQKSPAVTCAAWRDYVMTI GNGPLGSDGRTGTLRYSIENVKRDEICQNEDVVAIPEGAELKSVFFTDMGDPCIYDTE GVLLVLQHWRTQGQARWVPLLDTKQLERLASGRKEETYWPVAVAQDKFHCIILKGGDR YPYFPRPMLSEFDFQIPISDKPKPQEEDEEGSTARNDSMRLEESFVRGNLLLSLFQDL LSATHATATQRAELSRKELELDKVLLQLLAIECREGEERGMKALELVHMMKDRNGKMV EAAVKVAQRYNRGVLEDKIRDLAARRYAGEDDDDELAF ANIA_10645 MPKFALSRSSTFASSRTTRFYRFRSNSSRCASSHSQIRHDIPRS PSPQAHLPLLPSQSGPGFSFKYSLTDDLTPPNDHKPPDERIIKLGKTLRILSPLLPTI LTNPLPPHILSPNVTLHLFPSTHPHLPTVKGRTLYRAALWAVPVAWSSVPLVGNVKLQ ILSERIVRGGIVPDPQVDTAHGTQAKNGDCGDERLVVRWRTEKPNSHSSSSSSKSETS TLSTASSSPLKRDPKTGDESKTNTNKGLSVLLGGDAPIFKLSRDDQFTGLFIFSFDEE GRVLTQTIEHAEDAGGWERTAKFVTLTDWLIGKARGSLDTPQPAGLCCSGTGQDPGHG REGRWRSDEAEKIRISGASGSSL ANIA_05140 MAVPAEQRKKILKVLMTSLLLDLISFTFILPLFPSLLSFYRERD PSPSSPLNTVFHYLNAYKNAFAKPIDSRYDIVLLGGALGSLFSLLQAIAAPVIGRLSD KHGRRKALLASMLGNTLSVALWVAATDFRTFLASRIVGGLSEGNVQLAHAIATDISEP SQRGSTMALVGACFSIAFTFGPALGAYLSGIMTVKANPFATAAGVSLGLILLETVYIY ACLPETHPQITGSSSTSAPTLNEDSKKTKEAPKAKSSTYQYSNNPTTLNILHLLFLLP FSGMEFSLPFLTATFYTNSTASPAALNGRLLSTMGLIASLLQGTVVRRLPPLLVVRIG VASATISFFLLSRVTSIAGLYTAATFLAVTSASVVTGLNALGSLEAREEERGVVLGRL RSWGQVGRAAGPVLFCTLFWWVGREVAYLTGSVVMAGVCMGVFLGLRAPAVPPAAGEG KPVR ANIA_05141 MAAAAVDTAPAQSPSPSDVKPNSVTNSVPSELVEFPHYRELQRN LRNNVKKLNATSKVDAVIANNPDKTLDELVAEKKINEDQKAQALKKPVLQATIAQLEE QIAHYKEFATFYEQRLASQKAELEKAHKEVEALREKAATATPAPAEQTPEVKKEDAAQ QLLSVSRFLSTAAIRRHRGEDETTAESRAFEGVLAQVYGGHLDAVASMQKLIDGADEK VVSVDGEKLEVTFARVKQLSEQETVASAASQPAPEAATDPTTANAASTELQDPVYVTE AAIDNAATASTNEAESVAPPPQTLVGEGANAVAESAWEPNSDPLASSTNTEGFVEIPR DPAETETGLQATPANITADVASEDAEKTENDFEQVGSRHQRQSSFRGRGRGRGRGGDN FRGRGRGDFRGRGRGRGRGGRGRGGPNGAPAATPAAQ ANIA_10643 MTATQKLYPRATVKRIVKAHANRSLSKNADILIFLDYMLFMQEL MREASIRSHKAGEKSISPNSVRKVTEKTLRKFKG ANIA_10636 MQADNSTSPQTPALSSSLHHVLLDLYQNTYPHVPNPPQCKKRAS VALVLRVRPTYKHRPDRSSIIANDTSAPVTEQLNNFFSQPWVQNGDPEVLFIKRASRV GDRWTGHVALPGGKRDPEDADDRAAAIREAWEEVGLDLTTDDCIYVGNLPERIVTSSW GSVPLMVLCPYIFLLTGCDSPILELQPDEVASTHWVSLNVLLSPSSRTVEHVDMTQRL ASTGGLMARLASRAMMGYMQFSAISLTPTESLQCSVNLKRNLATAQTSTWLQKLKSTL CNSQHGPNQAPPLLLWGLTLGILADFLDMLPPHTAVQLWKYPNFTSPDLRLIVNILTY RLRKRNMHQVKFGARRRPSNTAIDGETAALPVVEVHENDDRNQVGIGGLGVGRYYGPS DKSPDGSAYAVGIMLRGYYQRIRLALYIFVAWRVAMGSAAAFYVWKYLRSRVTIGL ANIA_10637 MRYGKTLRNSIYPPWSKSYIDYNKLKRLLRERDVIGDDSDTDAT WTEQDEEAFVQELLNVQLDKVNAFQVQTSQQLRERTSACEDKLRPLAQTEGDTPAVAE EDRIRIASEVLAELDSITKEVSELEKYSRINFTGFLKAAKKHDRKRGARYRVKPLLQV RLSQLPFNSEDYSPLVRRLSVVYSFVREILSTKSPEPEPGFGQDNYSSYKFWVHPDNM LEVKTLILRKLPVLIYNPKSSKELETHANDPTITSLYFDNPQFDLYTQKVARSPEAGS LRLRWTGNLIEKPPIYLEKKIVTSDNFSNEVKVQLKQKHVKEFLDGEYHLEKQVHRME DMGNGEMEHAESLKRDVEALQSFIKENNLQPMLRANYTRTAFQIPGDDRIRISLDTNL ALIREDSLDKERPCRDENEWHRTDIDNAAMEYPFPAIRNGEIVRFPYGLLEIKLRGSA AHNSEWVKDLMVSHLVKEAPRFSKFVHGVAQLFEDHVNSFPFWLSELDNDIRRDPETA FHEEQERQAKNAEEDLAVGSFLGARSPPTRPLVGSPITRFADAESPRAQRTSQATLSQ LTPAAAPDRPRDGEREQQGEPALLQRQEEPVTQSRLAALFPSFTGRRRSVVLPPGVKE PGWWIKDTGPVRVETKVWLANQRTFIKWLHVSILLSSLSLGLYNAAGKHNDIARALSI VYTAFAIFAATWGWYMYEKRARLIRARSGRDLDNTFGPIIVCIGLAVALILNFAFKYA STVEKLRRTRPVDMTTVEGSFSMAANPEIWDLPDQQPLN ANIA_10641 MTNFNIQIISDSVCPWCYVGYRRLSRAITTHKLTNPLDTFTITW SPFYLNASSPGYPGVNKRQFYENKFGAARTGAIFERLAAVGEGEGIKFRKIENGVIGG LQTRVVERLFRAYFEEEKNITERAVLVEAAVGGGLDKSEVEGFLDSDVGGVEVDRDAE GARRQFVTGVPYFMVQGQYAIEGADEPETFLEVFGKIKADGQ ANIA_05144 MAQLTDIARSAIMTSASSSPDYSRNTSSGINFNGTASNGKPMTE RDATLMPPPKTVAGRALGNDLHSDSHRKPHASRPSRDGVGFALTDTPISTAPSSPQFA AASQASTPSRVRATTLDIPGLTKSKVSPDGRIAQRDLGAKLVIVMVGLPARGKSYVTK KLARYLNWLQHDTRIFNVGERRRVAAGKSPAAPHRKSSVQQELVDSVRRLSVSVGGMT SAQKEAVPAPQHESPPELNALPPPVVPPTKILVNGEETGGSTMVPASEADSQDQQRIT EASPEPMDQSASFFDPKNQKALKLREQVALETLDELLDYILEDGGSVGILDATNSTLE RRKTIMDHIRNRAGPELGVLFLESSCEDPVLLEANMRLKLSGPDYRDQDPVKALEDFK KRVALYEKSYHPLGEYEESLNMPYIRMIDVGRKLVSHQTHGFLSSQVVYYLLNFNLSP RQIWITRHGESKDNQAGRIGGDSELSENGHRYARALTRFINEKRKEWELNQRQKDMMS QMPPRAGDSTPPNPSYIPRDRPRNFCVWSSMMQRTVQTVEHFNEDDYDVKQMKMLDEL YAGEMEGLTYEQIRERFPDEYATRKKNKLYYRYPGPGGEGYLDVINRLRTVIIEVERM TDHVLLVTHRSVARVLLAYFRGLKRDEVADLDVPLGMLYMLEPKPYGVEFKAYRYSPE KDTFEYIPDFQLQQTTQQ ANIA_05145 MFERRAADRYRLRMHRARTVALTNDEIVEVRAAQRTFEGAYIRT ALSQFSFALVVLKIFTNEFYSTGALFAVYGTGVLIIGLFRRQQGNRQFFSEVGDDGVH RHKFRTSGNAVVILTALSIAAYATLIALTLRLDK ANIA_05146 MGKVFDAAEVAKHNTKDSCWVILYGKVTDFLSEHPGGSKIILKL SGQDATEEYDPIHPPGILEENLKPEAFLGTVDSATLPKPKADATVAQEKKEEEDVPME ALLNMDDIEQLATKKVSKKAWAYYYSASDDKITKQFNTDVYRAITLRPRVFIDCSKCD LDISCLGYKLGIPIYVSPAAMARLGNPAGEAGIAEACRSFGAMQIISNNASMTPEQIV ENAAPDQVFGWQLYVQTNRKKSEAQLARVNKLKAIKFVVLTLDAPVPGKREDDERGNA ATGAGQGESGVGKQLFQGTDPTLTWRDTLPWLKKHTDLPIILKGLQTHEDAYIASLHG PQVKGIILSNHGGRALDTAPPAVHTLLEIRKYCPEVFDKLEVLVDGGIRRGTDVVKAL CLGAKAVGIGRPALWGLGAGGVAGVKRTLQILADETSTAMRLLGCERVEQLGPHHVNT RVVEQQIYDGPPGLDYIRSAYRAKL ANIA_10638 MAEIQATDTAHLSKERKRTRVQLSCTACRSRKLKCCRTHPCNNC LKRGEGNSCTFVGRGPRGRSSHGRASPTHVQDRLQHLENLIMSLAQQRKQGEPPSQDF SPSFPVPLPEPLAREQAKVLTPPSPPKPEGPSPESESSPSGGDGKLLVKDTVTNYIYS AHWKAILEEINEFKQSLQESDDLSDEEVSEDDNISSREPTIWFGISRQMSKEELLSDI PARQVTDRLVSYYLISKEPIVAILHIPTFQKEYNNFWDNPQSVSLSWLGMLYAIMTLS IMFYQRTGDPIYGIDGDYGEIANVFRKRSAQCLVQSNYIAAGRYKVEGLFLYTMGEFY KKHDVETGVPFLLGITIKLAMRSGYHRDPQQFPAISAFDGEMRRRAWVFLCQLDALLS FEVGVPRTLQDWQFDTELPRNLLDEDFAQNTVQLPPSRPMNEITAMTYIIAKSRIMMV FGKILDMAFSRKPVTYEETLEVDRLLEEANSLKPPAYHIRPINQCIADPPELIFQRFT LANVYEKARCVLHRRYLGEVHTNMRYAYSRVVCITAAKQILRVHADLYREIQPGGLMY RNRLFPNSIQYTDFLLAAMILCMELSYSHGTGSRDARNDDVAVVIKDREDLISTLEDS HQILQDLSKQSADAQKAHAALTVMLRRIKSGLQTIAPPKTSPPTTAAAGRPTEVMNVP PPYGTWPGLEAGTLGNPLFPANIAPEPVPSEDPQYASLNVIGGMLDTPANIDWQLWDQ HIQNRLDHMIDRDDLWYGQ ANIA_10642 MNHLLAPRSIFYLNSKVPTIRPEILRCRLFCYTSRLQGLPQQPS YRQSYNPQFQSRIEKRTFLSSFIPSPPQASNNGDGNGNNKARILTASRTLPYPPSPLF NVISSVESYAEFLPFLTASTVTARDPETRYPTQAYLTVGYGPLSETFTSKVDCNRESW VVEARSGERFGIFEYLSTRWELVPETASEGGDARTTVNLEIRFEFKSQLYASMMSAVE GQMAGIMIEAFEKRIREVHGR ANIA_05148 MLNRLLRPQASLRAASCISQKPISAALPRFQTRGVHNVPNLVHN AYFQKHGIPEFLSPEAYDFSWTQYQGLMVDKLNLLTQDTVDADLKPGDLLVKYSRRPE MASVFNYASMAHNNHFFFNCLSPTPTQIPETFANEISKSCSSVESLKLDFLATANAMF GPGFVWLAKNLEREGMMHIFCTYNAGSPYPAAHARRQPVDMATHTPDTALGNQYAGAM GAHSTNQKNIAPGAVDVQPILCVNTWEHVWMMDYGIAGKAEYLERWWDRINWDVVVDN YNAVRAKSSHRVAKDGRNLHHL ANIA_05149 MARSFTCHVSLRKSIVMLLTILSANVSAKHQLLHPKRANSCPDS SRKCSVVGVSENFCCSSSSTCIALDGGSSVICCPEGQDCSYIQPITCDVTKQNATLHP NNVIKTTRLDDDLPTCGNACCPFGYTCQGSFCAMDDTASSSASTSSSTSTSTRSPTAS TSTTTTAESSAESTASSTTSSTESSTTAEATTDSALTIADDLSTVTVEDSTPSTPVPT PTLSQYSTTSSGNLSAANAQSSCPSFPTNAILAGFFPGAVFGAVAALLITVCIRRHRH SNPPPGEKVAQNTFRKSTGTLIGISEPIPSHESSFRTDFLLSTNSKRNSESSRSVLSR SRSRVKSLFSTPQKPQHVASEGNIPAVPPVPAILSLPVTPPQQRQPSRQPSTESIRVY TPPGVFTNAPSLNPSPYPADQRPNTTLTEILDRVQTSVQAEPASASGANSKTDSTATN NASQRFLLPPPQQLGRRKQLPY ANIA_10639 MSIKQEDSGSLQPEMTSKLSASTLPPPEKPSAIQTRSKVVAAFW AVILFLGFPIWWKTTSIYRAELPIQEMLDWAGGKSCRPVFPLEIRFETPSLPDVEAQQ LLRITQHTLDDLNEFTAHHLRLKLAEDEAEQTTQKADTALTVRLQAQGDLTGPRSELR TDTTMLDIFYPPSQIPPPSSPNSPLASFIAAELQHLFSEEKAIMSHILSNNAIGNYAV STPNSLAHQISPQLAESITKRLRRSMKYAETYHLAFSLFTPGPAPSSWDIESAVQGYI SPIVQALSPISNFTVDTQVQLYATFSPTTPVPEYDETQGVWTLKEENLSAFINAAEWP LNPSIGSGPTINFILYVPAPSQSPLLVQESKATSWIIPQWGGVFILNPPLSTTDGSAQ SNPPHLPRDALRAPFLTFSHQLLSLLGTPSTPPSLPFRLQTLIRIRAATVLLSASSTM GSLARLTESLPSIPIPATVASSVATTLSHLSSSCESLQNGQFQAALASARVAEAEAER SFFEKSMVGQMYFPDEHKVAVYLPLLGPIGVPLVLGLLKEVKRVVTGWKARRQGVVS ANIA_10644 MAGTAIRDIISDPSLLPVLNTAAETRDLCHKLLSLLDPSGAADQ LSESPQSVQKHLFALLAQLRGQNRDAIFRVRETKQRTAEARQEIDRLHLQLQNLYYEQ RHLTGEIAACQSYDHKYRSLPLIPVEEFLELHPEHRESDEHELMVARINHEHAEREKL EQARQELLKRKQALITENNKRKEDLASLDQDLEKFIDAAKPIQKLFEKEY ANIA_05151 MACSTGSVTIESYLDTAVSSATPSEETALLRDRKRRHSFHTARK LSCDYDADAIFLRVELFLAELERRLHWIEQYRKSHMVQIDTSLRRAYATLEAVRDSCS HASGELMGSGKKRAKILVETLESRYNDALATKETLEQKAQAGVRLMESFLTELESRAD AVRDRGVYGALDDGWKAVDSTLVQAREVMDEGIERARQVKDALRENIDHAIMLAKEKR LISYSDLPAPWRINPHILSGYRFHSSKVECLTSVFTFSNELVNIWSHLIGLIIVLSIA FYFYPLNPNFHLSTNSDTLVAAVFFFAACKCLVCSTLWHTMNSIADQPLMERFACVDY TGISLLVAASIVTTEYTAFYCEPTSRWVYILLTMSLGIGGVILPWHPTFNRADWAWVR VAFYVTLALTGFAPLAQLTYARGFSWCLYFYAPVMKSILVYFVGACVYASQIPERWKP GLFDYIGGSHNIWHLAVLGGILFHYLAMQDLFANAFQRAKGECPNLTS ANIA_05152 MGSTQFGNFDDFCRDSTLPVCNLFVSSNQPPNEIYGGCALRGIG LSDGRYLANLGSILLAFIAILVSLVLIWRSDRKQAAVGRREMQLFLLGYIIIEICEIF SVGGFPLRNDVRKGFSAAHVAAITMTCWILLLNALVGFQFLDDGTPASIGLFLFSGLI FFIGTGYIALDTAFDWTGEFATNEGNGYRNIALYVLYQLFPLICLVAFFFLETFLVVR ILGELRPMLYLSAAGLLFAIGQIFNYVISTHLCQATDGKINGALFETLFTLVSVVMVW IFWSSITEDDWPTPMSQPMPGYN ANIA_05153 MFCLRSWLPLLFIPTNASPLFLISFVTLTYILHRPCIYCSALLV ILFASTCNWSDRCFLNLRADWFAPRYTPYDAPAQGAESESLAGYIFETLNSTAKTLAG AAVDETQRRLSGGYQGASEASEELTGFGLEWLRSLIGRREWTLPCVDVKVRI ANIA_05154 MSTPNDIQALLASIRPRPSPSGTPAQNSGMPQAQYSQHYPHGYR PQQPPHDGQSYAHPHLHQQGYHHPSVSSTLHSPSPVNLPPRHGSDVLSPNVVTPGEAF PQHQPQPQNPDRSVNLLNLLKFNQPSAGNVQQQASAGIEQPVHTHPSAPGVENVPRSH SRNISASDLVATLFNRPGQAAATTAPQGQPVSQPVTESPSPATSTEKPQDMLLRLLNR PKSGQDSADVVQTPGSISSQKNAFESESGGLTPSTHGAAESKGQASGKPDKPTPTETL FAHVNPFEQLAAVSRSRTPQLNSRGESPAVEVTKQVNVNAEVESVPASQNTERGHPQS PPLDEHQKEAVNNVVDRLVQQITKDVDQSLEKMAKENDTSAPAVQEDTVKATVASTAT AVQNSTGETEVSKEVVEEIRTTEGAKEPSNGAAEALADSWESAEDSAEKDEERIVPVH NFPLKPFISIIVKPYAGKLPALRDDGIMDIARLKKDFDQLDRSLTSATPDYIVYALAK NGGMRIIRQDDGSDKQVLRSTRDRVFNVSVCTSQPVGGSSDDQAILGIGVSGAVYWVL ISQKNRDLFEQDALESESLIFPPFPASDENTSGGQLKTRAKRSSCHPGFFAIGRGKNI YVLSPQAAANPAYGVSGNNRTVNTEQFFKERALKISTGKAGKDFTFSGDDTVIASLDK TGRLRFWDIREVVNTPDAEIKSNEIRTPLSTFVTGTPTEKSWPTSVLFLDKLRPYVKS MALRYVLVGLKQNHTLQLWDIGLGKAVQELKFPHENESDAICSVAYHPSSGILVVGHP TRNSIYFVHLSAPRYNLQSMSQASFIKRAAEKDNSLPKPESTACLSGIREISFASKGQ LRSLDLLPITKAAGEDSGLFELYVMHSRGVTCLNIKKEDLGLGQDNRIIRPVNALEEG LIEIADLQSFPPYIADDFSVNGDSISTPTKVIPKEFVKATAEPAAESSVGTSPGPNVQ RTQSPTKTAPKKKADEAVETVATPSEKPDKKRKKKAAATASEVKKEPVVATSQGPSTV EQPNGEALKTTDWNKYIEALQEGVTSQFNKSLGRELEGLYARFDEERRSWDAASASKQ DQVLRLVSSTLSDNVEKNLARIIKQTIQSDVVPALGDVTSAAVSKQLNTVLSERSGGV ISHELRQSLPGAVTRALQQPEVIKVVSDAVSQKLSTQVESQISKTVHDSLAPTLKNVA LRAAEKVANDAEKRMQAQSKQFEAQRQADAVKIDQLTSLVRGLSDTVTAMAAAQTGFQ NEVLRLNQVLAQRGPEARQGSESLGITSPTPLTGSISPEDREITEIAQLMREGKYEEG SVKWLQSEQQADLFDRLFVRLNPSYLNSLSPIVALSVGVAVTSSLQTNVMERLAWLEV VLQTVDLRDTDIQEVAPKIMDILIARLNELYMSVAKDSPHSPILRRIPPLARRATELR GN ANIA_05155 MMEVQEILRSVRPLVGGVRPIRTVQETQPVEEYDNAYVAGVNVK SAAKVVKVLDAAYPRDASRPMNHLRRFAKHNNLPKPLHPILLKDNPSPQTIFVLISPP LPDVAHLEELLAPYLPPPTDPDAQYSDPTGQVKVKLHTIRVPTLPPLSTAQAEKWSKD LWPVVYNPAAARLTVSPPAQVLSRAREFIQPDAGRYLAFARKVAEEAEQCGRGRGVGA VVVDPDIVSRILDADGDIESRWPEAIVAVAGDARYSRREAGAPSTAERHTGPGPNPAT ATYNADVEGGPDLHALMRAAEIIAYKRRAGDRNAENDKPSLSPLESYFVSQSDLTAPD PQPEPKSASETTDISPVPEKYQKTGPHDSQAVPASSATDTGPGPRIRPRSQGGYLCTD LDVYLTHEPCLCCCMGLLLSRFRAVVYPQRGRMVTGGLASEPVPVVGPVCDENTDVRT SGGEVPREDENTQSQKPSRLYYGLHWRKELNWRALGFEFVEESVEKSAVTLAEEGLAF YA ANIA_05156 MLVSSPSPSALRPAPSSPAATQLHPPHSPCSTSFTRRLSSTSAA LAYQAQQYDSAADRSVVPGSPFTPRSSRVAVEELRSALKRHSVSSPPSRPSGDPACGR MSAGQTSLGGGISTSASAAASFAAGPSSNAALPETSNQSTANTSSVPGPAPTVPPAFA APQNTQPPPMPAPTTTAGSNKRNSQSDSTRGVEPAGPGSLSLEGSQSKRLRPANPAVK YLPVRYEFADPRDLVVLISSMLMELIRYNDKIPLNQGRLTRFHSRTPPRISVHDYLQR LTTHATLSPPILLSMVYYIDRLCALYPAFTVSSLTIHRFLITSATVASKGLSDSFWTN KTYARVGGISMAELALLELEFLFRVEWRIVPQPEVLNDYYQSLVERCDGYEIQSGL ANIA_05157 MTRAEAPPIFLQLQNADSLSSQAAALRALKNETIGHDQRKEAWV RLGLIPILSNVLASRALDKSELNNGTKQPELPGSREESDDVCLQAIILVGSLAQGGTP FLSPILSSNILPILLSILSSNCPSSFVLPILRVLNSVADRLPLQSQQQWPRDTRLADI LFSTEHIGCLTRILGQDYSSHSRLTAIELAAGLIGKLCTEESHKAVLAESGVLDALAV KVASFIVAQGFVFPGAESHLDDVGALGSLPPPAPRGAKLAPILRAVTVIVEHSKWRAE HFLSSPGIVTVFPRQIPGFSPSDIKKGPWGSTYFSGSAVPRHLGGTPLEYLLPSIPLS QLKPSASSSNFPPLGQYGQHRRQSHSFPTPLSSFEPPTAEDDENPVVPWLLYLVRAES GMARLMAARFVTVLCRLGLTKKHRISMLCYLLIPILLRMLDKDYEASDDGVQYGGLIS SSQRIKEEAPGVLATLLVDDRELQKHAVEGDAIKRLSQLLKETYNPIHEPARTMWHAE GQPKVEDHDSQPAECRLGPPGYSPLRYHILRYRENILKALAALVPFKDEYRKAVCEHG VVPYIIDSLKPFPDQIPAESSDPGNTAADGNPTPTLLAACGAARMLTRSVSALRTSLI DAGVSTPLFALIRHPDIEVQIAATSVICNLALDFSPMKEAIISAEILPILCEHAHSSN TKLRIESLWALKHVAYNSANDVKIKIIEGLGPEWIKQVITQDPTSVLAKRGLEDDTDS NTPSGMSRANSAGERVDLLNPMDDFRERDEDMKMTDPVPSSKVSLDMFFPDATRRRKL ALHGDLDQTTQARQDDIAAQEQTFDLLRNVICGPGASEMIDYLFKELGQDLLLDTLAD KLRPRSIQLPHRRESPNHRALQVPTEILVAVTFVIIHLAASLPWYRQLIVSHRDLIRY LMGYFNHSHRDVRANCVWVVINLTYEDDVHDREGCRKRALELRSIGVLDRLASLEHDP DLDVRERTKTALHLVNSLTHS ANIA_10646 MSEVPASILRALSIPNPSKATLSTAGLGSGFTSTGVVRATVPGT DGQNEERKYFVKTSADGEAAKEMFLGEYESLNAISSAVPGFCPRAIAWGPLEEGGKPG KSYFLATEFLDLRAAGHGGPSLAQRLGKLHSTPAPIDPKTGKRLFGFPVPTFCGDTKQ PNRSCESWAEFYANERLLTILATSEKRNGKDYGLRSLVEKTADIVVPALLGDGHLGYD TSGKGQGITPVVVHGDLWSGNASRGRIVGSGRKEDEVVGDVVYDPSACYAHSEYELGI MKMFGGFGSAFFNEYHKIVPKTEPVEEYEDRVRLYELYHHLNHHAIFGSGYRSGAVSI MERLLKKYG ANIA_10640 MAPEQQRDSRTAPGSDVALPDPPPIHPSFIQVAKPYIFEQTIQQ CLAAMGVNPLREESLRLQGVTWIDNVRRALNLPIRTFNTAVVYYHKFRLIHHDTDYNN MDAAAAALFMACKIEDTLKKSREILCAAYNLKLPQSEHISSDNQILDEPARGIIGLER LMLESSGFDFRTRHPQKTLIKLARQYRLTPQSEVSNVAYRISQDLYRTFAPLKQTTST MAFSCLELAGRLLEQRIKEVELGTDYARWRTSREEVMETLLDLLELYTHNRSATTVGP HFPADRFLTVRIPLNKEAEEQNLPRYTNSIDEDKLTKDSRKGPATNSSKTEKGAPTTG ALHHPLIPVTANGERPKPGEKGRDAAVRFIVDSEYAAAEKTQVAEYFKVEMEEYEVEE ANIA_05159 MSHDVFLARCPGIWQLILIEPSGWIQVNQINDVTSSHMQPSKDG QDGRPSLPFETSSLKYSGPYRVGAIDIEAPCDGRIVHPSIHLSDGQAAFQLDTVLFTL YYPAAPDAVATRSRHPWLIEPIRLRGEGFARFVNASNWLVDRVFAFMLWALAGSVRIP ADVDIPLRDPSSADKLGESPTDTHHRYPVIVFSHGVAASRTDYTQLCGELASRGYVVA ALEHRDGSGPGSVVRRGGGRKGTVVASDGSPTGQGHGQARPGARRLRSVLHFAAKHLH HDPPLDEAELQRAQLSFRQAEMEEVVRVLRMLNAGDGQTVFRHNARREGVYLAGWKGR LDIERVILVGHSYGATGVLQACRPTADLSQALPIPLAGCIALDPGKASGPLNDDIGVP LLVIHSHSWSRKFSLFMGRPHFEVVRDLVRTCLQRTGAAWFMTSLGTSHASCTDAPVL QPLLLNWATGAAVDAHEGVLLYVRRIQEFIEFVNTGKREGLLDQQETHASYDEGTLVP WTKVYGPRHWTRDWQIHVAPAVESENVAERVKRKLGALDEGANESSEGLESGETLVGC GGQVDTRSSRVVMS ANIA_05160 MKLAAALAVVFLFGTAHAIPNSPAPAQELEQQRVRFLVPDNRAA EWPFGQWIWCRRAGSVFAVLEAGYGSASRGTYPESTVQAEYRLLCRDKHSAVCPAPTS LPYPLQVSMIMISSVSHCPALRWDLCSSPCSRKEDSPCEISESAIMSLPVQGAICFVF KARLARRVFLSYYFGWNRQLYYAAARLSAAVMAPFLVL ANIA_05161 MIASYLPNSSIKTLRMTCKPLCNTVSLRLDRAFLSANPLNIAVF RAIADSERFRHGIEEIIWDDARFIKAPLGEFHIADEREDLWIDEETGCPEWFVNSCKE NRKDLEMGNYPDQGRIEQIALIEEQAAAEPPLKIFWQYYQNLLQQQEDTIIFDMDAEA LEYGLRRFPALKRVTITPAVHGWLFTPLYETPMIRAFPKGFNYPIPRGWPDNPEGTFT PEATPWEDEATRKDFRGFGIVTRALASYADHHVSELIITANTLQTGLNCCVFETHNAQ YTDFAAILRKPGFARLDLSLLVCGQERTGWPAYRDGLLRRALSGAHGLEHISLSTNIE EDPASDSTIPWSAGGRDQLVPLRTIFPVDEWKSLRHFSLSGFHVDKDDIISFLFALPP TLRSVYLGFLYFVDHGGSYRELLIDMRDQLDWRKRDPADRPVVSLAKPTSYNRIGHAV WLDNEKRRKIRNYASPAHTYTSACNPEDLHVLIPRVDGQATMGTKKVTACGNSHWLTG DWRYPAFPSRPDYDWEGIENGARDSISCYWGNTSDSCSNWAVGGLTSHDTRWARDTNG SPTRIRTNYQTEHVFEGQLIGDFFDWWLEQGKIKNQRPIPASASSKFPCSSSEDYFLR PRAYYPWTLDGKQTAFINVLLSELDVYGQRANRPRQIQAHGQRRSIAACLKRWEVWDK FCSTYEAIYGHFGSWDNWHRQAGYPAGPLPSMQDEWKEYIRVVLDSFVLRARDTFDFM LRERKTTHLLATDPPFDGHWLSNRFVIRMFLRLSLGCNNMDASRV ANIA_05162 MLFRTAWARQAAPLRRQAFAPLARRSVTTDAASSHAENIPEDEN KPFTVRLSDESFETYEIDPPPYTLEVTKKELKQMYYDMVAMRRMEMAADRLYKEKKIR GFCHLSTGQEAVAVGIEHALTREDKIITAYRCHGYAMMRGGTIRSIIGELLGRREGIA YGKGGSMHMFAPNFYGGNGIVGAQVPVGAGLAFAQQYNEEKSTSVVLYGDGASNQGQV FEAFNMAKLWNLPVLFGCENNKYGMGTSAARSSALTDYYKRGQYIPGIKVNGMDVLAT KAAVKYGKDYAISGNGPLVYEYVTYRYGGHSMSDPGTTYRSREEIQRMRSTQDPIQGL KQKILDWGVMSEEDLKGLDKSARAHVDEEVAIAEKMPLPENNSRILFEDIYVRGSEPR WMRGRTVDETFYY ANIA_05163 MYNPWSTHHTQAPHPHHHSHHAQTHPHHSHTQHAHHAHHAPTVT SVSGTVSVPGTVSPGTRLVELDSSPSASPSTSSFRMNWLPSMLNGHGRVHGHTTTHNP GHNASLPTISSNLRMQHSISQQQQPQQHQHQQHQQQTQAPVSMIVDSRAAQSGGAVVG TGGGGGNQAGQVVSVESEESDRSESPGGTPGTRDASGADALGEPEFTGEGGQNGRDGD IDVEVLAENGTDVTGKGVGVSIGSNGEITRTLPSGLNLTSRKHGKRLTTKEEVFLFEI CNRHAADFGRRSNLCKWWMTVTMEFTRGQKHPYSWHSVRRKVELVTKQRMKFLEEQRE KGASGTETAEDLSNPRWRAVVDAWIPTWQRWEEAEARRIEKRDSRRPRKRKWTATTPT ASVTAGDGWDLPSSSAPGSGEAWRAPSSTSSSPMVNQTPTAPSSTPVSSTPVRLPPGF DTLFSQSSKTPPVSTPFNPPTQTHNHRTPQTSHTHNHTSNPSTSHNQPSTPITTNPPP QQTPDSAVMVAMLETLGKLNKHLESNPTASSLLQTGTNPNSEPTSAQVQPASQDSNGG ANEDARTPSRSILRKLKEDLKSEMMEELRAEWDKERAVLEEKLDSVQRTQEMILDMLR QEPS ANIA_05164 MRNRATTPLSKPKIRQSWSKYNLYNLNRIRNPPTANRTFFQQKW TAKAMARAYHGEQVRESQWTRMFSRRLRSVVPMSPWKMAQDDGSSMAAGRGSGLETDM RSRAADVRTKTPYTNMTFAPLERRLDVAIFRALFASSARQARQFVLHGAVTVNGKKMR YPGYLLNPGDMFQVDPERVMYATGAPKDKFERREARVERKKAVETEKKEEGEGEEAKE GEEAEAKETEEEKLDTRETLKRLLSQAKNIMGSNKDVLPAKRKQELRGFQKAVRRVMS KSGASTSTLTDNLETQFAELLKLLKATKIQPKEEKESKKENADAAAKSEPAETEATAN ASNPVDTKPTEALTEAFRQATQNPEGEVDTSELTEEELDVLKRALVQMRDNPIDHSKP YATPWRPRDYMSAFAFIPRYLEVNQNICAAVYLRHPVARPGHSEVPTPFGEAVSTAAF SWYLRRR ANIA_05165 MENFDDDVFSDDGFDDLPPDALEQLEQDAFRATQAEHPTQREPE PRQNWAEREVTIRYAQINPINVANATLRPPAQLHTGLTNDYGSLDVGESDAEVFDDEA AIGLNETVTISDRPSHYAHDSIALGEKPMETDGGLSFYSALQREHEILTAKLQQETER YARLENEFANVKSLAETKTGEIAIIRSNHAKIVNDYERQLSGLRKAMDEETAKHREEL EAIREQGKTLATENAFLKQDLAEEVSRVHQIRAKMRTEEKSAPVTPKKPKALPFRDGF DDEEILAVSPSKSGRSKQATPTVTGKKRRRTSQGSPSRLHLSPHREQNLGIAGGAVDE ALSESAIDLPMKESSQEAGHQDTHIIKQILNHRTVPHKTDIEIIAELAFPSEPGRTLY SILLEETTQLDLGSYAMEHMRAIISLWSRALKEKFYKPIPILLETTRLILAIDATAVL RQIDRLIPVLLDTSDINGVPRFKHSPYASQSFGKVTQTPASQLEPLVDSTEVVRVLYQ IACRSLSDDQLVEDLWRHIRYSFVLMMLSCSQPASDIKLTLSLLMTSVRPNSFASIQE SEQDQKSNENWVVDRVASLMWETLQPDEGQPPYTRAEICDVRLEALAFLMTVTFNPIE PNNTHGSLVIASHHTALAKLVRAMHDELDALYSYPPERDMHSAMVNGLMRLIYSIIQR HPKEADLQLKLHRVPGGKQKFLVVLTRLAFSEGIVLEAGIEDETVEMAHSILDDAVNP QEAEALLEAFPHAKGEDTEAKNAEDTEMMEVMEEDLAL ANIA_05166 MSKSRRSVRFQHRSDGRRPSISDASDAASDPGSPSKNGVVAQPA TIPEEKTEAPPLDEYQKKKQTFITRTIWTFVMIAGFFIAMFSGHIYIIGLVTAVQIIS FKEVIAIANVPSKEKNIRFTKSLNWYFLGTSMYFLYGESVIYYFKHILLVDRVLLPLA SHHRFISFVLWIMGFVFFVASLQKGHYRFQFTQFAWTHMALYLIVVQAHFVMNNILEG MIWFFLPASLVITNDIFAYVCGITFGRTQLIQLSPKKTVEGFLGAWVCTIIFGYFMTN ILMRYKYFICPVYDLGSNVLTGLECDPNPVFVPQAFEIPEWTGFGRTFYVAPMQFHIL LFATFASLVAPFGGFFASGLKRSFKIKDFGESIPGHGGITDRMDCQFIMGFFAYMYYH SFIAVFKASVGDIIEAAINGLTVDEQLEVLRGLSKYLYNQGAVPESVLELLSTELQRH ANIA_05167 MWKHRPPSRSDSKSGTVDSGERGFFGWSKKKTPLSFARPESPAR SPLRPFRSLSRADRADSSFSSHTAASRARAPSALTADSYYSDAPRSAAQFSAYSNRSV SRTSSHETFNTLPGRPMAGLMDVDRSRSRRERTFVGSECAVCEEPLEHTLRGERVLQF SCAHVAHEACFYEYLREIEGQYCPTCDAPLGLDSTRGGNVLDIGKQLSDPRADGADGA IEKLSNIVRSVNSDAMTQRSGLTTPTPWDSVTSRQNPPSEVGGRPYPTSDVGSRPYPA SDAGSRPYNRDSRDTYSNRRDSKDTGMQRERIERLASVSRHHSRNGSAAGSSGEYHEG RRHDYDLQAMESDLSPRTAPTTKNPIPAPIVTIRSEFPTISRSRQQQSLTCLITVEVP EGNWRPDTDDLRTGSTHSLPKDEPYPSRFPSVPEKPAPFEPQENLDEIAEELRAKVDN WHGLEFQRFGKLRLHGHMRVGKDRESWQDLECYLFHEMLICVKERRVPEHHYDPQMVK PRPRCTLKGSILIRKHLKTIEDVAGMGTSSVIKHELTSLDEPVLTLHLSVSELPCFYL RFPNRSQLDIWRRALLDNTAESLRSPELDFDRHSGVEEDDYRNGNMKRQASLNSSHGA ARSNNTAITDYTNMGVESVLSPSIHIPVDIVVVIPVSSSMQGLKITLLRDSLKFLVQN LGPRDRMGLVTFGSSGGGVPLVGMTTKSWGGWSKILSSIRPVGQKSLRADVVEGANVA MDLLMQRKSSNPVSSILLISDSSTSDPDSVDFVVSRAEAAKVGIHSFGLGLTHKPDTM IELSTRTKGSYLYVKDWMMLRECVAGCLGAIQTTSHQNVKLKLRLPEGSPAKFVKISG ALHTTKRATGRDAEAALGDLRFGDKRDVLVQLVIPPDNATHETPPQDPWESLVSGLEA LGGGLDGDDQRVLSVEEVPLIQADLTYGDLLREGHLTHSPRPSLLAITMLPPSPRHKG GRPSTPPIPPHPSIVQRRMELLTSDMLTRALTLVSRSQHDRAQHLLNETRSILKGLGK GSLPPLPPPAAKGLAEPESRGETPTSDSPKSSFASHSSAASDTATITPVAAVDTQTMM ALDGDLQAALEWINHPAVFGRDSRKAVLQSIGVISSQRAYTFRSPSEEHWAQRISGVR RLIERSKEWRETGDDALTEE ANIA_05168 MAEVQALRGQLTQAAGSARVVVRCLNGSRDLQESSQRAIMLLVD ALDSIYRLKDQIGDDENKDNKYLIEPHRLVALAEILERFTSTMKSMELYFQPGGVGVT YYRKHLLERTFLERLEQYKVMLLLSMQPDSSERSFLDKKIRASLRSGGEVESGPKVDL QFEDRVLGITSQLTTENFIMLADLCNRRLKGTGQWIFDDEQYKRWLLGSTKTLYCVGP PGAGKTFLAASIIDSLQRTFTSPDVATVFIFCQDERQKEQSTLDILQNILAQLVYRKR SLSYASSSLYHSESLMKVKASPKVYQNAIRAEVDRFSKVFFIIDGLDMLSDKERLLGR LQKLPDQVQLLVTLREVSQASNSPRVTVLAPSTDLQLYAISRIESDASLVDLLKRKSS PQMYHDVVSMVAEKSHGVYVSILLPLTSLLFANMTSFLLAKIHLDLLARYTEKSLFER ALVHLPQSLSEAYGEAMKQVVSQGPKAASYVYWTLYALRPLTVGELKSATSSTDSPAN DQFMSFEHSLQTQSAGILTVDAVSGTVRFVHRTAKEYLIGTPSRVFFPSAQKDIAEVC LTAITPDEVVDDCYYNGGNPPRSSGSGFLSYAAMYWGFHAREVHEDEQTIQVLIKTFL NKLLWRRPPLQVLTNEPMIPSELGLGKYPQDWTALHILAYFGIVSRCRRLIAQGGRID AGDNSFRLTPLHCAASRGHSDMVEFLLDNGADGNAIARDGSTALHLATQYGQRKVMKL LLHRPVNAQIANLEGATSLQLAVKTEADEATVPLLIKNKVDVNTRNIRTGDTALHLAI EWRRPRIVLYLLDKGASIDMTNENGFTPLQLAVKVDNCEAISVLLQRRANIEARSLSG LTALQIAAYEEHWVAFDLLIIGGADINAWNKEGESLIHEQARKATSPAIAAKLLDQGA NIEAFTAKGLTPLHCAALESNKTIIALNYGASVHTVSNEGWTPLHQAVYVGTGAPDHE FPQIAEYIHLLVSRGADINARLQSPASNSETSLHLAITAIVTRPDLVQLLIQCGADIN APTADGKTPLHLAAERGRESIFRILYDAGADMSLEVPDSAKADDGHDGTGVGRTAYDI ALSNPFGRHWFESDGKLKPVVKEVKRKDSVETLIDEDEFHGEGEGDSNAVIIEDKAGE GSATEAVERPQEPLPSDNATPNPVFAPRKSVSRSGSLSGSIRSSSALGRSIAQHPRSN SIGGVLSPASYSDSASPFPTLQNINQKTGSRTWKGNRSFDREAWGQLENGVSVSRSGS GSASVSGSGEWAGSGDCDGDGDVQSLNEKHEPVSFVQNETPYVIV ANIA_05169 MASTQDFQPSFDYIKAQEQLFLETRSLSHARSRSAMSETTEIID SDYDTDSRNATSDSSPYRSADSLVAESVTTLSTLDDIKTPDSTGLTAFHFHLDDSPIK GPQGPHLFRTSEDSLSRYQPTPEWTEEKAPDSAIATQFYTGFKTVPFRTEQERKDTPV PIATTQTPPPPPPAPARPASRIGVANWSPNEVVSWMQGLGFEDSIIEKFFINDITGPI LLELQIDDLKELEISSFGKRHQLMACIRQLRRAYYSSTPQSCCSGMSTPDPTHRQNTR TPQTTAAEVGADCTSPMVECDAPRSRSDSRSSSQHQHRQHSRQKRGRHGTEIAPQDSV SIVAIEQLLPKLHVCSKGENCRKWQRQQAKIARLLKDLQLEGLGGSVLVTNDPASVAH AHSVAKTPKMESRPQVQEVKPKLEVKPPMPEFKSAKPELRSPKSDAKTPKSEMTPSLV ASSDIMGATQKGDIHLSQERLNDVQSRDPQENVRNFLNFQRLSALQPVTDPATPPAEH DASMENSPTTSQKGTPTLAENLRHLPKLRIPSLQAPTETTFSPGYSAQRTITPSVLRK SRQFPTINRKESPNPHSGLLSPSDFYRGDPHYAQRTPLSSGDAPLTAIHIGPIERGFS QSVPPDMRFGNQADDARPASRTENHRRLHSAVNGPSFRPMVPVVERSPLPPIDTLEDL ENTPRAPHCRNNPFSPNSAHANDIIHNGWMKKRKTSKLIRHEWEENHFTLRGTHLCMY PDEQAALRDSKALERIDVDDYAVACSSLRSTSRLTNAFKKTVLKRVNNNQEPGAFAFS LIPASNTDRKHFLPGGPKSHHFSVETQQERIDWMRELMLAKALRRGREGGDSVNLNGQ PF ANIA_05170 MSALAGPSTQAKPQQSARTRADPKSQNQTSVIKDHANMVTKAYK RSRNGCYTCRLRRKKCDETHPNCVACTSLGVSCEYRKPSWWISTQARMLQKDKIKQKV RETKVLQKEVALQEYIKRAVPSAKPRDNPVSKPQTPPMEPMVATTYDPSTSYLPAPAT SALMSTPYGFDAGIGSSTYIPDTTYVPDTTPLQDTSVFWFDPTATPLIPTPTSISELS ASSAAMTATTTTTTAMTTPAVQSDEWYQGFTDPLPRVQNPLSLGSSEFPDRPLSFYLE GKMSSNDRERSLLYHFVDNVLRLVFPILDLHKQGPSRAREILRSLDSNKSYYHGCLSV SAIHLRTVKKQRGKRVERDIMRHRYAAISELHKALYADHGHDTILDATLAMIFFHCSV GSPEVDGLPDIGWNEHFTAVTDLVNKLGLMEANPFTPPPFSMSLSTWIDIFGATMLGR SPQFAHAYRHKHLNGISSGLRELMGCDDRIMYLISEIACLDSLKEEGRINDYTVCHHV SALTAQLDHAEQVVVNPTLENPISATGIIQADKLTKNMTAIFRVAARIYLYSLMPGFH PEQQNIVDLVEKVSELLQYIPSGPFGFDRSLVWPMLITGAFSTPTSNFRIILEQRIAA LGDCSDFGSFGRMYSVLQETWKLSDDDSEPVYTERTSGLLGPPSSSAFEFDAHMAMPS PALAVGAQRVKQQPIHWRDVMRAREWHYLLL ANIA_05171 MDFGASARGKAAWRQRCILQTLKNKLGRNVGTAPAAMEFMAAFG LNPEQCGLSRSLEKPVGHRHLYGLIRACLNLARRFMVPNRTRVSWQYRASDGLAEYGT DAAPLIKDLSCLWRIGQYASCPLGEVTRNRTALGIGDLHLLCLH ANIA_05172 MAPRPELYHLQTPEHIIFPSELQEYLRGPNPTPARCTDGKGPSV PPPLAYTEFLKALSPVFGSPLESATTPYSYTFNKQLPSPQSLPSTASTTSFSSDTVTA VRAPKTHRRARSGPPTPLNVPRSAVESGQLRRLRMSPSYPYSPGVVDSPKSAYAVRSP YPPLDRQMRHVGSPASTGRSITIQHIVTHTVTLKRVPSLAPPPKGKRRRMNQTTQ ANIA_05173 MPPDPVIAVVIDLSDLFLPGPVLASAKISRHILKEIFSSDIWYV YECGQLTETEACGVLSTRYSLDVADLADILQTRVRTRIISQVQGESARNKEQGQRQGH EHELLINNLQPLKFKAKADRKGPLLCGMLNIPQPEYATIQDSISEWALFDHVFVSCQV GMRKPDLCFYRHVLRELGLSDSLERALFVETNPENILPARSVGSHVILHMDTNATLRS LQNILCDPVARGKEFLRVNAKRLHSVTSTGVVIRDNFTQLLVLEATGDRELVYLEEHA RSWNFFIGSPLLTTRAYPDDFDTTALALTVLEPSDVSIVQSVLDEIASHLSADGIILA DEPGQTYFDTTRPRVDPVVCVNVLALFYKYGRGHELHTTLSWVRDVLRHRAYLNGTRY YAIPEAFLYFLARLLENTSTNGAGLPMHDEFVCLLRERVVERVGLPGDALALAMRLLA ARYVGIADVIDEERLREMQCEDGGWKVGWVYRYGKTNLRIGNRGLATALAVRALSDHS SLIKNNERTSPVSESSPPQCNKKYCCVCLPTGTLVELAIRRVLIEGECIVQEDLPTTP SFS ANIA_05174 MEKATLNSIQKSVWEGQIPLKIVLAPSESRTYDQTDPYLISYPR ISYLPSLLPRLKAFFSSSLIDPTASQPHDGWFSFEGVPLKWHYPVGLLYDLYAGAEPA TKSSETEALDDEQLPWRLVVHFGDWPDAELVRLDAQGTVMHDAFINSVKEADFVRNGT AKGIMTLSKDDSSGLWKAVQDVDLPSFQRIMNILLPPNPHQPLRNLPVRLFLPLPPKP DSNSDSPFLKVVQSPIPPTISTTPSQLQRQMAQSTLSSSGVSGSSPGAAQPQPQTQTI GTALHSILPNLFPSRRTPVIAKPVLHGAQVPMSAPVEEVVRAAAYGDGWVYIVIRMMG ANIA_11467 MQIPTGARTRSIIDMSLSSSIFETLGARRSETDATQRKVFERPV IDRHP ANIA_11468 MSSNGQESMQNPDGIDNKLSEENLKRVPDESGRGAERFAELSSE DSPYFARAAMENRSEHLNQLKSQLDATDELLRK ANIA_05175 MASWCSVERLPVSLADNRFQYTLLAAAGISLSLGLFLRALYPCH PMESKTYYSPLAATQAAGTNNKDHPLPIDVLPGGRDVQTPYGSIRVYEWGPEHGPKVL LVHGITTPCISLGGLAHGLVDRGCRVMLFDLFGRGYSDCPADLPQDERLFASQIFLAL SSSPISWTGAGSGKFCLVGYSLGGGIATSFASFFPQLLSALVLLAPAGLIRDKHISFR TRFLYSGGLPDRLLKYLSGIRLRAGPISTPKPQHKKLNTGDAFTEELPSKKEEETQLL SRSYPHVTVPAAVSWQVNNHTGFVHAFVSSMRYGPILSQRQRETWERLGSHLSKQKVL SAAVQKSNGLPSDKVVIMYGSNDSIIIQDELIEDANATLGNSVVFKNFAAGHEFPSTK YDEVAQIIFDTLL ANIA_05176 MYQRALLFSALLSVSRAQQAGTAQEEVHPSLTWQRCEASGSCTE VAGSVVLDSNWRWTHSVDGYTNCYTGNEWDATLCPDNESCAQNCAVDGADYEATYGIT SNGDSLTLKFVTGSNVGSRVYLMEDDETYQMFDLLNNEFTFDVDVSNLPCGLNGALYF TSMDADGGLSKYEGNTAGAKYGTGYCDSQCPRDIKFINGLGNVEGWEPSDSDANAGVG GMGTCCPEMDIWEANSISTAYTPHPCDSVEQTMCEGDSCGGTYSDDRYGGTCDPDGCD FNSYRMGNTSFYGPGAIIDTSSKFTVVTQFIADGGSLSEIKRFYVQNGEVIPNSESNI SGVEGNSITSEFCTAQKTAFGDEDIFAQHGGLSAMGDAASAMVLILSIWDDHHSSMMW LDSSYPTDADPSQPGVARGTCEQGAGDPDVVESEHADASVTFSNIKFGPIGSTF ANIA_05177 MFDTVCTLPLSADLFAQAIHPSEPIISVGLSTGHVQTFRLPTEE EEEHSDDEQASVSSSRNGKGHIDTMWRTRRHKGSCRTLTFGIDGEMLYSAGTDGLVKA AKAETGVVENKILIPTAKDGSVDAPTVVHALSPQTLLLATDSSKLHLYDLRVPYSKVA APPQQTHRPHDDYVSSLTPLPASDTSTSGFSKQWVTTGGTTLAVTDLRRGVLMRSEDQ EEELVSSTYIGGLSASGTSRGEKVVVGGSSGVLTLWERGAWDDQDERIYVERGAGGGE SLETLTVVPEELGKGKMIAAGLGSGKVKFVRMGLNKVVSELTHDETEGVIGLGFDVEG HMVSGGGQIVKVWHEAADSIGGEKRGFGGDSDDSDDDSDDSDHEPKQGDDSRRKRKKQ KGKDRGKGPEIMAFADLD ANIA_05178 MDAFEYNANPGRVVFGSGTLQKLPDEIARLDKKAPLILSTPQQV SHAERVKEVLKGQVAGIFTEATMHTPTHVTDKAVEYAKAQNADVVISIGGGSTIGLGK AISIRTGLYHVCIPTTYAGSEMTPILGETADGLKKTRSDPKILPGTVIYDVDLTMTLP AAMSATSGVNAIAHAVEALYARNTNPVINLMAVEGTRALASALPEIVENPSSQSARSL ALYGAWLCGTCLGSVGMSIHHKLCHTLGGSFNLPHAETHTAVLPHAISYNAPKIPEAM KKLAEVLPESNGDAIQGLNVLLTKLKVKRGVKAFGMKEEDIDKAADIAVGNPYWNPRE IEREPIRELIRRVWAGEPAKADL ANIA_05179 MTTELAAAPVLSTPDDRILEETDPVVPQTNRILSEEELAITYDI ERTLKEIRQARYKRIALQFPDEMLPDAPRVFQLLSRGLEARDIVDGGSKANTETEPTD GLVDSASRLDLKDADEWSPKLYILADTSYGTCCVDEVAAEHVDADVVVHYGRSCLSPT ARLPVIYVFTHKELPLDPVLKAFKETYPDPETKVILAADVTYSDHIPEVYSRLVQEGY SSLFATALVHDPSSVIPNRTVPDSVKEAPESLGNWQLFHISEPPTALLLTLASRVAAI HIYPTDGPAGTDVKPLPASTAMVLRRRYAILTRLSTVPIFGILVNTLSVKNYLHIVDH VRDKIAAAGKKSYMFVVGKLNAAKVANFSEIGGWVVIGCWESSLVDSKDFWKPVITPF ELEVALKGDEERVWTGAWQSDFQSILDQPPPSSNSPGNSQEANEGPEFGDHARDEDED AMSEPESAPPEFDLRTGRYVSYSRPMRDSAPRVSASQGATAAISAQTGAADGPSAARA LARRAKGDLAMVGNTFSPGAEFLRSQRTWTGLGSDFNSAANVEYDDEENDSTLVVQGR KGIARGYTVGDSIDRH ANIA_05180 MALVHSPIPVPPDAERPEIDTETVEELWEDKHNHNDGQKTVDTA LGDDTTQRPLSLFERVITTHTPILESLLLQTPTAAILNLSHTSRYLRSFLRSYPTAWK YLSFRLLYPSGTQSPLRIILPGASDPRTPRQSRPYALDQLLMNVVVPFSPCLKSLELD NTAVSGQILIATVLNPRRETLEHVSVRGCKNVSLKYHIIPYLTMFGLQYDVSMENSIG SSPATQRLALKSLYTYRCRHHRRRPYLSSSLTRKDSDSEPTHELVNLCHKLGIWTDTA WCSTPAGRCFRRRGYVAMRAPQGSPEVWVVFDRLWRSKNWIGPIEGSSSRPTQRDGKL WEHDETGCFGEALGTGEKRDIGEGKMLPAHLRRSHRRFVENIRCDNCCELVSERCEQC SILMHCVGCRKTLCASCAYERPYLHAQASKNTTTGSFWWAPGATTSPCSMHDPAENAE DPAAQPNTPLSYPALKFHWCCTEPIFSGGGGISIGTPNRDVDQVRAAPLPRGEGWEDL EYSAQEWSKSFPKYAYGDPHKPDYSLEAGHIAMMKWLLGPPDRQPSACPRNLCKECYD TPQWKVHCKTCSKPLCIEHDLRGLRLRICGYRDLTLEKLAIQNRTETTAVSQTDEPAP PLQNTATTGFDLPYRTQRTVDSTTSSFTEDHPADVNPQPSTTSSSAVPPLRRSRSISA SNSNRSRSSSPSIYSDSPVEQQTPKWQGCQSFFCPQYRPIGDQRSRCASVLRECTSCS VFVCQDCVSRHPPCKCSYCETNYLCPNCAKLRDRDGTCRRAEEEKARREQKLQRDMQT LERILETKLANEVAEYAGQFFGFVDSSNSTGLPNSVLAASDEEVEVEVDVEAPHHPSA SSPHHVDVHESLQLLLIQTLLGSNE ANIA_05181 MSEQEPSSADLAAREAEEKQRKAAEEAEQATLPYKWTQTIRDVD VTIPVSANLKGRDLDVVLKKDSIKVKVKGENGEVFIDGQFPHPIKPSESSWTLETTSK PPGKEVSIHLDKVNQMEWWAHVVTTAPKIDVSKITPENSSLSDLDGETRAMVEKMMYD QRQKEMGAPTSDEQRKMDILKKFQKEHPEMDFSNAKIG ANIA_05182 MKGENPPFRVNPLSSPEQPTYHSRRPHRKSRAGCAKCKQRRVKV CDETRPCCQRCEKLGLECTYEAILPPGYGKKDIDSALVARVFNQKNAVNPDATAHSLA VSVVTTQVDEVLQFSSTMTKSKGCLSNLEILRHFQDIFTPNTMIINETGKDAMRVKMI RLALQSPYLMHSLIGVAIAHLRNVLPDHKGNYALLESHHWQRAISQYSTELQSSIGPH NMDALFSACLLMTVNSFALNSYNPRQSFVFSPNPVECLNWLFVQSGLRHLLSRAVPWV RKSMWWEIFMESRNDLFEDERPGREGLHPDLANICGINEFTSTENNPYLWPLRMLTPL LTLEPSLRTYSRITTFMGRLLPDYYERLVAKDTPALMILAWWLALMNGVDLWWVKTRA KSECAAICMYLDCASSDPFVLRLLEFPAQACGYLLQHNQANLSCSDGSQCEFLPDAVA PLQPVESNSRWETIC ANIA_05183 MSGNSSRTINGQHMRDTQVSSLHKAIRCFRMEDVRRLLEDPRCP IDRNVIHDAAVTYYDNDIFRLIAISSIARRQKLLGAALVTLPRRETDNLLGPLDTQAA SIALRLLEYPTVNRDIITASVPDFGSSYCDASVYFLVGCNREAAQILYDLGYKKVDEQ HQMTYTPLAALKVPPTTGYHGQYRVVDEDDALAKYLAMCAWFHDRGAFLYREVECPSR TTALHQIARCIGMELARSWHTYSQIGSGEDQAARADRFNHHLKLALRPLAANSRILQE ILHDERHPEQYVCACSSHGQLPLHVLINETIHGVQSPLTVCFLVATLLKTEDLLNTST KFSPLRVSAVFRACSFACLKLEHTCQTTRLPRFSILQGEGRVTNAGLDSLVSTCESVF RTLNLPLRRFLENWFFCLLESYSYCLDQFSLAVDALRSPPYLPMTPNSDARKVAIRYE DMASIAFPLLAKSLSLTTAHTYSYAYNSPINPSLPIILFLHGFPSSSYDWRHQVQFFS AQGFGVLAPDLLGYGDTSKPWTLESYKAKTMAAEIIEILDHEGIHKVHAVAHDTGCTL LSRLANYFPSRLLSCTFLDVPYSRPGEHFDLAAVNALTKQFLGLERFGYVEFFVRPDA GDILDQHFDSFFTLFYPQDPELWLEHVGPKGSMETWLLQDRTAPQPAYICEEERKIHQ DIMRNNHGPALNWYRSLVTNINEKDEIQSNLDPTLPMPVLMICPQPTKLEFPGVEEQL KQVAPDLTFRRVSTTGHWVQLEAPNEINSLLKEFFEREKR ANIA_10652 MLIKEYHHDVPTVADGNGSMRRAFLNLLYPAIKLKALAGIYVFH PSIPGYPNARFPGVVVFSEIYQVTGPVARFARQIAGQGYIVACPSSYHEFTGPEALSY NAEDTDKGNEWKVSKKLSAYDEDASLSVSYLISLPTCTGRIGATGMCLGGHLAYRCAL DERVKAAVCYFATDIHSHTLGKGKNDDSLARAGDIKGELVMIFGKNDTHVPPEGRDLI RSTLHEKGVLFSFYEVAWAQHAFIRDELSKGRYDPAISKVCFEMLLELFGRTLKLDLG DHDGKEVKVDDVC ANIA_10648 MTVTRSQTGRTPRKVDRPGFVETPGSRRVTRSSVAPSDEATDTP SETKGRTRSTTRRRTTRVKSEEASESEETKLSVANGHANGQVNGKTNDQANAHANGHT KERVIDGWVEGKDPKVDYSGHFEFGGSPGVLAMMIGFPLLMYYMWIGATYYDGKFPRP SEGQSMSEFFAHMGHLVYDGAFPTLKAWTMYWVFFIFEGLLYLLAPGITVMGRPLPHL GGKQLPYYCSALWSFWTTLAVACTLHFTGVFKLYTIIDEFGSLMSVAILSGFLVSFVA YFSALARGAQHRMTGYPIYDFFMGAELNPRMFGILDFKMFFEVRLPWYILLLVTMGTA ARQYEVYGYVSGEVGFLFMAHFLYANACSKGEECIVSTWDMYYEKWGFMLIFWNLAGV PLSYCHCTIYLANHDPATYHWNRYFLVFLYVAYLFVYWVWDTTNSQKNRYRQMERGTR VFRKAFPQLPWQTLHNPKTITAADGSKILVDGWYGKARKIHYTCDLYFALNWGLITGF NSPFPWFYPVFFACMISHRALRDIQRCRNKYGEAWAEYERQVPYLFIPVSMPSIFLTS S ANIA_05185 MSSNSGQNPSTSKALNISNKSSSSSFHSAPAVDSSTRRVGGSGS FGAGLASRSSSSARNNQALRSQHKRQRRPRLLDADIDESTIMRSTTSRKGQTSITHLM NFSLPPRPEYHPPPRNPRRYNSWGLGSGYHAMDKARYVHANYRFIVAPNRAYHAQAAN ADVHLDWDSVLQILVSAQTQSSSCPICLSTPVAPRMARYDDHPVPEKKARWKKCPICW DSIYISETRPVRWFSGQEGVLPFEGGDVVLRLVKRDPGSTLALPRDGAESLAPGEDIP WYHAAEVADYARIMKGGEDYMISQYNTEIEDLRRQEQEDEVLFGDDTTWTKKAVAAIN DAKQKLQGIGNPPEALPQPTVNRPPKDPITFQQPPEEVALMYTAQHSAKSGKSSPGPQ SNTPEMNETTEAMGNMSLTNGTKHKQKNPVTNRDGHTKKAGNAPHPSDQPYYFYQALP HYYLSPLDIRILKAAFGEYSSFPATILPRVEHITSGHIVDDELRKRVKYLGHLPQGCE VNFLECDWRGVVLPEVLEQFSTEISKRRKRHKDKELREEKSRIRAEKEEDEKRWAAAR QRRPSVGTSNRPFSDHDFLPLASDSANIDLASSASPPRPSSHFSALASPSSSPPGART VWGTAAVTSHLGAPPDHMRPTPHDGWREGWEEELFAQQESDLIARTAVDENSNPSQTK KKGKKNKKITLMSTNIQRGA ANIA_05186 MPHGQPPIPAPRRQQDVPYASGPPNMRSPPAYMGYPPQMNGHPP PAAFSPQQYPQWYTPFPPMQMPPRPHFNPYAPAFISSYPPSQPMMAPAHMPPQPLPLQ PRASTPFQNPMSPSVGPAPAFIPSQTQSPVVIPVHSTPSPAMSSSPAPAPVNAVPPPK EPFRAPLPWLSIPDSPFPARAPRRQRKTRNLQASSLSVELPAKDGQRIQNEEPSKPQG TQTQTPSEPQTPTLSAAPSVADSTQPTTPSSAVPSSSARQSKGSKATVPVVPVVPVVP VSNTPRREKGDAGSFETPKSAPEAANTSAEKPIASAEEPAESKPVESAKPAAPKSWAD LVRNKNLARNAASQSAPSGVVNGVSKSQSVADVLLNLGDDVSQYSDKVTFLEPRGLVN TGNMCYMNSVLQILVSCVPFYQFLDHIGKRASHSFHSDFPMVDALIMFMKEFRVIDAA RNEEQLRMRLKANELEQYGEAFIPEFVYEVIRQLPRFRDMRRGHQQDAQEFLGFLLEE MHEECARATSANSSTEPASTDSENGDGWLEVGHKQKPAVTRSSGHIASESPITKIFGG KIRSEFRVPGNKASVTLEPYQPLQLDIGAPEIHNIVDALKGLTKPESIQGDFNSSRGP NVTATKQVFIESLPPVLILHLKRFQYDSVTHGTQKIWKKIGYPLDLEIPREVFPPHRR NVMMAQGGLPKYRLTGVIYHHGKNASGGHYTVDVRRQDGREWIRLDDTVIRRIKSEDV AEAGGEEDPKVLAAALEQHKRDKDPANIYDHINQGDQSDDERGWSQVNGTGSGNSSKK SASAINGTPSQSNTSSGVRTPMGRYSTRDNKVAYLLFYERIP ANIA_05187 MPTLSLINFNIVCATLGGFISLFGLVSYLFKERFYLSEALISLL AGVVFSPHGANFIRPLDYALGADQNLDQITLCFTRLVLGVQLVLAGVQLPKRYLQLEW KSLSLLLGPGMAAMWMCSALVIWALVPNLSFLHALAVGACVTPTDPVLSNSIVKGKFA DKNVPQPLQRIIIAESGANDGLGYPFLFFALYLIQYIGMDGEGFSGGAGKAMGLWFYE TWAYTILLSVGYGVTVGWVSRELLHWAEEKHYVDRESFLVFAIALALFIVVRSPCSMA NVKLTNSDWFRLETMDDSLQPTIDMLLNLAVFMWFGAVCPWHLFLENNVIPIYRLIPL GILILLVRRMPIIFAMHKYIEQIESLFQTTFVGFFGPIGVGAVFYLSVSREYLNRITV NGEIRADAQQVSDTIEVVVWFLVICSIVVHGLSIPFAKAGYHLPRTISQVISTSTGDN EPIPLARNSHTHSTATHDNVEATSRRARRHDTSLPTSMSRSHTPQPVAFQIGRSVILS ASPSSDAQIGLGSGEEPARPVNLVPKSETVGELTRIRSNDDACGQGDRSGIVKSSSIV DAVSSHDTLPKYAAQWGPGKQEKLIRIESLYSPTSMDLK ANIA_05188 MSCRPGKLLIPRLALENFYTTRPLLTARPVHPFIAASFLTNAES PASASPHRMDEPAPSPTPAAAKPSQSPPPTAGTKRKRGSAGKYYAVKAGYQPGIYYEW KDCLAQVTGFKGAVFQGFPSLEEANAFLTGTKPPLSRGASPLSAEPTRFYAIQRGHKP GVYTNWANAQEQIRGFQKPRYKKFSTREEAEEFVKLGGEPARFATSTDTKLPGAPGLT SDIPKDQQGNPYEPGEGPLPPGVEDGFDPNVILDPKTGKVVYKTPEQKAATKTQPKGP PGMLRIYTDGSSLRNGRVQAMAGVGVYFGPGDSRRNVSEPLKGSRQTNQRAELTAILR ALDIAPRHRDVTIVTDSQYAINCVTVWFQKWRSNNWLTADKKPVENKDLVESILSKID ERTELRVKTLFEWVKGHDADPGNEAADRLAVNGAQRGASEQELGYDIADCKASISVTA RPKTLYASEAYFS ANIA_05189 MSFLPPSKRIKLDKPYLNGEDYIKQKPYTERKEVPLLDSIDPAL LIKSPSTGVGGLAPPYTDSSRAIEAWDLHLDSSDIGDTGDTTDNYSDTGSDDGSIDLA ALNIDNSRNTASPSSSTCSSISTIFNPLSPGNLKVNFYDEETIPERLWLAIFIQNTEG VEELIEEGATAMTNTGFGGYAIDMAVRLEDADIMMKVLLDIGMRDYLAADETAKIIVF GHTCKHGTPEMLETLSDWGPWFSWKAYWYWCLRLSDQTKKGANGNKVWELKEAYMERE KNKKPLMYRNDVLDTWDEAQELPPIPQVMRLHCNANPDHNIGDLYHIELLDPVKCINP ASHAPVESNRRRTGPWDIF ANIA_05190 MEDPWIIHIPTDSPDDPDWTMDSNSITDSETFPGTDAETGDEMY SDVLTDTESDPSEIDSEAESGIVSPTDVEVSSDTSSESMHSDMDTETNDKAGFGGVSV AGRGIVSITGSVRSDENIELGLVRERGMTSLSDDERESVMALIDAAMTEMGVNIDPEI GFGIGNEETLRIGSVGSVSFGFEAGSVASVYNAKSASEVGSGVGSEAEFETCVVDSGF YSEIDIERDDNTDAGASCEASCETGVLDSGFESERDVKENDDIGAEMDLGYNTDAEMI VIKSNIGESTDSGSDSCSDSDIESDSEIELELETDLDREIREKITMKLSRTKYACSTL FRLRSIINFVYKGYLSRPLDGKIKTVVIKHAEPFLAVNRAWDLRTDRCTGEGTVIRAL NEMFDVRVTNITRFKGTFRTIVRAPELYHINYAAHILIMEHLPDAINLHKFLTNMLCL SDERLHSWCFFIGSNLGHWLHAFHEWIANDQQKQLAKDFDNSLNKAMADLKFHINYEK LLDAVEKYPKILNKKKTRRIFRKVVEMARAEVRERSKNGRTGPIHGDFWAGKLSSIIL PITALQDLEKDPKPDPDAEDDPRHIPCPQATLLITDWEFAQYGPHALDIGRMVAELYM LHHFQGIEAASSMLEGFLDGYEHITMETSYRMLIHIGVHFIVWGSRRANGVGTEKQVR KLIKLGRKFIVKGWKRDRYAFMGDFWNCLFKGYSD ANIA_05191 MLISRPVTRGTTRTLPTRLIPLACLRFSSSTSDASASSIATSFL SRFQSLGPQTRTQTLDANQLRLLSLTLNRPSLLPTTPHLSQLATASNQCEIEVANGTP LPAGYHLAYFTPAFLENELGADGTDTSYNPAHPFTRRMWAGGEVCWPRDSNGSVNPLR VGEKVTETTRVLSAEAKTVRKTGEEMIVVGVEKEFSNEAGVAVIDRRNWVFRKALPPP SIQQTQDLPPPTPPSSLPATSTTTSSPDGLTHTRTLRQTAVTLFRFSALTFNPHKIHY SQPWCRQVEGHKDIVVHGPLNLIAILDFWRDVRSSACGADVDANTFLPDRITYRATSP LYAEDEYRIVLKKGEGEDGKKSAVEIITPEGNVGMKAEVVGV ANIA_05192 MSMEGVGTNKTPTNYNKKLRKSQRQYLAAISCKKAPLYPRASAS SSTAVPPSIDASDSRCVAVALNRVMSIPLPLDIPLSLAGPALATTLAYLNAKYSLFYD KKIFHGLFKSIIKSRLAQRRDRLNLFYVLENYALDPASKDRPFIVYNGRSWTFHETYT VALRYGTWFKKVHGIKPREIVALDMMNSSTFIFIWLGLWSIGAVPAFINYNLTGKPLT HSIRTSTARLLIVDEEVRSSFGPDELAAFASPDFREDGGPIEVIFHTPEIEAQVLQTE AVREDDKARGGLQLRDMAVLIYTSGTTGLPKPAIVSWRKSWAGSTFVSHFVELAKNDR VFTCMPLYHSSAAILAFLACTAAGSTLIIGRKFSARNFIKEARENDATVIQYVGETLR YLLATPGETDPVTGEDLDKKHNIRAVYGNGLRPDIWNRFKERFNVPTVAEFYAATESP GGTWNYSTNDFTAGAIGRTGVLSGWLLGRGLTIVEVDQESQEPWRDPQTGFCKPVPRG EAGELLYAIDPADPGETFQGYYRNSKASDSKVVRDVLRKGDAYFRTGDMMRWDTEGRW YFSDRLGDTFRWKSENVSTSEVAEVLGTHPEVHEANVYGVLLPNHDGRAGCAAVVFNQ QIKAADQSVLLEPSTETLKSVAAHVLRNLPRFAAPLFLRVTPEMQATGNFKQQKHVLR TEGVDPSRVGGRDKLYWLQGDTYLPFGPEEWSRLQAGQVKL ANIA_05193 MVQIKDFAVEQWMDKYETTAKYNVAETCSASISVRDLQALCEDP ALNPLDGVLDRKLTYGEIRGSKQLRSTLANLYSVRTPTPLPSDNILVTAGAIQANFLL LYTLVGPGDHVICHYPTYQQLYSVPESLGAEVSLWKSKEAEGWKLDLEELKGLIRPNT KLIIINNPQNPTGAIIPQGTLDEIVEIARSSSIYVFCDEVYRPLFHSISPMDPDFPSS VLSLGYERAIVTGSLSKAYSLAGIRVGWIASRDRTVIEACASSRDYTTISVSQLDDAV ASYALAPTTIHALLKRNIELGRTNLGILEKFIESHRWACDWVKPRAGTTAFVRFNKMG KPVNDTAFCEMLLERTGVMLVPGSLCFGGGEDFLGYVRIGYVCETQVLEEGLAKLKAF LEDDYEEVPAVKRKTQS ANIA_05194 MPTGEDGVCYKQGVTVKENFQMCDVTNRKILDQLKERKPQVTFS CEAEDKTCNFQFWVDQVESFYCGLDTCDWGLETDYDKNTTHYKCENINCKCIPGRMLC GEEGSIDIGEFLKQSIKGPATFSSVSTVGGSKEDGSKFQEPEMDNLIKSVFGDESITL KCSSGECVHKTEVPGYQRPVKKINTPLIAGVIAGCALFVVAVILGLWYQSRRSRYSRI QLSLSDDSDDEASKLLADHRPAALYWDNVSYFVNGKEILSGIQGVAQPGQITAIMGAS GAGKTTFLDLLARKNKRGIAQGDFYVNGEKLDDADFKSMIGFVDQEDTMLPTLTVHET ILTSALLRLPRDMSRAAKEQRVTEVEKQLGIHHIKDQLIGSEEGSGRGISGGEKRRVG IACELVTSPSILFLDEPTSGLDAFNAFNVVECLVSLAKDYNRTVIFTIHQPRSNIVAL FDRLILLANGKTVYSGPFSTCQQYFDDAGYTCPPGFNIADYLVDLTMHAGSTTQFHSD EEESPLLAVRSDPPKTASSSLRAVKSVASASNASIEDSSNSFDYGRRPKGKRRTSLKQ RQDRQLYSRRKDADAPPTPRTDEEDAAEVGESQQHWLRLSRQQGQTPPQILDDPHDLP PAASGQTDLDLMVAHYAASDIARNVRNEIISATQRASAGNGSVNSEVPSSPLVQTPKS YARVSLARQFIILSQRTWRNLHRNPMLMLTHYAIAILLAVLCGFLFYGLTDDIKGFQN RLGLFFFVLALFGFSTLTSLTVFSTERLLFVRERANGYYHPVTYYAAKVVFDIVPLRL IPPIIMGVIVYPMTGLIPAWPEFFKFILVLVLFNLAAATICLFIGIVFRDGGVANLIG SLVMLFSLLFAGLLLNHDAIPPSALWLQSLSIFHYGFEALIVNEVTFLTLIDHKYGLD IEVPGASILSAFGFDTLAYWKDVIGLAVFSGAFLVIAYIAMHFLLVERR ANIA_05195 MSGEAWLYLLAVLINAVNLFLQVFFTIMYSDLECDYINPIDLCN RLNAYIIPEAGVHAFLTFLFVINGYWLAIALNLPLLAFNAKKIYDNQHLLDATEIFRK LNVHKKESFIKLGFHLLMFFFYLYSMIVALIRDESH ANIA_05196 MAALLGAAYDSSDDDTPSVPAASAPKVVAAPEVNTEDQSHMRMM LANTSSKALTYNATYDDLSRPSQGPSNPFKSAGAGNGLKRKNVPTGYAEAAAISESTF TAQHRTYQSLGYTRNPTAPEQFVGNLDHAAQFGGRDVVQMKPSKEASAALRAKRQKKG DSSIVEGPGAYLGPWAKYQDDDQVYDEELGSDEELVEVDEDEEEQEQVGSAPMPAMSK EATDYQDDTSKVETTEFHGSEQYDYLGRTYMHVPQDLDIDLKKPVGSIKNYVPKKLVH TWKSHTKAITSLRFFPQAGHLLLSSAADGKAKIWDVYHSRELLRTFSGHSKAITDTDF HITGKTFLTASYDRQMKLWDTETGQCISRFSTGKTPHVIRFNPNPENSHEFLAGMSDK KIVQFDTRTGEQVQEYDHHLAAINTLTFVDQNRRFISTSDDKSLRAWEYGIPVPIKFI AEPYMFALTRAAAHPNGKYVAFQSGDNQIVVYGATDKFRQNRKKSFRGHNNAGYAIDL TISPDGQFIASGDSAGLFSWYLY ANIA_05197 MSDSQAKLTFARYRPLVYLLSGVAAAYALVLLRNHLFSSSPSQS SLRRRKAVRRQRRNEPEEFAAADTPSALAIAHLELLERQNGVYGTFRIETEDGRRVES GLLPSLLATRDQLMDEVGVPEAHAERMREMMEDTFLESFFALDFPPTHVIEEGSAERE YLMDQLQRRGISQAGIERVVARFNADSNYGEELRRRRQNGERVTLSTSTFADETQQTQ IMDGGETVVDDQSVFSWREGNNDTTQGREGQNLLNLLYHIAEDQARKDGYIHRGVTCN SCGAMPIQGIRYRCANCIDYDLCETCEAMQVHIKTHLFYKVRIPAPFLGNPRQSQPVW YPGKPSMLPRTLPRSLAKRLMKETSFEGTELEALWDQFRCLANREWAEDPNKLYMAID RKTFDRCFVPNTSVRPPPPSLIYDRMFAFYDTNNDGLIGFEEFLKGLASLNNKSNDER LRRVFRGYDIDGDGYVERKDFLRVFRAYYTLSRELTRDMVAGMEDDFLEGGARDVVLG SQPISSAFPGSIPSGESSRTGEGKRVNQDGDMEIVDNEGVLRPDGADTGDRHSVVGEA AVRHQFGRSQPLIPVTVRVGPSASNTAEASGSRRRGSARDENEHEDDNDNETDSSSAE SDRWPPPEHITSTDIVAALGSYVPLQDVTDPDDRARIGTAVYNRMCDSDQRRVDSARR QGIDERWRRRAFYTDEEDGGTAPDGYQTDSDGDEGEEEDGAEPEYESQPPSPRSRSSS KVRFQDDLTDVDDYEDVRSNPSTSSRSILVGERWGGFEVPEVERDVGKEILYQVTQQG FNEILDIIFKPKEDLLMECFRTRTERKIWAREIELAEQLEGEEADQDMEDPGEAEELS RYRERPLNELLERSGYSVSNPTRETGEDSPTLPPPRELRLPVHNVDNSDAEDNESVRP THLANPEEPQNTTSHPILEPQDNLVLSPTPHHHTPPVANEAAVNSLDHDSDSHYDPTL PHHRPNDSDLDVDASFPSTLPSSILLHPNTASSTFPAPPSLTPSSPDAEATAPKRTPS PIQPLPPPSPSRSRSISPILHPKRQGPTTPPPPATLSRWAYLNRVEAEAKERGGTGAK LNFEEFSRRMAADRGRRLAFVASWIEMASF ANIA_05198 MSSEPPHNETTPLLGSQSNGNTTYSTAEVGNPPDSGRTEEGAAA SKLGSELNLRYILPALSIGVFLSAADQTIIVSSYGKIGSDLKALNLTSWIATSYFLTL TSFQPLYGKLSDIFGRKSCLLFAYAVFGTGCLFCGLAQSIHQLIAARVFQGIGGGGMT TVVSILLSDIIPLRDRGVWQGVINIIYATGSGIGAPLGGVLADYIGWRCYLRAFIAQF PLCVIAFISVSVVLDLPAPEDSHWKTKLRRIDFPGAVVLIGAVLGFLVGFDRGSNVSW TMPLTVVSLSVSVCLFVLFVVVEIYYAAEPFAPGHIIFDRGFVAAYGCNFFSFGGWLS GLFYLPLYFQAMDGVSATVAGLRLLPCIFAGVSGSLFAGFIMRWTGKYYWLTIAGYTS LTLGLVTITLFSGAVAESLVPIIIGTVACSFGNGIGVTTTLISLISNATPEDQAVVTA CSYLFRSLGSVIGLALSSTVVQQVLRNRLRYALRESKDIDRIVDGVRESLDFIKTLDP AIARIVRDCYGWATNKGFAFLIGVVFLAFVSSLFIRERSLSR ANIA_05199 MAAEVASRKCLGTDCGKDAGSLQCPTCLKMGLDSFFCSQDCFKR SWSDHKALHKKSNFLTNLFPPKVVSEPDPATGLFNPFPSFGFTGSLRPVYPLSPMRTV PKSIPHPDYAKDGIPRSEQKFVGRHNITILNKAEQEGMRKVCRLAREVLDIAARELRP GVTTDYIDEVVHKACIERNSYPSPLNYVHFPKSVCTSINETICHGIPDQRPLEDGDII NIDVTLYHEGFHGDINETYYVGEKARSNPDAVRVVETARECLDKSIEIVKPGMLFRDP GNVIEKHAKSRNCSVVKSYCGHGINQLFHCAPNVPHYAKNKAVGTAKPGMCFTIEPMI NIGTHRDRLWPDDWTSTTADGSLSAQFEHTLLVTEDGVEVLTARLPDSPGGPIPMPGT EAAGEVKTDA ANIA_05200 MASPAKQKVVIVGAGPVGCLAALYAAARGDDVELYELRGDLRVP GTIPLNFTKSINLSLSHRGITALRHSGREHVINEILQEVVPIYGRMIHGRDDGKLWEA PQAYDVHGRNNYSADRGMLNNVFLNELERIPNIKLFFNHKLTGADFQANKAWFERRLP GEAPLPGSSGRVPEIEVDFDFLIGADGAHSATRYHMMKFARVDYQQEYIDTLWCEFRI PPSPTNDFLISPSHLHIWPGKEFMFIALPSVDKSFTCTLFAPASHYAQLERSTEDLLQ FFDEHFPGVCPQLISPSDLTAQFRANPHLPLISIKCAPHHYSSSVVIVGDAAHAVLPF YGQGLNAGLEDIQVLFDALDKHGVYNANSDQAARALARQSAFAAYTASRTADAHAIND LSRQNYVEMRWGVKQPLYRLRKYIEEALYHYLPSLGWQTQYTRVSFSNQRYSEIIAIN RRQGRILGAVFGSTLISVLAVTGIYLWRQPTTRLLSLASFRGALQGALQGALTGTA ANIA_11469 MYLGRIRRNQSAGLCGDFSSPRADGAPLVTNLGNWKRVDGSIRW LARALTAGKTRRTQREEINTGMA ANIA_05201 MSSYFSSSSTGSSTYANSMSTDSDTWSRHSAMIPRQPPIYGTSG ESPVIYQPSTKPFPSPPRLEPGSIHSQIPTSRPPKLAPPDFDFSFPRGSIPVSSPGTM SLSPRGPLPPPTPMYRELNHPARLPVDSLARDYGFERPSESAEYLIERKGRRTADKYK GTVRYHSQRRSSNRDEFDGPHQFLDPPSPQVIAEQGRDLPHLPTNLDVSEQDRILASV NDRLSQCAFDFFGKYRFPIPIEPDKREVRVPSDREWTEWVYLLRRLATKRRIPARVLY NGQIKQLVTVLENSLEMRHAAKHQSRPIKDDRNVLQLISAGTQVAKILKDASAVEYLD RLYSDTEKRIQERRSRRVKFATP ANIA_05202 MVDAVFYHKGIKQAYFFGGRGRYARIDFVPGSAGGKITFGLAAI ADHWPSLKSIGFGTVDAILPIDGSQDEGYYFSGAHFARIKLVPSSDDDTFVDGPWVIT QKLASLNKAGFDTIDAPFLLPGFLVKQWPSLTEADSTLLMQRFLSREVQTALRTSLEE INLRARHK ANIA_05203 MSLVNLAHVCSHLNNATKARLGFTSIPNSNLHLKLCLALQNDGF ISSVVRGGLEPPPMHNLLGIPTAYDEVQEMEPLTQSNVATRRLWLGLKYWRSQPVLSK ISMVSKPTRRLTIDVSGLREVVRGQKSGYIEGLRSPGESLYLSTDKGIKEARECVEKK LGGLVLCRVL ANIA_05204 MPPLPGEERVLTTFADIHYYFAAPTPKPLLHRFDKSSYLYLYHN GTQRKTRIEVANNPGTPDQDAFNGALDNVHISHSTRFPTLCTITVDGQTQAQGGYTFP PPPGSANPYEWQLPTNDPRGENALQRLHTFDIYFWTQGDVDQFLDLAEQYLSRTQVET DRHPFPPPQVNTTSTIVQQLENVAITDPAYQNGQTRNSQAEAVVSPAPIGLPPPPPPP QDTPSATPAASHQHTSSVSPLSVEQKRDSAQFTPLPYNPAAPAAPEPIKHREKTPPPP DAADGTGLAAAVAADHGAPYSNPSHTPALGGGYSAPPQQGQGQASPGPYNLPGAYASP PPSAGLSFPPQHQAPIQGPPATVPSYPPQTSVQSPPATAVPSYPPTYLQGNYAQPHQQ QSQQQQQQQPSFYGTQVPAIGGYSTYSYEQSQTQPHPHHHQTTPSIQPATNVSTPGSE YAIHNQMYRPTEAEASSHLQDHAKLAMQNPGQRPRKLEESASRVENSVNRFLKKLEKK L ANIA_05205 MADKGAHPGVKSLLARFENNQQNNVTPPARDRSPAGSENLSKVR ASFVPVEGGTPSSPVLGFRRVSPHSEGASSPSRVKSVSSEDLDSSVKSHESSAQATNG LGSLQKASGLEKKDIVQNLAEAKEKEKAEEVSSANKEIQPAPKSPTAAPAKPAETASK ISSNVQAGKTTSTTKSASQAPTKTSTQSRTSASATKPDSEKTRTRASRPSTTTATSAK NDSLKTAAHKPSRASLATANKPPTRPAPRETARAAVRSSEVRSSSTARSARPTTSTTS TARSGTAGAATSTLSRKPSSLKSATGARQGANTPTVSTVRKPASRSPPSGNERSHSRA STASRPIDEGFLARMMRPTASSASKAHEKLDVKSPPRTKVARAPRRIPSTRSTQSARD KVGSKKPSEVEQQPAVEPAEVQVARDRKNESVQQAVEDTVSELPVEPPAQPTEAVVVE EKPNEATAEPPVESATEAVVEPTQPLVEDAHSQPNESDAAVAEPVTSVEEQAESTTVT KPTPEVAEEKVLAPAAEIVEKPSEKPNSNDIESVHAAESTATDAAAVSQENKLDTTSK APLKANQPDVDDIDLSKWSLNDEDDTF ANIA_05206 MAAARTLRIGLIPGDGIGREVIPAGRRILEALPASLNLKFNFVD LDAGYDCFKRTGTALPDKTVEVLKKECDGALFGAVSSPSTKVAGYSSPIVALRKKLDL FANVRPVKTTAGTSAGKPIDLVIVRENTEDLYVKEESTEETPNGKVARAIKQISERAS SRIATIAGEIALRRQNIRDGAAASGLRTKPMVTITHKSNVLSQTDGLFRETARAALAA QKFSSVEVEEQIVDSMVYKLFRQPEYYDVIVAPNLYGDILSDGAAALVGSLGLVPSAN VGDNFAIGEPCHGSAPDIEGKNIANPIATLRSVALMLEFLGEEQAAAKIYAAVDGNLD EGKYLSPDMGGKATTTEVLEDVLKRL ANIA_05207 MSEKASQYRLKVTAGPSYDPATHQEVPVNEDKTLHISNEHAATN LTVRIQNYTGFPNGAPRSNPYFDHPDHSKDQYSISFGIAFKKPINGNDLVFGNDFDRP IRDRLPPGFNGALRVAQWTIDPTLDGDAYADKPYLYSPALATWNRLRVGKKEKLPDVQ GIVEEGEEDGVDVRSAKGVPEDVNGRRSYFQNEERRKEWEFEEGRVYYADFGNQYLDF NEFTLRLPGFNINALHYIDEKTHSLRYVLKSRTSGEVYLVVLFTLVHASDGHDDESTE SKENGSRFEWEAEPSADDVE ANIA_05208 MTAALFTLSRLSRHTATTRKDSCPLGPDRNHASGSVDVLLPVRS HPKDGSAVRCETPRPHKRVRFSTGATTQNVPECSTGLTPALCRTSFEERDGGLQRTPT RRSRRHSTPLPRPRRLTDSPIPRASTSPEKVLHFTPLRQLLDTRTQRRIRRIGLSNEI NNLEREKRAAAQYEKSLESLLRERDSLKRELEVAKKGRVSATPATQPTSDDGEWMAPE DRIEHLEAGNDRLRAQLSFSAMDDYRHPTPSESDADTVDTILVNDSGLEGNSLFMSDS PDIRATEINMVPDEFPLHQTPSKVDSSAQTSRGMDVEFTAISQDLEAARKEKKDLFEA CRSRLNLLSGTPLERHLREHSPPADFFDDIVPSLMQMLARTSDATQTLSDIQSELSSL GFHGTNLHESVDELRNAFRTARLELEHMVPGETANAGLNGGMSTLSALVKRVQALVES LDEEQIRHQGSSDREKALRGQFDTLLARYESACKKIQNLEESITSSAGDMLHTRMRMQ ELEREAQDHVISTERLKAALDKYDDDVKRLESLVSRLEEEKALHADSHARRISELQQK VVDEESRRRAAQSTIEDLEKQIREREEIIEQNRIRVCDLTAKMESIERERQQAVENLE KNVTDHERETGLLNVRIAELNTALEAAKTEAEKLNRYNAGLKEQMRLEIEAKDGLLDK WFAEQTRAFASMKAAVNAERRQAKVRSANWELKSDELESDDKGIGSEPITPVSMTRFM DVEIGRGKHRRRIDSGIGVLTEDELEDEVDAVPSDPIDL ANIA_05209 MAVALMEWPPPLGQPEDSSHQEENEEKSSPITDQDYITLQNLKM PLLQLPPELLFDILSYLPAIDLVRVSASCRVLAQHANNDLLWANLVNANLPDPIQDPG IFDSFRALYIAHHPYWFIPRNKVWFSDTEHTGNLILARYDNRRGVIEAYRVTTERRSS KFQVWEWNPDVVIQAFEPKVSLWLDDPILLLQRAPDGRRKYLDCENRMTMPVEVQYIY NAISLCRPADPDQLTEDTQWPPPNIPSQHRVYRNPEVHWKEWNRVPKQLSQISEHAFR IRRWAHFRLGMPMFTPGQQETMSTYSTLDPSLYTPTKEKPYQGIWVGDYSAHGCEFLL FLQRDKESDEDDARDPRNDDIIQKGSLEAVKLTGDPNVPRGQFSFVSDDIGPGGTVRI ATEALFQGARVVRSRGHVAGLGFRDDTFITSQLILVSPDCVAHYWESMGHITYYRRLD IDALIRS ANIA_10651 MVVGRLTHYAFDAVLFSAFLAGVKRSTGLTPSLDSDKITDNKDF KKWIDNYLGVGEWVMDQSIAVFGSTSYFERKR ANIA_05210 MAASSSLDHLSNRMKLEWHSKLNTEMVPAKNFRRTSIICTIGPK TNSVEKINALRRAGLNVVRMNFSHGSYEYHQSVIDHAREAEKQQAGRPVAIALDTKGP EIRTGNTVGDKDIPIKAGHEMNISTDEQYATASDDQNMYVDYKNITKVISAGKLIYVD DGILSFEVLEVVDDKTLRVRCLNNGNISSRKGVNLPGTDVDLPALSEKDISDLKFGVK NKVDMVFASFIRRGSDIRHIREVLGEEGREIQIIAKIENQQGVNNFDEILEETDGVMV ARGDLGIEIPAPKVFIAQKMMIAKCNIKGKPVICATQMLESMTYNPRPTRAEVSDVAN AVLDGADCVMLSGETAKGNYPCEAVTMMSETCLLAEVAIPHFNVFDELRNLAPRPTDT VESIAMAAVSASLELNAGAIVVLTTSGNTARMISKYRPVCPIIMVSRNPAATRYSHLY RGVWPFYFPEKKPDFNVKIWQEDVDRRLKWGINHGLKLGIINKGDNIVCVQGWRGGMG HTNTVRVVPAEENLGLSE ANIA_05211 MIAIDESRSELVVGVIDCIRTYTWDKKLESWIKDRGFAGGGKNR PTVTSPKEYKSRFREAMARYVLQAPSCWHQFQSSRYAVMDTHVHMNQHHSLTDGDAEG AAGQSF ANIA_05212 MSSSNHWISLFVEHCLSSYETGRHPGSDWEDDGSNIRFSSSEQQ LARITEWSEVNNVPRPRLSDLDTQIEAVLSSGSLQEYNKDFPNKPLNRDRCLGYTIQL DDFELVYEYHAGKPNVHLSGHQAIEPSQETVDSSGQNNGDGDILADGHTQHVRHQFMS QLPRSHSRSPVQSPIHDAVLNSVRQRNTHHMSTSNVSHVHPEKQGAAEGDRGTPTSVS SEPFITQAINRPCSEKATRQNFSPQKAERQSPRGAVERSGYSNSPERQPQSQLQSCED GIPLPASPDKNAKAKIADPWEGMTGISSVDVTVPADQKELLDSNPTPWYPPPDGCQLA SGNVPRALLAEWNELASRRNQIEDNKPKTVDDTRPSTPVSETSSESLADSWVETPNRT PSRGVLLPRDSSPLRDNSVRPQRAQSSGDQHSLENNTDSHMQMVEEEPVSELDRNTDP ISKASVAAMAEPNAKAIAPDMVCDDGGHVLEDTQSNSRMASDDSNSNSKINNAPGARP IAPGIGHDDGHYTNVNSESQKDPAVDAGPIAKAQVDKGYQSDGSSNSDDSEKNEAPDV EISNPDPALGGEQESESSSDSEMSVAIPRPLSGSTQQETSTQTVSSPEPSLSEFAGQN VQVVETPAVLSKSRPVLSGQDASRTDLTESQSQPDKSSQSRILNTYASHEGDSNGGTS QWNSMSLPASAPDSLSCVHVMGTPLSSQVSPTQPTPRSPSNSIHTSSGPNVVEGSVPA ASLYQTQSSKASSSHHEPHSSSMLSIDDLLNPSIQSSVQGSSFRPDRTSPLKRLASET DSDRGSPLKRSKLDIKPTVVKAEGGLDETIIARHQNYIINSAQSVEAAGIYEKFRGDY PNYAGNYEHFIKLCSRLRSFRERGSLQRSFLWDDFIIKNLDTYPSYLAECHYNHIKPL EYEEYFAETFSKPTYKRRSLDIPGINACAAQVVTIDESVESREPDDAKTSFTASLREQ LSKLHTHSFAVQDPTSRNAVSEDGQNAAESDSTSQYSIPDSEPARAAAREHDDDYMNI DHLQETDDLHTVWENSDEDMENTGMDVDVDDTGHETASVELGDDETPTALLTPARHRF CSEPQPDSLVPDRNNKRGVSSPSTSRRNRLTIHTTPERSTLGIASKAASDESVHGDAK HALVPRNKSLTSQPTSETAIDAASGMILNTSTGSKAPSPNKDKEPAHVLTPKRNKFPN GQVVETPTPGTTSNHSGRGMIETVIENRGSAINAAANSNIEAQDTEPYPGPSPIRKTH PALRRPERPTSASAGASVPDKDHDDHIGIHNINNTNDISETEEVALSASPDPKGKGKS RQQEAEDESENENWFTSLRHIFPQRKSTKPVWSDDPHTPLKQWARADQNVLLVRNQRG GLNVPVDERGVIGRIPRKTGLNQGSEHT ANIA_05213 MGKGGRMICIFTPYVLTIAALICLIVVGLGSTDNGTDSLRDLYF FRADLQDLNSSAPIQNSVSSVLDDLNISVDDGDLGSALEEVEQYFNIPDFYNIGLFGY CQGNVTNNNDYRVTNCSSPEAQFWFDPVEVWNLKTLGLQNAIPDDLQDALNVYKNVSK WMCIAYIIAFAATGLEILLGITAIFSRWGSCVTTLVAIAAFLFTAAASATSTAMFAVI AGVFNDKIKPYGIDGHMGRNIYAATWLATAFSLAAALFWAISSCCCSGRSPYNHRHGR NRGGITAEKAPYTYEPVGEGYPSPQPPFHASAPNYSAQQRPTAYEPYRHV ANIA_05214 MKVNTLLVAVAAGTAMAAPQLKKRAGFTFFGVTEAGAEFGEKSI PGVWGTDYTFPDTESILTLISKGFNTFRIPFLMERLTPEMTGSFDEGYLKNLTSVVNA VTDAGAWAIVDAQNFGRFNGEIISSASDFQTWWKNVAAEFADNKNVIFDTTNTSGADN EFHDMDQTLVLDLNQAAINGIRAAGATSQYIFVEGNSYTGAWTWTDNNDNLKSLTDPQ DKIVYEMHQYLDTDGSGTHETCVSETIGAERVESATQWLKDNGKLGVIGEFAGGNNEI CRAAVKSLLDALKENDDVWLGALWWAAGPWWEDYMFSMEPTDGIAYTGMLSTLEAYMN ANIA_05215 MGSSLEHVDACLPVTALQLFDLGGVRFVLLGQGTFARLVEESSG RVLAYLRVFKINHVHGYTVLQKDGRSTGHVQLVAWGGQSLRLVELSYSISSCGEPVVS LVAASAEYLAPDWVLAGCPSTDGSAAYLVTAHNAVLGMSVVEEANRRAIHLRQLVAGV KSILYSAQIVPVSPTNLLVAAGTVFGEIIVWSCFVCGGNGSTANAVSSIHHFFTGHEG SIFDVEISPKIANLRGDLSGRLLASCSDDRTVRIWDISDCEIASPDQPSAYSTDGFEL RCTGFGQVKNDELNAESCVASAFGHGARIWGIHFLAEQITKDKISLISRGEDAQCLVW DLIWGSSSETKFKLTNTCSLHPHNGKHIWSLGLLTAGSKTTVYTGGNDGAVRTFNLVQ EAGELICPNRTTRINDTPGSENVKGGGMRAYEFVAPDHFLVVTTSGEIQIAWAESPNT ADRRIACETLFVEEDLSSYSIISGLPYKGVAVIGNKLGIIRLYDHETRSLTTVATAGQ RPVGLYLLDHHKGTDGSVNLKILATYTTLDTAEVFHVHLSAEGPHAERVTLHVPQGFG ISCASLIHNNEYLGLGSRYGSFAVYKLQETGSLEPLLKVARVHSKDGLTRIIPFTSLS PTDSTPSQYFLTSGRDANYRVNVLDVSGEAVSLRTVHSPTTLGFNVEGAYIDNHSDLI LYGFGGMGFVVWNESTQAEIAKIHCGGGHRRWAFHPSTRPGESLLLWSQGGFNATHIN AQAARSIRAGGHGREIKALGTYQPAKGSPLFVTGSEDTTLRILAPRSPNRSGPWGALE TQRVLTAHDSSPQHISWSKDGKFLFTSSAMEDFFVWKIRAIPLFGLAAAIQGQCPKSH PKSELRVTCFDILEVEPSQTEAEASFLLCLTYSNSTIKVFHLSCANEDGRFSLLASGT YTSNCLTQVRFLRAPSSLCLVTTSTDGHFTLWDITAVLEQFYHLDRPLRLKQPLSSLS IPEETIACESRHQIHSNSIKTIDMVHLSDTVTLLLAGGDDNAITLTLLHTDTETGPAT ITIPDAHTASVNAVKAIEESIARNSGTIQLSFASSGNDHRVKFWRVTIDMQDRPVLDG VQVDNVLDRYSPVADISSLDVVHDEEGMKLLVCGVGMEWFTVEL ANIA_05216 MGVQGLWTIVQPCARPVKLETLNRKRLAVDASIWIYQFLKAVRD KEGNALRNSHIVGFFRRICKLLYFGIKPVFVFDGGAPVLKRQTIANRKKRREGRREDA VQTASKLLAVQLQRTAEQESAKRRSRRQENEEDVPDNPVYVEETFMTDKQKQQSRTFK KKDAYHLPDMQVSLQEMGAPNDPRIMSQEELEEYARQFHQGEDINLYDFSKIDFDSPF FLSLPATDRYNILNAARLRSRLRMGYSKEQLDTMFPDRMAFSKFQIERVKERNDLTQR LMNINGMNGDEAFYKSGQRIAGERGKEYVLVQDNSVEGGWVLGVVGNKEGGREEKPID VDRYFHHEITPEPEASEDEGGFEDVPIEGLNRLPKLSFLQPGVFDDSLRQHIQGSQGQ DAGADSLFVEDFNNAQHTGDVFDGAAASEDEDLQRAIAMSLQSPNHMDHDAEMPEIPV NRATSLEPQSKPAVEPTIESDDELDFVAAVAQSKRTKAPAKPAPTQTFEGPLPFETLK HRKPLNVKKPEPVENDAGGFEKGPSKEAKENVPLPPWFSGPQQNSEFIADQNDNDLDN YRDRAMTPGHLFLRNHRSPSVIDVDKFPESKVIDLDPAPEADKETAPAQPAELKEIQT PPEPPKPESPDHRASVALEAKVAKDGDVAESVQTDGEESVRSSSPEFEDVTVQPVEAV PAAERERSLEFEDVHLPAEEHVGDGEKTGRLSPEFEDVPIQQVPSALHEVGLAQAVDI DDEGFSDPEDEELMRQLAAEGEEHVRFAATLNSAPHSEGTFDYEQELKQLRSQQKKDR RDADEVTQIMITECQQLLRLFGLPYITAPMEAEAQCAELVSLGLVDGIITDDSDIFLF GGTRVYKNMFNQSKYVECYLTADLEKEYALHRRKLISFAHLLGSDYTEGIPGIGPVTA LEILTEFSSLEEFRDWWTQVQTGADMSNSSHAAFYKKFRKQATKIFLPPTFPDARVDA AYLEPEADRDPSPFQWGVPDLHGLRNFLMATIGWSQERTDEVLVPVIRDINQREQEGT QSNITSFFSGPQGAGAFAPRVRSGGQSRMEKAFSRLRREAGADQDAELVDVETDPSSQ DLSALPARRKGKGTRKGAKRGAEPEEAAENGQGSRENDGATAKKRRGRKEAKT ANIA_05217 MHRTYSMRQSRLPTASQIENPPPPLSSTKTNRWMGKGGLGHAFR KNAAGAFGPDLARKLSQLVKMEKNVMRSMELVSRERMETAQQLSIWGENCDEDVSDIT DKLGVLLYEVGELEDMYVDRYDQYRVTIKSIRNIEASVQPSRDRKQKITDEIAKLKYK DPNSPRIVVLEQELVRAEAESLVAEAQLSNITREKLKAAFQYQFDALREHCEKVAIIA GYGKHLLDLIDDTPVTPGETRQAYDGYDASKAIIQDCEDALANWVSSKAAVKSSLSQR SRTLSQRRQDNINKGRENGVDLSSQDQPLRGDRDSWVAAEQHPSYHHDDDEEAASDGD IRGREEEREPVPV ANIA_11470 MLLMQRIILGEHKIVPRPTYYVMKILRTTSPVNMPTLPTIPYPL LNNAVLDIDVNACYSFQLKDVPHGTILPSKLTVLPVFESRY ANIA_05218 MSDEQIARQTAASSFDKLENFNFLLSRHDPEVAKHRQYSFDTDA AASLAHVPNLGMPYDPTEGMGGLSVSSYESIEDEHSPIDVRGYPYHAGDKTINYSVSD HMLSHSAYPLYPPISYGPDEVGHAPGAMTPSDVSSSISPPNGQIGNNKYSTQISGDHI ASALNQEEHSRRAAEEDRRRRNTAASARFRMKKKQREQTLERTVRETTEKNASLEARV AQLEMENRWLKNLLTEKHEAASSRMPPPPATDVSLNHPSTTVTGSGQKHIQPKKKGVG TDN ANIA_05219 MAVIIFIVAAGLEVKTVENQISTGKLRPNLLRNVLSHDSDPQHQ QLYWDKTTGLICQSSWAPLASATRIILEEGFRCTYLHWVGMGGPRIKRELNLPHVVSA NLFQSGDHDSATPEDRRQDSFSPRWFCGCTGLTLRCTEFWVPRSLQSFYFALADSKFE THALGCHHFQKYDVLITRKPQGGDKLEKEACGHGEFHSGMIIVS ANIA_05220 MQLSMSTASLGPAPISNMHETAPSRNGHGFRFQGRKPLACETCY KRKVKCEFDESAGSCIQCMRRNLHCKVSKGNKHKRSCYVKSLEERLRKTESLLRAAGI AVEEDHDAEDGSSVDDDDSLSSNHHDSGREAESRSMTGRATGEKGLFKSGNPEQSFNS NALAKFYRRRRPTAKNTPPVYRAEKGYSMYHGRCSPLSLLTREGMEWIKTKSGESNSL DSLLSNSNFDSPWAYWRPDVFYDVFASKVFKPLPPRAEVFSLLQEYFRTLNLIFPLYH EKTFMELVEWQYTQQTCDDAARWASINIILALAYEYRYSNSQKAERDREKAWLYYKNA LSVFPELVLRRTDLLSVQALLGMAIFLRGNSGSQATMPVVTAAIRASHRMGLHREIHR PYLSRLEQQQRKNVFWIAYIIDQSISIRLGSAPTQQLDDYDVDFPSDDTEGLMLVGNK TVFPQLCRISVIRSRTYKHFYSARALEDKSTADICEMVHKLDAELQDWRRESQFDTSL KQRGSGQDFLVGFASASLFLLYNNTLMMIHRIPTLINFVYQSRAERIPELDLRLILNQ SSSSAILCVQAARDILNLINHLPWGDVAWIWSLLYYIFLAVMTVFVNILRNSQQPASK DDLKSLNMAATFFTTLVPADGPCNYVRFMTRMSTAFERIARTVLERDQKTVRPGPDPL VKAKASKSRAANNSRSQPPPHTLPQHQSSSASASATTTVNIPNLEGLPPINSSGYVVI ESPIISPDQSPPPPSNPTSSTNTKPTYSSQPQPQTHASNPSSVPQYQYPPTLHSQQHS FAPSTIPTDTTTSFPLPTLEESSVTTSLPASFPYPFSQTRPEPWQIPLTAEWEFGFEG QFLGMGMSMGMGAGMGNGMGMNNIFQQQVYAFQDPTHGLGSGSMSMGSAAANVPMPNT MVSTPLMEYGYGDRNQSNGTAGGRSDGAGPAPTPGLQSHQPMWFGNAF ANIA_05221 MASRGDSAESTRVRSRRSIAHVPRSRLTAGGDKDNATTEISTSQ PLANRRSATGKEKKSRSKSLGPGGLDALQTSNGNRRKSAAVFPLKSILKPTAPVSPIR NIPTFEETRRKTPARGGKEQASSTGLAQGGNLIDLDTHAQKSAVGTDGQTNPFDNFNA DTIRDEMAAAREQEEKERRERERKAALEHREARRKSMELTGLQANRRVSFAPEATLHT WNVVEIPEDSTSSSASNSTRRVSALTNTPNQPMHTSKQSDRSSSPDIDAESDIAFSPV QYPDLERLRNQQPIGSYDGASSSQEMLSSPFSGSENSEDTGLHSIARDDGNDEEEEDD DDDESSTASGFDGESTAMSMDDMSIHSGVTTQTDGSESTSGTNRLNEALRQAAREAGT RSFEDENDEEVSMEIADQEITGAFQPWIKKGQRQSFDWEDISARHDQENVDPSKSMNS ATSEMASDNGDEDLSMEVTNAIGRIIPNNRQSLGRRRSIAEETNYEEQTMELTNVVGG IAQSVSPAKSADANSEIDNDEEMTMEFTSVVGGVLNRAGSQKVDEDNDTPDHGDNSTY DNPDDMDDGADMEITGAVGEILPRVQEEAEFGDGDQTTGMDFTAAMGKILTPERASPD KVHSQPASSPFQESVRASPAKSPAAFHVAAVASESGSPSLASVRSRPTRQSLSRATPT TPTSITPQEPPAQNSSKTLKQSARAGQPSTPEHPSPFKDEGIRNASPKKIFQPEIQAS HSQQKSPGRRSLFGSNAAGESAPLFVLRPPGPRRSSGIGIDREGLGSPKVAAMLDKRR SIGEEAGDFVPRPQGVRFEDPIKLQEEVDREREEEESREDGHIQPPDPTASLKDMISS LTPKKNKLRGRKSLHVGAARGILGKRPAELDLEDEDEGENTPKRLRRREDSPVKNVRL PPPPSKEETVGRARSPARKSMALSPSKVSTTPTQEPRVLALENSAQDASKAASPDVEV PTEGGADDNHEPEFEPIHLQDFLNMTNIHFMELTTTKRRHTTAPDSISKRAARLSLEG DGKSSASNFDDCVAAGFCTVPMLELYQHSCRELKSYISEGRQIIRSIETETYADNPPL FREYMAAAPDIRLLMDNQFRNVKTHTRLLSKATWYEWRMKLLEGLKEGLDRHVEEMKG DDNLLSKHEAILKDAMPALSAKHSSLKEEAAQLQQLADELENCDQDELWNARGKLSDL EEEIAAKRQVLEELQTQIQDKTDTIETGTELKAEVMAQIQEAERVKEECRGWSAKEIR ELKDSVHRIEQRTGWSISSASSSETGLAVTMVYRHQLQLKFYPASFYIEGESKDSLSQ KENTPIELCYSPEKESGPSHARSLSPIMLLILKSLQNHIATITQSELTSKQLLRFVSK AWDLATKAEEEARMLGFHGVTNLQLSELEKPSLRARCTLLGTVSPPIDSTPLSKSQKR SNENCRIDVDFAVTTCIVARDDGNALGVLDIQTEVIASKVYGFGTDNSKGLSEKEMRS ILSKELRGNGKSGVKFGSGVWSKAVQMLEGRVF ANIA_05222 MATELTVQSERAFQKQPHIFLNPKTKAKSKKVGQTRRWYKDVGL GFRTPKTAIEGSYIDKKCPFTGMVSIRGRILTGRVVSTKMHRTIIIRREYLHYVPKYN RYEKRHKNLAAHVSPAFRVEEGDWVTVGQCRPLSKTVRFNVLRVLPRTGKAVKAFSKF ANIA_05223 MELNALNLLTKPPRVRWSTEMRTFLCCLIKYFNKDRDAFQAIFN SRFKKELNECGFNEKLPVKWSTLDSQWIDMKKKGDPIWGDVHQSAFDAEAWLSYIEKI EATAISINKHIDRKAEDTVDSLTFTYQLPKPRHQAMSSCSRRRFHPTGPVIPWKYHRR QLFRGETVSGESSGAAGSQQDGAQRFTDQLGERPLCTAGDKLCFWCYAENPSSVSISG PATCVFNAIQKATNGLNAAQLPPLLYRWWNVRSQGLNLENVFVAGMFASLFKGYFAPD TLAEDVFNRLFESHIRRHKDFPSPFISTFMSLLAPVHRGLREKGGATIAIFDTRKLRS KVYSAREFVREQNLKIGRMYNGAGEYAVWGRINNDAIICSFTIDTLSRIADEHPDINR FLQLDLITTHRHNRKGLHKAMTKNAMSLDMEAGATVGKLLSLLDVPQEFCREISRGMA YSWRIKTRYMSWQGFFQGVELGYRGEPVMPTLLTPDATPDSVEPIPFGGFDSDPGMNI VESSDDWGDKSDATLDLQSDEDEQDESSMDETPPPSFRHRRKTVPPRMDLDSSLAQDP NSNPANVVNNGFDREMDDVMESEFTVEEAVDQKQMAIDEFAVDRARVMSVLRGNFLV ANIA_05224 MAQNFEKSVKGATKVKLAAPKSKYIEHILVATHTGEAGVAEIFR TLHLRVRDSTWTIAFKALIVIHFMIREGQLDATLQYMAENPRKIAVHGLSEVQPQGRN IRRYAQYLLARAKAFEQTKTDYVRSGQGRMKRLTVDKGLLRETEIVQKQIKELLRCDY QLLTDEVENEISLTAFRLLTLDLLTLYSVMNEGTINVLEHYFEMSRPDSERALEIYKT FTAQTEEVVKFLGVARHFESATRLEIPKLKHASTDLTRLLEDDLNDPDFNQRRREYLA RKGKSVSSSAFAAASTTGDKPASNSNPTPPRPKTEPSPQTKAAPSNLIDFFDSIEPAQ PAQFQQQPQQQGMQFQQTGGFPGQEPAFYPQQTGFQQPQPTGLNQQAPFGGPFAPQTT NQFGQQQVPAPLQATPTGAGFGGYTPQPQAQGFQSQLPPIPQNNVAAFPQQQQSPATL QPQTTNPFRQSMLMSTPTGSAVPPSPLNRQSTNPFAKRLSTANPSFPQSQPSQQPQQP AALQPQRTGTNPFARSSSVPPQQSQSLHPSAAPLRPTPTGSTNPFRQSTLVQQGQGWQ TTNGQQGTMGGLEQLETISVFPRPGYS ANIA_05225 MDAKNSLPPPSTAHPPAADRSLPIYPIVSRPAPWAHDSNNTPAV PQTYHHHHYPPPHSLPPPSSALPPPGPGHAPPTSIASEPDATNAVPPVGGDSSRQGSG KPATTKVRKPRKQGNASQGRSTLFWVHNDPQSVAEGTREETLKLIRSHVMSEHNRKKR LETNKRYKSKTWKHLAFQPVETSASSSSTTSTGATASPAELSQQPSRTASRHSPRPGQ RSSSSSSDSPTPEDRPINEEPEHADAGPEYSVASEGPVVNYGVQDGSQALAVVPDPSP YTYVGQGTGDPFNTIHTPLSERMYRHLQHFLCKLTRLAYPLQRRYGAKLEAHWASLVS HDPASLHACICVAATNSALESGEFPLTDEKKGSSVLLLDTFHHRGETIRLVNEGLSDP IKAASDELIAAVSVLLTVEIATGDPDYLKIHLAGLRQMVGMRVSFADVADDVRFQISW TDIRVACMSLTKPIFPFVRYARPKNFTITPPTKELESTASSLMSLNQIPGVFGDAMSK IIYDLTDLVWYAEWVKGGPQEQDFDEETECYYNTEVLYVEYALHSDRYTSSGEVKGDA TIEGCVRLACLLFHNTAIWDFYPQIAPVFPKPIIALQLALESTIRAGCYHLCRGLLIW LLFVGACSTRLPNQRPFFVNELASAVRLQGIQSWQELRAVLFGYFYVDRCYLGPLRAL WDEIQTTPASHQHCING ANIA_05226 MSAEQNHGLEKDVGGPAAPAAAAPNAPAAAPGAPPAGMSAEEHR SRFGYGPLSHVNTKEAILPPFGGEFQPGLYKSVEARKFANPAPLGLSAFALTTFVLSC INMGARDITHPNIVIALAFGYGGLVQLLAGMWEMAVGNTFGATALSSYGGFWIAFAIV LTPGGFNIQTALTAENGDEAMFYNSFGLFLMGWFIFTTIMLFCTLRSTVAFFLLFLFL DLAFLLLGVGYIQRDDAGQPNPPVIKAGGFFGLLAAFAAWYNALAGIADSSNSFFIIP VAHFPWSPTGRARREKTERETV ANIA_11471 MFRKGKALRSPAMGGYCSLVDASTYPSSSDPSSLSLKLLKAFRR LASMMQR ANIA_05227 MMEDTRAKLRRQLREELGREIVEELRDEIRREIHEDASYDRNMR IQQLDLLYRERKRIWKLAKSKFRTRRSVILSDRHNYITWRDSVLMDAYIIDAKDIFVQ TKPPNGSNEIDIACWDAKNVIMRTRILQSIASHVRETICRQRSTLAAELWARIASTYA PSTAEERLVTVKALLDINPQGNYPAMIRDYQRIGEKLRRMDLSFEDLIHDIFICSLGQ WQQDFVHTKLDEFYSCGRGPIKNLDITTFAGQLVARSSPSSNKCIPQHPPRFKLEARY RISPTELKDSPRTKQGCQGQKPMRTKVPLPNDCRELHPEKASDDKNQPKGQKLVLRNH PQANSIASELAQEPKHDNEPPWLLSTAADFHISYRDHVFSNLRDHEASIKDAGGHVHQ IIGIGTALVHGMEIPDVRYAPAMKTNLLSFGLLDKQNFDISLHGNFEKHFLIKSPTGD SLGAYLEQETGRYHVRPVSDGQKDHDHALPTATMSEWHQRLSHVHFRAILKFEQQKIL KIKGRKTLAFCDICRQAKQRRQISKEPASRATKILARIHIVIAGGGATLNCKDEQAPP GIENTRYFLLITDDATRYRWFFTLRTTDEAIPTFQWWIKHIKNQGFSPPAFVRSDREF FTDMVKKLCQICGLVLEPTTADSPWQNGVSERGIQPLLQSTRAMISDSGLPRWLWPQA LETAVYHLNRLPTQVPLYNDRRSMAPTSDPEIQPCAHLTPYSAWNNGDANIKHLVKFG SPAWMHLHEASKHAGKPTSMIDPKAKKVHIVGYRGTHFYVVWDPETNQLHDTSNVSIN EVFRPPQSKPYGADKTNKDPPTHDPSDINSRDKFQRSGKGSAILKSTNSLLPEPGLSK EATKALTAQWLVVMRKRRIHKALMGQNLEV ANIA_05228 MDSSKLFTPLKVGNIQLAHRITLPPMTRFRVDEGHIPQDQVAEY YAQRAAVPGTLLITEATLISTRPGVYTHVPGLWSKEQIAQWRKVTDAVHAKGSFIYNQ LWALGRVADPEATKKEHGGSEGRVIAPSAVPLDPSGEPPKEMSEEDIAGVIQDFATAA KNAIEAGFDGVEIHGANGYLVDQFIQKAANKRSDRWGGSVENRARFPLEVIRAVVDAI GAERTAIRYSPWSTFQGMGVDPDEEQIAQFAYLAKKTAEFKLAFVHLVEGRIAGNTET DENGGRNLHFFFDAYGRAGPIMVAGGYVGETAREAADVQYKDYDVMIAIGRPWTANPD LPFKVKKGIPLRPYEREHFYTVRSPKGYIDYDFSEEFKAATGTRL ANIA_05229 MASNRVERLQMRQRGAGTRKIKEVDFGFSLGLGAPAEESSQPAS QPTNNDLKPASAPQPPLLEAPPLVPAPSTADAIQTQSTPSPTRTNAFGALRDQPVRTP GSARNKLPPRRSTFDIPPDDEPELERSNKRRRIDNAPPSGAQIETPIDAPQNGTAETT VEAPSEGQAIPIRTITSNQAPRPDQETAAESSSIPAIGTDGNPPEASNEEHEHPKIKA TARLESPRVNGTASPSESSEDRRRGKKGRPSPLRDNVSSLAATEAVYQQAAHKQLPDS RLEPAGAAQETEAQGWFTRTQDEQTRSPNLASVQPSAEPSATEKATAKEGTSEAVPRS TAGKGARGRRRKNLEPTEEAAVTERDSDEPSATPVEEEVAADNDASRENLDSPENQPS GIDKGKKRAGRPRRHIRSPTPSEDSAMSKRKRQHEKDLDRAETRPEQEQPAATARSSK KRKQHNEHESSPRQEQDEPGPQPEVERSRDSKRRRGRKERELTPQAERTEFGTAVKAA RAGRKKQQQQKLPSELEQLAPAEAGQARSGRGRKRPEKQVPAERQDVQLEAEPEAERV HADKGKKRQNRAPTAEQEEEPERELEPETEQESQPGQHPKDAKRRDKRPAQPEGPPDD GTEDQPQPKTRKPRQPRGETVPVTVHRLANAALLGGELFESSDEDDANSPDGVSNIQP TRLPSRGGVNPADVLAQICRETLEKTLTTLKTGIENEANATRRAEWTLRRKAVEAYGA ELEGRLSDLSEMLDSNFMLSAKVKKAKRNMLDLRARLDHIRREREAVALRLDAVRRKH AREEQAGMARSTINHSLHNLDLALERGQNRTSATHSESPTAGLELRLRSLAQSVSSSA PGSQGGILSQVKSFNAQLEAAARRLEG ANIA_05230 MGWFWGSNNEDPVKKLDPGLREYLENEAPKKYVPASQSPPAAEE KASPSTTSSDDASSASPAVPSASLFPDGRYAHLWKTYKPPSPGEVGPETTGVPSLKAH SKQRQAMVKRAAMENCSIENEIYHLCLKSPPTMSDRLTACQDKNKVFTRCFTTQSKFL QALGYASAHQWDDEREERIQLHADKLYHEMLDYEKQVEEAREAGREPPPLTSLFNPQA KPIERASVGPAELEIPGGEPIPEGFTPSKPLERLTPHERELEIRAYYQQLEEQKAYVK GMLPVIRSHDEARDKRREKLVGWFGETVGNWMTR ANIA_05231 MPGHLGQKILSKIGLDDHRGHHGSSHGPSSRGPPLHVSRTVHED ERLVRSQPRGTYPRLAKLSDGSILSACTCFDGPTRILHIGKSTDGGRHFEDFAEVTRG NGDVDNMYILEVAPNTVLAAFRNHDLGPNGPTHFRITVCRSTDGGKSWRFLSQAAEKK PPHGIWEPFMRMGRQGEVMLTYSEEFAHNNQCTMLVRSTDGGATWSPPQCLEGKNDPY RDGMNGIAKTFDNGREALLMVFETTTFGTFNLEALISYDDGYTWGHRHRVYVPPRGHN AGSPQVASFGDGSLAVIFMTDEDHSQVKWTRNASIKVVYGTPPNNGHIQWSPPAVICP HLSHWPGIMALDDRTLLATYECGGPKVKSITLQ ANIA_05232 MNLKSLFRIEARPLLQFRRLSTTRSSKMRLPYAPSEPPTADPET AEIYARIAARRRPRPLIPLDLSLLHSPPVADGWNSFLGAIRTQTVVDQGLLELAVSRV AVITQAVYEWNAHAPLALKGGIKPEELHAVRTLPSTATGDVDAAVKALGQSALTPQQR AIVRYADEMTQTVKVQDETFAQLQKEGFSDREIVELTTGIACYNCVSRVLVALDVGEN NAREMKSVDELVAGLK ANIA_05233 MASMESALAAIESIKPGERFSYAKIAEQYGVSRTTLSRRHRGVQ GSKKAQYAKQQLLNPQQEDELIKYIDKQSEKGLAASRQMIENFARESAQKEPGANWVS RFQRRHQSKFMSVCTTGSDSSHREDETTFKYSQYFALLRRKLDEYKVQPEDTYNVDEK EFLIGIGSKNKRIFSKESHEIGSFKKHLHDGDCERITAIPCICADGSKLPPGLVYQSA SSKIQDTWLQDFNPEYHNCFFTSSPSGWTNDDVCLAWLRDVFDRETKPKARGRWRLLL LDGYGSFVTMKFLDYCEENKILLATYPPHSTHTLQPLDVGIISPLSEAYDDEVEAFLH ASQGLNAVTEREFFGLFCKAWNKAVSQANVENSWRATGLVPWNPDVVLARFKENAAQK TSPSESTRAILRGEDWRNIERLLKQANVDVQNENTEKLSLTIHHLSTEKIMLKARCKD LEDALANEKKKQKRGESPIFQSQAAESGNTVFYSPRKTQQARNLQKEKDKAIQQAEAA KEKAKLRGQQDKEEKQQNAKKRRRRRRIKASGKQIRLQEEEEKKRQRKHQNEETWIAE ESEIQPQDDIVTAKEGKPKSPAAPKRPKKQNPSPKHLMLPKGVTAIKGLLRREYYRVS CVV ANIA_05234 METFRPAFADRPRPLLSYGLPFPEAVSRHVVDTFNAKRVYVICS GSLAKTTDSLDRLAQALGRVDVAIVGRRIGMKSHTLWSEVLEITEDARVCSADLILTV GGGSLTDGAKVIAYALANGAKTFEDLETLCMGPNQEPPSAPDPATPTVPIVSVPTTLS AGEYSNFAGATNDRTHRKHSFQAPLRGPQLIVLDPQLVSKTPESVWISTGVRAVDHCV ETFCAVKGTSEESDSLALKALANLVPGLVRCRHDPKGEDLDARLTCQLGSVDAMAACT SGKVQLGASHGIGHQLGPLGVGHGETSCILLPAVCKYNAKYNANNDRQSRLREFLLEQ SIVADVIKSRGLQKESLDLGDVLDALFRELGMPRSLGEVGVAQDKLDGLAANSLHDRW CRSNPVPLNEKEQVLEILEQVI ANIA_05235 MTEALGSIPPSAFHSAQTLRLVHNLEPAPGIRFSSVAQPANSEI RESSADEIYAHKAGANVLAIDQYDGRFMVSGGADPSIHLWDLEARGSELSYTHRPAAS VTKFSHDDAHTHAITSVSIYPFDPTPSTIITTSHDGTLKLSALQPPTITPVHTFKLDC TPYAHSLSAHPSSPLLVAVGTSEKPVRLLDLRSGLSTHGLPGHSSAVLSVSWAPHRPH ILASASTDNRVILFDIRRAGHNSAIATLDMDDAVGLVPPRSTPSLYRSRPAFSPHARA HNGPVTGVRWTPDGSYLVTAGQDARIRVWQAATGANTLVHFGPRVQNTSSLHLAERAP LIVPHGQMGAGHEIFLWANFSDSDHRGEIFMLGLREGTFIKRLRVPGLMAQRSQIQGR SNALSAARINALVWRGNGASGQGIEVFSAHGDGTLRSWVSREPEEAPTETEEAEQADR KRKRNVLEEIYHGFMSPSV ANIA_05236 MSDTKHRPAVQTTAAEHYGEVPSPVVSAHPTRESSAPEIAADLV DEQKKGFFAYFRTKEFYVTLLLGQFLAIANTGTNTFNTLLANKNTNIPAFQTFFNYSL LNIIFTSYTIYKYGIKGWFEMLWKRGWKYIILSFCDVEGNYFMVLAYEYTTMMSAQLI NFWAIVVVVVVSFLFLRVRYHISQVLGILICIGGMGILIASDHIQGTNGGDISRGNQI KGDLFALLGASFYGLANTGEEFFVSTAPVYEVIGQMGFFGMIINGVQAAIFDRKSIQH AHWDGQVGGYLTGYTLCLSIFYSTAPLLFRLASAAFFNISLLTMNFWGVIIGIRVFHY SVHWMYPIAFVLIIVGQLVYYLGRKVLGEARKPWLGRNQERGVAGIFTAKRRIESSAA AFRTAPA ANIA_05237 MASPGLDDYTIAWVCALPLEAAAARAMLDRIHTPPQQISDANAY DFGELNGHHIVIGYLPSGVYGTVSSATVVSRMRLTFPRLQFGLMVGIGGGVPSESNDI RLDVVVSKPGGKHGGKKCQGSAALTAAAYTKLLLSAMPVLQTTPGSLKGNRERHWVIS LPRNPKFVGGQDEITKLEELLAMQDGPRRIAITGLGGVGKTQIALEEQEEDAVELLSE DFRDPGRYKDIQNAVLTTWLISFNQVQHQNQAAADYLSFMACINPRNIPRSLLPSQST KKQTVDALGLLNAYSFTNNQDTDISMHRLVHIATRNWLKKNELLSYWIQKVADRIQEA FPDDNYTNRALWRKYPPHVQALMQEDEFIKQQKQHTNPIQNTANCLASDGRYFEAKAL YKLLLEMYQEEHGPEHSSTLTSMANLASTYWNQGRWDEVEKLGVLVMETSKIVLGAEH PDTLTSMANLASTWKSQSRITDALTLIDVCCKLRNKVLGPDHPDTRHSADVFDAWKYE IDLIYRKLPCPSSQIEQSDHWQKVPSDKPAAIIARPSRQGHDD ANIA_05238 MPRPSINLEPYKDEISTLYKSGKSPPTIAMLLGNRYDIQVSERT IKTRLSIWGIHKTNRTASKDTVLHARIKVLLYQVGLSENEILHVLQLEGWNIQPRTLK YVRHQKGLLLRTVNPIADQAEVERVLNQLRTDLATGQIEGYSIGMLIGTLRTKDFRLA DGYLKLAPYGIEIYAAIDAYSRYIIWIYVGISSRTAVSVLRQFLDTVNIAQQQPRFVR TDRGTETVLLAEAQYKLQQSLHPEIDIRDCYLYGTSTSNQRIEAWWLQLTRGMVFRYR EYFRGLQEEGIFSIDQLSDQIALYAIYIPLLRVQIPSFVRTWNHHRIRNQPNRPHLVP GKPYMNYNFPATGVENQGIKFDMELFKRLQEDVQDWDIDEYLPPETYHWTRNQLLELG YDPQQPPEAVGDHILTPFRTIYLGLRARIQAHIERGAQPILQISQPPTGAFDWDPRLN PHGTEALERVREVEVEYELDESEELED ANIA_05239 MPPLEMSQSTCAYIYPAAVQSYKHFIEVYLLKELSSYEPQESDT LQLAFQVQGINPVYLPDSYDRPQSTNDILQHFEGKNSKGIFVIITRTVSDPSAAPDAE PKAKQTKRTTKRQSSVRVSKVKQEPEIKQEPEVKQELEQDITVQDKNLKVVPGPAIRK KRSFSVALKEKKEPDSDTQEKDTEQESLELLFVPEEKDGVAYRTRKRHILQQEDIERS AEFGIPV ANIA_05240 MAYETSVPYSLSLKASADHLFAPYEYTATSVACILYEADKDSLQ APRTPNRAPSFPANRPLSREECLSLFAFINRRAGRAPTAVLPLGGQELSGYIHTDIQR RVLALPGREVKFIRGRINWHQLWQHRPSYINAMLIQSRGTRLTQPCKGCRSVQGRPVF PECRHVPGAFDGCCANCKWRDHGYRCSVRDEHWQWMLGGGLGLPQGASNQLVINLDPV EGEAENPIYLDFPEGDEDNPIVFKGYGLVYLT ANIA_11472 MSSEVRDLYHSSNLWITSHSISFSDSGNLIQGHLLDSSYSAPPF PNGA ANIA_05241 MTENPYLHPGFAGFKPRPSHQRLALCVFLGALLHTAAVNSFNGF DLWEQKTTAICSSSLLLYRTPPVTCLALTPYPKQRALLLPISNGVKSSPPNINERSFS SSPRFRHKAFDTPADERQVPAWVISMTEVEVTASNEVKTRSRSYTIKGTPIVALTREV GDVGDSDAYISSFTYHLYTHPDDHDARFEDRLVTGIAVKMVTYRACYSDDEHDFFGDY LDWDQVHLWSPPYEPFLLLSIIYTDAFWLVRCEACGNQERASSSLKADAGPAQVSRQI LPETTRSMGRLA ANIA_10649 MRRPFTMTMEEESVTLLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALVRVNTMKQGKRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAIN VELLKAMVGIRQEDSYDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVARTRPAGGS DRTGTPDQMDWEATHAQIAALQKEVAALRCLTYGVISDKFVKIHQIPTIPIHPKPFKG VTGNIEEINKIVRVQLDIGAHTEKGAYFYVIPDNLGYDLILGLPWLEQHDGRLEAKRG RLYLCTTGVRLWSTTKRPLPKLNIAQISAATMGGFIQRKRCRGQDIEIFAVSLADIQK ALAPKRHIDPRTKLPRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVQEESGKDPEV PWGPLYNMTQEELIVLRKTLSELLQKGFIRVSHSPAAAPVLFVRKPGGGLRFCVDYRA LNAITKKDRYPLPLIHETLNQIGQARWFTKLDVSAAFHKIRIAKGQEWMTAFRTRYGL FEWLVTPFGLANAPSTFQKYINWTLREYLDEFCSAYIDDVLVYTNGDLRQHRKHVRMV LKKLEEAGLYLDIKKCEFECKETKYLGFIIQAGKGIKMDPEKVKAIKEWETPTTIKGV RGFLGFANFYRRFIPNFSGIVRPLNNLTKKGTPFLWTKECQDSFDLLKEKFITGPVLA TFNPSYRTVVETDSSGYNTGGVLSQYNEKGELHPCAYFSKRNSPAECNYEIYDKELLA IVRCLEAWDAELRSCGEFQVITDHKNLEYFFSPRKLTERHVRWSLFLSRFNFKLVYRK GSANQRADALHRRDQDMPDDEDDRVKSRTMQLFTEKHLGKTVVATLRPAEEQPWEPYE KSDMWKEALKQDERYSEAVLCLKDGARRFPPHLQLKVGISECQLDAQDHILFRGRRWV PDSEQLRTSIIQAAHDSILTGHPGREQTYLLVSREYFWPNMSRDIRRFVRNCDICGRT KSWRDQRRGLLKPLPVPDRPWQEVSMDFITDLPESEGCTNIMVITDRLTKGVILEGMS ETDSESVAWALVRVLISKHGIPKAITSDRGSQFTSDTWARICTLTGINRRLSTAYHPQ TDGSTERMNSTVETYLRMYTCYDQKDWNRLLPLAELAINGRTSTATGVSPFYLSHGYN LSPFTPTEEVEHLAEEPTKSPIQKGEAIVRKVKEALDWAQASMAYSQQNTENQANKHR SPATNYQVGDKVWLSLKNIRTDRPSKKLDWKNAKYEVIGLVGSHAVRLNTPPGIHPVF HVDLLRLASSDPLPSQKNDDSQPPSIMVNGEEEYMVEKILDERRRRYGRGHRLEYLVK WSGYAQPTWEAATALEEVQALDEWLDHLSDSAKACAVLKAVSHLQDFLATTILLSHFF FSDSFLFSQILRRMASSVKMYVMHDHYLTRPKQLLRDDDAPERIACSAASISDNVGVA LVKAEGASSV ANIA_10653 MCLSILIGTSASTRNIKKYKFEYKETKYLDFIIQAGKGIKIDLE KTKECQDSFDLLKEKFITRPVLATFNLSYYIVVETDSSGYNTGGVLA ANIA_10654 MCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLTTTILLSPFF FSDSFLGGTSLLCLQLPERKSQPAYATIALGLLEI ANIA_10650 MDSSLCNNADDHSRTAYQLSLLKLGFSGDEAKDVSLAPVFTGPV LYTQPNLVPSQYGLLAGVLELRALGSPDLDSQAYGEDPRLFFNVSSPSSIFICGSQGS GKSHTLSCLLEGCLIPSAAGQLHNPLTAVVFHYDTFIGDQGGSPCEAAFLATSPNVQV RVLCAPTNFRNIQVGDTQPQPVPHSLTRPYRKHTAGSKSRVHPLQINQQDLNTKRMLD LMTAGQGSSSGPLYLHTVQRVLREMRLLQQVSGGRFDYQDFKKRIFDSDLLPSQQQPV RQRLDILESFMPSQQIIASTSKKGKKAVNDAGTSWSPKVFLEQDTNVGRVVALDEAHK YMKDSAEAQIFTETLLSSVRLQRHLATRIVISTQEPTISADLLSLCSVTIVHRFSSPA WLRALQHHVAAAALGVESNNKTFSEKEESQGQAHMSSKLSFLDRIVRLRVGEALLFAP SAVFRVTFEGSGTPAVSKLGGGYMKIKVRDRVTEDGGKSVLSS ANIA_05244 MKQGNSLTLVPKQIGPPRFDPYGRLLARFYESLFFWKYLGRTQG EHTPEPPVLDIHQECRRRFLKNLSYICDFRKGVQACTAIAVEDRVDCYRFWVASNMNV NKAVAFIREILAMLHDRHLDASNNESMIEASLIQRCVEFAAKRIDSEGRFLRIMANRC ILMLEDEESEAGMTFFLSNLLERALSCSRNITLCRFLYDQRHSAAMKELSARAISDKG RPGRAEEDSCFSSARHHIGRLIHHIRAPIELAQDSRHLMYLTDAYTVCPVSPCSAVSC PVSDMHTNLQGILNRMFTADDEDRVAVGDGLVYINKTRPIFDTFLAEYNGRDRQVHAE IQVLEHFYQQRLSFLDGDRYIACSKPACLCCQLYFKHHPARMVVPASHQNVYTSWSPP LLPRFAKGDKDTQLQKQVLSYMAQDMREQIIQQVLQRSRSMIRHPDSRTSLTDLPAEA AFGFLE ANIA_11490 MPEEMVAYTRTLDLSDSTEACTVLKAKNYSRPS ANIA_05797 MDRTHNTTSHGPDSSETPLKPTASATNLGLEEEKTSARFSCRSS ASSSSKGYPHTVQVSQSKASQSDNVTDVPQPGRGARSSTRSSSRAPRRLSGSTAASSM SEVEPPPAFLGKIGVCALDVKARSKPSQNILTRLQSKGDFEVIVFGDKVILDEAVENW PVCDFLIAFFSDGFPLDKAIAYARLRRPFCVNDLPMQKILWDRRLCLRILDHMSVPTP KRIEVNRDGGPTLESPELAQHVYKLTGVKLDGPTDGTGGGTPKTKNVTLSDDGDSLIV DGKHFRKPFVEKPVSGEDHNIHIYFPKDQQYGGGGRRLFRKVGNKSSEYDPDLRTPRS ILEDGSSYIYEQFLRVDNAEDVKAYTVGPDFCHAETRKSPVVDGLVRRNTHGKELRYI TKLSKEEAILRDIFINERRRREGVAEAPEASFSDQSHYQWRHSVSHRHALKTLLKSPG SSKSNGNPQHQRDSDVGSLESSHPSLTAPSHDGMDFNNGRAGVIPKEQSASPGICTPQ GANQPSPTMHSLEANPPPPASKHSWKLKGMVAVIRHADRTPKQKFKFTFHSQPFIDLL KGHQEEVVIKGESALRSVSEAVNLAMEQGLEDADKLKLLRTSLEKKGGWPGTKVQIKP MFRRRDLEGGNVKTSALSDTVEKEPNSLPIDAPQEGENIGRSQTRSDSISGATFSRFS AVENDLILDKLQLVIKWGGEPTHAARYQSQDLGLNMRDDLKLMNKEALNNVRVFTSSE RRKEIPEGFIQVRKDLLDDSNAAKDLMDKVKKKLKLLLREGSAPSQFTWPKENIPEPS VVLATVVELMKFHRDIMRHNYEKLDRSYTLAPDAAEKSDELTSSRAADTSNENPAFSA IQGRWCTGEDPMLFKERWEKLFAEFCDTEKVDPSKLSELYDSMKFDALHNRQFLEWVF TPPDAEDSDEETADMYRRGSSRNHASAAESRGNGFDGSGHQRVDEQYSESQTLAHRLG LKRRMHAFETSIPHLRALDDSYDHYFKLYPSSNPSKTKLDSRLSKLRELYKLAKVLFD YVTPQEYGITDTEKLEIGLLTSLPLLQEIVRDLEEVQASSDAKSVFYFTKESHIYTLL NCILEGGVQTKIARSAIPELDYLSQICFELYEARDSESSTSSYSIRISISPGCHAFDP LDVHLDSRHAIGCAPRRSLTAHQDWKEVIETLKAKFNTVKLPKSFTAVNLSDKLVSRP Q ANIA_10719 MENGATSEGKDPSAFLGEIIGAPVTVKLNSGVVYKGELQSVDGY MNIALEKSQEFVSGQLTRSYGDAFIRGNNVLYIAAN ANIA_05796 MRSYFNKPSWASRTDENGDSEFYRRAGQVYRDIVATNISARERR VNSLQSITHKRRRLSNSPPDDPVSDMRSKQEVAVKPGTPVENSPPSQPAFGHNTANIS ITEPQWARQGSISNEVPTMVAEGSTSPTSPDSPQSRMLDMRAESTPSPSVTNIGPEAN VHTKGRLKGTRVRDEPGITRSDRNTAYDDTVVHILITSEIANTKPLVIQRKMSQSLKE VRLAWFARQDLPKDLQPTVFLTWKGRRLFDVTTCKSLNISAYTNETSPFDEFFSDADA CRVYMEAVTEEIYAARHRFSPNVVGVDPESTGSPHSEGTEQHAKNEIILKCPGHDDFK IQIPLTTTISQVIGAFREARSISPGLVVYLAFDGDRLDPQSSLEDNEITDGDLVDVLI RQEF ANIA_10721 MKLLTANFLTCAVKACKGSPAAFPLHFRDVELELQEVDFQPEFI RNIIPRVDWEALHRMGTELNFPNIPETKPEGAALENEQLLRDLHRLLLETQVAEGKLI CGNCGHEYMVKEGIANFLLPSHLGSRVCSFFLSRRSLMSPLFEFSMIAPPSCQPAQYL NSHLYATIYELQRIESETLHTWLDN ANIA_05795 MSRSSAGFADFFPTAPSVIQQKRYQATRERQRSRPHLSREHADE EQIVTGSRTSGETVNGNSPQNLGQELRSDLNKSRKEVAEDGSASHGEANTPANNTSGP GTGSSNDTRLDTLTPLTNTESSPQNNPSPSQAKAPNGDEPDGFRQARANVSNSTMTPL HTPPTPTTHSLSQRVAIVKGSKLVHDPDRAPSKDKRKRPCYVDIVSDEQEGRLSDPRL SIQNYTRGAGCRQKTKYRPAPYVLRHWPYDPASTVGPGPPVQIVVTGFDPLTPLAPIS TLFSSFGEIAEINNRTDPDTGRFLGICSVKYKDSASFHGSGPVSASLAAKNAFHECKK GQRIGNNRIKVEYDRDGQTSEKLASRAIAAQRIDSKIDMPVVGEPKSEAQVNKNEPPP TAPKGPSGRSFMRPSAVIPEGPRASFQKPAIPSLIEETPILNQIKRDPYIFIAHCYVP VLSSTLPHLKKRLKAFNWKDIRCDRTGYYIIFENSRRGEEETERCYKFCHMKLLFTYI MNMESQPYGNPHYERSPSPERMKQEQRQKAETERLKKEAELDIEEEKKQRALDLDPCT EVLAIVIKDLRDKLLEDVKSRIAAPALYDYLDPERHASRRKQLGIPDPEGIKRPMFRL DFDSRDSTPDPHAKFLNKRHPSGVSGLNILSALPRIRKAHRLDRTDVAFLDERRKQPL RRRNVRPLYHRLQQLHDAEDSDEEQHTPLSRDTDDQDSRPPSRIGSETESEDADEDAA EALDNSTERLDNEDRHSEIGDLEAAVQDYSPSRKRKRTSESPSHRKKQKESDDFSAVG EGTRTDDIPQVLDGVHKGTVSQGLSDSADESSRLDHNKVLLEELVEDIKTTHSEEPGI KTHHVQVRQSAENMVEGAEYGEAARHEVEWRVSNDEPRPIVDDDDSVVMDLDGWQDVV KDEEDLQFLRNILEKQPMSVIGNLSAWAWRQKEIKALNRPGDVGPTRQAASIEGYYVP NITGAARTEGRKRILESEKSKYLPHRIKVQKAREEREAKAKSDPQNAAAEAARIAAAK TISKSTSRSTRVNNRRLIADINAQKQALPSQGGDSDVLRFNQLKKRKKPVRFARSAIH NWGLYAEVNISANEMIIEYVGEKVRQQVADMRERRYLKSGIGSSYLFRIDENTVIDAT KRGGIARFINHSCTPNCTAKIIKVDGSKRIVIYALRDIERDEELTYDYKFEREWDSDD RIPCLCGSAGCKGFLN ANIA_10709 MCGIFGYINYLVERDRKYILDTLLNGLSRLEYRGYDSAGLAVDG DKKNEVCAFKEVGKVAKLKQLIEESKPDLTKTFESHAGISHTRWATHGTPSRLNCHPH RSDPNWEFSVVHNGIITNYKELKALLESKGFRFETETDTECIAKLTKYLYDQQPDIDF TVLAKAVVKELAGAFGLLIKSVHYPHEVIAARKGSPLVIGVRTSRKMKVDFVDVEYSE DVALPAEQASQNAAIKNSATGLLAPPDKSLLHRSQSRAFLSDDGIPQPAEFFLSSDPS AIIEHTKKVLYLEDDDIAHIHEGQLNIHRLTKDDGTSNVRAIQTIELELQEIMKGKFD HFMQKEIFEQPESVVNTMRGRLDVANKQVTLGGLRQYISTIRRCRRIIFIACGTSYHS CMAVRGVFEELTEIPIAVELASDFLDRQAPVFRDDTCVFVSQSGETADSLMALRYCLE RGALTVGIVNVVGSSISLLTHCGVHINAGPEIGVASTKAYTSQFVAMVMFALSLSEDR ASKQKRREEIMEGLSKISDQFREILKLNEPIKQMCERFFKNQKSLLLLGRGGQFPTAL EGALKIKEISYLHCEAVMSGELKHGVLALVDENLPIIMILTRDGLFTKSLNAYQQVIA RNGRPIVICNKDDPEFSSAQTEKIEVPKTVDCLQGLLNVIPLQLISYWLAVGEGLNVD FPRNLAKSVTVE ANIA_10718 MQSLKGPGAPQAYDGSGLRVAIVHARWNMGIIGPLVDGATKTLR AAGVAEDHIEIHTVPGSYELPFAVQRLYSASQLQAANAGSSGEGISATDLLSSSTADV SKTPSTASSAASKPYDAIIAIGVLIKGETMHFEYIADAVTHGLMRVQLDTGVPVIFGV LTLLTEEQGLERAGLGSKGMHNHGEDWGNAAVELGVRRRGWAKGEVA ANIA_05793 MTSIGTGYDLSNSVFSPDGRNFQVEYAVKAVENGGTSIGIRCKD GVVLAVEKIITSKLLKPGANKRISTVDRHVGIVSSGLAPDGRHFVSRARDEASSWRGI YKSPIPVSALANRLGGYVQAYTLYSSVRPFGVTSIVGGWDSEAELAVDGQVGSGPQSG SGGKVEGAKSGGPGLYMIEPSGLYWGYYGAATGKGRQAAKAELEKLDLASEKLSLQDA VKEAARIIYVAHEDNKDKEFELEMSWISSLNGPTKGRHEEVPKELLEEAERAAKRALE GDDEEEEDGSKATGNEGERMEE ANIA_05792 MSPSILHSCPSCGHVTDISEILTDFVGDPHCTQCGLSASEGKLR AQDDLVSLFNTHMSIGQSRVSEGPEVPATPSPITYSITQHYHHSAHVAQRAAMPGLAV PQNVAPPVTSETANGVLEMLMHHRINPSTLSTSQLELFANAMPDQQNRLIQMWQICPE PGKLGQSQAVLTMDLEMGDSAQAPNNGDITDHSAEPYMLAGYGLVAHQREAGQANHPS NELTLCSPYRLFSDPVYQAGGQRWWERTQATTMEN ANIA_05791 MKLFFVSILLAALLATAVKAAPAAELQHRWCRFAGRICPPTKRT ADALNFVKREAEAVAEPFKINRWCRFRGQVCGKAKRAAEAIGNVKLSAEAVADAMAFL DELTREEYAQLAKDFGHLKESDNSDG ANIA_05790 MSFGLACREDPPFFPAPRAASQSSSIILSFLFLPPPGFPHPASP HCTVLYLVKMFGTRTVQPAKSLFRRAAAQPSIVRSPIAARSFATVQQDIFKPTKYGGK YTVTLIPGDGIGAEVAESVKTIFKADNVPIEWEQVDVSGVDTGNKHSEELFKESIASL RRNKLGLKGILFTPVERSGHQSFNVALRQELDIFASVVLIKNIPGYKTRHDNVDLCII RENTEGEYSGLEHQSVQGVVESLKIITRAKSERIAKFAFSFALANNRKKVTCIHKANI MKLADGLFRSTFHKTAENYPTLEVNDMIVDNASMQAVSRPQQFDVMVMPNLYGGILSN IGAALVGGPGVVPGCNMGRDVAVFEPGCRHVGLDIKGKDQANPSAMILSGSMLLRHLG LDDHANRISKAVYDVIGEGKTRTRDMGGQATTHEFTRAVLDKMEAAL ANIA_05789 MSRPEDILPPDLFYDDNESRKYTTSSRIRNIQSDMTHRALELLD LKAPSLILDVGCGSGLSGEILSQEPREQGGPHTWIGMDISPSMLDIALQRGVEGDLFL ADIGQGVPFRPGTFDAAISISAIQWLCNAETSDVSPEGRLRRFFEGLFASLRRGGRAV CQFYPKNDAQRTMISGAAIKAGFGAGILEDDPGTKNSKLYLVLTVGGGGLQGDITGVV DGMNDVDIMDARRKAQEHGKATISRKGDKAWILRKKEQMAKKGKVVKANSKYTGRKRR PAF ANIA_05788 MWILPLVGYLGVIIGFCFLTLAIARRLLSRLIYGIITIQILLLL VDKFPFSLSLLSIGSHIVYASNLRRFPIVKLSDPFFILSCFLVGLNHWLWFQHFSKPT TLQRSSTSWRQPYNVSPNDMPTFTEVASYFGLCVWLVPFALFVSLSAGENVLPTMGSE YATGKPVPTAGLSRGSLGADGKNKNKGMAKALVDGVREWVSENGELMGFWKGDRAKSF ANIA_05787 MSQAKRQDNDHTFSSLHTSFAEPPTALRSSAQALHSGSAVRVSP RKQRPSPLHTNARALSPQRTDSSLSQRTASPSSSATTSPLENLTTISEIAHAAFPAPP SRISSIPLSASHTLRQRTPRGINQDDTKMTEKASDIIKARKDSDVAESSKSESPSRVS SIGSLQSNNREKTNHRAMPRTSSIDSAISSLSSASQSHKSSFDVSAVSQADIDNLINA AGSPDAVIIHLLKEKHHAASQNAQLWKLVDKQRTLIMGLNKDLERALKDKERYKKKVK ELQTAAPPLPSTEVHSRQSSTPGTKDASSGGLSAQATSSSKTAGQPQRTDTLDSQDST NITPSLKQLIETELLTEEPDLRFDSQSSQRLTSAQEASAATSPTPLASPSSALGASKS QKFPNPARKPPPAPLNLGHPDRTTTLREASDSESEYEDILTVEESPIERGRRKTRADD DKQREVALSREVVNIETSEQSQPLSPKTGNPMTAGHLSSDVRRVVPLGAMTASMGASV ALPSIGDRHIMNGPKSPGLPLSPRPEDRPLGSPVPRMPREMQTPFSSLAMSSSNNPTG LILSPRLGKDQTAASHVVSNTPTHPQFHLDPFNRPVPSIDAAVKIDSPRSPGSMPSGI YQGLRSDDYPNLLLPPNALPLVQIKVSSSRLRPSRNSYMVSRPLDEEPVFTLSVYSRS ENFELWRVEKVIAALPQLDNQLRQLSHLQARLPDRSIFSGHSPAKVDARRAALNSYFD DLLENPLDERAALVLCQFLTSDAIEPRDDETSLLKGNHQPGSEIARGPDGKPRKEGYL TKRGKNFGGWKARYFVLHGPELKYFEAPGGAHLGTIKIANAQIGKQSQQAQGPSRADD DDSDNQYRHAFLILEPKKKDSSALVRHVLCAESDDERDTWVEALLEYVEGAPANDSAQ AQTAPNNATNTSSKNKLFSNGSKKGKGTDSPDIEPSDTVQGFSFEDAVPAEPPVIGSS DPRTPRSPMFPTVPFQEPSDNNQPDHGQLSSKVISAPTNGAVIQDAGAWGNKTSSTKE KKRSIWGFRTRSSIDLASHLQAVSEGPPIQLHGTGNEKRELIKPVFGMPLVEAVRDCA PFDVDVELPAVVYRCLEYLRAKKAELEEGIFRLSGSNVVVKALRERFNTEGDVDFLAG EQYYDVHAVASLFKQYLRELPSTVLTRELHIEFLRVLDINEKQKKVVAFNSLVRRLPK PNLALLRALVQFLIVIVNNSDVNKMTVRNVGIVFAPTLNIPAPVFSLFLTEYDEIFND AQEPAVNTVDLVVDNPLTPEDIRSPRRQMFSDIPTPAHNQMTFPRPGDGPDEYSSRHD TGFIPMQPSYETLAADRAETYSQPPAAVSRTLAPESDSNRNAKAKRRESNILFMDFNN DDPPQLSHDNFGKYLVCSHYPSFPPFFQPKCAY ANIA_05786 MSGIFGRKLYTTFWKTASPFLGRGLRVTRAENLSHTASQIDSLY KSILKQYAKKLPTAPPRKTNQITRRFASGSCLILGVSPSSTVVETGTTCAVSSEKIIS HRLSPFNRWFPHVLSRNVQTRGFQTNKDDVSRAGARTDAADRAGVKNVETEGKHQKSE EQSFRPGEKHEPAPPNRPLIHRLPHMPHLHRPTKEELLAAATGFWSRLKVRFKWFSIR SVRPFNLDEIAALFSWVILGHIVWIVLGTTTFFSLLILAINTVFAQETLAGWIGNYLT KSSGVKVVFESAIVPKWRDGVITFKNVFVSRRPGQGTGHVSKGSSKTAAAAAAAAHLG DQSGADLLEQRISSEEEEDTNYTQFDLSIETVNVTLSFGRWINGKGLLRDVEVKGVRG VVDRRSVFWPDEPRDPKSYRHEHNPGDFEIDSFKMSDLLVTVYQPNNFRPFSLRKQWL FYDFLSANMMSGSFDNSLFTIHPRQTHSFTGAQLGNEAEEDGKPTPWKKHSRIRIDGL NIDHLNRGVQGPFGWIHEGTVDIVADIMFPADNDESIAKVMADLYDRLEATVTSNRYT EDSNFHDLTHIDESKSSDSRRFLVTDLRLHLNNVRAVVPLFTRDLSYINNALIRPIVA YINSRRTFIPVNCRLVKRAADFDGSWTIYDSGLMDDLSAAVYDAFARDVVDEQARKRR FKKVGFWSLQLAAQAIFMGMAGNIA ANIA_05785 MPAPTALRAASDASTTETPIAPHAQEHDEEILIDVQPSNNADDV LASANSAEDTDMRIDLEGRPVFTPAKDTPAAYRVETRKVPVPPHRMTPLKANWPKIYP PLVEHLKLQVRINIKSRAVELRTSKFTTDTGALQKGEDFVKAFTLGFDIDDAIALLRL DDLYIRSFEIRDVKASLNGEHLSRAIGRIAGKDGRTRHAIENASRTRIVIADQKIHIL GRFQNINAGQEAVVSLILGAPPGKVYGNLRKVAARMKERF ANIA_05784 MTSLFSQDPHINPIGYSFAQPTNAGNKEHSFYPYTDNGGSVLGI TGKDFAVLAGDTRSTSGYNINSRYVPKVFKIGGEDETGKGAHILLSVVGFAADGLALK ERLDAVVKMYKYQHGKPMSVRACAQRLSTILYQKRFFPYYVQAILAGLDEEGKGALYG YDPVGSYEREQCRSAGAASSLIMPFLDNQVNLKNQYIPGSGEGHALEARKPEPMSRET VEQLVRDAFTSAVERHIEVGDGLQMLVITADGIEEVYHPLKKD ANIA_10716 MMLGLGNYESSSEDEVDKEQSLPESKQELKTSHVEGSQTPEDKT QHRPKDASSVRDTVPDREVSGPVLGPMHDMGPAQTSDGQPLSNRTLIHDLTLPPVPNL DIPASPPGSPNSAANAKFQHFLSLKKQGIHFNDKLANSVSLKNPSLLSQMMQHAGIDD RAQYSSSLPTEMWNTSDLPSWGYKEELLKAQRELNAKVDETRAKGQRDTIEFVSDTGR SYSASHPKSKPR ANIA_10708 MNHFPGTWGRPRDDVYGPYDSSYLQTTGPKTHTQSPAVTGTSVI AVKFNGGVAIAADNLASYGSLARFTDVKRLRKFGDAAVIGFSGDVSDMQYIDRLLESI DIRENYSVHGNQLNAKNLHTYLSKVFYKRRSDFNPLWNHILVAGFDSEKKPFLSSADL LGTTFSAPHLATGFGAHLAIPILRRLFPEERPIEEISKEDAVSALKDCLKVLWYRDAR SMDKYSLALITQEGIEMHEDQQVEAQNWAFAESIKGYGAQAV ANIA_05782 MPAFSRLIRFLAKDGHVYYGDATLPAGVTDIAKTTKAKVIKGDI FGQYCVTDQVAEVKLLLSPLARKDIKTVRCLGLNYEQHAKESNLPIPKYPVLFYKPVT AIAGPTDDIPVSLMAQEGQGLDYECELVIVIGKEAKDVSESKALEYVLGYAVGNDVSH RDWQIKRGGGQWGLGKGFDGWAPFGPGIVSSELIRDPNALRISTKLNGREVQSSSTKD MIFDVAQTVSFLSRGTTLLPGDLIFTGTPQGVGMGRKPALWLKHNDQVEVSLEGVGSC LNRVVFDKPSVKL ANIA_10717 MARLSGLQREVLSLYRKCLREIRKKPIESRNNFKSYARAEFQKH ISISKKDFSAIEYLLRKGSRQLEMYSSPGIRNIR ANIA_05781 MAFFPRYCSGDFAPLFQLLDDYDMHQATRRPNKKVTNVRTFVPK FDVYEQGDRYYLDGELPGVSQSNIEIEFTDPQTLVIKGHSKRNYHHKSEPDTDDKSET SSVKSLQPTVEDWDEMEDATPAVEQTPSLGPKEKAVEKNSSTRSQEPAYKFWASERLV GEFSRTFAFPTRVDQDAVRASLNNGILSVVLPKEPAPQLKKVRVE ANIA_05780 MGSSFSVQTKANRRRSNRLSKPPQNQAAPGCSSSHSLHQPGDQA FSLPSTPTEWQNPWTGAPVAVSDDFGSHNLRSQSLSAKPLRRETTWKSKRLPVAERGI HTAAGIWPPPHASPTPTPTRRGSLYGRTSFHPSEVVTFQPTTLQSNPQSPLIGQPKRS YSVHSPSQRARSAVQQRHTLDRFASFNSHTRVKCQEVPQIRRRSLLVRPGVATRKATK KATPVSSEFCHDAIVSPDPSNALSEPGLPPWHTHVDVLFSDVKAFSQLRPATPSDLGY THLGSLKLGSLHVVNGSASPCPSDRSQLEHSESLTSETIPDDVDATDLSGAVKVAEQV SRSASLDGLEMYAKYYAILDTPRGLDNAVSDISGKAEKSHLDVLPYPSPSARNGIRTG ILNTSCAVAERDNVDFPASPFSFEKPPTSILGHGIEGHGTDDEGVSVHDREGAVVLLP DKVPERHLSYSSCASSHRRGDSGYSSATSHRNSLDSHTSLQRSPGFRRFTLEDCYKNL NSRDLCTAATASIQPFNNHDPGLQNHKSNVRQVLRNRPASIPRAQRGQPQIIASSRSR GLSFPVPRSSDSTYPVPLHSTQPGSLSYATAGLPFPTTLHTIDSGMGQEYGTSRPNCN LDSLCQYAAISTSGYCGSRVDIRERTDFSDNTAALFTSHHAMRNQNCSINSHPAEPEG CQTGTATLSYPSYSSAAKADNLPPMPGNAFSPLSLPDQKSTKFAVEPPRGRTRSRSIG YQYREPSGQRIANLAAVFA ANIA_10728 MVQMAAGTKQGVLSSFPYLANDEFESGCRAFLHRVQLTGRLPMQ WSSVRFQTNGQILKICQILSPDYDGPARSLQIEDTADLQLEAWEEDSQQEAFIRTSNS CELQVDYDILLSPTYQVPVLYFVLRRMDKLLGLDEVYEYLVPDQCKRNIRNMGIMGGI SFGYHPIFETPAFFVHPCNTADTMRDVASEHDISPEAYLIIWLGLVGSSVRLQLSSDL FKATSIPKPNA ANIA_05779 MATKTGPARAPAKPPAGLPNQTLYCTNLPDKLRKYDLRLALYTL FSTYGTVLDIVAMKTEKMRGQAHVVFKDIQASTQAMRALQGFEFFGKPMKIVYAKGSS DVIARLRGTYVAPATAPGQLPTVSTDLQKSIFSGPPGATALPPKPSGEPNGTAQGVKR PREDESDEGEAPMDEESDVPMEASSDED ANIA_05778 MATAEPHHLFHAPIADHSFSSDKSVLAVARENNVELYQKTGSKF SLTDELKGHEKTVTSVDIAPNSGRIVTCSQDRNAYVWEQTPTGWKPTLVLLRINRAAT FVRWSPSEQKFAVGSGARVIAVCYFEEENDWWISKHLKKPIRSTITTLAWHPNSVLLA AGSTDSHARVFSSFIKGVDTRPEPSAWGERLPFNTICGEFLNDSAGWIHGVCFSPSGN ALAFTGHDSSVTIVYPSAPEQPPRAMLNISTRLLPFNSLIWNGENEIIAAGHDCEPYR LRGDENGWQLEGTIENKAGAGAGSVREESALNMFRQMDLKGQTQADTQLKTVHQNTIN TIRVYEDTAGSVHKISTSGVDGRVVIWTI ANIA_05777 MTVSLDSLFLTLIIFLTRLCSVSTAHVVPLEASKDPENITPGRQ ISQELFDSIEELAHIVDIAYCIGTTGIRKPFQCLSHCDELKGFELINTWHTGPFLSDS CGYIALSHPPSPKRIIVAFRGTYSIPNAIVDLSMYPQEYIPFSPGNDTDGDAPKCEDC WVHLGFMNAWRLTRATILDTISAARDQYPDYALTLVGHSLGGAVAALAGTEMQLRGWE PVVTTFGEPRVGNKAFVDYLDTVFRLESGNERVWKFRRVTHVNDPVPLIPLTEWGYEM HSGEIYIDRVELPFSVDDVRYCQGGSDPNCISDAEGKSTTFSPYSSQGFDLSESNMEQ QVLSRSPHQSKDQQQENEKGAFPYLESQSTSCLPWGILPPRFRLWELFYSHRDYFIRL GLCVPKGDLSGG ANIA_10729 MKAALEVDISTSDIDTMYKRRDIDAEISDKMRRYKQDKKKGRQS NANLEIKYIKKTRHQSLPML ANIA_05776 MNPSGNNRSQEELFLNSPDNQQEGESPVIGPLRISKRETPSPAS AGAAPLPYPDDRPRLQQHARVSGSSGSSPIAQAGRQSASPTSSGGLSPVDYPAALRPR DGREPKQSTLAERRGNAPKPLPESPIVDTADREAFAARMKQRAPGPPVDSPSQSMAYP NYNQHYYPPPQPSTSARPASRTASQNTQPPQHGINRFSSTASTSTTRAERGSPPPPET PIVEPGQHPASDIEARYAASGIAGTSTLTGLQAQSAAAQRRAEQYAGQQPRNPVQRPW TPTELPGSHPHGPPTVYQGAEVVALQTSNQSASPYPSNIAAASSQAPHSQQPARIPNN ALEQDLERMRISSSPPPAYSSVPRPASTSQGYSNEKQRLAAASNQSNPASAQQPAAAA TATAAVAGAAAPMVSAQDHPAFANDPRQQQPPQVSGPSPQNDVQNGGQQDQHPAFQAQ QAQASAVPVSATSLPPASPPPLPEGWIAHLDPNSGQYYYIHLPTQSTQWEFPKGPTPL NLNEAPMSPVGSVYSAHPLASPGLSAFGKPLASPGVPMTPGFESLQSPAVAGFSGPPP SSGVELYKVAPTNGVYFGPYLRYANMDVQRGIWFGSILLVTDAGQPPTIHIHQSIDLS PNPRQLKAMAISTHQRWTFYKYEIDLKMEESGPAKWTYAITSHLGCTRYEFLVAGQHE TSWRFIATSGNDFSLNVNENDRSRLGGVGLMWKDIMQKHTEIGGFHAQLCLGGQIYAD RMWKEIPSLKQWLLIRGKEARKTAPWTAAHEQDVSHGYFHYYTSHFDQPYLRESFAQI PYVCQIDDHDIQADMASFDGFGSYPEHMQFSNMFKNIGRIGIEMYLLFQHHTTLDILR NVSTDHDLFTITGTGWHFVKYLGPAVVLVGLDCRSERNQHQVLAGPTYQGIFPKIAML PPTVQHCLWMVSIPLIYPRLETAEHIAQTFTTGKRAVTGAYNVLGKVTSSVAGVVGAK DVVGSGFDSVKRAVGKSGLMGGILSPFGEFDLLDELRDQWTHESKDLERTYLIRTLQG IAHQKSLRMTFLSGAVNVCGAGLVHDPAHPSDHKTMYQLISSSVVNSPPPSYIIKMLH SSNKPLYVPANGHRSTPSQPSDTKEDMMEIFQNDVTGQAREHKKLMGRRNYVAIVAYD PDSIGGSQMSLAMYGQQGGGLHFPAGNGKLNLAIDFMVQGDGSFGQVVKYGPVIVPSL EHGK ANIA_05775 MSTRRGQSSRKRPSHLPAIAPKDDVTPLSRHGGSATAVRATFIQ PRLRFPPRSRTGCWTCRSRKIKCDEVHPQCNCARLGHVCDYQPRVCFRDDTRRVRERM PDVKTKGNVVWDPAKTSPKRECITTSGSVPYDLLPEFSRLTSDEDREKKAQASAPGTY HVIVVPESFARLPEYTEDALEPVPSNPYSSPLSEYSNYDPMDDVTTSEDPNVVFLNQF RDPRKQVYPSRRSYTQSPESDLGSTPAQTTMMYTPLQDFSEDQISESVDLETYGMALL DHFQNAVWMQLIPGGHGYLEANIFGQEASNFPPLLHVMMAVSALSLVHQGNTQYVDAL RYYDHALPSLQSVLQNCDDVLSDGLFLTHFLLLIYQIALQIPSDGPNLWSHHMSRLLQ LSLLRHSVAERERYPVIIWLICHIDLYALFSGAGTGEYIMAAVESDLLPGAEPLLYPA GVENDRLKYAGECVAQPLITNLYRECFLLAARLGLFAAEVRVSKVPYMENMHRELESL RGGIRQLWNSSEARFLMEAQRNLSKRFQHIFQQLSVLFHTSLLFSYSVLRHGPESTHW IELEREIQYHTEAILQVAAHIVGHSRQSGPLFLTFPLFLAGAATTSNEVKMAALGLLA KLRETDLGYQAATTSSILQVVCEAQLQYSRSGRYMREIDWRDVIANHGCQLVSYG ANIA_05774 MAATNSAEARELSLISKVELRIALADTDEKLESLLGTYLAPLLL KLGSDSHAVRSKVISVCQHINTRVKAPAIKLPVAALLKQFKEQKAQLIRHFDLIYLQQ GIDRLGSDARVEVLVPLLQGISEIGTSANQGAVVFNLVLRLLPLLKLPPKDSDDDVKL KARLGLSDQDTKFLSYWFARLLLLVPAEKNALACPGLSPAEYKFLNKDAPITETWDPA REGGLNLTETKVRVLRFVSSGAFNDSERMMSAIIASADSNSRLSDLAEELLKRFIPDL ESPDVVQQLYRLYFGAGTPDGARPARPALQTKLLIFLGKSVTATTDTDKVVRLIEDGL LSDSARSSQGLQASKLRTQIFNFTTWVVRMGSKNDLRKIAPKVIAGLIDFIRSQGWPS PGVSGQRLPSTDLSLRALAYESIGIIVPKADLKFSDGHETVSYFGLIKWLFTSFSCDD SGPQIFVSIEQALGSILNSSIDVKDTDSQKELSHYLLSQMRTYPGTEDEETGCRIVRG PQYAAVRFANRFLPFYNVVARWIDLMAIAGGTDIRQEIAEEGRKGLHPYWFRLLNSKQ ETRLTQIPSDSSADSTSYFDFPSFAEVTNFLLFTVVPGNHEFGMFSGRYRGSFAPALT FLRNILFWKSLVAADIVIEIEQDWESKLDLMLASDEKARMALRRHMQSNEQELVGMFL QSTLMGLIDGYGEGRRQCGEHFVSICSLAANNSVEWLLPQALSLKATLSSNDQDAQNM AARAIGILASHPAFSQKDLSDLATELLATAGSWESAVGERVLKARGAILALSFIFSRF AFRGAKEKISEPQINEFIKVVFTIIDISRDSLLRQTAQTAIGQLSLSGLLSPTSFTNV EWETLKDKLAKNAKAESNIAISAIGLLIPTFPSDAPQFRQLLDVLYDLHEIRSPEVHF TVGEALSNAVAGWNSKSLVHQFDVDEQLPDKSIPTSVLADACDKLAKDCRASKPSLRK ASAIWLLCLVKNCGYIEEVQTRLRKCQITFASLLSDRDEMVQETGAQGLSLVYEMGDQ ALKDDLVRDLVDSFTASSANLGGGKINEDTELFEPGALPTGGGSSVNTYKDIMNLASE AGDPTLVYRFMSLASNNALWTSRAAFSKLGISSIFSDSSINGYLAKNTKIYPKLFRYR FDPNPNVQRSMNSIWLSLVKDPNAVISAHFDEIITDLLKSMLAGREWRMRQASCAAIS DLIQGRQPDVYVKHVDEIFTKAFKLVDDIKESVRIAALKLCQTITGSVIRTLEASDPD TKRIKTMLENTIPFLLSDKGMESSVQEVQGFALGALIQMIKKGPGNALRPFVPSIMEQ FLNCLSSLEPQAVNYVHLNADKYGLTGQEIDKMRLSSIRTSPMMEVIERYLIDMLDDD SMKEFAAKLEGVLRSAVGLPSKVGCSRVLVLLSMRTVLFQPYADRFIQLLGKCVLDRN DTVSASYCSSIGYLLRLASDSQVLKTIEHAKTLYVTAEDANQRAISAEILHSSSKLSN DRFMSFASSALPFVFVSKHDLDEHVREVFEKTWQDNVGGNRAVSLYIKEITDLVRDKL GSAHWAIKHTAALAIADAITSLDSEIDLGTSQYIWPVLEQALAGKTWEGKENVLKAFV RFASQARNLWHEQPQLGELMKVRNLAKSYGLICAKTITIREAKRNNPAYRPHGLTALG GVAQAHKDLDLTAEAISIVSKVLDDNNDAGDPMDVDSGSGPGTKQILEDTLAACVKCL LQCCSSTLQALSDGEKSLFPCILIILCLNGLIKDYYSVGRTVSDNELAQLRSNIRRAL KHGGKQVQISSYEELRLLLNIWVSKGGGEAEHLRKIHGFLATLTGELLSCEVDLSVEA VRRGRAEATVSYVKLCQQTGREIDAELQKSINGWRRNERSGPVRQVLDQVIGQLAPE ANIA_10707 MGIQDFAHASPLQGDWSDFTGTEHNLPVIIKFSRPHPMETQESG NDCVVLEIETIQERETIFVDTSSDPDIISLGRHLAIASDLACADRYHTAKLPTACYQS TLRCFGNHTSFQLETVILWRDSLDITDYQRLPDAASAAFFRYVLGEDKDYDPFRIRRT RGPIARGDGWTPQDFYDNVHVPRNTPELSAPVKCDLTECELFPFQRRAVRWLLNREGK ELNSNGQVVPLENRSKIGLPDSFQQITDADGKVCFASHLYMVVTRDLSGWYNVNEHLK GGVLAEEMGLGKTVEMISLMCLNRRILRPEETFAEPGSNGLRPSGATLIITPPVILGQ WKQEIELHAPKLQVFHYTGIQRHPTLSDQELVELMADNDVVLTTYNVLAREIHYAGVA PKRNLRHEKRFEPRKSPLIKISWWRVCLDEAQMIESGISNAAKVARLIPRHIAWAVTG TPLRKDVTDLLGLLLFLRYEPFCGPIWKRLCDATFKPVLARIVNTITLRHSKNFIREE LHLPPQKRIVITVPFTAVEEQSYRQLYEEMCEDCGLDSSGSPRNNDWNPNDPSVIDRM RSWLVRLRQSCLYPTGNRRKVFAAGNGPLRSVNDVLEVMIDQNDALIHAEERSLLLSQ LRRGQLLENAKLKREALSLWKNSLHRASDTVQQCRERLQTERKKQLTPLANGAHDERL SFSDDESEETEKNSRLHVFRQRLRTALEVEHIAVFFTGNAYFQIKSDHELTKPDSEEF QALTKLEEEAYAKAKLIRQEMLTEISRKAKHYVKRIKEKAEKKDFVAIPEMKLHMYSK GLESRRVFERLQDFCDMMNDHAAQYKEWREKMVKLLSQALIDQEDESELEGDEYEKST KHQDEMYVYMEALRALFADRHDALTGQKNVLIAHEVKVAISQAQKGEGPSPTLYLEVM KRRNEVKPGPDLGSLRGAIAELRSLGSSLEWQANDGSTRARAELEILTKVLKNVTDIS TEQTKIASNLEREVEMFRDTMNNRLEYYRQLQQISDTVAPYDEESTGKPLDRGLFAEK LEQEKAMEEKISSLKAKGRYLIHLRDETSPDENSKICIICQGTFEIGVLTVCGHKYCK DCLRLWWRQHRTCPVCKKRLGANDFYQITYKPQEFVVQEEKSSSNIILEQHSSNSIYT DIGSGTLHEIKNIDLKDSFGTKIDTLARHILWLREHDPGAQSIVFSQYKGFLDYLANA FRRFKIGYSSVDESDGIEKFKKDPGIECFLLHAKAHSSGLNLVNATHVFLCEPLINTA IELQAIARVHRIGQHRPTTVWMYLISDTVEKSIYDISVSRRLDHIIQKEREQKAGAPT APENRVNRPAIGDLSEVAIDSANSMEVQDAPLAKLMEGGAYGGERVKKDDLWQCLFGN PRRQQHMNDSSSANSDVARFLRAEAAEQRRTSSKGGE ANIA_10715 MREMSSIGRASDCWLFRSLAFFDSLQEEGLVQGCELERNCNHYN VDDRADKGSARLVPVKGEIVETRFQFRLLRRPEAPRGTVLINAMLFVLWAALWLCSRL ISPSSAVLEVFEVYQPVSSQNHNDTSCNEEILLLNHVFGYSYGQPFVGKTIWSHWSMH SCEFDTVRINLTVTSKGRQFDRLAHLWLGDIEVFRTSTAEPTADGIIWSYVKDLSQYK VLWQEPQKLIFDLGNLIDDTYTGSFNVTLMARFSHEKNVRLADIVLPISTRSSVLNLS SAFNIPSQRAEVSYRFDSRVSRALVSISACGQSTEEFWWSNVFSSDTRTFDSTVGELY GHSPFREIQLHIDGILAGVVWPFPIIFTGGVSPGFWRPIVGIDAFDLRMPEIDISPFL PLLTDGSYHSIEVRVVGLDISLNGTATFSNEVGSYWAVSGNIFLYLSDGSAEQLPTSA GPGQRPDIVAPTPTFTTTRYLEQNSIGGNSSLKYSVLAERFIAIRSPDFLWSQNLSFS NVGLFNQQGLSQKNVQRTSATILFGPLGVGDDVDELSFDYPLTVNTTYGDLDGGLTID AWMRRGLRIDSSGVPGISTYTFTSGPLHLDISQCGQSSYQSTADNSNSTSSGDTRTVI RSDAGAIAYRRTPLVETLPRTWVGLDGLVLVSSLIIT ANIA_10711 MAPQKSVAELPRSVLPRLTWNASSTRTSLTASHSAPLSAGRRQS PQGWITFTWQTQSQVFSQSVATFSTVSRSFTSTSIAQRFPHSISHKTKSQSTQPVGAP IRHNGVYVATFKPARRAFHASAPRKRDHHFDTLKFVQRLKDEGFSEEQAVAMMRVLND VIQESIQNLTRTMVLREDTERSAYTQKVDFAKLRSELLNADSTEAQLIRSSHEKIAAD LAKLNSRLRDEIGRTQASVRLDLNLEKGRIREEANSQEMRIKETETRIEQEVAGLRER VEAVKFSTLQWLMGVCTGTAALILGAWRLLM ANIA_10710 MSTVEGAGVYPALENRPLKGTICLFDVDNTLTPARRHATEEMLQ VLSQLRQKCAIGSVGGSDFSKQQEQLGSSSVDVTSLFDFCFAENGLTAYRLGKPLTGN SFIEWIGEEKYQKLVNFILRYIADLDIPKKRGTFIEFRNGMINVSPIGRNASVEERNE FQEYDRVHNIRGPFVEALKKEFPDYGLSYSIGGQISFDVFPTGWDKTYCLRHVEAEKD ISGIDYKTIHFFGDKTFPGGNDFEIYDDARTIGHSVKDPEDCLRQLRELFQL ANIA_10706 MPKLPDYYKVLGVAPTASQREIRTAYKRESLKSHPDRVPEGSPE KSARTRKFQEVNDAYYTLSDDTRRREYDARRNLEREMEDDDGVPGGEGGFWSSFGFSS ADREERSNEQFGSVFEEMLREEGMDGAGAQGAVSHFWSIVGGASGAALGFIVANAPGA IAGAVAGNRLGAVRDARGKSVYEVFLELPATDRARLLSQLAAKVFQHTMGQ ANIA_05771 MGWFDGRSSVSTSGAYVRKRSDRSPSRRSTTGYSTHHSRHSAPS IFDFGSTRGRSSPSVFSSSSRRARPRSGFIHRVVRYIRRLFRDIVNYARRHPVKVFML VVVPLITSGVLVKLFSMIGIHLPKHIFGGSSSRSGSGGGGMASNINGLMNIAKMLM ANIA_05770 MKTATLRLFLFTVLIVLAAAWTKEDYEIFRLHDEVTATEGANVT FYDFLGVKPHANQDDLNKAYRKMSRLLHPDKVKRSFIANSSKAKSKSKTSQKGVHVNR GPSKREIDAAVKEAHERAQRLNIVANILRGPGRERYDYFLKNGFPKWRGTGYYYSRFR PGLGSVLIGLFLVFGGGAHYGALILSWKRQRDFVDRYIRQARRAAWGDELGIRGIGGA SSPAPVPTPDAANAADAGAMPMNRRQKRLMDRENRKEKKGSAKTGSRRSGTATPTNET VESTGERKRVIAENGKVLIVDSVGNVFLEEETEDGERQEFLLDLDEIQRPTLRDTMIL RLPLWIYRKTVGQLGASSAVDDEVASEDEQVDTVEQPGQSSSAASSRGAATRRRGKRS ANIA_10724 MSSIQIPIDAITSRFGDRFNSLRSQSLGSRFANLRPISEFLDFK RLSKPANFGEAQSRVNYNLSYFSSNYAAIFVLLSIYSLLTNPLLLFVIFLVSGGLWGI GKLGGRDLDLGFARFNTSQLYTGLLIVAVPLGFWASPIATFLWLIGATGVTVFGHAAF MDKPIENAFSEEAV ANIA_10705 MPHWGRPPGAHLGRQNGRRGGQLVDGAMRVEEPSGEAESQFGLR SNRLDTRQLAGLRGRGDILRSSVLEHDDRQGSFDGADYDMYEDTNKTVAYAVQLAMED NEDWLVERALERIRRAHSEGHKNVTLSNRELEALERRRLQAAPDPAESQQVKGSGSDI GATPASPYPLDTSIYDTWARTSTSVSPQSSTTTLRSTHQPAYLSSASRPSVFHAPPAI PGSLPDDYQQMPLHQTAQLSESRHNLHHPIDTQCGSLTRLAKTPYTSARSHALHGSPD LGALAARFVTNRDSESDESSPRKRSPASSGDDIPVMEVIKHKVPSSPTRVINRGIRQR VSRP ANIA_05768 MWIISFWIFPVISACMWIAMLAAMLGTWCVQGYPIYSSMEPGQT IAYISDVGAQGLKPLFITGSVITVVFLDLSFVSERWLRHSGQLVPNKGRFDKFCAIAS IFFSIAGALGLILLSVYDTLRHSHLHSGFLAMFLVAYLVSAILICIEYLRIGIFYRSQ HRVLLASFAIKAFFIVVEVALAIAFGICGWHRPHRRNAAAVLEWVIALVFTFYVLSFV VDLLPSVRTRNHVPQGEKRINSAHSDHPEMVYEEPLTQDSAGPNANRNTNYYRGAHV ANIA_05767 MCSLHYLLPIFILKPYRTEHQMAIKKHKRRHNVSTGSSDFQPQD EDGYIHLPSLDDTETGARRHLISLTGKGFERQLLWRLDWWNFTKVLAYVAAGYKQDAV RIVGEHVMKPAGLTGLAIDTLEASKGEIKQIFDILSSVDTDIAADLSTSASSAGVLIH CTQGKDRTGLIVLLSLLLTSVVDADVIASEYVLSEKELENESSEEKEERMKEIRAIGL DEEYARCPKDFTQRVATFIEEKYGGVREYLVSVGVDEEILESLRRRLLA ANIA_10704 MAVTNLVLTLSIPLLTVIGYGLYCGFQHRRKINELRKRGIPMPK DWSWLTGHLLSLKKYTDRLPADAHVLLPTHELAVEFADTEMFLMDTWPVFPALVMVYD PDAALQISTKYNLPKSAIFPSLMHPITGGPSMISMNDAEWKKWRSIFNPGFSAGNMVD QVSTVVDSVQVFCDILREKAGTGLVHLDDLTTRLTMEVILKVTLDMDSNYQRSDNEMV HALNTITKWHSFWDPRVRANPLRPLVQKYYGRVMDRCIRKELDKRFAEMQQGQRSAST SKRVKSVIALALEAYLADYHQKDGKASKLDDNFAQYATHQIRLFLFAGNDTTSSSIVY VYHMLSKHPKALARVRQEHDRIFGTLPSAAPQLLKSNPALLNQCPYTLAVIKETLRLF PPASTTREGRDGVTLTDRLGNSYPLGHTIGAEIIHPTIHKNPRLWPQAEEFIPERWLV DPGHELYPSPAAWRPFEHGPRNCIGQTLVYNEMRIVIVMTARTFNIRPAYDEWDAMHA AKEGLLTVHGERAYQTEKAGTHPADGYPCHVALCSGSPIS ANIA_10730 MPLEIFEGEVPPSPPGAPFHNFTTPSEHRTRLIRQFLQLGIQGR GTVYSPTTPNSDPNKEKAARLPPKSTQSSSHSAPSIPGPMHTTHAH ANIA_05765 MQLLSNGIQIVQPAFTEGIKNAVAYCQPVMESLPGLAALAAKWA VENPGAAASTVVGIAVIAAPGFVVSPTLTMLGFGPGDSLAATAQSVVGNVAAGSTFAT LQSAGAGGAGLAVIDGAVQAGGLAVGIWRMGLTWIRARL ANIA_05764 MATVEQNPVQQRIPHTRSARLADQAATAALYVTHPERSLALRQP PSESDPAVSKAAGFGVRLSDASAAAALMAHARKQGPGLRHTASVSRATDRRKTESSPQ GGYPYQAAMYALKDQSLPATHGNRKRAESAPSEAARASVGARASITATEGAGDPFDDL DTAMRASRIQNAHLDRKLFTATPPVAPELEELRRKSILEAASMSMAKDMYGVMEAKDG GLQSGTTTRSQRAASVPTRDSAALRQASMLMLGQAMNLHGVAEKRAAEKLADLEDEAA AYRSYYGVEPQGTRSSLALRRRRVSNETDQFDQERSREIRSQMSTLRSKLNAVDEKRN EKRQNDRASLLELARKNVDAAIQDMDKRLYSGEAEQSVALQKYLDEKAAERAQKGIRD IGTQYMLANKVNIGGRRYVDMSEIEDVARSRLKPTLDEIEDIAETQRARELEARLDEE QRQRLAAIEREREADIRAEEEQYLRQNARSKGEKAWPWKRRSRHLPSAESGADQVSDQ PTKEGANGAAVVAVEAAHPTEQPTEPRASGAANIPEETARPTEQPAAHVAQQDSSAAD VPVEAAQPRLEKTASEDSVLRRETKFKNWFKEKLGRRSSGPSKEPNGTPEPAQGTAAI SEGEGTEHTNIPQETDIGRTETAEVHRQPAHGPVSDQDQSRRGPLRSNPVTAEDLNRR AGSVSDDMRKKPSEPTAEADSRGVAESSTQQQEKEDPVHELPAPSVEKVKEVDREDAE PLAPPPSMGDLVNKRRGSVNSAIRESRFSEDL ANIA_05763 MGLGIVDVKGRQVPGTVDIYEKERVESQPSSYHTELKYDRSGSE PILLVPQPSDDPNDPLNWPLWKRDLTIFALSLVAVLCATTSSVMAAGTVTLSRYYRKS FMSIALLTGYHLCGTGIAGILIVPTARVWGKRHLFVLGHTLMVVSCVWAGASGLNYQS LLWARIFQGVALAPFEALTNAVVDDLFYVHQRGKRMALSNVAVFGAAFLTPVAAAAAL PLTIFLVPETAFRRPNYLELDFQDRDRTESRQHAEFRKAQDEADSREPKQSSASNGES SLDVASQEPPTQRSILTKVSYVQTLKLFNGRKTDEHWWKLLLRPFPLFFHPAVLWACL IQGVIIGWTVFIGVTLAAIFMSGPLWFEEDKTGYMYAGAFIGAMVGLVLSGLLSDFMN NIMIKFNKGRYEPEFRILLVIFQLIFCGIGMYGFGITANDAAHYGWLPPDVFFGCVVS GMVMGAVASALYIVDAHRNIVIEAFTCMLIFKNIFSFVLTFYTYDWLVEAYVKKPFMA ISSIQVAICALSIPMYIFGKWNRFFFSRYDILKLLRLW ANIA_05762 MKSHLLALILAVCLSSVIAQSLPGLPDCAQDCANGAIPSKCSPV DVECICATRSFIDDMACCVGKSCNVDDQKKLTSIVSQAALDFANGICGGAGVSDLPQS ATCASDATSTTATASSTVTNTETTTTTAVTSSESDQTSTEAASSTSADTSTQTSTSTE TETPASSEDTDGATPLRGKSVGVLAGIVAGIAFVL ANIA_05761 MGFLSSKTLIKAHAVFLFTLAVYLTKSPEVITESDVVFMLGEFL HLDSRPSPNFSRPQSPFAMCGILLVADALIDLILVTKIPHINEILAMAEAARSEAPGA SKPDAWGIAQSAGPTAFDELSGLENLKNRVVFTYGFMEMMFWFWIFITLREERQEVAV RFAERERDS ANIA_05760 MSEAVFTKDRHVTFFLRCLKTFLPGLYTSNDSNRMLLAFFIVAG LDLLGALESKTTPEERQGYIEWIYQCQVPSGGFRGFTGTDFGTELRTPENEAWDPANV PSTFFALVILLILGDDLSRVKRTECLKWLRKMQRPNGSFGEVLGPDESVEGGGDLRFC CFAAGTRYILRGRRDTGLDGIEDIDVGKLVAFIVSCQVRFYNPLYVIFTESVQAYDGG MAEGPYCEAHSGHTYCAVNTLTFLNRVSDDSWRVPLVSPGSHQFESLVRWLVSRQTNE LGDEDEDEDEDEDDEKEEDDVDDSNSPTNVVQGLSEAVNELDLNNSIDALPIILPPGE ESLQWAGFNGRCNKYADTCYSFWNAATLDMLGRLSMVDAERNRRYLLQKTQHLVGGFG KSVGELPDLLHSYFGMVSLAFQGEQGLDSVDSALCATHRTVRRLHSLPWWQENE ANIA_05759 MPPPRTRAEVQAQKQKEKLAQSYNELLKLIGKGSFGKVYLASHK LTNHSKVVLKSSDKEDTNLAREIHHHRQFLHPHIARLYEVIVTEKLVWLVLEYCPGDE LYNYLLRNGPLPIDKVKKIFTQLVGAVSYVHSKQCVHRDLKLENILLDKHENVKLCDF GFTREYEGKASYLQTFCGTVCYSAPEMLKAEKYAGEKVDVWSLGIILYALIAGELPYD DDDDQVTKARILNEEPKFNDKFPDDAKALINLLLSKRPLLRPSLDEVLAHPFLSEYAA EQLAILKLPRPPPFSTSLEKTTLHRMKSAGINIDDVVDSVMAQRCDALAGWWALLIEK EQRKEAKRERKRRERDAEAKNIRRLSAASSRLEKISAALVEVDEEGYSTAPLHERGRR DRRSLPSQLAVPELPMLPEPPLQSHNLTTPPPPPPVDKDSIRSHSSTRRLPPAPPPKD RRRSRPSTLHASASQPELAQHGGIFRRRTGRRQYPIISQLASLKHWFVESAKRAKSPH PKSAGGTSRKFLSEKLSPAKGQEGTKKTTLAVSTTGQPNDLATPTQIKRASNASSLAP SSASYPHRRHSYPRQPRPLSTGASHRNSLSPSPLTPRGSYRRSSVGLRGRKSTSSSVS SIRSIHHGHSRSKASSISSNSIGSATTPTARVAKSPHTSVKVLPTTPGASARFPTNIR LVRNPGHGLRDLDEPDGVPTSSYNEAAPAPLLYSPSSSLVFARRKRSTFKGPMLHVAN LVASGGMASECPNGDVRMDVPAIKAARPTTRKSQIIEEEEDPEDEYEEVDAFTGTEEE PASPTGVVSSELSDSDHAHSRGQPVLEPAPDLDSSPLRPPRSSSLRTTSRDPVLGSDD SDQAALNASPKSVVSGKRSLYSGVDAAK ANIA_05758 MAFATINSAPPTHTAAQISTQIPTIEDAFGAEPALKKRIYDAIG STPQYISLFEDIARYTSSLRTRNANSVQPIQVVHDEPAAKKRKLENGIGQGTGGAQSL ADLKTHKALQFYMQDVSFAMPQRKKLTLEITAGNKYLRARNQTSKEVEFGVPLDRVQQ VLCLPVPEKTQRQFNFCIIPQYADGINSPPNGVPVPEAVMWTINDGPAKAAFSGHGQQ IGNQDGETAEDLVRQVLNENLSHTQVIRPCAQEFASAMPEGHRKGEMAYHVKAFRGSK EDSISYTSVLQRTFNLNIVARATGSDETQEFEFSMIDQADYSGIDTYIKTHGLQDASL AEARRAKRYNINGAKTEENGEAASQEAEESELQKAQRELEDQEDEEEEDYDPGSEGES EGSGSSSEENSDDDQDDDADGNLVAEELGSEAEDVPEDEL ANIA_05757 MASSSSNVVGVHYRVGKKIGEGSFGVIFEGTNLLNNQQVAIKFE PRKSDAPQLRDEYRTYKILVGCPGIPNVYYFGQEGLHNILVIDLLGPSLEDLFDHCNR RFSVKTVVMVAKQMLSRVQTIHEKNLIYRDIKPDNFLIGRPNSKAANVIHVVDFGMAK QYRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFMYFLRGGLP WQGLKAATNKQKYEKIGEKKQTTAIKELCEGFPEEFTKYLSYVRNLGFEDTPDYDYLR DLLTQALKNAGEVEDGEYDWMKLNNGRGWEYKSYSSQQALQNSAMHTSARDLHGQQIR NSQRPGVTADRLNAAQPPPPSPAKPGAGKTREPQNVRGGIPPKRQSGGFDTTPAASTV AQFQNSNANISGHRIGSPATPAKNQPAPGGQQASNEPQPTLMQKVMKALCCG ANIA_10727 MPKRLSAIINFTTTLRVVSRRPVSGSGTRAVLIYSETDESRIKW LMPGMQSKQCTNRDNQKTQKTKAQIRRSKAVAP ANIA_10726 MIWPPETQGSPQTWRPHEPRFRRQQHNFDPAIPVPSSMLPICHQ HCVDIISGQASVFLLGDSATNVQPSTRTRTKKEEPSEPPQQ ANIA_05756 MISVLLTAHTIALSYIVITSIQSPSDGGEYAISAQTDNAYVPAE TSVAQQTAGRLSARRDLSDTAEDSIKTSPITAQNIVSEYRQEPNKLKTSFLDLQDCAS QSEKMRRSSLIWIPKFGLIHLLTRTIIEFLKRCTWAN ANIA_05755 MLKKKSSHPPLLFWHSSPTSTPELSPTSSSSDTESDEDMDASGS RPLSLAVPQGTFCPMRPTLDEVLANTAPAPYTLGAFMAYLSQNHCLETLEFTLDAKRY RETYNELSRQLGQFPIEADCSESRHLRMLWQRLLSAYILPGSPREINVSSEVRDDILR HANSSIPPPPSMLDAAVKLVHDLMEESIFMPFLNAHSSSAHVYPLSEPLFSQDDGGVT IVSNPSLDEHAVKRVRSKGGRLSPRQSRELGSPISSSPPSSLSRSNFSLNAVTSLGKS SHRSSNQPSSASGESGSAGLSDDSGSMQSSAGEPMTPPTTPPSSEPSMQTGSPKNRMD NPWKKMGMKLGFKRRGGGSQSMRLPHEE ANIA_10725 MPCQCQCGVNNTQKMRMSDIETMQSELAISNMKTEGEWYGLLLA MHDA ANIA_05754 MDTKDKKIFTFSEVDELDHPTSTYIYSVPSSGTLSHKGGEKDTV TGRIDIVHASTPSKSWSLSSLRDVLIEVFLPAGYPHSVSDDYTAYQIFDSLQAFSSSI AGLLASRAVLQGVGVGNANASPTSALLLHILQDTSGRIATILFAHRVGTALEPECKMY RFAADIFNDLAMLLDCFSPMVPAGFNRVTVLSAAGVLRALCGVAGGSSKASLSAHFAR WGNLAEVNAKDSSQETVISLIGMLVGSFVVSHITSFTATWLTLVFLLSMHLSLNYAAV RSVQMTTLNRQRANIVFSTLLSSDPDLADFVSSPSRKDERRASLKQQEHTHQNPNHIR PITPEQVAKEERIFAAGAALKWHSPPSQFSSSSRLLPEVQLLGSCQVGVSLRQFLCQA PYTTSSTSNTLKTDLPLEEITTLFHEEEYILFLTPSSKITFLGHTTTGLSAAVLIKRR DTSASTGLPSLKSKSNPHLKAWMHALFAAKILASSSAWSSHTRSYDLRSSNTLHVEDI LRILSRTLNYLNEGDRFETYIESLRKAGWEVDGDGEGSGSALETRIGRRVVVSFNS ANIA_05753 MHATTALRNAVRTPLIRFVGKRSIPQSVDHTPRPHPASPTGVLP DSFAAYRAKAQQHGPLGRASFTQGSVGRTPGAALGPVQPKQGEFFDRAELPRRFHRLP YTEAEIEAIETGGASLYA ANIA_05752 MHELLLFASVPSHQHHELLQQLTGLTAMQPRHCLERRLIFKATR KPDLANMRSGTGQGPDIVRLNKMLNGQMFYTQVVGPLSEADFDGKPSSSDSQDVSMSG THEAAGSSYDYDSQPWRLEFRDTPEAGFRSTITARLAASAALPKGDVVPSMNAWGYSF VTEYVVEGDMFIYNDIVIFLHRVLQYPATGQEPHEPRRQLPSFRDLAPLEKTGSYILQ AAITVQDGSNQELMRTASQHLFGLREQLKSAVRLEHADRLSLDTRAR ANIA_05751 MAGEGALKRAASRSISPPPLRRKAETLKKSVATSFFTPVSQRKT EPTSISWRIVNHTLIVGKFSLGPGEPPPKSSGKPKIAAFDFDSTLVATASGNTFPRDS ADWKWWRQNVPSRLQKLNADGYHVVIFTNQGKISLKKDKKGNVSSYFNKFKERVSAVM KQLNIPLSVYAATEHDEYRKPRAGMWKEFLDDYDFDVTGIDSSQSIFVGDAAGRPGDH SAADRGFAANANMVFKTPEEFFLGAAPEPVVAFDPAVYLQNNSVDDALPQFSKTSPLE LVIFCGSPGAGKSTFFWDYLKPLGYERVNQDILKSRAKCIKVAKEHLAAQKSVVVDNT NADVETRAQWIGVAKEFNVPIRCVYFTAPPALCKHNNAVRAANTSLNPESRTLLPGIA FGDFVKRFKEPSLAEGFQDILRVDFRFRGDDDAKNLWKHQMILCTTLLSPGSAPPSAS IVRPRYVEKLSSYGLLLALLCSAATEHATSADLPIGRGVTSCQTLDFVPTRRDLTAAS KEVQASQGPII ANIA_05750 MLKLSQLLEAKRRESNDNMGDPSPLSRQPPQSPLTASTPVSPAV SLFSAKGHTRFSSSASSLVSSPGHGNSMDISCRNPLTGVKEEEPYGSQARDLEEEYFQ HFDQGLSGAEDSYLSTVSTCDGYSFTDTGMDMVHSPKKRRSDNASANGLSRIGSRIST ISNRWKSKRGSDGADGESFSPQVRSRTNSSASVFAASPTTTAPMSWVESAQIPPSPAR TIFEEHLGESGAQPIDISKANQQDQDECAPQATTPLLPPLMGDDLENSVSVIQSPLQS PSVADVSNASPDPNALRDRLANLPSPPLSTKPSIASFSRPRASTIRTVSGDVPPLTLS DPNDEWAIQLGHANFTIQPEPYVPEVYDLESFRRLRSQWDLAQCNFTKHLVRTGEHYG VTSKIYKLTQEKWESINSEWKRHYEAMLAHLEALNGPVLSTIESHRDPCEQVKIPTLH DDKFPELGDGEIVGPMKIAPATGRCRDRSLKRNFFRFFHDLVSRV ANIA_05749 MNLSILAALALVSFSTASTEQQSPLFSHADRSPKSIIDASPFLS LHRDLVSIPSVSGNESAVGEFLASFLESHNFNVIKQPVEGRTSRFNVFAYPSSAPSKP SILLTSHIDTVPPFIPYSVQYSDNERDIVISGRGCDDAKGSVAAQVVAALETLAENPS APLALLFVVDEEVGGAGMRAFSFNTTLNPSPDSPSSLVDGYKTIIFGEPTDLALVSGH KGMLSFHVHVTGKSSHSGYPWLGESALSTILPALSVIDKLGDIPVEKGGLPSSEKYGR TTVNIGRVEAGVAGNVVPAAADADVTVRLAAGTPEEAMDIVLAAVANATGDNPHVVVK FAEGPNGGVGGYAPQDLDTDVPGFEITTVNYGTDVPNLKVYEENDVKRYLYGPGSIHV AHGDHESITVAQLEEAVKGYKKLITAALERIY ANIA_05748 MSFPNTHGSPAYPTSRSPSEKYWQASRSPGANGLGGYGFSQSAG VTNNLNNFFSGDRTLPMYKDKPYFAPRRTGPRLRRRRVLQGIILLLGLFSLWYIVTGS SDTYRSPWTPDTVKGEELWRWVQRLDSEQPYDGTPLKGIDWAARREKVRDAFIVSWDG YEQYAWGFDEYHPVTKKGKQMIEGGMGWQIVDALDTLMIMNLTSRVQHARTWIHNSLQ YDQDHDVSTFETTIRMLGGLLSAHYLSTEYSNLAPIPDDDVGSPGEDLYIEKATDLAE RLLGAFESETGIPYASVNLNTSTGIPSHSDRGASSTAEATSVQLEFKYLAKLTGEAEY WRVVEKVMQVVDANQAPDGLLPIYIYPDTGTFRGANIRLGSRGDSYYEYLVKQYLQTS EEIYKDMWDEALVGIRKHLITFSKNAKLTVLGERQAGLDGPLTPKMDHLACFLPGTIA LGATEGIPLSEAKKSAAWTRRKDEEILLAKELMKTCWATYLATRTGLAPEITYFDIDT PHVSELDRYPDSTLAAGNADKKPADKDLPLKSQALYPLADSNSDPNSWRRDIIIQPQD RHNLQRPETIESLFYMYRITGDETYRHWGWEMFKSFIKHTAVVERSSHYETESGDATA YRIVGFTSLSNVNSEPPMQRDNMESFWMAETLKYFYLLFSDRDFISLEENVFNTEAHP MPRFKPTGELSTGWVRSARVPKSVPV ANIA_05747 MNGAVDPEREQALEEYKRSLLDLREWEAKLKALRMGIKDLQREF DISEENIKALQSVGQIIGEVLKQLDEERFIVKASSGPRYVVGCRSKVDRSKLKQGTRV ALDMTTLTIMRMLPREVDPLVYNMSLEDPGQINFAGIGGLNDQIRELREVIELPLKNP ELFQRVGIKPPKGVLLYGPPGTGKTLLARAVASSMETNFLKVVSSAIVDKYIGESARL IREMFGYAKEHEPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDYLGK TKIIMATNRPDTLDPALLRAGRLDRKIEIPLPNEVGRLEILKIHSSTVQMEGDIDFES VVKMSDGLNGADLRNVVTEAGLFAIKDYRDAINQDDFNRAVRKVAEAKKLEGKLEYQK L ANIA_05746 MPISKIHARSVYDSRGNPTVEVDVVTETGLHRAIVPSGASTGQH EAHELRDGDKSKWLGKGVLTAVKNVNETIGPAVIKENLDVKEQSKIDEFLNKLDGTPN KSNLGANAILGVSLAIAKAGAAEKGVPLYAHISDLAGTKKPYVLPVPFQNVLNGGSHA GGRLAFQEFMIVPDTASSFSEGLRQGAEVYHKLKALAKKKYGQSAGNVGDEGGVAPDI QTAEEALDLITEAIEQAGYTGKIHIAMDVASSEFYKPEEKKYDLDFKNPDSDPSKWLT YEQLADLYKSLAAKYPIVSIEDPFAEDDWEAWSYFYKTSDFQIVGDDLTVTNPLRIKK AIELKSCNALLLKVNQIGTLTESIQAAKDSYADGWGVMVSHRSGETEDVTIADISVGL RSGQIKTGAPARSERLAKLNQILRIEEELGENAVYAGQNFRKSVNL ANIA_05745 MPPSKWDEEEEEGVSPPPVAARRRFDDEEDGDVLDSWDAADDSE VEREKAAKAAEAKAKAEAEAAANKKSKAQRIAEHKTRRKAAEDEEDDESDEDEAEKRA RLRRTEKDSDLKHAEDLFGDIDLNRNRGKNKTIVVHDASGDPTQAVDLSAMPLFKPAT KEQFTTLSNTLVPLLTAQSKKPQYALWLPEFAKQLAKELPSGDIKKVASALTTLSNEK MKEERAADKGSKKTKAAKTKVSLVASRSDKIETTAYDDDGLDDDDFM ANIA_05744 MTSERENKTFLARLCEQAERYDEMVTYMKEVANIGGELTVDERN LLSVAYKNVVGTRRASWRIISSIEQKEESKGSEQHVSIIREYRQKIETELEKVCQDVL DVLDESLIPKAETGESKVFYYKMKGDYHRYLAEFASGNKRKLAATAAHEAYKNATDVA QTELTPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDSLSEESYRDS TLIMQLLRDNLTLWTSSDGNEPENVSAPKEEKPEEDAAAPEEKPEEAKAPES ANIA_05743 MEQSYILAPAEVLKHFDVTERSGLSSAQVSHSRQKYGPNALAEE PPTPLWELVLEQFKDQLVLILLGSAAVSFVLALFEESDDWTVFVDPAVILTILILNAI VGVTQESSAEKAIAALQEYSANEAKVVRDGVVQRIKAEDLVPGDIVHVAVGDRVPADC RLLAIHSNSFRVDQAILTGESESVAKDTRAVSDRQAVKQDQTNILFSGTTVVNGNATA VVVLTGSSTAIGDIHESITSQISEPTPLKQKLNDFGDMLAKVITVICILVWVINIEHF NDPSHGGWAKGAIYYLKIAVSLGVAAIPEGLAVVITTCLALGTRKMAQKNAVVRSLPS VETLGSCSVICSDKTGTLTTNQMSVGKIVYLSQLGTGVEVIDVEGTTFAPEGSLSYNG QVVTNLAASSSTIRQMAEVMARCNAAAIAYDEKTGTFSCIGEPTEGALRVLVEKIGTD DAAMNDKLLSLPASQKLHVSSAYYESRLPLQATYEFSRDRKSMSVLVGTGSNRRLLVK GAPESILERCSYALLGPNGARVSLTKAHLDLLSSEVVEYASRGLRVIALASVDDVGAN PLIHKASTSEEYAQLEQNMTLIGLVAMLDPPRVEVADSIKKCAEAGIRVIVITGDNQN TAESICREIGVFGKDEDLKGKSFTGREFDSLSHNEQLEAVKSASLFSRTEPSHKSKLV DLLQSLGHVVAMTGDGVNDAPALKKSDIGVAMGTGTDVAKLAADMVLVDDNFATITTA VEEGRSIYSNTQQFIRYLISSNIGEVVSIFLTAALGMPEALVPVQLLWVNLVTDGLPA TALSFNPADHDVMRRPPRKRDEPLVGGWLLFRYLVIGTYVGAATVFGYVWWFLYNPEG PQISFWQLSHFHKCSTEFPEIGCEMFSNDMSRSASTVSLSILVVIEMLNAMNALSSSE SLLTFGLWNNMMLVYAIILSMTLHFAILYIPFLQGLFAILPLDWTEWKAVLAISAPVV VIDEILKVVERRLYNTTVTAPVKENGAILKPKKA ANIA_05742 MGFVRFLKTRSGLRVDNTKTTSAANLTLRQSLWPLMLVTILFFL WVTLNITRTRSSGLQAAYFGAYPLASLGYANWLLRHYGYKLVFIFGLVLYGIGALCMW PAGLNRSFGGFCAATFVIGSGLGSLETAANPYLAVCGPPKYAEIRINLAQAFNGIGTV VAPALASYVFFTDTEDSVDALKRVQWVYLAIGIFVFVLAAVFFMSTIPEVTDQDMAFQ VATTHVDEQDKPFWKQYKLFHATFAQFTYTGAQVAIASYFINYATETWPAVDNSTGSK YLAGAQAAFTVGRFLGAFLMKYIRPRWVFLGYISGVVAFCAASTTQRNETGVAMLFLT LFFESVCFPTIVALGIRGLGRHYKRGSGFIVGGVSGGAAIPPLLAHVADLRNDTGFAF IVPTMFMVLAWTYAVAVNFVPAYRDTVDKVGSSDIGLTGSALDNGGKKDGKDVEALGV VEKGEVVHV ANIA_05741 MQKVVRRTALARNQAQRKVIRANKDARHEELNDSLRQRFAYNRF QLDNIRAERLRRREDWMRGPLAPQRDAGLDGKMFGALSPQAMQPPAIPKHLRRKYINF APGDRVCIMKGRDKGKINVVTRVDPENETLTVKDCNVADVYFPEWLSEQFGNKSPFQT VPLPISIDDVRLVVALDDPATGVTRDVLVEHVYGGGPLLEREQGIDTPRHTRYIAGED IEIPWPRPEKPTFKDEEWDTLRMEVETPTWVPSLHYAPFPPSVLDELRNKFSKYRTRH DPEFVEQKKLEDLKKEYLQSRSLMTPKGELMAMIKQKKKEELEKRRDADGNLMMDEQT LSFIEMYMKENSVTKKTKKIKEAQTVRLS ANIA_05740 MAEIRRKLVIVGDGACGKTCLLIVFSKGTFPEVYVPTVFENYVA DVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISE VLHFCQGLPIILVGCKKDLRHDPKTIEELNKTSQKPVTPEQGEEVRKKIGAYKYLECS ARTNEGVREVFEAATRAALLTKTHKSKKKCSIL ANIA_05739 MSEDDFSSVVPAQLSFLAIYNPLLGTTDETIEEQIVFYTSRSSI LRRQDSSAATDNKEPGDDLNERLRQVGLAQGMVTFARNFSENKAVDYVETEKTQTVLH ELEKDWWILASVDLTRLPNPNNKFASQRDASGALFHYSSRETAPPQLLIQQLRRAHST FLLHHGPSLHILYENVGRTTFCHLLEDFWLRFAWNWDILLSGNPAVEIYNGIKLAVGG ELGIGVGEEEWGSGEREVLEDFVSRTEGLVDLVVSRYGDPYDRAGSSQAASMSENDAE YGWLGSDVYPRPSDGVIFSGVGAVSKSSLVSISQWMEWIYRYGANAYGVGEDPTSPRR RRRRKRVRAASSGKATSNQAPFNAAQGAVPDRSFSPGIPPPLVGGTPPPRPSSQEPKK GAKTQASDGSSQLSEDKESDWITTGTETFVRYLTLGYGSSWTLPGISSGASSPPQIDD SKRNNASESANKNAQKDTKELPSPKGFPRPDNYGRFIIGLQDEVTSLDEGLEERALSK PSASPTEKITKRTIHVQMADSQKETVKSLIVQTRYHFRSSYTYTTSTLSDPSIYHSIH HQLGPLQKPLSASTSTSTAETRINSLPEFNTKSQKQANPVYDLVFDPHNLSIRSSIPN IPDLTSHNQRKAGTSSLSRVETISIHHRVLTTYIETRSRPLELERTCKTSRGWWIVWV RVPTSADNQQDSPEYSAGKDKQQEAFIVRRASDHAALSGHTRNASSIGGGSGARFFRD LGGASSPGSSQTVRMDIAPGKLVEGLGLDARRCRVHVSADAGDDPRACGKENRYAPVH YPESKL ANIA_05738 MFLPRGSSLLCSLRQTRFRPSFAPRLLSTRAYDPLRILFCGSDH FSIASLKALHDEHKKRPDRIASIDVVCRPGKRVGRGMKQIREVPIKFVASDLGLPIHE VDTFTGWQPPVYPHGPINLIVAVSFGLFVPPRILNGARYGGLNVHPSLLPDFRGPAPL HHTLLAGRTTTGVSLQTLHLQHFDHGTILAQTPSPGFEIPNPDSCTVPELLDVVAPKG AELLVKGIQEGLFVPPHRNAGWYTAEQRDLIHATKIKPEDKHIDWATWTWTTISRRER VLGPLWSKSLVLGESSGPTPTFEQRRVILSEFEEVQPLKGSEAFALVPGLPFIDGEHT VKSDSGKGVYVFTRDEKLVRIHMMKVEGRPNTDAFRAALKARMIGSRTFSSHGASFTP FHNPFN ANIA_05737 MPYALQNRNVLVSAGSRGLGAVVAQKFAAEGCNVAINYFSSRDA AEKVASDIRAQYNVKIILIQGDASIQSECQSMVQTTIEQLGGLDILVSNAGWTKVTTF NDLDAMNEEDWDKCWSANVKGHLWLFKAALPTFRANPDGGVFLLTSSAAAVSASGSSL PYSVTKAAGLHLVKCLAQTQGNKVRVNAVLPGLLLTEWGLRFPKEKIEAYKARTPLNT LPEVEDTADAYINIAKNSSMTGQAVQIDSGFVVNY ANIA_05736 MSICLLSLIVSTLAVGCTALGYGLMALLQLSLPRVAVLAPLFIA SVISLVLLLGVYTIFQNTPVPKWRPKSTPVHLLVVLGSGGHTAEMLSLLRRVDIITNK CIYRTYVVSSGDNFSATKALEFESSLGGQPESYAIITVPRARRVHQSYLTAPFTTILS FWSCILVLCGRHPDQQRHKRPAQLTSPYPDLIITNGPATAVSVILAARFLRLLASCLS FLSLAKSHRQSMTGKGASEALLPGEYRLRTVFVESWARVKTLSLSGKILLPFADRFLV QWPALEGKQAWWGMRKTEYVAGLVD ANIA_05735 MAPLFSKRLSCFYCGRRSAQPGKGPLRKWQCKHCEAVNYLDENG DITDPPATETNPDPPTPGPTKSSLESVDIGLTGSDLFCAHALASYFPPSDDPNYSAYE REYPKFRKNLEERYPQVCDQCEPRVKARIRQAGYEAKSDHLRRMMDRSKAGKAARKAR QWNWRSLLVFAGAICYWASIAGQLAWDLTNALPVEKSLRDSDGFSMSHVVVPRIRQVL QTLHLPSDGPVDLGPYAGLSLVAGIFSLWYNPKLRLKVEGRGGRFLGLGEYYKVQLIV MVVRCAFWAVLRDPSSSGLDATLPPALHLFMFFFTVLSVIISRRIVCYNTRPLVNWAE TTPMTTPVRKTEASPRLTTDTKQPFYTPHEISQQITPRFPIERLATPQSAREERAIPT PPPEVDDMDWTPSIQHSFQPASTVHQRDQRQSLLSGPTPFYGSLPPAPVPPSWSLRSQ SSKRPKPIEQVVERNPFHRSPAQSSADWRRKTASPDAVFAPPKFFPVTDHASTGLENL FDRAFTIKSPDNDEHDWQRPQQAHLDTQPSRSANMRGVFISQCVRFGLLLVSITAWSL SQYELVTVPGDYIEVASLGCASLLAGFALLEGVKQPIVQWNGMELLVYVAELAAAVHL GGYLPGAFLERHYFDRYGKLLLIFMAVQEALGLLAIYQTSLIPDVLSPKRSQAGRPSS SGGDTIAGDSPNSRISRQSNNQSFNSLSSHAPPALSFGSTAAGSSFLTQAHKPELSPQ YQLPLSPHGGSFNGNANRHSFSLNSLKHQEADASDPDFDRDSDTETTMTTATATTNNT IRNIRYGRNSTANEVLFSPRRSELGPGLGSLSLDDGPSRRMTRSQSQRLQSQTAAGIR RRGF ANIA_05734 MSFLLRKLVHNEAMRTDPREIYGWRVYALACSACFGGMLFGVET GIIGGVLTMDTFKAKYGLNELGDTGRANLSANIVSTLQAGCFFGALAASWVADKYGRR LGLIAASFVALVGVIMQVAASGHLEAMYIGRLLCGIGVGFASMVNPLYVSENAPRAIR GGLTGLYQLFITMGIMLAFWINYGSLLHISGAGQYLVPLAMQGLPAILLLVGMLLCNE SPRWLAKQDRWEEARATLSRVRNLPSTHPYVENEFQDIVRQLEFERQLISGSSFVDLL KEMWFIPGNRKRALISIWLMICQQMTGTNAINYYAPQIFENLGITGSANGLFATGVYG IVKVVGCACFLVFVADSLGRRRSLLWTSIAQGLAMLYIGLYVRIAPPKEGEPVIPAGY FALVCIFLFAAFFQFGWGPVCWIYVSEIPTTRLRSLNVSFAAATQWLFNFVVARAVPN MLATVGANGYGAYIIFACFCFSMFVFVWFFVPETKGLSLEKMDDLFGISHGSTKESAD ESPAEKQEEIRIEKTA ANIA_05733 MSVHANGKTPTQPFSQSPFRTRTDLQDACKALLDPLIPRFTPGG SRVKIGSSTTRFDEGGAQIEGFARPLWGLAALLGGGCDYAEASRWRDGFIQGTDPESP EYWGDIEDMDQRMVEMCPIGFSLAVAPHVFWNPLTDKQKENVAKWLASINEREMPNTN WLWFRVFANLGLRKNGAPYSLARIEADMDHLDTFHVGGGWSNDGPKSHHQMDYYSGSF AIQFLQLLYSKLAADFDEPRAERYRARAKEFALDFVYYFDPDGRSVPFGRSMTYRFAM VGFWGALAFADVTPPAPLTWGMVKGILLRHFRWWATQEDIFNNDGTLNLSGTRQACHY PVRAIQAKYGKFAYSASFGYSVPTGGYQLEQHAPDSMLALSEDGGDIWQTRRVVENAR IEYRENLPVLISEWRPWTDVVVETFLIPPAEGSENWHIRAHRVRTSRDLQSSEGAFAI YGCQSSNGRFLQPFKEPLNPLSEGTSAAPQSALTVSSAGAVDLVCDGRVCFAGAWKWQ WRKLEEYLDR ANIA_05732 MVLFSQATCWKAYRFKKSRGGLFVRFNSAFSSDRFAQLASRPAS IHQIYQSLSTDPYVNLSIEHFLLEKAPPDSSILFLYVNRPCVVIGRNQNPWLETNLQK LHNDREESTKHSDGALLVRRRSGGGAVFHDAGNLNYSVISPRATFTRNKHAEMMMRAL HRVGAVNTSVNERHDIVMSESDGQPRKISGSAFKLTRFRGLHHGTCLLDSPNINELGS FLRSPAREYIRAKGVESVRSPVANVSSSMEDAPAGFSMQAVIASVMDEFAQLYNASPD AVRRAQRAHAVEPELYAGDNWVAGAVGDLEADAVPEIKKGMDELKSLEWKYTQTPQFT FSTYPIEEDPRERPALPPSLPPSTRVFLRLKHGAIIESCISTSNDPSLAAEQASRVHE ALKGRNLHELQPSQWTEVLVSRLSADEEPVTVQELASFITSKFGS ANIA_05731 MSTWGDYFRVTTYGESHCRSVGCIVDGCPPGMELTEDDIQPQMT RRRPGQSALTTPRNEKDRVEIQSGTEFGVTLGTPIAMVVRNEDQRPKDYGNKTMDMYP RPSHADFTYLEKYGVKASSGGGRSSARETIGRVAAGAIAEKYLRLSHGVEIVAFVSSV GNEHLFPPTPEHPSPATNPEFLSLIEKIDRATVDAHAPTRCPNEAAAARMTKVIEHFR DNSDSIGGTVTCVIRNVPVGLGEPCFDKLEAQLAHAMLSIPATKGFEIGSGFGGCEVP GSIHNDPFVASEVQTQLGSQNTTKQRLVTKTNNSGGIQGGISNGASIYFRVAFKPPAT IGQAQQTATYDFGEGVLEAKGRHDPCVVPRAVPIVEAMSALVVMDSLLAQYARESAKT LLPPLPKTIPTRPTTGSN ANIA_05730 MDGRFYRRSRMRRAVGASSVLSLLCVLTLVGHGSAQIPYTPSSL LYDSQHNTSFAYLLRATEGRTEFISLDVSRKVDTNKSAYTILLDDVPFNKNVGQSAYV PVIDQDGVLKIYAGDCWDSGNAPKLWSFRPDSGSSSGSGKWEEFSIEGPGQVDITNRP GHLSAGFAYSASNTTQSSVFAFAGMCPYESDDSTSWVSAANYSQTMTVLAPCENGKCY QASITGDRAPPIPEAGFTFTPLQATYGYTDGELRQQQDFLLIGGHTQQAFINMSELAI FSAPQNSWSFVSVGYAADTSRADLSLRNTALIEPRSGHTAVLSPDGTKVIIFGGWVGN TRVAATPQLAVLEIGGGFAGSGEWTWSIPSTSGGPEESGVYGLYGHGATMLPGGVMMI AGGYQISQLSKRSDTGPELNSQVYLYDVTSGRWTSSYENPYFDATAEASDSSSTSSKS RTSLKAGLGVGIGIGIPVAAGLGLLIFYLCRRRGVRRTRDNEIRKLALGAQRAHYWGR DDSEMASSIRNPSPMREPAVNNDYPWNVNNRPRSTGKKVDWNDNGDAIAERTGLLGGA YVPKKFNRPVLNAGTYRPPYYDFRRGETAGIIHPIDEREEDETEGVKTIQQRETLDSQ VFVTARNTQVDTLGLDGAGAAENGMNGGGRVSPGKDERTSSNLSDSSTSAKSANSGVS PTRDKHAIPPRHSREAIRSQDSTTSATASYEKRYSSDSYSTAQSAISQQQAEGEYLLR DSGNAESSQVPGLLNKSPGPSRPRTSEWIGGIRRVLSLSRKRPPANEDFSTTSRASGI DGDHAGISNFTAGTTFPRRSVSASAELFRRKQGAKDWGVGNRYSHNTGFHSARSTRDD FCLDGHMDPDSDGEWDVEGAAEGRRVQVTFTVPKEKLRVVNASAGDMDDLSVNSVSRN NSLS ANIA_05729 MIDRLMQPSTERVADQTWTFLRTLMLVDWFKPSTLTSNFVTFER IEDYLSTVYGRIPLEVDSDETGDAAQLMADLFYPALSRVFKSDEKGTPESRGQVIALV TFNSKPRIVSYGMWTSDAVSSRRLWLVLVPLLVPRAQPVGSETVALWQGLLPEERGVI SAEEETGKRLCDGAL ANIA_05728 MADRAPSELSLEEPTDVAAPHHGQVSEYTHRRGTSKSDIAGGSQ HSSIDLDAGQAVTKPSNAKLPPSNDSLDGQPSLRHTFPHLYHSSGTSRSPSTRTSSSS LQALNEDTVVDARSERSVFARISLSRRTSYNHQSDGGAAEYPVYPDQSYAVLQSQIHP TYQPPFLRSRSSYPIDTVHKPTYSRGARTAGNTPISSPGLFSVRTPGLTSSPGSDGDD RSGNSYLHPSHLQPPKETHTVEVDRDSVTGNKVINQYEILSELGRGEHGKVKLGRHVT TGQKVAIKIVQRYSKRRRLGRLGNAEDKVKKEVAILKKARHPNVVSLLEVIDDPNRQK VYIVLEYVENGEIIWRQKGLREIVEVDKLRLEREKIGAPDTPAFWEESKQYIMAAQRW REQRLRAMERRQAQAEHAQQGPIPAWSLEHGAESDDELGAEIAATESHSSSSHASSAP QEAALAAMEGTMFGAYTDYPSDRRRFSTASSSFGYAPSETDLSPEEDDMSYVPCLTFA EARNAFRDSLLGLEYLHYQGIIHRDIKPANLLVTSGHRVKISDFGVSYLGRPIRDEEE EQLDETDVATELDDARELSKTVGTPAFYAPELCYTGDDFVESLGGVPRITGAIDIWSL GVTLYGMIFGRLPFVSDDEYSMYQTIVKQDVFIPRKRLKPVQVKTSAQWPRYAPDSIR ADNELVYEEVDEELWDLLKRLLTKDPVRRITLKEIKHHPWVLHGLPNPRAWVEETDPG YLSKGKKIEVSNEEVTTAVSKVPFIQRVRSNVAKWSHYLTGRSKDRDSRKRTPSASPS VDSTSTSSSNSHGKHHLWDGGRFSLRGDEELFSRFNRHHRDSEHPLSQSVTVSPTASP EERPTSYLAQDGAPGYHSPANRTPRPDHPERATSNLSTAESSKTVRASAMNKSYSVPL RPATPDLSGSSGTTNISGVIGGASHRLARGLQPGDRHFPTDFSTAEEDHHSEPSLALS IASAVGQMQPSAWQPDGEPFTIRESRASTPEHRRKISHPLLAVEPFHLPKEGSWHIKG SRSDPLTGLSSDREHRSGADNASRKGLDATADTGAAEYVKEGLTTSPTSAATMSSSSM DEDTSGMSQSTSHPSIPSVMSGASSLSEGGINKENDCDKVAQVPSILRTGETVKARRL STSRPPEDDESRYYCDDEEESGDDSEDEGLVFGNTRAVNKKPAIIMGKAKKGHDAG ANIA_05727 MILSSLLPLSLVTLTSAALTYRGADISSLLIEEDSGVAYKNLNG ETQAFELILANNGVNSIRQRIWVNPSDGSYNLEYNLELAKRVQDAGMSVYLDLHLSDT WADPGDQATPSGWSTTDIDTLAWQVYNYTLDVCNTFAENNVAVEIVSIGNEIRNGLLH PLGSTDHYDNIARLLHSGAWGVKDSSLSTTPKILFHLDNGWDWDAQKYFYDTVLATGT LLSTDFDLIGVSYYPFYNADATLSSLKTSLTNLKSNYGKNVLVVETDWPVQCSSPEYA FPSDLSSIPFSADGQETFLGRLADTLEDVGGVGIYYWEPGWVDNAGLGSSCEDNLMVD WRDRTYHQNLYVRSFLHVRSKYYIRIEDRPVKTHH ANIA_05726 MDPQDTLQDLGQAPAAHINRSASPSAHAHQQYNNNHNDLTIDPS VTSNSSYPPSSFANNSAPGSEAFAYSSSYLTPATATDHNFARPSLQIPQSFDQGLSHQ PAEENFSNLLNSNTGDFDFSLYQGSSPNNTGSDYPSSGLLDPQQSGNQAVNPVDLVSQ IPSPHPSNSSQTSPLDQPPSSAMSPPASSPGTFYTPQHSRHTSLDPASAAYMTNVSHP EWQAVMNNSAFHGHRRAPSEVSEVSSAAHSPYLPQHDSFDVADNNPSPLLAAQNDPSL YDNAALGIESFTLSEHHQPQTQGISPHHSPYISPQLMPQHPTDIIPGGPFISAPATNS AYPTPPTEGYPNGGDIGQASQMAPPSINVEFAPPAKAQVFPPEKSTADMDSLSPPPSL RTSRMRSKSDPYAVSISRPRSPSSPSASLDALAASSPRSLSPFNVGRHPYSNPSSREP SPARSARRLSTSSVDSRNYILGLADPQRPGSNNTDSKRVQKHPATFQCTLCPKRFTRA YNLRSHLRTHTDERPFVCTVCGKAFARQHDRKRHEGLHSGEKKFVCRGDLSRGGQWGC GRRFARADALGRHFRSEAGRICIKPLLDEESQERERTLINQQQQHLQPVNQPLMLPGQ GTEAQHTGSFILPAALLAQYPALQTLQWDQIPAGTDDTSDIGGRNSFDASSGGEFGFD DDESGISVSGMSTGYASDQGNIYNVDAQGQMLGVNPGEAGYANPNWGK ANIA_05725 MLFLLKFLISVIALLAACVLLPQFPLGLLRIVLRGVGWVIRKRT QARREVILSRVRADEDELLSKQSKVACTSTTSADDEDWEKVDSSSGDSSSGSASQNFQ QSGTDEWTGIIGFFHPFCNAGGGGERVLWEAVRATQRRWPKAVCAIYTGDLNVTKAAM LERVQTRFNIRLHAPTVELLYLTTRKYVQSSMYPYMTLLGQSLGSLIVGYDAFTLLVP DVFVDTMGYAFAVAFCKLLFPSVPTGAYVHYPTISTDMLQSLDDKTGLKGVNAGAGTG LKGTLKRKYWLAFAHLYGWIGGHIDVVMCNSSWTSAHIRSIWGPSRHTPFLSSKTLKY KDPVVVFPPTAVSDIQSTIPVTPETETTRTPTLLYIAQFRPEKNHPLILRSFARFLER HKKTKDSSTKDSSTKKQKPEPQLILIGTVRPSSPDETHIYNLRLLAHELRIRNNTTFL CDASWPTILSHLGTASIGVNAMWNEHFGICVVEYQAAGLIAVVHDSGGPREDIVIDLP ESESGADSLESKGTRATGFRATTEEEFADAFEKALSLSNDEKVGMRLRARKSALRFTE EEFSRKWVGEIGKLVGMTH ANIA_05724 MRPSHHLPRMMPLQHVRRFHQTRQAPFINESLEVASSFIHGVHS ASHLPWALSIPLTAFLIRMGVALPLQIFTKVQARKESDLSPILMAWRQHYQKKAQNQT GPNGPILAREAKIMTTKNVKGQYDALRRRWGLVRWYRPANILQVPIWITVMESLRAMS GADKSLAQTLLALFSSGDSESQGSAALRLTVEPSFAAEGALWFPDLLASDSTGILPAI LTVTMLVNIRNGWKVPTLRSAADLPLKEMGKQMSNTLFRLLIQCMALNVGLACYMQGM PVAVMIYWITSTNIATAQTYLLQKYMFPTPSLKPWRQIHIAYSKRGQKAVLQN ANIA_05723 MKDSPCFCLSFKPESLASIPQTLQRYFLALFVGSAIGSNIDRGL VRSEQDSLGTAMSVSERHDDLTGEEHESENGESLEVTFHYADCFERDEAGYMGVTLRR DRGGDIIPGSATPFFRANNEMIIQRAISAAVDATEDESRRSRVPPERPPRSPLPILSW DRLVRLESNVEREREAAEIASPRELDIEPDQSTWPRNWDTHHDYFLWTCRGTVDVITE HLQAVFRFEPAIDEAFVAARLCGVNAYKQLTFLQKYLPGEIHSLQRAEARGIMYEADI SHPDIRMGSQDFDLEQLDAGDPKYIEPVLPCWAPPNWGRADDAFAALYLGEDPIVFQR EYGWACTEIPTIEFISIRMAQIPHLNLNWMELRAAKSRHDILVTTCQSVPPGYQFF ANIA_05722 MRRAALQALRSSRRAPAWRVGGRTPPISFTSSPSLGLRSYSTPK LSASLQSSMHLRTFSVAAVSAVVASGAWYAYQGDGSQTPAAAGTSSQTRSFTSTAHAE QPSEPSRRALLVDNDQFYTATLSGEQPLQKNTDDSDRRLLEMLTPEQATQKLRKNEES YLVNRGKGVVRYDVVQVPSNSPIEDDHAEKIVEVPASTSAANEGQSSSDWMFWAVFDG HSGWTTSAKLRNVLISYVARELNATYKSASSDPSLVLPSSEAVDAAIKQGFVRLDNDI VHGSVNQVFKSNSRRAAAELLAPALSGSCALLAFYDSQTRDLKVACAGDSRAVLGRRS ENGKWTATPLSEDQTGGTPSEMKRLREEHPGEPNVVRNGRILGQLEPSRSFGDAFYKW SKETQEKIKRQFFGRTPHPLLKTPPYVTAEPIITTTKVDPSQGDFLVLATDGLWEMLS NEEVVGLVGQWIEEQKAAAGAGTGNKSWVRSLFGSQPTQLPVEAPKETSTDGQRRPIR QQQYDISGAASRFVVEDKNAATHLVRNAMGGKDKDMLCALLTLPSPYSRRYRDDVTVE VIFFGESPDNRTISINEEASASEDNIKAKL ANIA_05721 MSSRAIRKLQKLREQEQQAELQAQESSEDELIAKPSKPKPNAFD LLNAADNDDDEDEQPSEGEAPESVNQPTEEVTIPAAPDPVQKKKKKTKNKKKKNAAKQ EPTNEGSADKDLDEIDRALKELAVQSQVEAGVQRTEERVDARFSRDPEDFLSIDSKQL NATNEMRKLFGNVVLENFDQPDSGSGRRRDRGRETIDLGRALTGRYSPASRGLSLAGV TQRRNILFQGKDEWPRAPSGGLGMEVAESLPNGVTVYRFVHNAAYQDVQRQFDLCVES MDPQRMIHLLQYNPYHISTLLQVSEIAKHQGDHAVSADLLERALFNFGRSVHSSFGNR LRDGRARLDFLHAENRELWLVGWRYIANLGMKGTWRTAYEWAKLLLSLDLKDPYCIKL MIDNLALRGREWSHFIDLCTQTRLSQEWKYLPNIQCSLALAYLRLNKQKECRQQMRVA MSRYPWVFCKLAQELDIQPIPKRIWGKLPPTDGHELFTELYIVRTKDLWNTPEVISLL VEVADTLSEGDEPIEPPEITLDIARHVVLSDVPRVTTHLPTRFVSGRISASDPLPPYE SEAFRQQSDPTPSYLSRVPEAERPGWIRNLMEQLNHGVIPFPRFRAGDEEDHGDNEPV ENHVLPVSGPEEQVVLEQWLLGDGLQTLEAFIRQHGVDRGNWDEEIDYSPLHEYVDTL FEIVPDSARQALLDGAIREAAGPIVVSLLQDEMELTRLELEEQQRDGQ ANIA_05720 MAGEFVPLTPREAASGLLGSISLTCWIFLLVPQLIENYRNGNAE AISLLFLFVWFVGDITNLAGSAWAGLVPVVVAIAVYFCIADGVLIGQCLYYRARNSRG AAAHLRESSSATPEPTTPLLGRRYSDALREGDGSRRRRDSRPSYSAVNGSQQAQLPDD TLAKLVEENRIGQGAFVKNSSSVLAICVIGMVGWTVAWQTGVWRPAPLGGTSGGVDMA PGAQVLGYISAVCYLGARLPQIYKNYCDKSCEGLSLLFFILSLMGNLTYGAGILCHST ERNYFLTNLPWLIGSLGTMVEDAIIFAQFRLYAVQDSAAEFA ANIA_05719 MSTAELACSYASLILADEGIEITADKLQTLLTAAKVQEVEPIWT SIFAKALEGKDIKDLLTNVGSAGAAAPAGGAAPAAGGDAAAPAAEEKKEEEKEESDED MGFGLFD ANIA_05718 MESPAIQTPLDPKEQPILESVLRIRDALYLLKQDKSSYIRSRDV LPLYEEVTKEIEKLNAVRKDQNRRLAHNRLDYVLDDCFQLISLLFLTVGRNNEAPAVY SLAATVQRLLDHLEEAGFYSAKDLSSITTTLAGMRETLDRGKEAHSPALLTLLESRLD KCQIKLEKLQSELTKLSPELASTHETLVSVLRSTAAVNTRSKFSAAEVNGLRDQLKRI EDSMKDGNFVDANGNVLDNQEEVKLLLQRCWRWTEIVLEREGKIDERFREQYERLLDI RNQLDRLSVTQAWSLRETDLFVYQRKLDRIDEARVNGNFVDAEGKPADLHAQRTLLYL IRRSYAYIYALLISSEPVSEALLPVYNQLQTLRRCLLEVKESGGVSNSRELYPYSMKL NSIDNMRVDGKFYIGNDIPEGQGGVNALLAECYDLVWELRAAVADDKE ANIA_05717 MSLLPPEVHAALSQLLRALSTPDNNIRSQAEEQLNNDWIQNRPD VLLMGLAEQLEGAEETGTRSFSAVLFRRIATKTRKDPVTNEAKELFSTLAREQRLVIR QKLVTCLTTESANDVRRKIGDAVAEIARQYTDNGDQWPELLGILFQASQSPDAGLREA SFRIFSTTPSVIEKPHEDAVIGVFGKGFRDDVVAVRIAAMEAFASFFRSLPKKSQPKF FGLMPEMLNVLPPLKESSESDELSSAFLALIELAEICPKMFKGLFNNLVKFSVSVVAN KELSDQVRQNALELMATFADYAPATCKKDPDFTTQMVTQCLSLMTDIGEDDDDASEWN ASEDLDLEESDLNHVAGEQCMDRLANKLGGQVVLPVTFEWIPKLMSSAAWRDRHAALM AISAISEGCRDLMVGELDQVLRIVVPALQDPHPRVRYAGCNALGQMSTDFAGTMQEKY HQVVLSNIIPVLSSAEPRVQAHAAAALVNFCEEAERNILEPYLADLLRNLLQLLRSPK RYVQEQALSTIATIADSAENAFEEYYDTLMPLLFNVLKQEQSKEYRLLRAKAMECATL IALAVGKNKMGQDALDLVQLLGNIQQSIVDADDPQSQYLLHCWGRMCRVLGQDFVPYL PGVMPPLLAVAAAKADIQLLDDEDQIDQVEQDEGWELVPLKGKIIGIKTSALEDKNTA IELITIYAQILEAAFEPYVLETMDKIAVPGLAFFFHDPVRVSSAKLIPQLLNSYKKAH GIQSAGFAGMWVKVAEKIIEVLSAEPTVDTLAEMYQCFYESVEVVGRNSLGPQHLQAF IQSAKSTLEDYQSRVKARAEERAEADDGEEENPDYEYAIEDDQNLLSDMNKAFHTIFK NQGTSFLPAWEQLMPFYDAFITSQDPTQRQWALCIMDDVLEFCGPESWRYKDHIIQPL VAGLRDSNAANRQAAAYGVGVAAQKGGEPWSEFVAACLPSLFQVTQFAQSRTEEHVFA TENASASIAKILHFNSSKVQNAAEIAANWISTLPITYDEEAAPYAYSFLAQLIDQQNP AVMSNAGQVFGYIVQALDAETLQGQTAGRVANSAKALVQATGLNAEQILAGVSPENQA AVRSYFS ANIA_05716 MSSTPTFRGTTSHRTVGRGRLPDFEGGSSASHIPRPRPESSSTI TSHNPHTPSSDIGSSTMSAASSRQRQNQSKRDEAIRRKLEADLNKKRSNPARANRTRK APPGTVLALKPSSALQIKPSTTIAEAAQLMAAKREDCVLVTDDDDRIAGIFTAKDLAF RVVGAGLKARDITVSEIMTKNPLCARTDTSATDALDLMVRKGFRHLPVMDENQDISGV LDITKCFYDAMEKLERAYSSSRKLYDALEGVQSELGSSQPQQIIQYVEALRSKMSGPT LESVLDGMPPTTVSVRTTVKEAAALMKEHHTTALLVQDQGSITGIFTSKDIVLRVIAP GLDPATCSVVRVMTPHPDFAPSDMSIQAALRKMHDGHYLNLPVMNEGGEIVGMVDVLK LTYATLEQINSMSTQDDEGPAWNKFWLSMDHESDSMVSGSQSHQPHRSIVNPESPKAS FDARDSVLPNESASHHGGDEHSEFHHGELSPFPFKFKAPSGRVHRVNILPAAGIAELV AQVTAKLGPEVEAVGGAASCADGVLSNTGYALSYVDNEGDTVSITTDQDLVDAVYIAR HARRDKVDLFVHDPAQPPVIPAPVEPAPVKPVEVKTPASDDQLSEESPIPKPRATQAY PAHPPEEQLIAGVPNDLLLPGAIVTLAAVIAGVFILSRATSR ANIA_05715 MVKKRANNGRNKNGRGHVKPVRCSNCARCVPKDKAIKRFTIRNM VESAAIRDISDASVFADYAVPKMYLKLQYCVSCAIHGKIVRVRSREGRRNRAPPPRIR YNKDGKKLNPPQAAKAM ANIA_05714 METVPPSYETATTRDAWTIIAQYIPSSDLCAATLVCRRWHAVFM PFLWGNPASHFGTENDEVYVALTRFRRTLRYARPEVRRLTHTLHMPPALSEIYGGPRP EWLREIFEYLPCLQSLIVSELPFFDHSAMMALRGAVSGGVSSRTYNTRLLIAEREPNT TSLGIAETLLRFPELIYLDLSYTTSAKDQTVLSALSQLERLQVLKLRGIGLKDIDAAF LANAIGHRVRFLDLRENKLTDTALQPLLHACFGPPNHDHLRPQSTFLRSPGLDEKFRK ALTSPLTGRSWVEDLPNVGITHLYLADNQFSVNGIASILATSQLHVLDVGTLDMAKRS IKVQSNGRREAYAGSEKLIPILGTAAKENLTYLRAHHTICTSTALQERSMSGVDFLPE LSGKHAMARASELDTHDTQIHELPADNTPVFEVAGSTPRPQSSDSVRSNKDGQSGIGY EHERPMAPRRGSAFAPEVLPSPNEAIRTTLAGFSDTHATISSAPIPLCSSPSLMEGPR ARKIQELLAKRPNYQPRRQGIGSYFDFLHPSHIPHLEVLVLTDVPSHVSATSPILESL IRFVTACSNEALLATLQAGSDYSLPPGQDRARAEQERAKSLFGLRQLVLEITPVTHSL QPIKSTAWKSVSAQIGHQRSVTGDWDLERLWSAASDDFSFFNETECGIPADGTTCTAE THNASSASVPSVPIVDLVSELAAFRRRKKLEYEQVVRSTFQRRSMDDTLLPQSQSQYT MGSAAGASPSSSVRALSPVPGPYSFIAHHVEGHWKGEVKIVRNATPKGRSGWVDLYGN YFEKGYLYP ANIA_05713 MAFAGQTPTIVVLREGTDASQGKGQILSNINACVAVQSTVKSTL GPYGGDLLLVDSNGKQTITNDGATVMKLLDIVHPAARILTDIARSQDAEVGDGTTSVV VLAGEILKEVRELVEQGVSAQTIVKGLRTSSAMAVNKVKEIAVDMIDAAGSEEKKIET LRRLAATAMNSKLIKRNSDFFTKMVVDAVLSLDQDDLNEKLIGVKKVTGGGLQDSLFV NGVAFKKTFSYAGFEQQPKSFKNPKIVCLNVELELKSEKDNAEVRVEQVSEYQAIVDA EWQIIYNKLEAIYKSGAKVVLSKLPIGDLATQYFADRDVFCAGRVASDDMDRVCQATG AAVQSTCSDIQDRHLGTCGSFEERQIGGERYNLFSECPKAKTCTLVLRGGAEQFIAEV ERSLHDAIMIVKRALRNTTIVAGGGATELELSGYLHRYADRNVPYKQQAVVKAFAKAL EVIPRQLCDNAGFDATDILNRLRVEHHKGNTWAGVDFDHEGVRDNMAAFVWEPSLVKV NAIQAAVEAACLILSVDETIKNQESAAPQAPSRGLPPGAAQRALGGGRGRGMPRR ANIA_05712 MSYYPPYSGAPGYPPRQQPYPPQNYHSSPPPYQQMHHHHQQPSY GSGYPGQAYRQQQNPYPQYGHPSPQPYPPQNGYSHPSSGYPPSPAPPNGGQMYHGRQP SYPPNQYPPAHGGPTAPPTNPQAFGHGAPQGYNFQYSRCTGKRKALLIGINYFGQKGQ LRGCINDVKNMSTYLNQNFGYAREDMVILTDDQQNPMSQPTKANILRAMHWLVKDAQP NDSLFFHYSGHGGQTPDLDGDEDDGYDEVIYPVDFRVAGHIVDDEMHRIMVKPLQPGV RLTAIFDSCHSGSALDLPYIYSTQGILKEPNLAKEAGQGLLGVISSYARGDMGGMMST AVGFLKKAAKGDEAYQRTKQTKTSPADVIMWSGSKDDQTSQDAQIAGQATGAMSWAFI TAMRKNPQQSYVQLLNSIRDELSTRYTQKPQLSSSHPLDVNLLYVM ANIA_05711 MVRHKKDNFSRGGKKFSNPRPRPVPRDGKETGSSRPPFKAACWD LGHCDPKRCSGKRLMHFGLMRELAIGQKFQGVVVSPNAKRVVSPADRDLLEQYGAAVV ECSWVRVKEVPWSRIGGKCERLLPYLIAANTVNYGKPWRLNCVEALAACFYICGHEDW AQEVLKHFRYGEAFLEINSQLLKRYAACETEEDVKRTEEEWLAKIEREYEESRASGGA DDMWTVGNTNRRLQPDSDEDEDNGDETDGEKDEEGEEEEEEEKDPYAISDDSDDEDQM AAIRAKILNSKSFQNPSVPDKVQPQRIERPDTGPLEDSDAVSGTDGSDDEAFDNIINA TPVTDRTGIIAASRHKSKDTFSASFSRTVVNAPKRG ANIA_05710 MAARDRFGGAYADLGFTPLQRAIRNACDLSHYEPNLALNLEVAD LVNSKKGNAPREAAFEIVRLINSRNQNVSLLALALLDICVKNCGYPFHLQISTKEFLN ELVRRFPERPPLRPTRVQHRILESIEEWRQTICQTSRYKDDLGHIRDMHRLLLYKGYV FPEIRREDAAVLNPSDNLRSAEEMEEEEREAQSAKLQELIRRGTPADLQEANRLMKVM AGYDTRHKTDYRAKAAEEVAKVQEKAKILEEMLQSQAPGDRIAEGDVFEELANALQSA HPKIQKMCEEESDDPEAVRKLLEINDSIHRTIQRYKLVKSGDFDAATRIPKGTLGTTT GVSKNANNELSLIDFDPEPSSNGAGQPAQGSSSIENDLLGLSIDEPVPSGGISLGQTS SPAPQIPQNPSAFQANYDILGSLNSSQPASFSSTPAPAFHQPPVTATPPPAVDPFASL VSASPRNNSPLPSSSASPRPPASSSLLDLAGAAEQKPKTTDDDEWNFTSSLPENSLPS TQRVQVLNSSLKIDFVARRHPQQQRQIHIVAYFSNATSLPLSDLHFQVAVEKAYTLHL RPQSGRDIAPLQQNGVQQEMLLDGIDMGKGNTVKIRFRVSYRLGSDSKEEQGMVPPLG IA ANIA_05709 MSPRPPSIDYGQSQGRTKEENQERRKDRSLDARIESANRASMLH KKRTGRALLINREVVENESMYEEIDDTYRAKMLQYMRVHNAQLNHDFDNTLLAGLPTT SQGLQMPSSLHTGPSYSLGQSSHSILQMPSASHSPLPSPSHSSHGSTSQSPQIPSASQ NPRVQNRPISSRRNSHQRASSVAPTGPIHGARKLTIDLSQLRNNLPGPSTEPRRASSN GFPTPGANFSPTYLSPGQAHSQAQVPSYLAAEPSSAIRPQLQQQQQRQQQQQQQQQQQ LLQTWQSLFPRHSISDSTSLGGLPAIGEVPGQYRDRIASAPTFPIQDPVHIQAQAQTR AQAAATAAPLQNATPAPGPVAAHGTSQHHRVRSEPGPAPIAVSTTIPSSSSSVSLHTG FYPTSASSLSDPSSSAELLPTPRSMSPHTPAPSQASHPRMSFGAGIGELNMNEAEPFK HTLFELQGFDPDLQLGLEMSGQGPESADQDFLDFSQFASTLDQNHHQAMFPSHWQMPV GSGDMPADSGFSGGLDMKEYITNFLYVKL ANIA_05708 MAEQSLEVPESSNGSNTASPRSSVDSRPPSIGGRTPRLSHASNH QHRQSFSESLRAAPGSPRARRQPSLTQAAIQSLIDNPPAPHNMNPVFAGRDWREISIG ELVSPDDLRFVEVDTGIEEATNILIDTDAPVLLIRETPEHKTAVGTFDYSDLNTYLLL AAGLTRPDEDLLPAYEELARKARDNIPIPMRDVKELGRKEPLTTLPSSASLMMAVETF GGGVHRVVVIDEHRDSEVIGIVSQFRLVKFLWENGRCFPVLDQLYPQSLRDLKIGSHR VISINGDKMLSEALQLMHSEGISSVAVVDNHFNVVGNISTTDVKFMGYRSILTVVIGT SYSIALNGAHSTLSDWKHQRTVAAPISQRTGGPCAAGGPIYGRSICESGTPYAVDSSV CSAGGPVVRTPCGRSEPNRRVEPACSSQRIKPS ANIA_05707 MGFSGKRQTLHMWKLLATVYPTQFAELEQYRSLKIEGPKLSMRE YLGLVKSDSLSWRVVLTLATSYARVPEIVGISEIKNLCALDVATPARPDTWLDSTDLQ STALTDRIVRSWSESAQTGEAFSHLRVLVLRHQTSLSKIALHYMRSFPKLQAVIAFGC PGFESALSGGDVDGWTVAEVKRSAPATLHEYYEAICKAAGADSIPAERPILDFQIGEL KDSRESVRAPYSTMYLQRTGGAPVGDPDLTTRKRKWAVECPREQSRRGKAVMKDRTRD IGDVLSSFF ANIA_10714 MAFPATRTKDPTLPQKLDRFSQSWKRYSGMIRVVYSTLLRQPSQ LADVQHTFWLLDNTAYRHPASHVSGEHHPWRVEVVACVFRKDSRRDISKFVAAIADLI GLDGALGTEKEIRHRIARRLQPFLYHVVANHSMMLELPLPNQTTQIYQIGPTGDNGIA SQVLNIGVRHIEDGLRVLSHVRGVRDRATMETTFAGPEGWLVVSDIDDTIKYTQTSDT TGIIRTTFAEEPKPIPGMPQLYSRIEKRFEPTWFYLSASPHNLYPFLRGFLRKHFSPG TLILRDFSWLDISELVKSFTVDTLEYKVDRIRKIWRWFPHRRAICIGDSTQTDPEAYA EIYRRYPEWIHAILIRKVMDVPHMEERNSSKRFAEAFQGVPKHIWRVFEHPRDVYDVL DQIRARDNSSFLH ANIA_10703 MKPAKQLSTTMDEQTFVPDTMRALYWCPASTALRDDTSLDDPRV DSEVVFDTNFPTPKPSPSQYLIKVQTAAFSHDELRLAKELNPSKSIPQVPVHNFCGTV ISTPTQDHEKPDGPKFKVDDVVFGLIDYSQDGAAADYVLATEDELSYKPQNISAAEAA TLPLPALTAWQALFKYAGLDFTARKDLRVLITNAHGSEVGTQALHLLRSKSLFSRYRP WICVTCTSEDAANELRQVHVDEIIFVQHPMPKNFDLAATFRKKKWGPVDIVLDCTGED ILYQSKGPAIIKDGGTVLSAVDANPVLNINPNNDGKPQTRFVHVEPDGKTLRLIARMV EDNTVRGRVEDVVDLVNGAQFLASDAAAAGGHKRGGIHVLRVNS ANIA_05705 MASAGGLTRRRGGGRATGTDDHDDSRVSSPVSRNGSAMDNRIPE TSYTNSENGHKIAFDPRDICETQERSKQPKLTLMEEVLLLGLKDKQGYLSFWNENISY ALRGCIVIELALRGRVSMQKDSSRRRFPLADRVIEVIDDTLTGEVLLDEALKMMKSSE KMSVNSWIDLMSGETWNLMKIGYQLKQVRERLAKGLVDKGILRTEKRNFLLFDMATHP VADGGAKEDLNRRVRSICSSRTVILPANQWLPEDIEFRYLRTITMVCAAYAANVLENA LVTMSHEARERAFAQVDELLAEYSQWPFARRPGGSQSIGANLAQAINDEVNKNSDKEL QLEVVAACLSVFTRLDSLL ANIA_05704 MFRSAVVRSLRASVPRAVKPQAALQIRSSPVARPAQLAPRFALP AVRFYSAPAGLKKEEVEGRIVNLLKNFDKVNDASKINGSSHFSNDLGLDSLDTVEVVM AIEEEFSIEIPDKEADAIHSVDKAVEYILAQPDAH ANIA_05703 MATSRSVARLLAFRRPVPSIVPSYLFVPTANFSSSVSRAATPFG PPPSGFRLPPPKRWDQDPESSLDKASKYFLMAEIFRGMYVVLEQFFRPPYTIFYPFEK GPISPRFRGEHALRRYPTGEERCIACKLCEAVCPAQAITIEAEERVDGSRRTTRYDID MTKCIYCGYCQESCPVDAIVETANAEYATETREELLYNKEKLLANGDKWEPEIAAAAR ADAPYR ANIA_05702 MADIEERLRSHAQAFDGLLSLIPAKFYYGEDGSDQWKRKKQTKE QAREAKRAKLDPDSAKTAKDVMDEKARKRKRGGDEEVEDEDADSSDDGELGSEQPREG LKRGDAVAKKQKQDEASESPEEAGKKAEEAEARRKLKEEKKAQKKQIQKEKKKAKEAA KKTQGEDKRAEEPTNDAANSKTAEKPQSSKAEDSDVDGEEDAAAEKLSLEFNEEENST SSAPNSPAFDTSNPQSGSSSISSIVPPSNTANPSSSEPKPLKPTPEELRQRLQKRLDE LRAARQADGLNGKPARNRQELIEARRQKAEQRKAHKKLLRQKAKEEEQKAKDEAMNRR FSPGGSGSLLASPRSPADSVGSGNYAFGRVVFSDGQIADPSLSELREKPKAHGPRDPA SALKAAEAKKARLAALDEEKRADIEEKDMWLNAKKRAHGERVRDDTSLLKKALKRKES AKKRSEREWKERLETVKRGKDMKQQKREENLRKRREEKGKSGGGKKGAAGGKGGSKPR PGFEGSFKAKVGGGKKK ANIA_05701 MSGQNEDTRVLGYDPLLSPEFVQSEIPSNEHSIPTVRSGRNQAV EIIEQRDDRLLVVVGPCSIHDPETALEYATRLKELAARLSSDLCVIMRAYLEKPRTTV GWKGLINDPDIDESYQINKGLRVSRKLYADLTGMGMPIASEMLDTISPQYMADLISLG AIGARTTESQLHRELASGLSFPIGYKNGTDGNLTVAIDAIGAAAHPHRFLGVTKQGLA AITKTAGNPHGFVIMRGGNKGTNYDRESIQGAREALRGKKQREVLMVDCSHGNSKKNH RNQPLVAKEVADQLREGQDAIIGVMIESNINEGNQKVPPEGPSGLKKGVSITDACIDW ETTVTVLEDLADAVRARRAVKSKANGTA ANIA_05700 MASEIRALYPDTLLRLAITLPGVLHVEKSPAQIDVHTALESFKV NALGQMLLMKHLSPFLPGKSSPPFEDTQQSSKVNRTLRYLPLHSTYAMMAARVGSISD NASGGWYSYRASKAAVFQLAKTFDLHLQSRSKERAMAIAMHPGTVQTDFTRNYWSGRA MLQPEESAASLVEVLCTLGSDANEGRGRCWDWKGQEVMP ANIA_05699 MACSSAKRQREQEDLSVHKGDSARDNKKHRPLPVRSPRKQGRDW PTGDKDPHTAVKGYAYPSLEPAGLEGEPDDIELFDNPTTANQRVLHCLRAYGPDALMD VDVPDSLSPEEPNPNTETPFTIDSSIPTSKGPTPEPPAEVIDTIHCLRSKAPNHGTSV STETPSSVRSIKPAKKLVFSMGYRADCDRCRNRVPGHYSHILQDIVKS ANIA_05698 MASPIPRGLRQVLQKSPSDIVILSSLRTPVTRAKKGGFKDAYPE ELLAHVLRATLEANPNLDPALIEDVCIGSVLQELGGAKAGRMAQIHAGFPHSVPFHTI NRQCSSGLAAISTIGNSIRAGALNIGVGGGMESMTRNYGSRAIPTVLWPELKESPSKD SRDCIMPMGITSENVASRYGISREDQDAFAANSHKKAAAAQNAGLFDSEIVPVKTLSY DAQNPEAPPTEITVTKDDGVRANISVEKMASLKPAFSETGSSTAGNSSQVSDGAAAAL LMRRSTATELGLTSSIKARWVGTAVAGCAPDEMGVGPAVAIPKLLQMLDVNISDVGIW ELNEAFASQALYCARKLGIDEAKINPKGGAIAIGHPLGATGARQVATLLPELERTGQE IGVVSMCIGTGMGMAGMFVRE ANIA_10713 MRSSLRLLNLEAAAPLQGSRTRYVCSTCRQEARPRPFVARQFLR HASDDSTPITERVRRKLWGTDNPPGLKDPYGGEGVFERKFRRASQAPMQQGEPEGDQG TPAENQVATAEDTASTDVAEDAISGEYVPATTWEGLDRVGHLGRWNDLPPKTEDAYTS FSSNRRLTKPDDLALAAHQTAVELCLMHSLNKPLVSVCEVVEHEEPILKMIQDCKIRP KASWDSALEYPNKEAEDALLFVFKQIGAQEAEPAEATESTEAEESVNNSPARANQTSL AENKDVHDKGYLTLSLSDPAMKFAFLKRFSQLSGHYFPDPAIESIFSVKQVMAHVLKE TAPKPKKLVEHLLANDTLRNLPNVKIFAKRQKPWHKDEELGRKKLIDAELRRRGLVE ANIA_10702 MIVSQKSLLLVSLAASSTLVAAGDDSARPRGVGPEFAQFYKDTT TFSCISHPAIKIPFSAVNDDFCDCPDGSDEPGTAACAHLSGNTPLDVAHLQGHSGDGL KAALPGFYCKNKGHKPSYIPFQRVNDGICDYELCCDGSDEWARVGGKKCDDKCKEIGK EWRKKEEKRQKSMTAALKKKRELLVDAGRQQKELEDRIAALKTDIEGKEVRLKALEAD LEDVKKKEESKVVKGKKTGKVNVLAGLAKNRVDELRSALVDVRKERDEIRSRVQELEE ILSNFKVEYNPNFNDEGVKRAVRSWEDYAARGLADTVFNSARDRDWEAISQPDSEESG INWEQWENEGDDEPDIVYKLAAYLPPSLVTFLEDKFNSFTSFLESSGILPPKDKDSAS ESKAVTMARDAVKSAEKDLNDAKNKLKKEEADLETDYGTASIFRALKGVCIQKDAGEY TYEHCFLDQTKQIPKKGGSSSRMGRFERIGSVSVDEVNEAGEIIQVQKTSLEYKNGQG CWNGPARSTTVILDCGEDNEILKVAEDEKCVYSMLVTTPAVCAGGEEPGNVAPRAKDE L ANIA_05695 MEHRISCLCAQVTQQVLLEPTNNALNLCHCTACRTVSGQIYASY YLLQMEPRLENLEIYRQSDLLCRYFCGTCGSHVFAHAIHTGRFLVASGLVDSPPQTES IQHWVVGDTQDGGLSYYLPGDVGKADVSCWVSQSNRQTAAAKTETDNARLLAGCHCGG IEFYITRPDSASEEPWSDWSDILVPYHSEASAENEEDVKWWLCAGKTKYLAGTCACRT CRLASGFPIQTWAFVPKSNILTAQNSELAFGAGTMKRYESSPQIYREFCSRCGASVFW HCEKRPLLIDVSVGLLRAESGSRAEEWLEWATGRTSFAEMAVDKSLIQRLEAGLAESC SK ANIA_05694 MPPPAYEFRGGNHRPSHPRHEFTFRYQRPGTSERPLLRSRREVT PEQFIAPEAGNEKPAMKYARIENLSDSEEAEMEVSSDDEESHPRKKRALENNTSTADA PVESKPVPKWSNPDPYTALPPPDESQTKKIDVVKLIRKARLAVSAQPAKTDAVVSNED FISLAGLVDDDETDKAPENAPKGPRRHLEGGDPALGNRKRTHDDEIKGPAKMGGKPVS RYYNDGSIIDEWKLRPSETGTPWLSLMPPTLHLGTRLHDEILSFYHWVKPVRYEHIVR QDLVARLQAAFQSRYYGVEIHAFGSFASGLYLPNADIDLVLLSTSFRRTGVKTFGERK GQIYAFSAFLKNQNIAVPGSVETIAHARVPILKFVDKLTGLKVDLSFDNDSGLIANRT FQQWKSEYPAMPVIVSVIKQFLLLRGLNEVPTLGLGGFSITCLVTSLLQHMPHGNLYP NLGSVLMDFFQFYGNNFDYETVGIRMNPPGYFNKRVYGVYKANNGARLSIEDPNNPDN DISGGTREIALIFKSFADAFRLLKDRLVSAAISGKTNESILGAIIAANFDEYTELRWQ LREVFENDPRFAQYRKPPTPPPPPYSPPPPNQPAPPPPSETHPLPAKPSSGGRKTKET KEPKEKLTKLQKKKQASKDRAARLKRLRPDLENIPSSISNDEALKLGGYKTQSDMDKD LIMREKGLIAVS ANIA_05693 MAHRIVTQVVVTGARVFGRAFAEAYKQASAASKYQQKTGKSAGG SSSSGITLDEACKILNVKPPQAGETNLEQVMERFKKLFDLNDPQKGGSFYLQSKILRA RERIEAEVREAERKAAHEKELKEGWKPKVYKDR ANIA_05692 MAALEDIPWIDSMAPSTHLNDHADSQPPTVAAFSPTTISGSSLT SRQRSSIIVHRKSPLLVATPPPVTRALAYSYPFILPLNTLVGLLTWTTGDSSYSFLLV ATFWAVVLYGDAIILWAGPLLVVVGLILGMYWRRYSPLSTRAFSTEKHNQSAASEGSA HRHDSLDEIVEAMRTFTTRCNILLEPLVELTDFLSTQRSATFATTRPALTTLFVRILF VTPIWITLTLPPLYLITTRRVIMIVGTIILTYHSRTARVCRVILWRSLTIRRICGMIT GLSFDLDAGKTHIQSHGHAANIATRRRGDSSGVRFTFIIYENQRRWLGIGWTYSLFPS ERAAWTDEHLNPVPSKNEFELPEVQSGNAKWRWVEGSEWHIDGADDDVSDSKASDGGG WIYYDNKWNDGRRGLDGWDRYTRRRKWCRDAELVEITPDGKPLDAPSALTQALAQDIE QSKTGKPDANADASTVDADSVSLAPSTTSSKARRRRWFGSSSNSKSVSDSKNSSSTST PPATNNNDSESPGNAKITSSSATSTSHNRSSSSLRSVSSRPVSISRSRRLSGLSGSNS GYNTGSPHGSSTVASDSLSIREKEISDAQDRLDKWGARATGGTERAERELGLGDEVNM GLS ANIA_05691 MGCPGTPNIFQQYESEPRTKKRCRRHGGNWSRTGCLTCKRRRKR CDEAKPSCHTCTRLGLTCEGYSSMWAVPLGPGAQIFKPTEPARHHRPGPSLSPASLVG SRASSATIGQPLPVTGHTSPIYSPVPEKDDLADSESCSSRNANQLAVQAASRSPSPSR LINHLSHLDSHYLQYHMERGSKLLTNLESDENPLRSMLIPRALSSTLLMNALCALSAI HFSNRTHHSWFAENEGAKYYIDTMRGLRTTLATSERSYVPDDAILAVSLLCKYEIVRG SVKQWAVHLDAVQTLVSSRGGLNQLDQDAAEFIRGLFVYANNLARLTNRRTLLKPSIP GSDIVKPHKLDIYIGYTEEIIKTCARIADLPRLVSDSEAFEHELVSIDSILHTWTSTK TTYIVPKGITQATLSRLRLVAESFRDAAYIYLHSVLERTSLSEVSLPSSITSHADWRF EHLISISKTTAIMSLLKRLKTHPIDKNCEFSALTFPLFIAGCETHSEEDRQLIWGMLS VVEANFGIGNVKRAKEALEIVWSSCTPAVDGIGDDEVETEDKRARGVKKHWADVLNRL GWDLILA ANIA_05690 MSSAQGQPVPHPFDPLTSQEIRLGHRILQSAFPGVQLRINRIDL QEPIKKDVIPYIEAERLGRPLPKKPARLLYSYFHRLDTGACCKALLNADDASVVYAKE LPAGVQIPIDVDEITEIEKLCMEHPAVLAEIEKLKLPQGVTVCNDPWMYGTDNIHETR RLFQCFMYIMSVDHPENNHYSIPCKFSPVFDGHTRELVRMDYLPGGSDTQTAETQPWK PVKTIQYAHDLLDEPLRTDLKPYIVQQPEGASFSVTGNKVYWQKWRFRVGFNNRDGLV LYNLTYDNRNVLYRLSISEMTVPYGDPRAPYHRKQAFDVGDVGFGLNANQLSLGCDCL GHIKYFDGYRSDSKGQPVRLKNIICMHEQDNGLQHKHTNYRSGAATVVRNRQLVLQMI CTVANYEYIFAYILDQVANIELEVRATGILSTVPFDNTNGETVKWGTNVGPGVMAPFH QHMFSLRIDPAIDGFKNTVYYQDSVPLPEDENNPYLVGYTTEETTIGRSGTANTDINR HRVFKIRNDNVINPITYKPVAYKLQTAPSQMLIMPKHAIGYQRAEFASKPIWVTRYQD DELYAAGEFTNQSKRANGVETWVQRNDVTENEDVVLWHTFGLTHNPRIEDFPVMPMER ISVMLKPDGFFTKNPALDVPQSTQNFNKSTLHPESCCESVKSKI ANIA_05689 MTFRTTATAQEKGKQPLIGRIEAALQGCRREDCAMARTETDIGS SLSLVWSCGLYKNDEGFSPLHPKKEPQPSKLTSVHGRDRMSPTTSSHSDYDPLPSQGH QSPRPIQNAETPAAWGIGWKCPNLMIGLVTCGAMLSVGHHFYYRSFDDTLVDSIDQQT WAIRVGTGFAFLIKACLVSAVGVAAVQETWATLRRKSVKLSGIDGMFAVLDNPLAFLT PDLWMHAKTLTVLAIVSWLIPLMAIVTPSTLYVIALPTWQTSQLEVPSVSFAKSFWIG LVTLEGAGYINSPSPGISRLFTMIASSMQLPPVPAPFPRSSYTLTFWGPSYKCQSLSE ALLDVHGLSQALWDSEIGNTTDQSRRAYSGVAPQDLNNTLFVWAAGQNSLWNDDASRP TELVCQLWNTSYVVGLSFNDGIQTLTPISVDHVAYSNWTAGAGSSSLLEDIGPTVNGG FYVVHMLFSGLIQGDWLTGSTGSVAENITSQTAFTKLSIAQTGLFACPEMWNTSQYDY LHGDSSTTSCRNRTLARAIEDLSQNFTYSLLNLNAANTTVDVLDLTSRNFYQYGEGYL ILAYMTAIGVTVACVIVGFFALWRNGVSQNTSFSSVLMTTRNPELDRLAIGHCLGSEP LKKRMGKVRLQYGEIEGSDQRYKHAAFGTKGSVMALTKGEHYY ANIA_05688 MTNIHPESPEDFEFIETPAASCTTPADDCGVRTTSYPAIKNAPV PADAAGSDSFSNTLLILLLVVIPWYTARQIGGGLKTTIFFAIFTTIPILMAFWSIASS ISPRKNEKAKYAGRPVEHYLHFHSEHDRATYRGKSKIPMEVFYEKYFAGEVDFKMDAL EALEFRHDWANFRFTMGLFKHFLFGFIPELLVHSRSQDEEQVRDHYDRGDDFYAWFLG PRMIYTSGIISDIKKEETLEQLQDNKLAVVCEKVGLKPGDTVLDLGCGWGTLAKYASV HYGAQVTGITLGRNQTAWGNKGLRAAGIDESQSRILCMDYRDAPRVPGGYKKITCLEM AEHVGVRHFGSFLAQVNEMLDDDGVFFLQIAGLRKSWQYEDLIWGLFMNKYIFPGADA STPLGFVVDKLEAAGFEIKGIDTIGVHYSATLWRWYRNWLGNGEKVKAKYGERWYRIW EYFLAYSTITSRQGGATCWQITLVKNINSTHRVEGINSQYGLTGAREAAIASVGKGSL PSAHVTFKA ANIA_05687 MLALRDQENLVHTHQTVAASKPLNQGARQLQPKTPGNRAPKTPF KVPLKDENDPLALGRKTVKTIGKQNENARPSVKDAFVTPMGDTRHRAPLGMKTTNAKA RGLQTPAAPIGNIKPERTTKKGSTQRVKKFSPFVEQPQPEVKVQPLQDDVPDIEYMPP KPKDLPDYPEEITYDTSFPQFRPENLALGLESVYGDEIGSDGLTKRQRKFQKDFAAYD KMVDEMILKQIESIDFAGLSDDEQSKQPPVVELPQRRIQARNPRAASVKSNYSSGVST VRARDAAKALSGNERTIPRTRVAPIMKPRARIASSLFPSKKPRAPANPSSMRHTAAAA DSRTTVGYTKGREVSSRLHGTAKTSTKQPTKKPALSLETYTQPYGSPSLESEGDQLAE ELFPTYEEDEETQNFQLTL ANIA_05686 MDRIKEKMNALRLEADEAHEKNEELKARVKTLEQENLAKEQEIT SLTHRNQLLEAEVEKLESSAKEAKDAASQSAQHDTQNEALQRRIQLLEEEAEEADRNL RETNEKLRQTDVKAGHYERKVQALETSRDEWERKYEEMAAKHESLKKELAELELSLNS V ANIA_05685 MGPQIKGAHSRKRKLTSRVEEINFDDTDRHQFLTGFRKRKQQRI KHAQEVAERKVREAKREERKRMREERKAEFERALAEHKRQLKRLNEENESGDEAGNTS SGNEEEDEWDGFEEPPTVDYEAEYIDEDKYTTVTVEEMDPSKEGLLQSDEDSSDESPK ERPDTSEGATPTEKPKAKKQPDNKLKKKKKKFRYETKDERKVTRMKERMANHRKAKAR RER ANIA_05684 MRLSRCSMLALTAATASAFRDTSPFFLASTSEILSTPAQLKSAT TLLDELSTKLGACPSDYYVIASQPGVHSTDFATGKSAPRLGARMTGEDEAIRSTMIVN EVVGVLETKQVRDILETQCGAQTTVIDTSAGSYSTDFGKEPRVVVVTFPSPPLGSERT QQLSDHDGLLFDIVGRLPSKKYTILYLTTPREFEESESPVYTSSNDPYQEAMHMDLKR DYSAHSRSDDTKNSSLFDEYQYFTPGLFMALIAAFFFIAILYVGLSALMSLQVSYAAF EKDTSSTAQKKQQ ANIA_05683 MLLLSMGRSTNRRSYVLLLLFLVIAIVAQVSHAQDDSESSDNND SSNSTDTSNSTTSSTTTDNYPVMTVPPTDDAPYMQKSTAPEGTVFIAVGAVLGAIGLS ILAWRGIVAWSVNRSVRRAAILHSSENKGLLGGRKKKKRSGRSHTHTHSRSHSMHQNA VSLEKISGSGNNRHSSYRDSRAPSIPTRGSGLFFSPTAGMQNAGNRGSSYLPAGYYSA GTAAAGFAQNVGLSAESLPPQARGYTRTGSGPTPPATPLSPPAPVVSDGYLVIQKSLQ VPSAFILRFILVIYVTY ANIA_05682 MGPDQHAQLDGFSLFLPFPSRVAVLLLAGFWGWGANLQYLQQNN IDILALIRYHTRQSVNQRPPHVSAYRLAGLLTFPLLLSLLVFWPVTHGSREWVESVDY IPQSYLFILFILLLLPFNRLSRSGRRRFLYTLRRISIGGLAEAQDGKFGDILLADALT SYSKVIADLVVTFCMFFNSETSSTSKPDRHCGFDLTIPLVIAIPSIIRFRQCLIEYVR VRRMGFQNGNTGGQHLANALKYASAFPVILLTAKLRNYSPFSFHGISEVTLNRLLCFF TFINSSYSFYWDVTKDWDLTLFSESRNDNEYPYGLRRYRHFSDQQYYAAIAVDFAIRF SWMSKFFPGFGWLSETEFGLFVLMFSEIARRWMWVFLRAEAEWIRNSRGPAPSDVLLG EYNDKLDTD ANIA_05681 MADQDLSLSTTAPPARPSAKFYPFATSPDIIRSHEKDAFLTANL ANEAQSIIRTLRGARYAHTYSEAIKHLTELLYFSLTTLTGNRTLGEEYCDLVQLEDDT LRLPSIGRRVGYILSSIMVPWTLQRILPGFRQRLRAKLERSIARQQLKAQQAKDSNKT PLKNKSKQPPFFTKLRIQKYILEHLDSITSLSPIYALSIATFYFTGSYYHLSKRFWGL RYVFTKKLEENEQRVGYEVLGVLLVLQIAVQSILHVKKVSHSLQSEDQDVHSETSGSS SKEDTLTRSIEHPASLPVLPVSTARYDLSEDPNAIPWIPDGQQRKCTLCLESFKDPSV TTCGHVFCWTCVCDWVREKPECPLCRQELLASKVLPLRG ANIA_05680 MEWQAAATTYSSIQENISTIQLASRNRIAIFHIARFMPNLNLDD LVPAKLKSIVENPGITKTGVNIRADCTRLRKYLGINARGTFELSHLYKLIKYCHTTPQ LINKHRVRLADQVQEHLGLPLAKGISVRCSNWQKPITAPQAHYAAADAYASYQLFVIM DAKRRALDPVPPLPAHDELNLSIRTKEESVPSEDPDPVDEIAGNVGHVTETRKLQRTK TYPKNPVSAETSKSALTTHPDDSAFEIVKRAETSRYRTQPAEPSDTSDFETMESVKRA SRRVQDVETVDKSKPKPKPVKRRVGRPRKPSLSLAYADNLHVKT ANIA_05679 MGSSMPSLALESSIQGSRSTNYKYHIPSALYNSQKVFCWESPAY PKMASQLLPLELIDKCVGSRIWIIMKNDKEFSGTLLGFDDYVNMVLEDVTEFDYTGAQ VKLPKILLNGNNICMLIPGGEGPVGSS ANIA_05678 MSTMDKDFLKGNPERSTSSDSTPVYGESTSRRASLGQRVLGSFQ RDPNAHVTAFASFSDGKTYDIENATEKAANSPLHRSLRGRHLQMIAIGGSIGTGLFVS SGRVLATGGPASLLIAYALIGCMLYCAVHALGEMAVIFPVAGSFAHYSTRFIDPAWGF AMGWNYALQWLAILPLEIVAAAITVDYWESNISSAVWVALFWAAIVSINLFGVKGYGE AEFVFSSIKVIAVIAFIILGVILNCAGGQNGSYIGVKYWHDPGAFHHGFKGLCDVFVN AGFAFAGTELVGLAAAETANPRKSLPTAIKQVFWRITLFYIVSLTLVGLLIPYTEPRL INGTSTVDTKASPFVIAIKNAGIEVLDSVMNVVIMIAVLSVGNSAVYGSSRTLAALAE QQQAPRFLGYIDRKGRPLWAICIASAMGLLGFLAATDKQEVAFEWMIAISGLSSIFTW GSICLAHIRFRRAWKVQGNSLHDLAFRSQPGIIGSWIGFAFNCLVLVAQFWVGFAPID YETMSASMLARNFFSKYLAAPVVLLFYIPYKLRFKTKILRAKDMDLRTGRRELNIQWL MKEERAQQAAWPAWKKIYKFFC ANIA_05677 MPGFADSFWTPDYATGLGVLYGKLQQGIVENKQILTIASMRADA EEIYSSKLGDIAPTVDKMIAGFAKDDGASVRKAYGGTRTEMVEASRNHKKIASNIREL VVSPFKRWCDQHEARIENSHDDLQARIKEHTKQVDLVKKLRSQYFNKCRVLEDLEEEN KLAFQAPETSPKIKPTPKIILPDKEPVEDEPVELGDRVYTPDDLKKLLVHMLETIPQG EIKVPIIGTYQNTSTGADIVEYTQKYLNATSISYAERIGQDLVDNGFLRLVGNMGSTF ANSSKLRYQWRSKCFQISGIPEKTTALMRVTSVATSEDGIDSPISSVSEMLAGWNPLN NPHPNETPAEKLRREAREADERYKAAVRKLDLIRCKLEEEIVANLRFMEQCELDRLKA IKAVVLDFSGAISNVIPNLQSTVDHMMLYQETIQPLGDLRYLLENYRTGGFIPKVQAY ENYYGSVGDQIFGVDLEARARADRKRVPVIVTTLLTYLDNCYPELEGDESRRAIWLYD VPLGATHHLRHALNNRKGDFFEVLQKYEIPVVASVLKLYLLELPVYEIIKTIYSTTAN ETTEEGRVKVLQSTLGQLRLNNIATLDAIMTHFTRLIDLTSADETYVSALAQSLAPCI LRPRSENSLTMDERHSYRLIRDLFAHKDTIFGELKRQSSGLVGSAPRPRAISTDESNR RAAMEARNRAIMDRSRAHSPAPPRKHRRDRSSGPEPGRFPINVSGSKTPTTTRNSLEV PGSDSPTGAGAVSTAATTADELSTVNIHSPEPITNGTTAPSPATAESSVVQEPPSEPT GSPSPPPETQSDDSATPTPTPHVEESSSTTSSLNRSSMSRSSGIHTRKPGLGSRSSFP IIHGETNTDSKRSSIAESATELKGVTLEDKPMDD ANIA_05676 MAVTALGKRQRSAIETEASLPLHSDSKRRTQHPRVHRESNQSPE APSTRRLRSRTIYNDEPQSENAATTKSRSPVSRRKKKTDLQEDQEPSYSKENIIRSTS QTFDVRTPTKARYRDALGSPPVTPKHRVQVGAKALTPRTPRQLGTPTTTQTVYTQARQ LFARGANSGRIIGREVEKEKLASFIADGMKFKKGGCMYVSGPPGTGKSALVKEVLDEV EIKPARVAQLNCASMRTARDVYSKLTEDLCDDDDVFKKSEADRLKIMLDEIDHLLTSD AGILQSLFEWSLQGESKLLLIGIANALDLTDRSLPQLKAKNLKPLLLPFLPYNASQIA GVVIERLRSLLPEGQVEDPNFIPFVQPAAIQLCAKKVASQTGDLRKAFELIKRAIDVI EQETIQKHDMQNLNSPSKTILVENNNLSSSPKHSLAKGTSALPYTIMTAPRASIAHVA KITSSVFGQGTTQRLQCLNLQQKAAICALVALDRKRRENDIPQTPSKSKNLAPTVKQI FDAYCTLCRTDNILHPLTATEFKDVLGSLETLGLVGEYQGRGRGGTVAGGSDVRRTPS KSSGSSLSKALDEQNLVCFVSQKEIESQITGPGEGILRRLFSGEGL ANIA_05675 MDSDVVAQFSEITGSKPELATQYLQLTDFNIEQAMQLYFENDGA ELTRDPVPSNSAANRASRSTGYEDASGVVHLDSDEDEAQSTPRNRPSNTSTLEDDAAM ARRLQEEMYGGQSAADEVRAPMARTTETLVGPEVDFADDMHESILGQIRNRQRRTDRP GIFNQRDTTSIWAGEEEEESHRERLAAATGGASERSSKTNLLAELYRPPFEIMSRLPW DLAREDGREHEKWLLVNIQDPSIFDCQVLNRDLWKDANVKETIQEHFIFLQYTKDDPR AAPYLQYYFQASDVSDNYPHIAIVDPRTGEQMKIWSGPPVVKAADFLMQLHEFLDRYS LKHNVRNPVAKRKREIKEKSIDAMTEEEMLELALKNSLGANAGQSRKMEDPDDLTRSA GDVKGKGRAVDDEDAEMDEADESADADAETSAFWSIASDRPHTEPPTDPVTTTRIQFR HPTGRVIRRFALNDPVRRIYEWLKAEPVLKEKAGVEFELNAMGRNLIDALDQSVEAAG LKNGTIMIGYIED ANIA_05674 MEGLVDPETLYMKQNCIAYYSVDKRNGKSVAIKIIDVENAEDEV EDIIQEIAILSELNSPYVTRYHGSYLKGSSLWIVMEFCSGGSCSDLMRPGPIPEEYIV IIIRELLKGLDYLHSDKKLHRDVKAANILLTSGGQVKLADFGVSSQLSATMTKKNTFV GTPFWMAPEVIKQSGYDYKADIWSLGITAIELANGEPPYSDIHPMKVLFLIPKNPPPT LQGAYSKAFKNFVELCLRRDPRERPTAKELLEHPFIKRAKKTNYLTELIERYERWQAV SGNQKADEDDELDQEPAAAPKTTEEEDDLWDFGTVRPVGRGHALNPMRVTDLNARGYG TKDRDSKLEPRIEATRSNNPMTPSFAQPKSTPTSPKQSSPSKIPLPPSPVKATHMDNC PRTPSYLTPQKPKESPGSEYDRALQQSLAQDLSFLQLDRSPGSSMATMIDRESPLSTP LPHQPWSSTAAEAPTLQASPSRHPAEQQVRPDMEPSRAQPPLFSQPQTQPQPPSPIPP PTPKHASPPPQPKQQKYVHAPLQNLPSSHVNPNSPSYNKPANSNAQDMKQSRTPHEIT ALNSVILPALKEAVQRRARRVDIATRSTIAHDGESLKAALELQSRREHAQEMIESLSN DLGSILTRIERWDNDAAVGMGAGIHSFLEGFLEEILIRIEPGDEDELR ANIA_05672 MATATFPRIKTIRTFVIDGVGSGGDYHNVKGGHWLIDSDISTPM TRWAQYRGSRTSWGINVLGSFCVEIEATDGTKGFATGFGGPPACWLAHQHFERFLIGA DPRDVNELFEKMYRGSMFYGRKGLPIAVISVIDLALWDLVGKIRNEPVYKLIGGATRS RLDFYCTGPQPGSAKKAGFVGAKVALPHGPDEGVEGLLKNIEYLRKQREAVGPNFPLR VDCYMSLNVPYTIELVKRAEQEGLHIDWWEECLSPDDFDGQALLKRAHPTVKFTTGEH EYSRFGFRKLVEGRNVDIIQPDVMWLGGLTELLKVSALAAAYDIPVVPHASGPYSYHF VVSQPNTPFQEYLANSADGHTVEPVFGNLFTNEPIPTKGYLDVSILDKPGFGLELNPS APLIPAASFLNPAPARSLPAPATEEKTETNGTH ANIA_05671 MATALRSQTPLQDSFGPSSHPPDTLVPGRGYGARSGSRPNSYAG STSGYNGAHGAVDPSPLANYGRFHEELDTMSMRGSADRPSIMQRSASAMSHSRAATPT RSGTLKKKSSLSKRGSLRRSGSRRSMRAGSVRSLALGDREKYAVDGEEDVNSAFYIPV PTSGNPTEVLAERFQAWRKVLKDLIVFFKELQKSYEARAKLYLSSTNIMNNTTLPSTF LKSGGLGDATEILTGFHRQAHYEMSKAAEVESEVVNQLVGLRNDLQKKTKEIKALAGD FRNSVDKEVDATRKTVRHLQEALGLVDTDPSATSGKGDPFIVRLSVEKQIEKQIEEEN YLHRAYLNLESSGRELESIVVGEIQKAYNAYAGIMKREADHTLDTVDKLRAGPISMPH DHEWNAFVANTDEMVDPRIRIRDVESITYPGKDHPAAAEVRSGMLERKSKYLKSYAPG WYVLSPTHLHEFKSADRVAWQTPVMSLYLPEQKLGSHSQPDSTSHKFMLKGRQTGTMH RGHSWVFRAESHETMIAWYEDIERMINMTGEARYAYVRRHVRTVSGASFRSSSDGVLD EDEADRTPYSAGSVVMQQERPTSQRQPGGKFPSDVQIDRHQHAPLSPSSGESSGERDL LATAGSLSDGAHFAGTGGRFNPDRDLDSIQNSNQSRSASVNAAPRFPIDGYDHPSEKY MVTSDSFNRDNQQFPQQAPATINNQEAFNSNRYSTNSIFVAGLDPTSAQDHAQAHQRN RGETTSTAFTNSNMTEYTHSTVNTVPTSLEEQSDDEIESEKHRPRTSIPSSMRNSLDI PKRPTAQTQKSISTIELHIPGHYPPQQAAA ANIA_05670 MGATGEDHHYHPQDTIARTMKTTGLTGSVGLFASAVQNTLARQN VGPWGVFTRSGATVGILAAMGGTYEFVKTSSANLREKEDHWNVALGGFFSGAILGLRA RTFPALLGYGAALATAMGGFEYTGGSLFGRKRDPNVDEFERREKLRTQWRTPGEQTLA ELGEGRGIYGPGYAERRRERIKEAYGIDVPVSPPAAS ANIA_10722 MLQKRTDDAFAADPERLEGVPRPEPITVLMNLRTYINNVLRNSQ LSKTIQANNKRFMTSFGVNGEPCRDLFEYLGFTFRREGAWDPPRLAAWVQNQVLYKDQ QKVFLDDIFHELSALIAARPATEKKGNHDDYTFQPAVDDLYFAVDAFDYLRAPRVNEF QMAPAPYYEDLGVVEDMLTSTIIEAFNRQVAVDPGRAPGYLQCLKEIGSLRGGEDAEA INRAVETAYSEGNLYTDADITEAYKYFGLSRDDPRLTEDSIIGTFYAYLSSTPQETET RRQLWRIGDSRRSERIKSAAEDRVATPEQAQVFLGVSDDTADDFVMAMFTAKVNDNPS CRDIARRAVELIAEARKSDVLRHFVKTGEMTAGEMDVGDAYLLLQIPDRNADEGAIMA AYTICVDDAPAQAEKYNKALAIIAKEKNSPLLSSMVPGLAGKSDRDLSKWPVGLQNIG NTCYLNSLLQFYFSVRPYRNMVLDFENVKMELDEESCQKKRVGSRGVSKKEIERSQKF IRELRALFSDMISSPNSFVTPGQELARLTLISPSNEAAIRRRSTISAGRFGGLGELNG MPVLGPLGPPPKSSETEAHVPAPELEKTKPASDEDSEATLVSDPVKTEVPLAQSDDKE NEPPQDDAVMVDAPNETIRAAESVETQTAQAQADATTKPPPVPPRPTPQVDPQRQIIE EVEIGAQQDVTEVINNVLFQSQCAIRGHAVAPDGEQLDQVKDLFYGQARSYILSNKGK RSKEEWWCDIKIDVATGPRDIYAAIDGAFDIQKVSVEDSVAEQYAAISKLPPILQIQV QRVQFDPVKKRSFKSTHHLDLKEIIYLDRYMDTQQPEIVNRRKQAWEWKDTLRKLEAR RAELLRQSESDGLDTATLLKSAKDILTELSAMKQDPDASQDAIDVDPQLIPELSRLEQ KAQDEMKRLEQEIKNIQAMISNQFVDYKHLPYRLYAVFVHHGSVEFGHYYIYICDFER NIWRKYNDTYVTEVSDLDEIFKSQDRHNPPTPYFLVYVHDGLKERLVSPVAREIVETT PRSAPEPTTSGATPMEGVISSKEPEDVEMNPPTYDEVYAEGGASGEGADPEMKEKGKS LLAERGKTRSWAPDGTDKPDVIW ANIA_05669 MPSPTAICLRFVRRASLNPSKHQVLLARAFSNSVRRSEINKVVS SADLAIKDMKSNSTLLAGGFGLSGVPDTLINAVRNNPAITGLTAVSNNAGVDGAGLGL LLQSKQIKKMIASYVGENKTFERMYLGGEIELELTPQGTLAERCRAGGAGIPAFYTPA AFGTVVQTGELPLKHNPDGTVALYGQPRDVKVFDGKSYVMEEAIKGDYAFVKAWKADK LGNCQFRYAAANFNGAMGRNAKMTIVEAEHIVEVGEIEPAAIHLPGIYVKRVIQSTAP KNIEKYTFAKEEGEDTAAALGKGDTAAKRERIVRRAAKEFKNGMYANLGIGMPMLAPN FVDPSVEVQLQSENGILGLGPYPKKGQEDADLINAGKETVTLAPGAAVFGSDESFGMI RSGRIDLTILGAMQVSAKGDLANWMLPGKIKGFGGAMDLVSNPSATKVVVTMEHTDKK GNPKIVKQCEFPLTGRTCVSRIITELCVFDVDFVNGLTLIELADGVTVDEVRAKTEAP FKVADDLKPML ANIA_05668 MSSQRYERVNAHDDDDTPPSIALQPTSSSPPPSFRSRSASPSSR RLLHDDPLHRNSTEQTLADAFDDSDSEADDEPDDRQRLMRAQPDAWTTADTGNNTSTS ADGVGAASSSGTGGDAQVGGRSNTDATPSRGFQRTSTLLPFFGGTSSSGSNRHITSSN DGVFANLAAKPERGEKSEDLPPSYEEAAADATPPYWETTIVAPGISSDEVYVDGLPVG SIFSFIWNAMISMSFQLVGFLLTYLLHTTHAAKNGSRAGLGLTLVQYGFYMKGGSESS SGGGSDEHITPPDPNSHSFDPNQVAEGGGSGGDGGGGAAAITTSEWISYVLMIVGWFI LIRAISDFLRARRHEQLVLQSPERGLPVPVIAENERSETVV ANIA_05667 MAKIDAAEGESASCPAPLNQGPVEARSLSSITAIASNPPNYPRN PAQKKLDPLVLYIVRVPGSKDVFLTPLKPPTKSSVSAEAINASLYYLHVASPDDEILL QEYEQEREERARLRKEGLIDDDPDLPIPPLEVARLNNVRRKPVAVAGTGGGAGMKDTE SPTTAPSSPVKRRPLPSELPVQEGENKSSLLIGEEASPVLPPRPTSFNVPNQAGAVDQ MSPVLPPRPVSNTPLPANGLEYPPPMPPRPSPSVPACEASSDKRASPKKNNRWSALSG YISNHIQRGDRHEAASPLRHSFDVSRPQMRPSSSHDLFAPYSPPGYSSRSPGQSPTRR PRDSASPLQPQPGFHITLIRRDPSHGSQWNVATMSTPSADSTGIDIDVSTPGYSRFAG QNEPFSLDSLGLNLPAEARNLLSRHPNIVSQSDSPDTTSAPRDPSQPRRFHRKLLVSR PHNLEDARNSRGSLDVSGGRPSMDSISGSSINSHQPASSKLKSGYYTFTSPWNGTCTF SASVNGRSLKCKHMIPSPGLPNSNHDNPAVTVAELRFNTPFQTGHLQYPGSSHASPFL LSQTTLLKDPSANPDPSAPYYFDPSSPPPSKRAAIANLINQRLNRRLSNSSSSDGGGN EAPPPLPTRPPPSDQRIDLSLAREKAGGGMRGDSAKLGKLIIEDEGIKMLDLVVAASM AVWWRGYYY ANIA_10731 MSDLQVQGRKVFKVFNQDFIVDDRYTVTKELGQGAYGIVCAATN VQTGEGVAIKKVTNVFSKKILAKRALREIKLLQHFRGHRNVCRISAFCIRDK ANIA_05666 MIWIFPDRTTSNETYLYEELMECDLAAIIRSGQPLTDAHYQSFI YQILCGLKYIHSANVLHRDLKPGNLLVNADCELKICDFGLARGFSIDPEENAGYMTEY VATRWYRAPEIMLSFQSYTKAIDVWSVGCILAELLGGRPFFKGRDYVDQLNQILHYLG TPNEETLSRIGSPRAQEYVRNLPFMPKVPFQRLFPNANPDALDLLDRMLAFDPSSRIS VEEALEHPYLAIWHDASDEPSCPTTFDFHFEVVDDVQEMRRMIYEEVVRFRQSVRMPP QAQSLAQQQQIGNIPIPEHQQGVWRQEEPRPQEAGAVGGNHNDLESSLQRGMDA ANIA_05665 MTGVLLAGANASLWMQIPVVFAVLLLSKLIWDAFFSSLRVFDGP LPAKFTDIWRAWQAFDGHIDRTYTKLHRKYGSVMRIGPNALSISDPSMIRVIYSTRNP WKKSEAYRPNDVVLNGQRVSNLFNTQDEKWHNQNILPIRHLWQMTKVLEYEPLIDKTL NKFVDKLAARFGGGEVCPADEWIGYFAWDVTANFSFGRHYGFIDQEKDVDNLITDSTK GLIYFAPVSQIPWIDHLLDKNPIVRIGPKPTLTGVLYAFKVVAEYQAQLNSNKVKPGN VDHTLDKYVQLKKTHPDVVNDVQIVNWLMLSILAGGDTSSATMRATVYYLAKNADAYK KLVAELTTANLTMPAQWKDIRELPYLDAVIRESMRINPGIAMNFERVAPEGGYTLPDG RYIPAGTKVGINPAVTNRDYAIFGEDSDSFRPDRWLKRDGESDEEYQERHKRMHDTCD FVFGAGARVCMGRYLAMLEIKKLIATLYSTFDLHLVDPKHEWTYRNAWFVYQQNMPMI ITRRKLSA ANIA_05664 MANQQPQPSAEFLAETQSPTVKAVMWLMTGMAMLFLGLRLCVRL HLKRIFGWDDVIAAVAVACLIGYAAVCHAAADIGLGRHIQYVSMEPQNLIGVARYSSI SQTLAILACALGKTSFAVTLLRIVIQKWMNWLLWFIIITMNIANLLAALFVFVKCKDP RSNWDPSIVSKCWPDYVFTNYSLFVGAYSGLQDFLLALLPWTIVWNLQMKKKEKMGVA FAMSLGILSSAGTAAIVKTAYLVKLSARADFTWEIVPLLNWAAVEDSLTIIASSIPTL RPLLTKIFPSTTSQESYNMLPPPPPSYEKKRNIRFDTSIYATQVDRDREVTDPRDNSS QTMILPVTRPKPSTVDNKNQINLTTEVSVLYKSNDMV ANIA_05663 MAIHHLRLLIIHALQHHKLSSWKILVSAAGIVLTCLIILTYSST ARIRTHNSGLSFSGAGDQKTTEAGEGDSSTDAGTDPADSKNACFIDLNFLRLYVDNAS TIEYARLNIGVSRTENFTSFDDSLDTKIPPYSTVHLDTANTRELRPEENCRESIIIQA PPAEPRPDASHMIFGVATSLEKLEDSLDAFAHWAGGTNAHIIAVVEQGGSSIRSRIQS RAEKLKLRLTITQTEDDRLDRYFSLIRVLYQYHEPSPTGTTTKTTKPTQWAVLIDDDT FFMSMRNLIARLATYDAMVPQYIGAMTEDLAHLSGSGYMAYGGAGIFLSIPLLQDLQH YFETCQSLKDKGDRMLASCIYAHTSAKFTWERGLYQLDLRGDASGFFESGRPLPLSVH HWKSWFSADMVGMGKVARICGDECLLRRFYLARSETKDWFLVNGYSVIQDRTLWNEPR PMEQTWQKSKYKGDDPFAYSLGPLRRKDEDKVSFYLRGVAEETEADREEVRQIYVFNA TAETPPRVLEVAWTVVEE ANIA_05662 MASDGPKDLPVRAAAEAKPASGDTLPDFIIERNKLFEELWQEHL EEVKNRPHPEINVTLQLGDGNTTTIPSKAWETTPAQLLKHVPKEISADIVIAKVDGQL WDLGRPLEGECTVSYVPFSDPEGREVFWHSSAHCLGEACECEYGCMLSHGPPTPQGFF YDMAMPDNRVVKESDWKTLDNRANKIFKEKQSFDRLEVTKENLRKMFAYSKYKLHYID KLVTGEKSTVYRCGTLVDLCRGPHIQSTGKVKTFKIMQNSSAYFLGDQNNDSLQRIRG VAFPDKKQMAEHLKFLEEAEKRNHLRIAKEQELFFFDDVSPGCPFLLPNGVRIFNALQ SLLRSEYRKRGYQEVQTPNMYDVGIWKTSGHWAHYKDDMFKLDVEKREWALKPMNCPG HFVLFGHRERSYRELPLRIADFGVLHRNEASGALHGLTRVRKFQQDDTHIFCTHDQIE SEIEGLFDFLQSIYGLFGFTFKLKLSTRPEKYLGELETWNYAEEQLKKAMTKFKGDDW TIDEGDGAFYGPKIDITIADALKREFQCATIQLDYQAPLNFKLEYMTGEKTQATQEEA KKEADPKSNEPAPGRARPVVIHRAIIGSFERFLGIITEHFGGKWPFWISPRQVLIVPV MPAVNDYAREVQKILQNDKLYVDVDVTGNTLQKKIRTGQLAQYNFILVVGAEEKESRS VNIRNRDDTSSQSRGVVVPLEEARQKFRALRKERRLLNTL ANIA_10712 MSFRGGGRGGFSGRGGGFGGRGGGRGGFQQSFGPPDQVLEMGTF MHACEGEMVCESINPKIPYFNAPIYLENKTPIGKIDEVLGPINQVYFTIKPQEGIVAT SFKPGDKVYIGGDKLLPIEKFLPKPKPPPGAKAKKAVGARGGGRGGRGGARGGGFRGR GGAPRGRGAPRGGSFGFRGGAGGRGGGRGGPRGGFRR ANIA_10701 MTLLSRLTASLLGQEKQKMLLSSDTGHFSMVRALHLADLVTELN GFCGVMSVFSSLRYCLGDPHDYGAIWAALGFMPFGLFFDFMDGRIARWRKKSSLMGQE LDSLADLISFGMAPAAAAFALGIRTPLDHLFLTFFVLCGLTRLARFNVTAAVLPKDKT GKSKYFEGTPIPTTLSIVAVMAYWVSQEWILEDIPLGVAAQGTTLEFHPIVLLFVLHG CLMVSKTLHIPKP ANIA_05660 MRSFTLSTVFATAALLASSSPAAAQEQTYIGCFSSSKPLEDQGS YTYQSNGYCMNLCYGKNKAVFGLYNANHCLCGDEIPAKSSKEDDDSCNKPCAAWPIVM CGGSDAYSVYLTGYKDNVPYYSDSSSSTTSSSNSSSTSTTSGDSTTTNANGAVVTTSS ANGQQEMATSTASADNDSSKKDGPNTAAIAAGVVIGVVGLAALIGAGFFLWRFKKRHP ADQRMREMSNVEQFGKPMSQDSMSDHRFDGDFMAHRRQSNGSIDDDRDFSRRVLQVTN PDRH ANIA_11488 MANRHGAMQCADAIVDTATACYIDERELLGLFGFRQIKAADILG AANIQLVSRNEVELAMLAINPHSQPRDDLASAIAGNLEHGKQKTRDLLDV ANIA_05659 MPRATAPADIPLPITYTPTTHRISKAKKGKRVHACEYPGCAKVF TRAEHKRRHELNHNPEALYRCTQTGCKKSFHRSDLLARHMERHELEAQMDASAQWDHP SSRSSVGYIPRYPVVTPTPAPYISMPQPQSAVSKSSVIQPDLAIDGLIWGTMDQHQHQ HMVSPPCIQESVEDTARYYSTPEACSSPSSDGTTYSVPSYSGSISSVSSTSPGLVDSY PDPIIDSELTSSPGPMHATLDGCQWERADTGPPATASMVPISTIPDSLIHPALQYQSQ SWPMPQHINYNENIIQSVPVSLPYETTASSNCKAPWAL ANIA_05658 MPLNSKAVYSRQNPDFAPFASRRSTVHSTKGIVTCTQPLAAAAG QKILSQGGNAADAAVAVAAALNVTEPSSTGIGGDMFCLYYDAATKKIHSLNGSGRYPG AATLEKIRADLQAKPDEAGGIPMHSPLAVTVPGAAAGWVDTVEKFGSGKLSLEQILSP AIELAEEGFAVSELASYFWHESESLLRKASPNSHEMLKADPEAQDGYRSPLPGEILKN PTMAQTFRSLAANGKKGFYEGRVAQEIVNVIQSLGGYMTLDDLKAHAETGSQETEAIS LKFSGQNITDKQTAGTDGETNQGVEIWEHPPNGQGIVALMALGILQELEKTGKIPSFT EKQHNSAEYLHAIIESLRIAFADASWFVTDPDTTPVPTKELLSPSYLAERAKIFNPSA ASDILDHGSPAHNHCDTVYFAVTDGAGNGISFINSNYAGFGSGIIPKGCGFTLQNRGA NFSLSPNHPNVLAPGKRPYHTIIPAMITNASDGSLHSVYGVMGGFMQPQGHVQVLLNM LAFGYHPQAALDAPRICIAAGNPELGKQLDRTVYVEEGISEDAVEGLRKLGHQVKVLK GWERGMFGRGQIVRCHYDGGKLVYSAGSDLRGDGMAVPIV ANIA_05657 MVVFVDYDHDLGNHVPKGPDAFQPYIEPGKPAFSKLSVGFEPEK SAEAPSEAPTSEQHSVRDAFSVALGCYPIAKEIARAVDLNTLYALSGTCRQFYANLAP YRHQLAKQTLRCENEYIETLSEMLHSGTAIPDSVKSVIRLLSRGALNSGQLTSGKIAK CARDMVAECRGCSKIVCRNCTAKPPNSATLKNRIRRLCTTCRKAPLNSLVTSSLHAPI PSTSDTPKSSVFLRDTCTCHDVLWLCNQCGQKLRRNDTTYRRVWTWRTRYSTYLGGLG TGIGEGCQGVKCGRGESCLAAQEIELEVECEADESMSHSPPEYGYHFGHRFAQDNSSH DHPGERWEDPGEKEPGYLRQEIIGIGGRVKQRAKKRVMVGACVPEYEDERETGDYLTR EEEGAHRSWCGWCWRVIPAKHEVSYVQV ANIA_05656 MQEKSVVRTQSPERSYEAQDSTPISNYQDDLHSLSSSQNSEHSE PEHATTPGRDAEDQVPAKSALDSESEEAMKVVPRLSRRGLFGQITLLEEIENPKCYPR SKKWFITFVVAVAGSVAPMGSSIFFPALSQVADELNATTTVTNLTISLYMLSMSIFPL WWSSFSERLGRRTIYIASFALFVVFNVLCAVSSSIAMLIVMRLLSGGASASVQAVGAG TIADIWDTRERGRAMGIFYLGPLCGPLIAPIVGGALAQRWHWRSTMWFFSAYGGVVVV LILLGLPETLSGANQKPLMPPADPASTTEEPLSRRASRVSSVQTQVFGTTARWLKLIK IIFLDPLKIILYLRYLPVLLTVYYAAITFGSLYVLNVSIENSFGKEPYNFDTLIVGLL YIPNSLGYVVSSIFGGRWIDKIMVREAKKANRYDEKGNLIFRPEDRMRENAWLGALLY PAGLIWYGWTVDKGVFWLAPMIANFFFGIGSMLIFSMVTTMLTEFMPKKSSEGVALNN FTRNIFSCVGSFVTAPIIGAIGNGWLFTIIGLVAFASSGVIVVMRVFGPRWKEGMDRL MQ ANIA_05655 MTVEMRIDEVQFVHFNDVREFAVSNPASQTLTLFSGDAFSPSLE ASVLKGAQVCPFLNLVGVDIGCYGNHDFDFGDARLIELSSQLKFPWLLSNAYHLQKNQ RRTLGSAREYIVRNLENGLKVGFIGLAGTDWPSNCELLPPCEFEPPVQAARRLARHLR VQERCDLVVALTHMRVPEDMAVANATVSGDSRIDLLLGGHDHDVLRRGKYKARKKRDR LERLVAGTVDCATSREWNRSSINSEPPTHVVETLQAIHDQVGKLVQRPLLHAATPIDG RNAMIRSQETNMGNMLADAVRAFYDADIGFFNSGAVRSDCILGAADPDGEPLLVRDII NICPFGNSVLVKKMPGSIIRLALENSVSDMHTDGRFLQVSGLRVVASWHQPEWSRVVD VFFQRSDGSLEPLDPDRTYTVAMPSFIARGYDGFSWFAQLETLVGEEAAVTDAGLLLA IFGHEQSSDGDMHAIGIERARAVTIVGQNPTDSLPIVKPVVEDRIKFV ANIA_05654 MSNQEDASNYYSFAHLGSTHPSWTGHFQPNPAVDDSAASGAHPP EAGDNDPDVYTFDDANEVDDDVHGDESPDLRVETTSFENGEGSTIYDPESGAYDQMLD YTTNARYDEASRWDETCSSGV ANIA_05653 MCIYKQPEDTAANNKRRRNRMGLPTFLYNQLLITPAIPTNPFTG QTAIITGSNTGLGLETARHIARLGADKVILAVRNTAAGENAAKDIEESTICKPGTCEV WPLDLASRHSVLAFAEKAKKELGRIDVLVLNAAVATKIFQLADGGYEHSITVNTINHF LLAIALLPKLQETGTNFTNRLAPPHLTVLTSQVHAWPEFPQSTDPRGIFVALSDKATA KMDERYPVTKLLNVYLTRELVEQLYSDSDGKDGEPSVVINMLDTGFCHSQLSRENQGV DALVFNLFKRLFARKEEVGARTTVTAASAGVESHGKYMVNGIVADEALSERIYNEEGR MIQKRLWKELTDIIKGFKPQIMEEFK ANIA_05652 MATQGVRIAIDRGGTFTDCWAEVPGRKEHIVFKILSVCPDEYDD APTECIRQVLEIASGTSIPKGSLLDLEPIESIRMGTTVATNALLERKGDRVAFLVTKG FRDILFIGNQTRPNLFDLTVQRLEQLYETVIEVDERITIEGASEAPQPEEPIDVSSDP DLVVGQTGEIVRIMKKPDLDAVREDLEKLKAQGLKNIAIGFMHSYTYPEHELQVQRLA EDMGFKVSASSVLQSMAKFVPRSQSAVADAYLTPMTFAYLDGFRKNFKGQLEDESANK LLICQSDGGLTSWSKFTGLRGVLSGPAGGVVGLSRTCYDEADGTPVLGFDMGGTSTDV ARYSGALEHIFESTLAEVTIQTPQLDINTVAAGGGSILAWENGLLKVGPSSAGANPGP ACYGRGGPLTVTDANFLLGRIIPETFPRKLDYDTVKEKFSALAETVNKEKDGGEPFTP ETLALGFLAIANATMTRPIRTLSEGRGYGAASHNLGCFGGAGGQHAVFIARDLGIKRA IIPCYSSILSAYGMALADVVVENQEPAALTFSEAAVPEIRARFESLSSRGAKGLKAQG FDASVTEHEYFLNMRYQGSDTSLMIALTGDVADAGNAFTARHTQEFGFSQTRDILVDD VRVRSVGKSRVLNISSPFEELKKYSSDGLTPCPTPVFSRQIFFEKYGWTQTPVYELKS LSAGVHITGPAMVIDKTQTIVVDHLSKAIILPEHVILEVERAEQQTVDTQTVDPVQLS VFGHRFMTVAEQMGHTMEKTSISVNIKERLDYSCAIFSADGGLVANAPHIPSHLGSMS TAIAYQAQKYKADLKPGDVLISNHPRAGGTHLPDITTITPVFDDEDKEIIFFVANRGH HADIGGIVPGSMPPNSTELWQEGAAIESFKMIKEGVFDEAGLIKHLYEEPASFPGCSG TRTLAENIADLKAAVASNQKGIELIRALVKEFTWPVVQLYMYAIQENAAQAVRDLLKQ FAAKYEGGVLEATEYNDDGIPFKLKVTIDKDTGDAVFDFTGTGPEHSGNLNAPPTCSY SVIMYCLRCMISTDIPLNQGCLKPIKVVCPDNTILSPSPTAATVGCTTETSQKVADLV LRAFNAAAASQGTMNNLSFGCGGTDPVTGEVKKGFGYYETICGGAGAGLGWQGASCTQ VHMTNTRITDPEIFEKRYPVILHQFSIRHGSGGNGRWRGGDGCIREIEFRMPLQVSVL SDRRVTAPYGLEGGSDGERGQNIWVRKDPVTGATRMVSLGPRKTSMFGAGDRIIILTP GGGGYGAPVKDGEGVKETVFVKPQDSRSFLTNGSLGVRHSIATGN ANIA_05651 MRDNILIQLCSCHRCHSQKTKCSGKRPCDGCLSSGRAEECQFPA KERKIRVDERRLRALAARSPALGNERVTTDSEDQDESPPLSKEESNLFNPLFDRHSEN PVHERSLEPGFIGEASCAAFSNRLLSCLDDTYTPSTTGLSNYHRLNTRERVPMHQGAE LPERMHVKLLLNVARRFIGNYHPLFLEVTFMKEIDAVYRRELIPSMLWLCKFYALMAL GEVYTHRRGIGDNNRVPGTNYYVRAVHLLQENLDYYEEPSLMQVEVLTLLAWTSQILG RIRTAYCYSGIAMRLALSLGMHRSAARHTTLTPVERESRRRTWWVLYFFDRFSASKLG QPIAVRDEDIDVEMPSMDGLTREEMAEFLDPRNLVINIKLGRIIGNILTQVYGIPKAT KGLYIHQVHSILKQLRAWHDELPFDMRVRERGTPRPVASLHLAYNQCIIQTTRPVLLH LFKLQFQLGSKTREEVTPRQSVSSITLALAESCINAAQASSRIVEGLFLDGSIATFGY WDAHHIFSAAMILIMSAMMKPSAHNSDCLETLLSVLRSMKNDGNIPAVDFCERLSHIQ ARVSTLRATGRFGSVEFDRPANGLPLSGYEGASTAAATQDQPLHTPMSLEDDAHRENA ALFNYDSVDVLANPLIGSFLDRNQVQWMDSLFAEDGTLREFASEIEEQFMFGS ANIA_05650 MSESNSMSDTVPDKEVEQQRIDHDVYIDPAAEKALLRKLDLWIV PPVMLLYLLSFLDRVNIGNARLYGLEEDLGLEGDQYQIAVSILFVTYILSELPSNLVI KKFTPSRWLSFITTAWGIVATLTGMVQSYGGLIACRIILGALEGGLFPGLAIYLTCFY TKKEYALRIGYLFVSAAIAGSVGGLLAYGIGHMDGVAGLRGWRWIIILEGIPTFVLGI SIWWWLADSPDTAHYLTMAERELIDARMRRQIGHTKSSDQMHKKDVIEGLTDWKIWLF TIGQFGGDTILYGYSTFLPTIIQGLGDWTSAEVQALTIPCYALGAISYIVTAWFSDRT QKRAIFTVFFGLTCAAGYAILISPAPGGVKYFGCFLSAMGLYVIVGLPLAWLPSNNPR YGKRTVATGLQLTIGNSAGIPAPFLYKTNEGPRFIKGHAVSMGLVAMSAVIYLLFWAW FRRQNKRKREGKEDWRVEGMTQEEAEELGEHNPRFIYTY ANIA_05649 MAKKKGGNNKKKGNSAKRAPVNDNNFLNPEVSKPVSDPAESEEH GVPDPDNKPDAPEAEKDQAPVPDAPQKDESSSENASSPEAEASSGATTPPDTVEEPKP EGSEPEAETPAEEAAPQSAEERPAEEAAEPAAEPSEQTDTAPADPAADEAPTEEKPAE ETAEPATAEPAEDQSPAAKSPETETTTEPEATPAPTEEAAPEPAAEAEQPAATDAVAE APAPETATEEGPAPSEEKKAATETTEEPAADTPPESSAEELKPTGKKNKKKNKKKKKN GVEEPEPEPTTKSEPATEPEPAAEPEPAEPETTATPEEGAEPDAATQPEPAAPEAAES EAAAETAPATKPEAATQPEPAAPEAEPEAAAEAKPTTEPETVLEAAPAPAAEPELEPV QKTEEPAAAPEELNEESTASSGKKKKKEKKKKKKGGATQSPPPEPSENEQPVAKSEPA AEPAADAVAEPTADAAAEPTTEAAAESEPAAEPAADAAAEPTADAAAEPTTEAAAESE PAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPA AEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAEPAAE PAAEPAAEPAAEPAADAVAEPTADAAAEPTTEAAAEPTEAAAESEPPTEPAADAAAEA ATEPEPAVEAAPKEPPEPATGGKKKKKDKKNRKSRQQSPSAEKAGEETAPAVPADPPV SDPAVAAGEPTPAEDDKPATEPIEEAKDLEPPQPVEPASTAEPANEEKLAEPTGEPSV AKPAEEAPVLVAAEEPPAAESGEAEVSAQEPVDEQVPPSTTTEEEAPATNAQEPEPAS APAEGTPVAETPAVEVEAEPVTGESTPEESTPEIVDALGTAAEPAESAEPLAEAPTEE KPAAEAEEAPAPEPAPEVAAEAPKEVTEEVEPTPDEEKSAAEAEEAPASEPAPEVAAE APNEATEDVEPTPEEAEKPAEGAANEDDFPECDPSAGFDKVEAEKAAAAQQAEKDAEL EAEEASRQADELINFDEAPASPVVEEAKAPAAPEAEAVDLAPAPEPAEAPAEEAAAVT VDKTAEDDPKAAEPETPAEAQPEAIEEAPAPTVEEAAAAEEPSMEPTKEPEPSEAAPV EQATEETPTDEVKEPEAIAVAPNEAAEEAPAEVEVPAVEPAAAAPETAEPESEPVFEE AKPESPATREAPDETVAETPAEEPPVEDQAIVAPAVEDPPVEEPAAPAPAVEDFLVKD SEAEGAAAPVATEEPPPSAEEASRELDISDTPATYMVPAAAVAAAAAAYGATPSEHPA YPVAAEPAKESTRAIEDEYVYDEPSPRTLVPDTSAELGGHESLEDKYAAATRDSLERS RRRRRKSYAADYDDERRPSKSSSEGRGRRHVQPAPPPPAPQKTERNKLSQRWLEALEE SRRQYEEKSQRELRRLQKLAAEQERAKRSERPGRTRSTKERDSVSKELRQRSSGGSRD GDRAPSSKHSQSTVPKPRHFLKYVPSEEEVSSNEPLPRVNSNKAVANIPDMPRRRSST SHHSHGHSHRHPSEGRPSMERSSGSRRREEDFARLEARGHTERSSGSRRKEEDPSRME VGHTTERSSGSRRREEDPARAEVRRARRHSEAIAEARGVEHSEREERRPDRRERRRDE RERRDECQDEAPRSRGDEHERRRRHHRRREPTPPPSAATKLKGMLKAVIAAH ANIA_05648 MASTQAPAIFEDAPRSPTKPSVLRALLGHRRNQSADDAARSMPY TSGSSSSSSPVEQQYPPSNQQPLGEITPNGTPDGMFYPGSPNKSGKGLHKKTKSAVSL KSLKNYIERKPEKTADDPSSKPKKAKSTNSFTAILKRSQHGRKSDEVKDGRDKENRSP SDPADNIPSPVWTQYGTPTHSFRDESSPANSNRRRTLQEEVSLYTPKGYGPAQQRNFY DYHQPSLSSRTNVKPRPKSDFFANGSKVKDLLGPFQGLSPSKQSLVDQQEPTSKMECG RPRGLSTPDARSETESRQASPKKPSRVQEVISAFNAKEREADLQKRLNAKDLESEFEK LLVSIPTQIGWRELTWTGCEEHSSQHEGQDEKDKLESTTPHSAASTYAAESTGRRGRG KDKKEEHDSHDSKGSRSRSRSRGFTFSRGNSSSPTKKRPESGSSHRRPKSIDLSAPGL SKILTPNASTTSLAVTAHRDTATDPSDFVHYLKEIQKPEIIEVGKIHKLRILLRNETV SWVDSFIAEGGMDEIVQLVYRIMKVEWREEHEDNLLHETLLCLKALCTTSVALQRLTD IEAELFPALLGMLFDKEKKGPSEFTTRGIIINLIFTQLSTAASAEDGAARTNRILSYL RDPPEENQPLSFIANIYQSRPYRVWCKEISNVTKEVFWIFLHHLNVIPVVQSDKSLES YRERHFPAPRPPVPAAPYVGGVEWDATNYLAAHIDLINGLIASLPTVEERNNLRKELR ASGFEKVMGATLRTCKEKFYSSVHDCLRTWVSAAVEDGWPHLAVREGPPRQEASSPTR SPVKSPNKKGGLNDLPPKLDLKIDIPVGGGGGGNRKGDPIGDWL ANIA_05647 MRRLPQTIFAAALPARSISSCSPITFASKLQDQPHLRAPTNYRR QTLPHYRLFSQSCLFANSHTTSNSPFRLSSSSSTTQSQSPETPFPEAETETHIYIDPN TGLPVKDYSRYPPKRQWPPDMSKLSPKHQFRLERKYRRRAALKYARPKWTKATKIVQW GTIGFVIIYALLFMEWDERGGTPLEDLRTYVFGALKGAFSAPPPSSSMRRSEDFTPKR E ANIA_05646 MSGAQQRLIQVASHIGPKKGVSAITEKNPDDVVVTCALRSALTK GGKGGFKDTAAADILAGVFKGVIDRSGIDPSLVEDISVGTVLAPGGGATEFRAAALVA GFPETTAVKGLNRQCSSGLQAIVDIANAIKTGMIEVGIGAGVESMTSQYGPGAVTEFS ELLENHEEAANCKVPMGVLSEQMAKDRNISRAAQDAFAASSYQKAVKAQKAGLFNEEI LPLDVKWTDPKTNEEKTITVKADDGIRDGITAESLGKIRPAFAKDGSIHAGNASQISD GAAAVLLMKRSTAERLGQKIIGKFVCASVVGVKPLLMGIGPWKAIPVALQKAGITKDD VDIFEINEAFASQCVWCINELGLPEEKINPKGGAIAFGHPLGCTGARQISTLLTELKR TDKKVGVTSMCVGTGMGMAAVWVRE ANIA_10700 MERTRLISRHLYHFQPAAASRGLLRSPQQALPRFPAPSQRAMST TIQEKLDILQNYSACDVSDVLLKIQKPADGSPPRAGYLADLTPFSPILGRNTTTPKII APASTIQFIPKSSSPASLFSDSASTPNPDQTFPQGTHWVDNTEPNTIVLISQPPGQHC AVVGGIMAVRMKSLGIKGVVVDGRIRDLNEIQGASLPVWAKGTSTVGTGAEAKPGLRN VRIDVGGVTVDPGDIVFCDPLEGVVVIPKDLLDQVLEVMPRIVEADDKVKEAVEGGMS VFEAFKKFRG ANIA_10723 MASTFTRSQLEAYLQRIGYANSASGPECPRLHQLQASIEQDALK ALEELQRRHISSIPWGNSAIHYSQHHSISTYPSAVFEKLVVRRLDGYCMENTNLLYVV LRSLGYQAYPAAGRVSNAAADPENAGSEVRYGSLGHMVIIVGISNQKYMVDVGFGNNG PTSPLPLLRNVSGDLIPPAQMKLDKTPLPEAVDQSQEFWVYSVRYGPDKDWAPMYAFA ETEFLPQDFAMMNFNTSKGSSSWFTQRVVCVRHILGDDESSIKGLYVMAGKQVKRRVH GQTEIVQTLENENDRVEALSKWFGIHLLDHEVAGIQGLVSELK ANIA_05644 MGAIDIPELQFTPIEEIQERVSRVKKTFLEHKTRDVEFRLVQLR KLYWAIKDHEQQIVEALRSDLGKPQFETEVSESVWLENDIVFISKNLHKWVKDEKADD IDLTFKFMNPKIRKDPLGTVLLTLGPVLGAIAAGNTVVIKPSENAPKSAVVMQQIVEA ALDPSCYTIVQGAIPETQALLAERWDKIFFTGGATVGRIIAKAAAPHLTPVVLELGGI NPAIISKSANPRLVARRLLWGKLMNAGQVCTSQNYLLVDRSLVPAVVEEFKKAYKEFY PNGAKASPDYARIVNEGAFRRLKGMIDNSQGKILMGGTMDEKDLFIEPTLVQVESPDD SMLVQESFGPLIPILPVDNIDEAINIANSIQSTPLGLYPFGSKADTEKILSQTRSGGV SVNDAALHIPTLPFGGVGESGYGAYRGRASFDVFVHRRPITSSPGWLESILAIRYPPY AGKLAKFKAASVSAPDFDRSGRKVHLGWLRYILTLGGGSAKAGAGRAAVVAAGES ANIA_05643 MAPAAVPTSDPPSQADTPMTDANEEITSVPVDPLDAKMTDTPDY TDSDTNPNTTASSVAGDVVPSDGRRRRSEAFQMRKNMFGKKHGSLNENKDDDSIRRFR YLLGLTDLFRHFIESNPNPRIKEIMAEIDRQDAEEAAKSKRKVSARSGGASGERRRRT EQEEDAELLSDEKRGGGTNTIFRESPPFIQGEMRDYQIAGLNWLVSLHENGISGILAD EMGLGKTLQTISFIGYLRHLCDITGPHLVAVPKSTLDNWKREFHKWTPEVNVLVLQGD KEERHKLINERLLDEDFDVCITSYEMILREKSHLKKFAWEYIIIDEAHRIKNEESSLA QIIRVFNSRNRLLITGTPLQNNLHELWALLNFLLPDVFGDSEAFDQWFSNQEADQDTV VQQLHRVLRPFLLRRVKSDVEKSLLPKKEVNLYVPMSSMQVKWYQKILEKDIDAVNGA GGKKESKTRLLNIVMQLRKCCNHPYLFEGAEEGPPYTNDVHIINNSGKMVILDKLLAR MQAQGSRVLIFSQMSRVLDILEDYCALRKYQYCRIDGTTAHEDRIAAIDEYNKPDSDK FIFLLTTRAGGLGINLTTADIVVLYDSDWNPQADLQAMDRAHRIGQTKQVVVYRFITE SAIEERVLERAAQKLRLDQLVIQQGRAQQQAKNTASKDELLGMIQHGAAEIFNKQGGT STLQEGKDITDDDIDAILRKGEERTAELSKKYEQLGIDDLQKFNSESAYEWNGKDFTT DKKKDIGIHWINPAKRERKEQFYSIDKYYRQALATGGRTADPKPKVPRAPKQIAIHDW QFFPPGLQELQDKETAYFHKEIGYKVPLPDGPEELTHREAERDLEQAMIDNAAPLTEA EQAEKTKLSEQGFSDWNRRDFQQFINGSAKFGRTDYAGIATEVDSKDPKQVEEYANVF WKRYTEIQDYPKYIRVIEQGEEKLRKMGHQRKMLRKKMEMYRVPLQQLKINYTVSTTN KKVYTEEEDRFLLVMLDKYGVEGEGLYEKIREEVRESPLFRFDWFFLSRTPVEIGRRC TTLLNTIAKEFEPDGKNGDGKGRGRDREDDELDNEDDVPAKKKTKGAVNKQVKAVKGS KGNSASTSRASSANPPKSRGRKK ANIA_05642 MDSMRSLNTSLPSSTPRPPSEQLLQQFRTAALSVTNLYKNAVYA ESQARQAGYQEAIEDLLHFLDRENLGLTDGEGWRVRQWATERSDGLAHSSDDDDAERT RCTTPAVARKEPSESEAPRHQSKPTSPHREEQAPAQQPPSTPAAQPSEPVILDRPPLF TFAAGPTFPQVHEQDMDMQNSDSSSSSPQSDTPATVSVLARNSRQQSRHVNLTRPSPR NSTRDSPVGIGSKRKNNFPDFFDLSGLGNNRDLFGGGKRGRFC ANIA_05641 MSGEIDAVYIYDEQNQPLVEQVYRSRPPSAATIRPLYFAHPAPR PSLIYFANTSPPVTVFSIVESNLHFLALSESDTEPLFALEFLHRVVDILEEFVGAPLI SSKVQSNYDVVAQLLHEMCDAGIVCNTELNALQEVVEMPGWMGKLLGNVGLPGSSTPI LGQPGLKRQSLTSGNAALGPAIPWRRPGVRHTSNELYVDIVESLTVTMAPSGRLISAL VSGTIAFTAKVSGVPDLILSLTAPGGQHAIARKMELPVFHPCVRLARWRERPGELSFI PPDGRFILAGYEVDLLPLDPSLDQPPSHMEKLFLPTIVDIQKSLGSVGADFEVRLTLN PDFPGYPSGSRPGAGRGGSGTSTPSFLGGNSNNAGPVLEDVVVTVPIPPSVRNITDMK ASRGEALFNSGRGVLEWRVPTKDAGSISGTATLRCTAVGHSTDEIEEDDPEADAEATL LQGYYDDSAAVSYQQNTETDRLRGKPKKKKKKVKKVKKPASSRRDTSVSTPDIDANRG GETLPASDLNPDRSSEPSPSQPSSHPEVPSLTPTPKQLSSSTPPPPRPGSPFSIFHTA PRKTRIQLNSSLMPNSASVSFSVRGWLPSGIKVESLNIDPRRSRGLGEGVKPYKGVKY ICVSRRGIERRC ANIA_11487 MGVPFEALIPYGIVVVMFGVTGVGLSVVKTYANDGKKARWNRDL WDRQMMERDLRLTGSLRGQSTNPEAPKGFEINNPWKVEKRLY ANIA_05640 MPATVPTAADNSESRPTAEDFVDSDQDAEGEETDLYQMDQQLQD AVHRAYSGEIEAESGAVEVDEDMDAEGEVDYDHVPNGEIDEAEPVGAVKIPEGASSIN NDNDDDADADADADADDDPEFNEQSESERGGSSSSSSRDSDEDWEAESNGPAEAEADN NTVRGNCIFCNQDEDHDPSEEFEEYLSCAVCGDHSHRQCARENNALNDAEDTGSWRCP TCHSENLEPSSKEEASSRRKSGPKNMNKELLPAHSGEEGSDFHSIFDTVTVDEELLNS SRSLRKRKTQSVDAEEHAPVLRKRLRQSPARVARADSQDQIKANGDALSPVRTRSRRT RKDEQEHCRVVVKQFGKLVVAFRLPDVKLSKILNSRSRSSIRNRKIPKPPPPQEPPQA HFAPITPISYFPSLYSFSDRELEESSKAKPYGGILSEADADTSKTLPSQLDRERFEAA RQKAEDAWQKRLAESQNGGETVQHASQKVSGPPSRIKYINFGGFEIETWYAAPYPEEY SRNRVLYICEFCLKYMNSDYVAWRHKLKCPAKHPPGDEIYREGSISIFEVDGRKNPVY CQNLCLLAKLFLGSKTLYYDVEPFLFYVMTEFDDLGCHFVGYFSKEKRPSSANNVSCI LTLPIHQRKGYGNLLIDFSYLLTRIEGKTGSPEKPLSDMGLVSYRNYWRLVLSYQLRN QKTPVSIAELSERTGMTADDVVSGLEALRALVRDPVTRTYALRLDYDYFEECIRGWES KGYVTLNPNALVWTPYIMGRNNQSQFDRAPIHTVAPREGLEEDDDERKELVEEASKQL EASKRNSQALVNGISSAEVAGTLHEPAGPPSIDSLSNTNGVHHQTSTGAAGQKESGPL SNVPAWRFEIYPPVQAPVSKKRSGRPFGAKSFQKTSITPTTTRTSGRTTPRKAASLST ITPTANEHSVRRGRSAKLFDSPSIGTENVATNGIEPDQLDLAGETGINSGQEAVRFTV GEQGTPDLSENPSDAQIPPTANGVNGSKAVEEQQGPVTPSKGKIVEGRAKLTRSASRK SVVEKIEMLIPAEGEGVAVPDDHGSDVDAEGDIDMEET ANIA_05639 MEIPPDVPSCALTCLISAVGNSTCSFNDLDCVCGDAQLNAQSTA CVLGSCTVMESLSAKNMTYTLCGWPTSDDTHVFPVTNIVGIVVAIISVALRLTSRALD KRLGWDDLLIFIALLFAASISGIGLKLKDTGLGKDIWTVPFEDIRRTLKLFFIEEELY CICIALVKCSMLMLYLRLFPNRGLRIAVFVTLTCTLLWGVGAFFVLLFSCRPISHYWN SWDGEHKGSCLSHNDILLAHSTINIILDVAITIIPMPIVLKLHMPVGKRLAVLFMFGV GLAVTIISIMRLVETVGFNSTQNPTKDFVPVGIWSLLEFDVAILCACMPAMRTLFIRL VTKPTDTYAYGSNRYNYKVSGASVSQTANSSRARQSQHISSKALPSTVTTVEGVRLEQ EFIRLEEVETESGSLKQDTHNSYEDPRTRSAAHLVRKESS ANIA_05638 MEDLLSRHRKEQKDLQARITQKKKSATKKTRRGVNDECERLQRE LSERHQAEIAELTGETIQHQSEPPVDDLENLSLNGDSATNGDTKDLGSTPNGTAGEST TPNSASASASISSGSSSPSATSTTTRTKKPNRQKARLARRAAEQAAAAAAASEEASQL TNHRGNEQEAMDAAFKKLNLKEIEINPDGHCLFSAVATQLDELGLGLRPDPSRIVIGP TTQTRIDTVASPKHDGYRAVRAVTADFIAEHKDEFEPFMEEPLESYTRKIKLTAEWGG QLELQAIARAYGVDINVVQGDGRIEKIEAGDVEEEERAKRVIWLAYYRHTYGLGEHYN ALTAKA ANIA_05637 MDLQSLFDVKGKVVLVTGGAKGIGRMISEGYVTNGATVYISSRD AKACEQAVKELNALGKGKAHAIPADFYKEEDVKKLAEELAKRESKLHVLVNNSGSNWG APYDEYPSSAWTRVLTLNLHRVFDLTKLVTPLLEKAAAPNDPARIINIGSIDGLRVPA LETFAYSASKAGLHHMSRVLANHLGKRNITSNTLACGPFQSKMMAATLKNFREQIESG IPLKRIGTPEDVAGACLFLSSRAGAYVNGSTIAVDGGSVVAAKL ANIA_05636 MWKISINFILLHWLAVFYPYGNVSAIDSYFFGVSSSTESGLNPY ANLGLYIWVVDLKDLKTYQQLFLYFIPIVSNLGFINILIVVIRLHWFEKRLKEIAPSV LRQRPEVSAIKTTQFDQEAQSVSNAEPTKPAGNQGVQENKASEKVRETSIQAGASTKG SLEESQPAVSFSYTSKALYIPPPRDRDRGCPIIEIDHTPNKDDSANDTDIAVGDVRAR RRLSDASIHQVGVLTFAQTSRKHRDTGTAVSLSKDMSLPGLSSQATLGRNSQFLNLTT RDREHLGGVEYRSLRLLLKIAIGYFLGIHLIGAVCLAAWIQNADMKYRNYLDECALNP VWWAFYSAQTMSNNLGLTLTPDSMVSFQEATFPLFVMSFLAYAGNTLYPCFLRLVIWT MFKLVPGESSLREPLNFLLKYPRRCYVLLFRSRPTWALAGIIFILNFVDILLILLLDL DNPAVNSVPAGPRVIAAIFQAASARHTGTASFSLADVNPAVQFSLLVMMYIAVYPIAI TVRASNTYEEKSLGIYSPEPETDENGKGYILTHMRNQLSFDLWYIFLGIFCICVAESK RIMNPAEPGFSVFAIFFEAVSAYGNVGLSLGYAGVSTSFSAQFSTFSKLVVCALMIRG RHRGMPSQLDRAVLLPSDRLVEDDSVTMYSSKGLWLEPRSLKVRRYHTR ANIA_05635 MDDSALPSNTSNGINGRTAHRRSSSGGDPFQHPDIYYGNPESVE RIKNRRRAFSSSLKSFNRQDFHEMLGDRNTRRGSMDPTSGNPRKFLIDVDATLHSLLE REDSDRNMQITIEDVGPKVFSLGTAASHGYNRFDVRGTYMLSNLLQELTIAKDYGRKQ IVLDEERLSENPVSRLSRLIKNSFWNSLTRRIDGRNIEVAGRDPKDWTDDPRPRIYVP PGAPEQLEYYRRIAEEKPELRLDVQELAAEITPEYVRDLNEKPGLLALAMEEKYDEKT GKTDFAGVPFVVPGGRFNELYGWDSYMESLGLLASNRVDLAKAMVINFCFCIKHYGKI LNANRSYYLTRSQPPFLTDMALRVYDRIQNEPGAMDFLRHAILAAIKEYYSVWMAEPR LDPVSGLSRYRSPGIGVPPETEASHFLHLLTPYAEKHGMEFKEFVQAYNYGKVKEPEL DEYFMHDRAVRESGHDTSYRLERVCGNLATVDLNSLLYKYEVDIARVIRVYFKDKLEI PVEFRTPATKDIQSESSSVWDRRARRRKMRMDTYLWDEEKGMYFDYDTVKQERTNYES ATTLWAMWAGLVTPRQASAMITKALPRFEEFGGIVSGTEESRGAVGLNRPTRQWDYPY GWAPQQMLAWTGFARYGYQEEAERLAYKWLYMITKAFVDFNGVVVEKYDVTRPIDPHR VDAEYGNQGVDFKGAPREGFGWVNASYVYGLEMLNAHQRRALGAVTPWETYSKAVSAQ GSDTVLENRSE ANIA_05634 MSYIEEEDQRYWDEVAAVKNWWKDSRWRYTKRPFTAEQIVAKRG NLKIEYPSNVQAKKLWGILERNFKNKEASFTYGCLDPTMVTQMAKYLDTVYVSGWQSS STASSTDEPSPDLADYPMNTVPNKVNHLWMAQLFHDRKQREERMTTPKDQRHKVANVD YLRPIIADADTGHGGLTAVMKLTKLFVERGAAGIHIEDQAPGTKKCGHMAGKVLVPIS EHINRLVAIRAQADIMGTDLLAIARTDSEAATLITSTIDHRDHPFIIGSTNPDIQPLN DLMVMAEQAGKNGAELQAIEDEWLAKAGLKLFNDAVVDAINNSPLPNKKAAIEKYLTQ SKGKSNLEARAIAKEIAGTDIYFDWEAPRTREGYYRYQGGTQCAINRAVAYAPFADLI WMESKLPDYKQAKEFADGVHAVWPEQKLAYNLSPSFNWKKAMPRDEQETYIKRLGALG YAWQFITLAGLHTTALISDTFAKAYAKQGMRAYGELVQEPEMANGVDVVTHQKWSGAN YVDNMLKMITGGVSSTAAMGKGVTEDQFKS ANIA_05633 MNCPSRTDDTLGHPGWNQNPPPLNADATTREDFNGMANSKVRRG HISGLGEGGGISVLESPVPVPNSRDESALKAGAAGGAVSAYSRHQPERNSDSPRRSFP AFPHISSIPARVSQSLLKFARFVGPGFLVAVAYIDPGNYATDVAAGADFRYALLFIVL LSNLFAIFLQSLCIKLGTVTGLNLAENCREHLPKWLTIILYIFAEAAIVATDIAEVVG SAISLNLLLNIPLVAGCAITLADVLFILIFYRPNGSMWGLRLFEFFVMALVLGVVICF CIQLSLIKEQSIGDVFRGYLPSPAVVQSNGLYQSCGILGATVMPHSMFLGSGIVQARL KEFDVISGYADPTVCIGSTNGEVEYRPSLRAIRGCMKYSIIELALSLFTFALFVNSSI LIVAGASLYNTPSATDDADLFGIYNLLSSSISKAAGTVFALALLLSGLSAGIVCTMAG QMVSEGMLNWSIRPWLRRLITRSISIIPSIVIAGAVGKEGLNKTLTASQVVLSVILPF VSAPLVYFTCRNRYMTVPMERVHDADADIDTDEQTQPQNAAEGDDNGGSATDGVKMGN GLLVSAIAVLVWVIIAVMNVALLVLVGMGKA ANIA_05632 MNRLFGTKNAAPKPTLEGAISNVDNRIASIDVKLASLNTELSSY QSKISKMRDGPGKNALKQKALKVLQRRKQYEAQREQLSQQSWNMEQAGMMQDNLKNVM TTVDAMKTTTKTLKKQYGNIDIDKIERMQDEMADLMEIGNEINESISRAYDVPEDVDE AELDAELEALGEDSLFESSMGESAVPSFMQDEVAPPQFIDEPPEQTKVKEPATGLG ANIA_05631 MFWRFGGYASVSAIDSLLDKPVVSLEELLDESELIQELKQLNTK LIEYLREDDVLKKLMDYVIAPSLVNDDEDDGDADTANQKEGSRAKDDDSAAGEKETDP LKDILDPEDLERAEKERLKRAYVACEILSSEVWSILDSIMANPEHLRGFWSFIRRPAP LDSIQASYFTKVNETLLDKKTGEMLELLKSMDDIIPAILQHVDNPMVMDLLLKLISLE RAEGGQGIVDWLKSQDLIPRLLSFLSSEWPASVQTSAGDFLKAIITISANATQNDQSC IGPNSLTRQLVSLPCVETLVNAMLQGGNTLTVGVGIVIEVIRKNNSDYDPEHLNGPDS IPTMYDPIYLGTLLRVFAKHIPDFVALISSSKHTVVDGGKMKSVERGRLSSAWGAKIE PLGFDRFKTCELMAELLHCSNMGLLNEPGSDAYVKQRDAERERILKEGAFDQHHEGTS TFGGNDSTVDFVNGSVAGFGSPDDSRDLDATNAGEECFEDVSSSTVLVDKEKEPSSKE GDSNLEPSSAEQTPPTTGDQPETEAKEKTTAESKTSSDNGLSPTDDLASQINKMSLET TPVNDENQKPTESTETETGPVSASAPMSSNPDAVPAPLFAAKEQNQAAAVATADAPHQ VKPSEAVGDISEKLPAEETQGDSQQSLHPDVQIDTNGQPVVGDYLKIMFAENKVVPTI LDFFFRFPWNNFLHNVVYDVIQQVFNGPMDRGYNRILAIDVFETGRITQAIIEGQKRS DETQRSKRIRLGYMGHLTLIAEEVVKFSERHPPELLSTTVMDNVLNPEWIEYVEQTLS ETRERDNAILGGVRPDISIGHRQGMLGAGQSSALADAGLNGMAGNQNFQGFDMVNQGS VSGGAFGAGGNSLLSGFASSSDDEDEDMEDLDDRHLAEGTAESGTENASSQPIPILPP PPAPLSLGPSRARRQLAARLAAQKQAAENAEGGETEDEKQRSTEFQWPSDTFGGQESN AGPSSEFPAANASSPTFPERRKERVPLEVDDDDDDMGEMVGPSMDSHMMDSDEEEDAI LNESLGYPELGPGQYKSFRSSRLGQSPFGDDQNDSSEGEDEGLVEILVPGKKPQ ANIA_05630 MARVRPFLHSPAESNLEVYTTLLEWMRDHGGSLHENVYISYDDS RGTHIRVKEGGVSGNTHIIKTPVATTMSYLNAIDFHAGDAHFPAHDVKFPSSFIERVG SEEVAIFFLIGQYLRGPESFWHPYIRTLPQPGSLTTLPYYEEEEDLEWLEGTSLLQAR KRKVALLREKYESSSNELRESGFQDAERYSWDLYLWASTIFVSRAFSEKVLSGVIPEH EMPENTSVLLPFIDILNHRPLAKVEWRAGLQNVDFVVLEDVSVNEEIANNYGPRNNEQ LMMNYGFCLANNPCDYRTVSLRAPPGSPLQFAREQQKQLFPNSSKNDIEDPFYVFNVF YPLLAPDIPMEHSVFSPALFNAISVLSANQRELENLEISEHAIQISNTYGNSRAALSA LSQIVIELITHIVRLKSSEPAEPKQPRNLKQKHAKLYRESQISLSESALVIASWSVQR ARTHGLQGSWDETKRLLSQHMSRIPQGKFPELVQSRIQVRILERPSILLHSGELFSFP ELLSLLQHREADVLPTAQKCFDTILRTASRRIPALRGIDKNASPFRFPLFACFVVAVH TTNRHKHSSVSFHERQSFLPQRLSHWASFLLDHYLPPPDDVAWALEDEDDEGLVSEFD EVLGELRERNKDLFENLEPFTGGWHGNADAWWLSPNWVRWAWMMTEQETVQVPEDPLA LLEGRYGEGGSLMLQTETYLYIPQE ANIA_05629 MISRAAAPSSTPLASLSSRSLRLQAPAARSFATVSDNAPPVHHH GGLKDQDRIFTNLYGHHGADLKSAMKYGDWYRTKDIVLKGHDWLISELKASGLRGRGG AGFPSGLKYSFMNFKDWDKDPRPRYLVVNADEGEPGTCKDREIMRKDPQKLIEGCLVV GRAMNANAAYIYIRGEFYHEATVLQQAINEAYQAGLIGKNACGTGYDFDVFIHRGMGA YVCGEETSLIESLEGKAGKPRLKPPFPAAVGLFGCPSTVTNVETVAVTPTIMRRGASW FAGFGRERNAGTKLFCISGHVNNPCTVEEEMSIPLRELIDRHCGGVRGGWDNLKAVIP GGSSTPIIPKSVCDDQLMDFDALKDSQTGLGTAAVIVMDKSTDVVRAISRLSTFYKHE SCGQCTPCREGSKWTLQMMQRLEKGQAREREIDMLQELTKQVEGHTICALGEAFAWPI QGLIRHFRPELEARIKEYSEGLGGQQPLAGGWHPNSRAEGKLISPGM ANIA_05628 MASERSGYHQHHDRACPSIEIDEILPTDETVITPTTTSSLCQCS SSALSNASLRATAPPAEGSAMPTLMPTTTMGPRRYSAFSNSQKRFIVAMVTLASFFSP LSGQIYYPVMPTLVENYHLSNALINLTITTYMILQGVAPAFMGTFADACGRRPAYFFA FAIYTAANIGLALQDSYPALMVLRCIQSAGSSGTVSFGYGVIADIATPAERGSYVGPM AAGVMLAPALGPIIGGLLAKFLGWRSVFWFLAIGAGGYLVSYVFFVPETARTVVGDGR LFPRERWRWSAAQWLQRKWKSASGSGFNDDHGAHFEAATMQSAFNGSGQASTTSRLRF PNPLRALYILLEPDALIIVSSIGLLMLTNVALLTSTPSLFSKIYGFNDLQIGLCFLPL GIGAALGAVLNGYFLNRSYRRHAQSLDLPLDPSIATTLQDFPIEQSRLEIYFPLIILT ILTLVPYGFVLERRANLSAPLILQFLNGFGTVACTNTLNTLLVDLFPDEPATAAAACN LVRCWLGALGAAVVDYMLSGMGWGWCFMFLGLLLLASIGLAWSEFVYGAKWRGSRRLR RERECESGRETIEIAEGEGDRRSGKG ANIA_05627 MSFGGGFSGFGSNNQQSSGFGTGSGFGTSSGGGFGSTSSPFGGS NTTSGGGLFGNASSSFGSGGGFGTNTQNSGSAFGTQNRPTTGFGSTTSGGGLFGSNNA GASTGGGFGGFGSTSTSGFGSSNTGTSLFGSKPAGGGFGSTTGTGTGTGFGTGTGFGS SSGSGFGGAGTAFQQGVPPSEGTGSTPFSPFTEKDTASNVMNHYQSISFMQPYNKYSF EELRLGDYNQGRRYGNGSGQAGAFGTSAFGGGSGFGTQQQSTGFGSTSTPFGAAATSA PSAFGSTQTTGGFGSGTSNPLFGASKPATSLFGGGASSGTTQPSLFGTTTNNTSTGFG SSTGGGFGSGGSLFGNNTNNQQQNKSIFGASTGTTGTGTGFGGFGTQTTSTSTPFGGT AATSSPFGGQQQQTGTGGFGGFGQNTNQTQNQTQNKGLFGGFGSNTQQSSTGGSLFGG GATNTGTSLFGQNNQQNQQQTGSSLFGGSNQQSGTGLFGGAQNQQQQKPSLFGGSTNT GSSLFGGNTQQTGTGLFGGAQNQQQQKPSLFGGSTTTGGSLFGGNAGTQNTGSSLFGN TQNQQQQQSGGLGTSSLFGGSQQQQPQPMQQSQPAPGSLQASLLEGNPYGNQSIFSGL PAPNTASPGPLATPLSSANKQKQRAPLPIYKITPNAANRLITPPKPRGYGFSYSTYGS PSSGGGTPNLGGSLLGTSMRGSFNGSLGRSTFSKSFSTSSLRKSFDPDSDSILSPGAL GSGSSRYSSSNLKRLTIDRSLRNDLFTRSASSPTANINGDDAGQSASKVRKKVSFEST ADNASGGEIVPVESQSSEPTPEELGFLRSIRKNGTPNGVNGVKPASENSANAEMESVS DKRLLTSVPEDNGRVSSASPGENASRLSFSPSGDPQPGEYWMKPTRAELSKLSRDQLK RFTGLTVGRERCGSVTFDDPVDLTTIDLDNILGGLVDIGVRKITVYPDESIKPARGKG LNVPSTLRIENSWPRGRDKKGPSPVTSGPLFEKHIDRLMKVHNTEFVNYETETGTWVF RVAHYTTYGLDYDDEEEEGESHNQSTLPADRDLTVGSEQMSTYSIDDSFASSVGGVDD DTFDFKKRKMVPGAFGAQAMETVEEREIGSENDDEDESFLEEGSTGSTEQDGEDVTES QQSGESELELDEGEEMDMAGTFPNLDRTVEHDDDEDMDPEFNQPSLKPWNTPSKARLD LSGDWAEQLQRTISPRKQNRDALREIQANAFSERPLNDDTPTKPAATAQRKGFNTSID LMNSLFQQPRKQGQPPLRQQKPQQKAGGFEWPYNKQPKTFAGESNEMSKDDLDFHHSF KPRWGPVDLLICAKTGMGNHQYGDQHWSEKFSVISEGRDIAILGSRRTAEPHEMVEIQ RKQSQITHIDGQPSAQLAQASFEPFTKSSHSVHSDKERVVWQLANILFNDDIEDDFSA SVPPQLRQKYLHRIKKDRLIRLWEGIVRERNAHAVSKAGSAEECAVYLLCSHRIEEAC TVLISSQNFHLATLVSQIGRDQTTQRDMAQQIKEWREHNVWSEMSEPIRALYELLAGN ALRSEGKSGGALEDRASTFTFSERFELDWFQAFGLRLWYGITDDEPLEVAVSAFAQDL KSGEEPAFPLPPHQDKDRGVWHTSTDNMGHESPLWVLLKAYAVTLGAAKGTGVPTLTL PAALLPESVTGDKLSNRLSFQLCQVLSAVLGQYERLEIDIAQMDQLVWDYAWELCASG NLAQVLFVLLHLSRASDRERAVKEVLARFAAELPEPITPEGSPDATWQQLTSDLQIPE NWIWMSKALYARNIGDAAREVDCLVRGKNWNDAHATFCRIVGPTAVIEHDYKTLETLL SGFGETPEQKVQGWASGGGVYEDFLRLITARGQDPQRLNRLVDALVTMGDVINGSGVE GLEERVAFKEMSRIVAGWTTQEDVKAMEMSRVLKLPLTGDARLVQTAEMSRRYYSVVM AGGY ANIA_05626 MSDGPIAPPKPAVVAEAHEVDTFHVPKAFFDKHPSGPHLKNLDE YKKLYEESIRSPDVFWARKARELLTFDKDFQTTRIGSLENGDVAWFPEGRLNASFNCV DRHAIKNPNKVAIIYEADEPNEGRTITYGELLREVSRVAWVLKQRGVKKGDTVAIYLP MIPEAIIAFLACSRIGAVHSVVFAGFSSDSLRDRVLDAGSKVVITTDEGKRGGKVIGT KRIVDEGLKQCPDVSTVLVYKRTGAEVPWTEGRDIWWHEEVEKYPAYIAPDSVNSEDP LFLLYTSGSTGKPKGVMHTTAGYLLGAAMTGKYVFDIHDDDRYFCGGDVGWITGHTYV VYAPLLLGCSTVVFESTPAYPDFSRYWDVIEKHKVTQFYVAPTALRLLKRAGDHHIHH KMEHLRVLGSVGEPIAAEVWKWYFEKVGKEEAHICDTYWQTETGSNVITPLAGVTPTK PGSASLPFFGIEPAIIDPVSGEEISGNDVEGVLAFKQPWPSMARTVWGAHKRYMDTYL NVYKGYYFTGDGAGRDHEGYYWIRGRVDDVVNVSGHRLSTAEIEAALIEHPMVAEAAV VGIADELTGQAVNAFVSLKEGNETNDQVRKDLILQVRKSIGPFAAPKAVFVVDDLPKT RSGKIMRRILRKILSGEEDSLGDISTLSDPSVVERIIATVHASRGK ANIA_05625 MSSTEESPAQRAARLRRERREAKIREGGASRLDKITSLSGRTPS KDRDQASPSPSPQPPSAIPAASPEPRPTPQQPQQIPRQGPPQPSAEDPTPDALREQQE ALRALLRQPTPSQQDTGEDEDPTLKLLSSLMGGMPGAAQGPGAGFGSGAGSEPSGGLP AGFFSSLGLPPWMADALGSASKPQSEEQKKALLTWKILHIVFSVAIAIYLCFLTSSAV ATYGKQPPPPATAQNPFLLFTTGELLLGGARVTMKNSGPPGPFMYIQLLRDFIRDGSI VLFLFGMGSWWHQGW ANIA_05624 MPAHPKGSSSPTQHRVGEHWSGNNPVPTIGRFIERLQADQQDRE AHQKASASKTHDEQTDENAVPHRPQKVGKGRTREVTDPTTGREIEVEDLDESAMEPVK NPKLVVPNANLGKDTPVRSDSNQPLSEYKENQDITAPPDPIAEGTTSDVPIHGERTNI LFHPTPTVSFKPMFENLEKRGASLCIGIVAGIIVLGRTFGASYWGLFPLAFCIASGVW LWVQEVIRSGREMEWSSEQLRGQVATANLIPESVEWMNTFLGIIWGLINPDMLAPISD TIEDIMQASSPGVIENVRIAEIDQGNNPIRLLSLRALPDENVQQLKENASRDNRENKD PDEAAALEEGGSFYNFEASFAYHAKPTGQSASAKARNMHMQLVFYLGVRGLFGVPFPV FVELIEMVGTVRGRLQLVPEVPFVKDLTFSLAGIPHIKAGCMPMFKTGVNVLNLPLIS NFVNYAIGAACGMFAAPKSMTMDLSMLAKGDDIVKETQALGVMWVRIHRAVGLSKQDK RGSEGGGSDPYINLSFSKYGKPMYCTRVITDDLNPVWEETAALLVNAELIKANENLSV ELWDSDRHTADDIVGKVELPIREMIQHPCRMYQHVSKLQGMNEGSEMPGELHWEVGFF GKPKLRNELRTDGKVKDLPENLKADKQFEDDKGVITTEEEEAVTHTPPDPLWPSGILS VIVHQIVNLQLVNVKGSNGNRQGREYEPAKPYGENTEEQGGELPTSYCKIMLNDELIY RTRAKALSSKPIFNAGTERFVRDWRSAVVCVTVRDQRYREHDPILGVVPLKLSELFQT SSQVTRWYPLDGGVGFGRIRISLLFRHVETKLPPQMLGWDVGTFKFTGNLTAQGLSQK TKVRVRTGGSSSKIPRHVCSVNADNSVSFDISNIDLRLPVKHRYRSPVVFEFVSQGKR HHTGYATLWLQHLVDNNDTPIDIPIWQTKNGTRLTQNYITEDNLEEKRSPGLEDIQEV GRLRFSCVFTPGIDESHEHFVVDNNSRETFETWEACMAEGARPRHIEAEVPERTEQMH EKSLLQGRDILKVADPKERRRWIDKEGRDWSGAFGQDPLAQIQEQEKSGKEEPGQEDG LMHLPDDDSHSDTSDSSNEHGREHKHGDTANAIVEPSNPIASDPVSNQDTQATPNNGT PPSSSYTQRTSTGTSQISTINTADTASSSTTSKRAAKRSEQRQQRGLMQWKPARNAQF AKDEAKYAFRKVRQHFSGDLSGREPDIETETGS ANIA_05623 MQSATDGLQPYSSMAGKLDQKLLQALNVLGFEYMSPVQQRVLTE LPTWRSDCLVQAKTGTGKTVAFLLPALHCLIQGQSQVRRGQVAILIITPTRELAQQIA KSCDELTSQLSRPLECHIAVGGTARASAHSRFMNGDPSILVATPGRLKDYLSESETAE KLKDIQTLVLDEADTMLETGFLADVKQILKLIPSKSTGWQGMCFSATLPPKVKDVVSV VLNPGYTSISTVDKNEPPTHERVPQYYVLMPSVAETFTTLSSLLAHESKKNTKIIVFG VTANMVALSHSAFANGLTPLKVFEIHSRLSQSARTRTTSQFKEADAGILFASDVIGRG MDFPNVDLVVQVGLPSNAEQYVHRVGRTARAGNDGRAVILLTESESFFLKANRHLPIQ PHPDASSILANATSCSPAVTQAMYAVDETTKQRAYSSYIGFFAGSGLMKQLRLDKPGL VQLANELAIKGMACPEPPPMDKKIVGKMGLKGVPGFNYASAEQLAAARPKHRGPPHAK ANGNGTGTNGTPPHARARDAPSAGTGRGERNGGEKRRGGRRGGRAGRGANGGRGGQQS V ANIA_05622 MPGTLALATAQLAIILDRPLDGKPHRPGDTIAGRVYRTAPGVAP EAKVTVTIRGRCKSKINIRRGNSTSVYRTNFDALSTPTGVETHVLTLGQPLHIPQGSP GESWPFAIKIPDLVYDIRDEWQQKYFTAPGGHLDTPFPPPASYVFTGSSLMGDSCYAF TEYWVEAHIELLRQHKGRTVADRHTAIAPFPLRNVHLGPAITEFNMREFKRHHTTWAY RLVPGTTKISFGQKTRQLFASSKVPKIGLRIRLSLPHTLQVGNEQTIPTTLHIDPDLD STSLAIHGIQQEILITAVRVKVKPTTTVQAERHDFSKSTESVSLVPPGNGNRPLRMVV TPGGKASEPLALGEILGIRLPAGCLYPNLITYNIVRSHELKWELDGEIAGSAFKLGSW HPVRVLPEPDVEGPPRYAP ANIA_05621 MLATQMPPPASHLRTASSSSGAEAAASLPVMSPALPSQHQHSTA PASHPHSSMSCGLTSKAPNRPALSRPKLTLQTSSLPMSFSTSSTGLSLSLATGPTASP TVRNTFKNAYDVTYPSSATISPSRSTGNRFSKPSSPYTPSNPYQLPIGVKSILRNSYL ESSKRRANSVGPSNGSSGRRVFFPTKKQVSYRYPLEEEIKTIKYTAAHSDLVSDSESG SCETSSDDDSDYSTSSLVSSDTTPSDEETNTLEKKKKKRKYRSNERQVRAVALMEGLK DPYSSQSQTPQTPRQGRLKRRREWRWTLGPLEEAGTATDSSQPGSGSPAPAPSGSEPH RDPGEPSTPAPNATSTAPSQPPPQPLPVSLPAHHDTSDANTASSRGTEESDPKPPQ ANIA_05620 MAAVPLRNDERIILHFDYDCFYASVFEAEQPILKTLPLAVQQKQ IVVTCNYEARRRGLRKLQLIKEAKQICPDVVIILGEDLTKFRDASKDLYLFFRRSIWG ERVERLGFDEIFMDVTDMITYNAGLLNPNDLTHSFFHLDSRDPTVGFAFDATAVYGRT YPNDRSGKPLSEADTQLHLRLLLASHFAGYLRSQLENQKGYTATAGISTSKLLAKVVG SAHKPNNQTTLLPPYSSTGDCESNVLRFLDSREIRAIPGIGSKLSRKLISHITASDQS AVENKVTIRDVRAFPGMGPSLLERILGGPGAPRDIGVKIWGLLHGVDGTQVGEARDTP TQISIENSYGHLDTFEAVRKEMLSLAASLIRRMRMDLTERYSDAEGSTSLGPRLREET KARWLARPRTFRLSTIPRSTQGSDHTYSRRSHSASLPQYVFNLDEPIDALAERVVREL ATPMFRKLHRERTGWNLRVLNLAVTNMAEIAGDGKHNDGRNISKMFQRQEAEPQDTLP ASERTWTQSELPVLPSTLTVASEALWESDGEEESMPSVQCTTCGASIPHFALAAHKVY HSTSENN ANIA_05619 MLTRDEARSQTPSAIRRVSSLEQPAIKAPSRTDRVDHLSHFDIT FTIRDKKQRIKLELEPNYDIIADDAYVQYLGADGKVHTEEPIARDKHKVFKGRTLIGR GKGQGMWEPVGWTRIYVKEDGPRPLFEGVFNIRGDNHHVQLQSTYLQRKRPQDVDIPR QDGEYMVFYRDSDMLRAETPARVDLKRSLSTDDSHELESRWDMVGSTCQADKLDFNSD PNHPVLHSFTETKSSWASMPMADLFGLGFSKRDDINTVTGNGGGVNLQATIGDTSGCP STKKVALVGVATDCSFWEKFDGRDDVQQSVVSMVNSASDVFESSFNISLGLRNLTITD RECTETSSAVQWNMPCSQGNITQRLDLFSDWRGEQSDNNAYWTLMTDCNTGPEVGLAW LGQLCNNKASGSVSGTNVVVSTGAAGWQIFAHESGHTFGAVHDCTSQSCSQNQQSSST QSGCCPLSTSTCDAGGDYIMNPSTSSDVTKFSQCTIGNVCSALGRNTVNSECLSDNKG IVTITGAQCGNGIVEEGEDCDCGGSEGCGDNKCCDASTCKFTEGSVCDDANDGCCTSC QFSSANTVCRASTGVCDIEEKCTGNSSTCPTDTYKADGDSCGNGLQCASGQCTSRDEQ CRTMLSSEIGSNDTTACDDSKFPSCQIYCSVSGGSSFGVIQCMTNAQNYIDGTPCENG GRCRSGICEGGKSWLEAHKNIIIPVVAGVGGLILLAILVCLFRRCRRTRYTMKPIPPV ATAYSPWPRRMPPQPQQTPMRRLSRSPGSGYGQVPQAPYPSPYGHYPGPGPQRGPGPI PSPYPGYSGPGMYEDPPPGYTPTVRYA ANIA_05618 MASSGQPFGSRSYNTGKLPDRSMNQNAIPFSASSFSRRGLGATQ SEFAPDAAKSTQQATQQQVHAQTHNPSQESNPLNRLTEEQREEINEAFTLFDLDRDRH LDYHELRVAFRALGFTLPKQELISLLTTYGVPRPQVQQQAAAQQSQQQPKTAPTTNPQ HPSNLLMPLSAFQAVTAVKILERDPRDEILRAFELFDEGGKGYIDLEDLRRVARELGE TGLEEEELRAMIEEFDLEGVGGVTREAFVSICWQ ANIA_05617 MVSCPICSKSVSSLKINDHIDSDCQQFIEEPTSSSSDPSPSQKA PVPAFFQPSSTKKASTQRDTHSVASPLRNPSGKRPVTQNGGLDDTAARLQADEASEPV PKKPKVNAFQRAAPLAERMRPRTLDEVCGQELVGPTGVLRGLIEEDRVPSMILWGGPG TGKTTIARVIASMVGSRFVEINSTSTGVAECKKIFADAKSELGLTGRKTIIFCDEIHR FSKSQQDVFLGPVESGQVTLIGATTENPSFKVQNALLSRCRTFTLSKLTDEDIRSILN RALKTEGPNYSPSALVDDELLDYLAKFADGDARTSLNLLELGMNLSKRPGISKDEIKR ALTKTLVYDRAGDQHYDTISAFHKSIRGSDPDAALYYLARMIQSGEDPLYIARRLIVV ASEDIGLADNSMLTLAISTHAAVEKIGLPEARINLAHATVAMALSPKSTRAYRGLNNA FAALSEPGIAGLPIPIHLRNAPTRLMKELGYGKEYKYNPNFKDGKVVQDYLPEKLQDR KFLEDLDLGTQIDPDLESRK ANIA_05616 MSATTARSDPFKPAARVAGQRQDVWSIVNEAAAASPVQPIVNMG QGFFGYNPPQFALDAAKAALDRVDCNQYSPTKGRPRLKKALADAYSPFFGRQLNPDTE VTITTGANEGMLSAFMGFIEQGDEVIIFEPFFDQYISNIEMPGGTIRYVPLQPPKDGA TKTLPASEWSINFDELERTINPKTKMIVFSRDELERIGDLAVKHNLIILSDEVYDRLY YVPFTRIATMKPEYYERTLTVGSAGKAFYATGWRVGYLIGPEHLIKYVAGAHTRICYS SVSPLQEAAAVAFEEADKQGFWDQSREEMKRKMERFCEVFDELNIPYSDPEGGYFVLA NMASVKLPEGYPFPPHVASRPRDFKLCWFLIHEVGVAAIPPTEFYTDANAHIAEDYLR FAVCKEDDVLETAKERLRGLKKYIVR ANIA_05615 MSFLLTAYSQRAEASSSLYCIRLNVQMAPRKKTSSHAVPWRSGK KAGKKGNVRNRNQMKASPDAPASPDVTSKFEEPSVQPSPLESECEGKDEFHYASSRPG DQIESRSPKAARLRRRSHSLPSTSQSQIASHDDSESASAGNNTANDFQTHTEAIYLLR ARTLSGSSDPGPPSEAYLQSSTENKTFVSAEDTPGSASEGTMAFMKASLLDFMSDKRK KNKSKGKKKGKNVPVEEHGENSSTPAKNNTGSSVTVEEQAGELSEAFEKGNSAELALR EKGTSQDCYEAGLAAEKQLINDQYPNDNAKGKGKQRVEALETEASDNNTTLSPLEQPS VQRKVHAADNATDGAETSPSNDGRLPSIESSLGQKNTDASKAVTEEPKSCSEKDATLP SSEFLSVQKHADPSKAQTDEPKIHTDPRNSGATTSASELAEPSSPELSATQKTTDADN AVAGEPKVPTGTENSKSSTSLFGQSSVKHTSPMIEKLPVLIEGEIQHDSVPIAGSESP VLRASTPRSSGTASNSTAWTPSASASTPRTTTAASAPSSAHRTKDKGRGKVAGNVTGL APPTAASASGLSPQVISNHAHPSPSASTSSRSISSSTTDIEHRGRGKITSKKPSNFFW QLDSHGFPCAKTGCSARCNLWDGATVICPKCGPYSETRYCSRAHLLQDIKAHWTICGQ AVFTYPCKESTIPRDVREAPPLIPCLYGFDTPERHRQAVHFNMNHREGDYFIFSDWVD MVNKGALKKSDNDKEKVKLRCSSRIIHVVRFEDAQERDRFRRVLAAVLFGLSPSASSH TLCFKGLYNNWYKVTIENPAITDYLYRLIRDHIRSTTNDSPVNPLLSLESSLKYQMNK EFSITIQPCITGKRHACPTDWTGRSRRFCTDEVCRSEYKPLLGQRSGGGHKMRIEELE SSYWILRAARITHPSVPNALERMIGKGFLEEDGHFGVEEEDRKIYCRGDGWDGAGAGD MDIEGVTC ANIA_05614 MIFSRSARSIFPLLPPYGAHDPQGGRAVPLQPDDITPYMGLRAR LSQIWMNRWTILLLLVLVRVLIAIASLNTNMDSARREALSACTSVESMGSAMASMPHY SARGINELTASGVETAVSALKTMLTLVVSGVEELIVFFIKMMYQTYLCLITMAVRGTV DVGVGLLKDASDFLNSTIKSIGEGISDATQTFEDGLNKFVDGINIVGSVFGGDEVPDL DLSSFIEDLENAQLPSSIDDGLDKLNDSVPTFDEVSEFVENIIRTPFDEVKKLINESM GTFTFDRDTLPVPAKKQLSFCKGSDGIDSFFNNVSDIAETAKKVFIAVLVIAAVLVCF PMAWQEIRRWRAQKERSQLVRKEAHDPLDVVYIVSRPYSAAAGIKAASRFSNSRRQIL VRWVIAYATSPSALFVLSLAIAGLFACLCQYLLLRAVEEAVPELSAEVGAFADKVVAS LDNTSAEWALSANSAIGDINTELNDKVFGWVNSTTTGVNDTLNTFVDKTTGVLNDTFG GTLLYDPLKDVFDCLIGLKIAGIQRGLTWVHDNAHIDFPELSNDTFSRGAAESLSDDN SSESFLSDAGASTSNKITEVVFRVTSAIESGIATEALISGAILLIWFLNLLFGLIRAL SLFRSHDRNRGDGGPGPAANLDPNDGFSDVPLTAIPNPHTTAHSAADSQSRSQPVPEY EPPSRNFASAGPPAAVTAQTTYEDEKLGFAGQRRNALKVSVIDARASSYPEFGDEKR ANIA_05613 MAPGVLLQPSQSELEAASPPKAAASLLQLTEEWDDTIRFYLNGT KVILDSVDPEITLLEYLRGIGLTGTKLGCAEGGCGACTVVVSQINPTTKKLYHASINA CIAPLVAVDGKHVITVEGIGNVKNPHAIQQRLAIGNGSQCGFCTPGIVMSLYALLRND PKPSEHAVEEAFDGNLCRCTGYRPILDAAQSFTSPIGCGKARANGGSGCCMEEQKGTN GCCKGSSEETTEDVKHKFASPDFIEYKPDTELIFPPSLWKHELRPLAFGNKRKKWYRP VTVQQLLEIKSIHPDAKLIGGSTETQIEIKFKQMRYGASVYLGDLAELRQFAFHDNYL EIGANISLTDLESVCDQAIERYGSARGQPFAAIKKQLRYFAGRQIRNVASPAGNLATA SPISDLNPVFVATNTTLVARSLDKETEIPMTQFFRGYRSTALPPDAIISSLRIPTASE KGEYLRAYKQSKRKDDDIAIVNAALRVSLSSSNDVTSVSLVFGGMAPLTVSARNAEAF LTGKKFTDPATLEGTMGALEQDFNLKFGVPGGMATYRKSLALGFFYRFYHDVLSQIEA RSSDLDNSVVAEIERAISTGEKDNEASAAYQQRVLGRAGPHLSALKQATGEAQYTDDI PAQKNELYGCMVLSTKAHAKLLSVNTEAALEIPGVIDYVDHKDLPSPRANWWGAPNCD EVFFAVDKVTTAGQPIGMILANTAKAAEEGARAVKVEYEELPVILSIEEAIEAQSFFE RFRYIKNGDPESAFRDADHVFEGVSRMGGQEHFYLETQACVAIPKAEDGEMEIWSSTQ NPTETQSYVAQVTGVAANKIVSRVKRLGGGFGGKETRSVQLAGICATAAAKVRRPVRC MLNRDEDIATSGQRHPFYCKWKVGVTREGKLLALDADVYANGGHTQDLSGAVVERSLS HIDNVYRFPNIYVRGRICKTNTVSNTAFRGFGGPQGLFFAESIISEVADHLDLQVEQL RILNMYEPGDMTHFNQELKDWHVPLMYDQVLQESEYFERRKAVEEYNRTHKWSKRGMA IIPTKFGISFTALFLNQAGALVHIYHDGSVLVAHGGVEMGQGLHTKMTMIAAEALGVP LSDVFISETATNTVANTSSTAASASSDLNGYAIYNACTQLNERLKPYREKMPNATLKD LAHAAYFDRVNLSAQGYYRTPDIGYTWGENKGQMFFYFTQGVTAAEVEIDTLTGDWTP LRADIKMDVGRTINPSIDYGQIEGAYIQGQGLFTTEESLWHRTTGQIFTKGPGNYKIP GFRDIPQIFNVSLLKDVEWENLRTIQRSRGVGEPPLFMGSAAFFAIRDALKAARKEWG VTDVLSLVSPATPERIRVSCADPIIERARVKAEEGEKSFFVAI ANIA_05612 MIARRSTSLLAIVFFVAVILVIFSSSPKPVPEAVSEEISAAAKY VPKFPSLNDLHLPTFQPPAHKPPELQQDSSSGDSKWFSHWEWLNPFSSSITLDENRSV LPPLPNRPYIFTYYNPKKGSDREEENADAQLLFAWRRAWYAQGFRPVVLGRAEAMANP LYESTKQLDLSLELEEDLLKWLAWGHMGDGLLADRLCFPMARYDDATLSHLRRGADSD FITRFDKIHNALLFGKKSVINAVIEKASKEFDKATKALTDLIPDDLLKSEQTNSLALY DSATIAAYYHELTAEAIPSPSVRRHALVDLINSHLQNTFVNSFPGGIAVLKPYAEHTT ALVEPALRLAKALGQCPDSVAPTSCPPNLRNCHPCNTHKPMKISQPATYKNTTQVFTI GILPHPYTFVSLLQNSSEVTTRYIRRETSRDAWLKEVTGDQMGRQLGGGARAVLFKKV VADEPAIGTSLWMTVESLPAEAGQALPSELLDEFEWQFGFRIPRDSNVDAKNEGDAKE SMQHANPSKKGVEREYTIIQGARDMLKRKTDSNRVNIRGVAEAWNMADTEVWRFVKAY RARSIVERKKWEEEEKSFFGARPKI ANIA_05611 MTTQDPFTAALSQNKAWAAKVAREQPDLFRKLSTGQHPEILWIG CSDSRCPETTLLGLKPGDVFVHRNIANILQPSDLSSTAVIEFAVRHLGVKHVVVCGHT KCGGISAVMANKKLGILDSWLSPLRKLRDDNSDCLKSLPTDEALLKLVELNVLAGVKT VKQKSVVVEAMQKGLKVHGLVYDVGSGVLQELDTSASDKTVKKRLTLFKKDF ANIA_05610 MGAETVPLQERLERWAQRLQNLTVSPLTRDYPDNQNQELPKRAI EAFESLKLPNETASAVKNISGAWSEFTVFLTAFVVLVARLTGDEDIAIGTSLGDDGRP FVLRVPIDPSETFLQLYAKVQKAYDEGSAEIVPLGSLRSYIQEKSQSERTPVLFRFAA YDAPAASQEYPANTFETTDLVVNVAPASTSDGATELGAYYNQRLFSSARISTILSQVA QLVKNATSDPETAIGRIDFMTPEQRALLPDPTADLHWSKFRGAIHDIFAENAEKHPEK LCVVETKSATSSHREFTYRQINEASNILGHHLVEAGVERGEVVMVYAYRGVDLVVAVM GILKAGATFSVIDPAYPPERQCIYLDVARPRALINIAKATKDAGELSEKVRTFIDENL QLRTEIPALALLDDGSLLGGSVDGQDVLAKQVPLKSKRVGVVVGPDSTPTLSFTSGSE GRPKGVRGRHFSLAYYFPWMSETFKLTPNDKFTMLSGIAHDPIQRDIFTPLFLGAQLL VPAREDIQNEKLAEWMRDYGATVTHLTPAMGQILVGGASAQFPTLHHAFFVGDILIKR DCRSLQGLAPNVNIVNMYGTTETQRAVSYFEIPSYSSNGGYLDTMKDVIPAGRGMLDV QMLVVNRFEPSRICAIGEVGEIYVRAAGLAEGYLGSPELNQKKFLTNWFVDPKTWVEK DAAESQGANEPWREFYVGPRDRLYRSGDLGRYTPSGDVECSGRADDQVKIRGFRIELG EIDTHLSRHPLVRENVTLVRRDKFEEPTLVSYFVPNMNKWASWLEEKGLKDDDSAEGM VGMLRRFRPLRDDARELLRSKLPTYAVPTVFIPLKRMPLNPNGKIDKPALPFPDTAEL SAAAPQRRPSALKNLSETEQALAQIWASRISNVTATMIGPDDSFFDLGGHSILAQQMF FDLRRKWRGIDISMNAIFRSPTLRAFAAEIDRLLSAESFTSNNETAANSTAAANEPND EYSRDARKLVDTLPNSFPTRTEDMLSAEPTIFLTGATGFLGAHILRDLLTRKSPSAKV IALVRGKSAEQALARIRSTCRAYGFWDESWTSRLECITGSLGDPRFGLTDASWDDLTN RVDAVIHNGALVHWVYPYSTLKPANVLGTIDALKLCATGKPKQFSFVSSTSVLDSDHY VQESERSIAAGGAGISEDDDLEGSSVGLGTGYGQSKWAGEYLVREAGKRGLKGTIVRP GYVLGDSKSGTTNTDDFLIRMIKGCIQLSARPNINNTVNMVPVDHVARVVIAGAFQPP VSPIGVAQVTGHPRLRFNQFLGALQLYGYNVPQVDYVPWSKLLEQYVNSGEHDDLESQ HALMPLYHFVTADLPSNTKAPELDDVHAAASLRADASWSGVDVSAGAGVTEELVGLYT SYLVSVGFLPPPTESGARPLPAVSITDDQREAMAGVGGRGGTA ANIA_10720 MENTIETPTGVDERKRNQSGTASDKEYGVRQTMSSQDEKRLVRK IDLYLMPLLIISYGLQYLDKTSLSYSAILGLREDLNLHGQEFSWASGIFYIGYLAASY PISLGFVRFPLGRYLSALIFLWGVVLTLHACAQNYAGLMVLRALLGIFESAISPGFSL ITGMWYTPKEHVSRHSFWFAGNATASLIGSGIAYGILKYTGGFSKWKMLFLIFGLITV AWSVFLWFFLPDDPSTARFLNPTEREFASLRPKKFQRTTQTKKWDKCQFIETMKDVKT WWFLLFSFVICVPNGGTTSLNTIIINSFGYDELQTILMGMPAAAFQLTTVILAALFTT YIRKSRLAAMVAIFLMAMAGILMVKLLPYDRKIPRLAGYWLVTAVAPAFPLMMSLFAS NTAGFTKKSTVVAFIFVGYCVGNFVGPQFFKSTEAPGYSTAYTTILTCYVISIVMAAL FRAYLGWANKRRDQAQGIHIDPEDHREIDLQADEELDHVDETDIQNQSFRYIL ANIA_05609 MGQSSGANNDPAPRPRRARVERGKRSRNGCSTCVSKKVKCDEIR PQCARCVRLHLVCGWPAPKPSLASRRRGYGPIKTRDSGLWTPSSIIPREDDCASVSQT GGFLTPVTQTGLTSPNAEGMAQSGDSPTGSSGHSMPAVDDSVYEPPYDDTLQWALAAA GSSLAETPLSMAETPGNDEERVDNPLNANAFELLNAPMMSPLLTATNLSFAHATGASR ALGSDDKQAVMFHCKVLAPSKSTRNWSCSAHTLFLNKAYNRSMALHFLLAVAHSELAI YYGQGPQAPQESREHFDRGSQMFLQARNPFASPDHISMMLSYLYMYMFWMRRDHLDPF KLQDLSRAVLVHIRTYGLDTLCASDDVLSLESTSAGVITVSEQVMLARIITYLYDRDG FCCFFGCGGQIADYMNSVPQKRQRIWLRSRAAFFLPLSEVGYHEASSEMEDAAILDVY FELIILHHDINVYSQASAAQAMTMKQNLQRRLETIHKYQAALFHQVADQAHGPQRTLM TYVAAAVFYALQIYFYRARESSFGSRPISIELQNALNSLVSAAYHATKAGQVQLLERF QWSLFIAGLEITDPVHREWVGNNLTDPAIREALDCILRIKQQSSGGITMQKIRSLIDK GSPMP ANIA_05608 MGSNISYQCSDCEVQLPGAKGKIKGLQFDNKSRRFANIPYSLPP TGERRWRKPQALPESYSYSRPEGGPFDGTKFGQVCLQPNYSASVKKNIPQHEYGEDCL RLNIWTPVPKDGEPTPAKWPVMIWFHGGWFQMGDPSNEPSMDPTEMISTGGLNAVFVA VGYRLNLFGFLAGVPLRDESPDGAVGNYGLWDQRLAIDWVYENISAFGGDPRNITLSG RSAGAYAVQAQILYDFRGNLPESSRNRFRRLVMYSNAIPAQPKTPDECQPQFDELCEY FKIPSASSGREKLRRLREINANDLCGAIMKLKHHTFRPVTDCVFIHPDLFKYYRDGSF AREFKRRGLRILIGEVLNEETLYAVTNGPEANFESLEAQVSNYYSPATTRRLLQYYTL PTSTEKKDWEAVFGRIISDGQVRAPSRFLVNNLIENGVNISDVWRYMIAYRFSFITEK VAPTSFGVSHAMDRPFHNYSLMHGPTPAERQFMHAWIRDLVAFVNHESDYVYGTEQVD EVKVATPQGRIEIQKDSRWAELLSLMAVFAGYS ANIA_09474 MATDNQMEYVTLGKSGLKISKVILGAMSYGTSEWQDWVLDEDKA LPLIEHAYKRGINTWDTADVYSHGRSEEIIGKALKTYNIPRNRVVIMTKCFYGVDDEG NLPSIAACAQNTGAMVNRVGLSRKHIFDAVDASIQRLGTYIDVLQLHRLDRETPREEI MKALNDVIEAGKVRYIGASSMAAWEFQALNNVAKMNGWHTFISMQNYHNLLSREEERE MIPYCLDAGIGLIPWSPMARGLLTRPWKSAPSLRESTDKAMNVLLKSRETEADEKIVR RVEEVAKKKGVTMAQVAIAWSLGNKNENPILGLNSKDRIDEAVAAIKVKLTEEERAYL EEPYMPKTVSPMER ANIA_09473 MLFNLGMTADAAAAHNSELASPETQLPMPSRHSSPRPFSFTNLP SWGLGSRFSADKPADPERPRFEQRRSMPITAIEPRSSSDEDLHSTAVPPPVRQLNQHK HHKPPASSRPKTTYQLAHPATNARHKRLKLRPKLLLQLQRVSPASRPVPVFDVLPSAL FMPRLARKVPAALRGKRGLGPNDLIVTTSDLYQPMLEVPDKNLSSDEENGDHREVVAT ICQPCKEDALAKGKAEICLNSGTVWEATPLPNGSYEFAANTDSGLMVLRWVRRGPKKR RVSAPPGSVFPEDSCRFTFSVIDPTTRRHPVIASMTRNHLEVYDRYSLPSTAPSSPTS AALSVISDGSEMDLALDQQVMETDEKLRLLITITSIWVAFREGWSHSFRYNDAPLNGK ATRSTSASRNVSAPTPGEEEAHKPASGDPSRRVVTWTAAASQPPASDRSTQFGSLSKR SNSTGTAFLDRANRRHSSMNRQSVASPRQSYEGSPEPKAIRLNSAHRSGSQQQEVREN TKLESTPVTPKAAHRSKQEGRQISIDGQLESPRPKGKRRHRLSNLFDFLIRKSGHHHQ ANIA_11486 MFCLISQGTQQKWEKLNLLQKAQKWLYDYLLAAWKTVLVKTCGQ TGEQSIQITP ANIA_05607 MDNPNSKTILTPDNFHNVHTISRATSPGGGPDGVNGDGEPKARV RPRTYPYFKYLPYQTEDEAQRARYLRDILTQLYIAVESGDFSPGAVHWTRELRAWLSL KFDPTRSDRIKLVKLYYELSLAPGIDPNVAERFSSMFMLLTKRKHYLRPIKDLTLDWR PLYRELKAFVLPTESGLVHSSNLKRNVKTLTKLCAFIQLYVDPCELPAMLEEFLPHYS TSFSEGAFVVVGLINLLAPTTPPPESREDLLPQHYMPTYFHLWSLVSRSKTFDQTFLD FFSRLARDSLPAGHIPFSEYGLFTKEQSSLIFTAILRLLEIPVGQSSSPYSALVDISS GLGIMLDRDSRKHPVAHHIARWIVMSLSPACLDKEESILSQLEGLIQAVETFFHPSNS GSWTKTLAQLVYYLTDFFVMRWNREQSGEMEVPQERRLTEPLKRRFVLCLRDVIFMGI YSKSATAMSFSLSTLQGLAFLEPHLILPGALQRIYPSLQGLVEVHRTTSSLRALQILA RIIARTKGYRCHLTTLLGMALPGIDANDLEKSLHTLTFIQAACYNIPMTDLTKGRDEI NCDMLAMQWIPGEMERMEQEGVEVQLNYDTELSDETEEMILRSSTCGFGDFIISFLGR VFTLLENLPDVSRVRNGSPEENIVNTLPATFMPLLSSLSPEYYEIALTKVVDFVSNHV IHQARDAMAFICNAVCKVNPEKALKRFIPVLIQAIRTEIDDNGAGSTRTTGTDVLPRD RGLVWNVSMLSMCVVHVGDAVLAYKKELFDIAVYMQQKCRGIPTVHVSNFIHHLLLNL TGTYTSDYSLYEPDVVAKGIQPEHWCYRPDPQNLTVKWHVPKRQEIEFAAELFQNQAE SALKQLAALTDENSNIRRDGIGKEWSDEVIRNLVLLRLIISGVSVLFDAKAASKTKGS RTNGVSDKAEDVEMTDGVIGTGPEDEEADSSLDTSEEDTVRETYTYPTGYPLEENDPI YVSIHDIRERAGWTLHKVHRYLCEKQEDDVPCFSALYSAYKCWFVDVGIERSAHVLDR VTRLLLADIHPYKMSGIRKDYPRPLLIRRANMYHLQRLRHNAAPRCRSRLDEILLLDI AESCVSAYTETRRNAQTAGESALKVVWGSRLLVIPPLLRALQNGIKENDYARIKGSLF SLLLSSVARTVGRHWKYAPTLVRAFIDASAVDRPSVQRICSSVVYQIMDYGRPMERMA ILDRDLVESIAPTSQNVDEQIQQKRNSLNNKRALIEKKKAALAEELVDLAREAHWKVA SRAATIVISMGLRFDYIASERLVELVTMGSIDDHPGLRGMYSQGLTALFTMIDVRAIC NHDYKSYILGNQNFPAKIKVATKRYEKGWTEEFLASFANPETEYYIDHDFPGWLVWAD HMPGYKPNVERDIEYDQIEWQIRSRMGKLFDRAWFKKFFMYLKQEPRDPSADKFRMSC AMLLLYAFELMLRDGLTAATFEDIKEEIEAVYEDGSDKHQHRATAEILGALVSSVTDT SVEKRTLVWEYAFPIVQKIFIEGLTPENSGYWTTFLHMILQCRDPRRVWPLVDWLASF RLDMTTNAAFKESSKINLLHQSIIDAGWHFRLEKPIVQDYLAHLDHPYKGVREAMGQT LATIFRTRYHESYPDVKSLLADQEASSSVGSYPYSPDTDFRQMINDIFTRIEEWRQER TPGQQTPSSYTSGSKTVLLWLDSTLSSHECTQLAPFFAEVFTGQLLHMMDVKEDPELQ SLAYHVFRHLPNVPYPAEENSDFIKTLIRIGQTSPSWHQRLRVMINIQIIYFRRLFLL SPSDRDKLFECVASMLEDPQHEVRAGASATLSGMVRCSPEFLRKEIVDRFKKRFTQIL VENPLPKRPKMPRMASGLSSPGSSGANTPNPEHTRLVISRHGAVLGLGALIQAFPYSS PPPTWIPEALTTLSVRAASDPGIVGSSVKSIISEFKKTRQDTWHIDAKAFTSDQLEDL SGVLWKSYFA ANIA_05606 MAPKDTFFRSSDMSLTQLYIANEIGREVVSALGELGQVQFRDLN PETNAFQKTFTKEIRRLDNVERQLRYFHAQMDKAGIQMRPSSEFSDTLAAPLASEIDE LAERSESLEQRIASLNDSYETLKKREVELTEWRWVLREAGGFFDRAHTHTEEIRQSFD NDEAPLLRDVEQQNHRGANGDAQGQQSFLELNIGFVSGVIPRDRIGAFERILWRTLRG NLYMNQAEIPDPIVDPTTNEETQKMVFVIFAHGKNIIAKIRKISESLGASLYSVDENS ELRRDQIHEVNTRLSDVNNVLRNTKNTLDAELSQIARSLAAWMIIVKKEKAVYDTLNK CSYDQARKTLIAEAWCPTNSLSLIKSTLQDVNDRAGLSVPSIVNQIRTNKTPPTYVRT NKFTEAFQTIVDAYGISKYSEVNPGLYTVVTFPFLFAVMFGDFGHGFLMALAAAAMIF WERQLSKTKLDELTYMAFYGRYIMLMMGIFSMYTGLIYNDIFSKSFTVFSSSWKWPDN IEQGQSVEASLKGSYRFPFGLDWNWHEAENSLLFTNSLKMKMSIILGWAHMTYALILQ YVNARHFKSKVDIIGNFIPGIIFFQSIFGYLVLTIIYKWSVDWPARNQSPPGLLNMLI FMFLSPGNVEEELYPGQGGVQLCLLLLAVAQVPIMLFFKPFYLRREHNRARALGYRGL GEQSRVSALDEDGDLDGPRQSTASDGEGVAMIAQDLEEEHEEFDFSEIMIHQVIHTIE FCLNCISHTASYLRLWALSLAHQQLSIVLWDMTLGTAFDQEDGTIRTIMIIVTFYMWF TLTIAILCVMEGTSAMLHSLRLHWVEAMSKHFMGDGIPFAPFSFKTLLEEDPVD ANIA_11485 MTRSFAKHQTDVQRREGAGRRAEQSSKRLPDNAVLSAGEERRYE PAHDNHGLQ ANIA_05604 MTTNSTGNGGAVGQENINTDIVTLSRFFTEEQTKVPEATGDFTL LCHALQFSFKSIAYYIRRASLINLTGLAGSSNTTGDDQKKLDVIGNDLFVSAMRTSGK CRILVSEEEEEAIIFDEHPNARYAVVCDPIDGSSNLDAGVSVGTIFGIFRLPDDILGP GKKVTAKDVLLPGTEMVAAGFTMYGASAQLVITMKNGGVNGFTLENSLGEFILTHPNM KLPPSRAIYSVNEGNSMYWDDWCNAYFDSLKRPGEGKKPYSARYIGSMVADAYRTLLY GGVFAYPADSKSPKGKLRILYECAPMAMVFENAGGKAVNSRMERLLSVQPESIHDKSG VFLGSRDEVQKVIDTYNKYKK ANIA_05603 MLRVVSQHRYAAQPALCKLSSPPPPYSPPSQQQQADHASHTTPV HESTSSNAGSPYMGSRQQSIPRTRPLSVARPDAGHNSQVSLPPPPPLPHGAPASRSSS HSRADAYHEQSFNSGSRPYVMFSEDSLQGSQASGYGYHAATAQREDLSRAPNSRRAVS AGPVVSSAGSSRATSQSRSGSPQTAAWEPGMPLPPPPPGPPPAPRSQSVSGLGDASSS RSSQASTRTARQAPSLGTGLGSIPPTPAGWVDEGLSEPAPKPDRAPLVDTPSQIASWE ANGSGSSGAHTSRGSVSGGLSRSAAIRDSSVKGIRERRIERRNRQSQVFDDYSAVSTS TNPWADALDQLKPSNLVLDGRAGGERSRDASTKYAPRSNHSAPSDMHTSRSRASSTSL FSSDRSAFSTPRVEPSPFEPPSQRYAQTPPFSPNAESSFQKTSSQAIPPKALPTPPLQ SGPDSRPSSSHGLRENRPVSHILHLPNDAVSSPVLQPRRLSIHSGQSLDSVVNQDTEL IQNATRRHQEFIEKEASATDEAEALRLFTEFIISESQIRRERYSKVFESGSFNSEQLH EKLFQLPPKPAPVPLNRRSLKGPKLDIPTTRGESSWNNYKPCLSPIASLGISNDESSR GRAPSRWWESKTGSGSEGVERRIQRSKRESKYMGLPLGLRSNGSAEHTLEQFNNYAEY GPDEFPPEKVGWHEDQTLPEYPTPSQSSYSTSTEPQKMDVSRLITLPPPYPRHYPAVN NSHPELVSYRTLVRSISDHTEIKSTRQRHATDSDNLWAAHRVRIKDHRRQFRANIQSQ IQDGSISFAEAAEAEAALIEDENQRERALTKQLFDSYQDTVLKPLQAILTDRIDRATV CIDDLRSKLFDDAQHETPDQTQEEGDEKPELLEKLTQLKWLFEAREQLHREMYDLITA RDEKYKALVILPYQQSKNEEKIRSTTAFFARDALDRRASYESNALARLQSFQSVIEEN VVRGVELQLSAFWDIAPSLSTLVQSIPENLTGFQIHIPANEYDENPSYLQHPLQYLYT LVSHAEKSSYQYIESQINLFCLLHEVKSAVMRANCKLTETERIRQGESEEVVMREISL SQADEERSLTNDLKDKVATVEGQWAEALGSQIQALRERVREQLERDGGWEDLESLEQA ANIA_11243 MLGLSTGLALLTSFISLFPINGDCSCRCMPGDACWPDRATWSRF NQSIDGRLIATVPLGTPCHGSTYNEAVCDALRAEWTLPELHYGTSSSIMAPFFANSSC DPFHPVDKPCTLDNYIVYAVNVSKPEHISKAIQFTTKYNIRTVIRNTGHDYNGKSTGA GALGIWTHHLKDIEVKDWKDSNYKGKAIKLGAGVQGLEAYEATDAQGLEVVGGECPTV GIAGGYTQGGGHSALASVHGLAADQVLQWEVIDGKGRFITATRDNEYSDLFWALSGGG GGTYGVVWSMTSKAHPGTPVSGLNLTFTNAGISQDTFYDAVGLYHATLPSLVDAGTMS IWYFTNTSFSLTPLTGPNIPVAKLKQLLQPFTDGLTDLGITYNLYAEQFPSYLAQFNG MQAAIEVGIAQYGGWLIPRSVVIENNAALTDGYRHITESGATFIGVGLNVSKAVSGDV HNAVLPAWRDALIDTTLTTPWEWNADEEMLAQQRKMTEDYIPTLMALAPDSGAYMNEG DFRQPNWKEAFYGSNYDTLRKVKAKYDPNDVFYASKAVGSDEWTISGNGRLCRA ANIA_09483 MPHMFPAEETSPLLNPNPEARGSFNPRKSSYLQRLKRHLATEIT PHGTDLVLLVCYLITGLLDSSAVFIWGSFVSMQTGNTVYLGLGLSGLDDSGKSQRWLK ALISISSFCIGSLFFAALARIFRSSRERGALMLSFVLQMGCVAVAAGIVSFKTQPHAK TDRLGWWNSVPLALVAFQSAGQAVTSRVVGFSGLTSVVLTSVYCDLFSYLGGAGRGKM ADELRRLGAVGGLMLGISLGGLWAKSEVGLMGALWTAVVLKGGIAVAWWCWKAEEGGL IVE ANIA_11484 MGRQRRCASEHPVSTIWQLHGSGMFSAYCKRHGLV ANIA_05602 MVLHNPNNWHWVNKDVSAWAKTYLKEKLRAVSAEEDGVTAKVSD VLTMDGDVDVSQRKGKVITLFDVKLQLEYEGKTKDEEAVSGTITIPEVAHDTEEDEYV FDIDIYSDSPSKQPVKDLVRSKIVPQIRKELVQLAPALVAEHGKDIQHAPGENPSKGF TPAVSYPQTKKQEAPASKPATTTTTNKVSVNTTTVTASDEFRTTAEELFKTFTEPERL AAFTRGQPRQWDGAKVGGKYSIFDGNVTGEFVKLESPTLLVQKWRLAQWPEGHFSTLE INFDQNDVDGVTQMRVSWAGVPVGQEDVTKQNWELYYVRSIKQTFGFGTIL ANIA_05601 MAKQIAGSKVLLLGSGFVTKPTVEVLSKADVEVTVACRTLESAK KLCEGFKNTKAISLDVNDDKALDEAMSKVDLAISLIPYTFHAQVIKSAIRTKKHVVTT SYVSPAMMELDQQCKDAGITVMNEIGLDPGIDHLYAVKTISEVHAEGGKITSFLSYCG GLPAPECSNNPLGYKFSWSSRGVLLALRNAAKFYQDGQEKSIAGPELMAAAKPYYIYP GFAFVAYPNRDSTPFRERYNIPEAQTLVRGTLRYQGFPEFIKVLVDMGFLSDEPQTYL STPIAWKEATQKILGATSSDEKDLEWAISSKTTFPNNDERDRIISGLRWIGIFSDEKI TPRGNPLDTLCATLEQKMQYGPEERDLVMLQHKFGIEHKDGSKEVRTSTLCEYGVPGG YSAMAKLVGIPCGVAVKLVLDGTINQTGVLAPMTWDICEPIQKTLKEEYGIEMIEKTL ANIA_05600 MATSMHLSKLRNWFLASPPIEYAISKLRDLLVGAIKQGPVPQHI AFIMDGNRRFARSHGIETVEGHNLGFEALARILEVCYRSGVQVVTIYAFSIENFKRSK FEVDALMEMARVKLSQMAQHGEILDRYGAKVRILGRLDLLRPDVLAAVNRAVDMTKNN GDRVLNICFPYTSRDEITGAIRETVAEYSKPIRTAHSSSTIPRTPFSEDHITQNIRSQ TLNGKLENLSNESDSVSESSTLGEDDAQKPNDKNKVYQSESALSSGATLLLPDQLTKG RTTNSSDSEPPVFKSPETITRQTLADHMLTRDNPPLDLLIRTSGVERLSDFMLWQCHE NTEIAFLDILWPEFDLWHFLPVLLRWQRMVSKARQNPDAEGNFDGDFQESSDDSKEDL VVSSGAKAKIL ANIA_05599 MAKKPYIPPLIGWLYDLVLWTFSVLVDLFFREVHPRGSWKIPRR GPMIIVAAPHANQFVDSLILMRVLRTEAHRRISFLIAEKSFRRKFIGLLARGIGTVPV ARAMDMLKPGQGTVYLPDPVNQPTLLRGVGTNFEAPGFEKDGTIALPTINGTSHSTSI AEIRGPEELILKKPFTHKDALFQLTGRTDITDDGTFTGDVSDKDRADFKGSKFKAAPH VDQSAVYEAVFGRLLGGGCIGIFPEGGSHDRPDLLPLKPGVALMALGTLAENPDCGLK IVPCGMNYFHAHKFRSRAVIEFGTPLEVPKELVEKYKNGDRRGAVGPLLEMIYQSLVA VTVTSPDYETLMVIQAARRLYNTKGKKLPLPMVVELNRRLVKGYSHFKDDPRIVDLKK SIADYNKQLRLLGIRDHQVEYAKFSFVKVIATLIYRLGKLALLTIGTLPGLLLFTPVF ITTKLISNKKSKEALAASTVKLQGRDVMATWKLLVALAFAPALYAFYTAAFTYWAYRN RINGYVPDFVPLWSFIPIGMVLFPTITFAALRIGEIGMDIIKSLRPLLLSLNPSSANT LVKLRLRRAALAKQVTDTINTLGPELFPDFDAARIVTDPFRELNRQSANPEGEQRPPS VEIRRASTENFDEGSPSQEPLPRNESFHNLANFGFFSTRPSSRNRSRSNSRSSSFGGL PGTSGQQLKPLSQLTTKGGFDEVSSKIRDAMRERGVQRRRRSEDNSSWDMASSGPGTP HSEDNRKDI ANIA_05598 MSINWVMLNERRGFVHLPNERLLYTSPPRTGFALQPPPSYTGND KLSLRSSSGQIFLTNQRVIYIPAQRVDELESFSAPLLNLHDSHVSSPFFGPNVWNAVV QPVPGGGIPPSLVAVHLKVTFKEGGAFDFHNQFERIKERLQQAVEISRESGRGAGDIN MAGVHLEELPAYSGPQSYSTEDNHSNRAISRQNTSETNTEPQEPPPGYEEVQQQSVAN ELEERLRRAS ANIA_05597 MGLTDFFSDVISSLGFPEAYAEAPAETTEESSAPEEVKDDASAE ESTEKSEDSAAEDTPEESSEEEEKGEEPEAEAEEEEEEEEEEEEEEPEDVKPKLEEEC ANSPQCAPYKHHYDECVERVTAQQEDADYKGPKEDCVEEFFHLAHCATQCAAPKLWKA LK ANIA_05596 MGFDEHETTVELPPSGPAYRIYKRRFWGLAQLVLLNIIVSWDWL TFSAVSTTAAEYFDVSESAINWLSTGYMFAFCVASPIVIVTLNKGGPKPAIIVTSSLL LVGNWIRFAGAKANGGIFGVTMFGQILIGLAQPFCLSAPTRYSDLWFSDRGRTSATAV ATLANPLGAALGQLINSFWASKPHEVPDMVLYISIMATVASIPSFFIPAKPPTPPSAS SAASKTPLVPAIKQLIRTPEFWLVLIPFGIYVGFFNSVSSLLNQILSPYNFSETEAGI AGGILIIVGLISSAILSPLTDRYKHYLGTIRILVPIVAVAYIALIFAPSSPAGIGPSY AIMAILGASSFGLLPVVLEYLVEITYPFSPEIGSTICWTAGQLLGAVFILVQDALKAG DDAHPPLNMRSALIFSAVIACVAVPFPICIGLFGRDVRRRRLDFDRGVNMDEVQAHQA ESVRSAAGVGVTSGCPAVESGKSTFGLNLKIPWGKN ANIA_05595 MNGFDFRSSQSSYGDPRHFSDASYGVPQPPPTKVLLDGYRDALG PANAEKSLYNSANPTSHPRRSIPPSANDPVAMYLLTETAMGDSVNYEILSVEEVEGLK KELRVLSNRMNAAKRKLALELKLRDAAMSLSRLHHKEEHDVDGSGRNEPNTDKTNEEL VHINRKCEELSMDIWYSERKVQEIQKRLLEHTAGVLQLTHKGLKKNSKNGMPHTPESL SSSNTVDDFDDRSLYKASDPLDGPETYETRAPPPDNLAHDNSMQETERKLESMSSRLR DMLLQLDPDSEFSQIPQPSTSGDSFEPSAMIDAHLRYIENGISALGSLPKADMASQSL DPVSEQQLVEMRTQLLSIMENPGLPRAQTLPTAPDPTDSDLAKHLTFLSVGINGLESR VEKLLEQKSILTTQIQQQRELNSKSDAERDAKIADLIEQLAHLRKELELAEREGQQSK EDHDLTLKELEDVRRELLESRETHSSRDLGNSKNEAQQHAEAEIARLQSVIQEIQQEK DEHCEAHRRAEAEIDRLQAVIHQIQQEKDEHREAHERAEAETTRLQTIVEEVQQEKDE QHEAHGRAVAEVTRLQDIIQKIEQEKIERHEADERAEAEIVRLRDTIREIQQEKEEHH EARVRLEGEVARLQGVIGQLQESAESRESAEQQVAQLEETIHQIRHDADIRIKEATDS RAQADAKIAQLEGAMNEVRESLESQLKEATEARNNAEENSTRLQKELTELERDVVRAQ TELTMVKAELDGAYGTRAQRAAEAAADPALFHELDELKARNFEMAEELAALKAGKPGS GDVHNRVETLERELRETVDDYEAMTKASIEFEKERERFESMIDSLRDRCEQLETQLNE ERISWMGANNSASSMGRDGPYETTSTMVLKNEFKKMMRDTRAENMKILKAEQEERRKL EAILRNLKREQANLSGKSNISRTVTAS ANIA_05594 MAAPGNPETTEEDQRYPLAELFPPKYDSPCARSVSTILESSTAG SIYDALRATTDNDSETATGDQSDGSPLERVDTKMAASVATFHSVSRAKGRQFGGSAPD PPVCSTDEISRPPRAHLVADKLRTAGEEVKGWSLPDHLSDFHENSESNPTNGPSVAPH SVGTASSPKWPGNIESPVSPTLPEYPPPVRAPTPPGLPTFGSEEARTYDFRIGAQHPV PNRSESLLRRLFQRVPPTPAPSLVDRQPRTRVFAEDGTAILGSFPQRQSGHGTNILKG TDSHPFHQGNLPLAQCDGASTGQNDAVSEGPAPPDASPSDDYSTEDEANLRWLENMTR LQAPESSAPPGGMLNSSLLNQGSPPKRVESYQTCVSRVPESTWRFIRQGLSNLSESLY LAPTQSQPVARTDPRGTSTAQTNNLETEPPDLWKLVKKRAKSTLCCCRGSEESGELNP SDAANADTTMNTTTQETYVTARGQNSNESQQNMPNTATGGPTGP ANIA_05593 MARDTDASDAPIPLRLFPSDLTARSLSAPFKVDEGYADDTRSQA DKELLRIPSDDVMSIPDWVLAHSETERAEIAYSLLRTLRTSTIAGVVERLSPLLHMDP VLRLPPEITAEIFSYLDPQTLITASLASRAWRDRILDSRLWKELYISEGWRVDIDAIR AFEQENSVIPSPQSRKSRSRYADTDLGEPKLKKRVPPGWLDSRTHGSEYNGSSTRELD QDGHAKPDREGDHLMSDATDDRATLFSLEEQEARCRADTTQEIIHQKATEQALPKSSK SPLLLRMPNGTAKINWPYLFRQRRRLEENWTKGRFTNFQLPHPAHMEEAHQECVYAIQ FIGKWLVSGSRDKTVRVWDLNTKRLWHRPLIGHTKSVLCLQFNPSPSEDIIISGSSDK NVIVWRFSTGEKIHEIAPAHDDSVLNLRFDHRYLVTCSKDKLIKIWNRKNLSPADKDY PSVHQGSGVSYPSYIVDTSVIPSPVLEAEIAKNHIRTLEPFSLLMTLVGHTAAVNAIQ IHGDEIVSASGDRLIKIWNIRNGACKKTFVGHEKGIACVQFDNQRVVSGSNDNTIRIF DHASRAEVACLRGHADLVRTVQAGFGDPPGSEEALKLEALAVDREFWNAQRSGEAVDL GPAALRRAGHIQDTTGSRNPRDIKALGASIPPGGGGSKWARIVSGSYDESIIIWKKDR KGQWVVSQNLRQAEAAANAAYVAHSHPSSSRPGPGNAFALAQQAALVSQGQDPLTHAH ATPSTFAANAIGTQQSSSSSNPPSSAAAQQAEPPHRPTPPPGPPLTNLLAAANYYTHP RARILAQAATPTSRIFKIQFDARKIICASQDPRIVGWDFVGDDEELGEACQFFRGL ANIA_05592 MADTAGLNPGGPVAEDNIINRRGNEGIYQSCVNLKKRLAEVPGF EPYLREMEEEDLAQGNSDPVASLWNYLRHGYPLLAIYNASDPGAPLEIDTSKVPEARR PKAATFKFLQAAIQEMAFPQQECFLITDLYGENTIGFTKVIKMVNRVLDILEIQGQLK KPSDTAMAAPAAGRKLTKREHILKELLETERDYVHHLQNLQALKKELEDTGALTGDAS HQIFLNLNNLLDFSQRFLIRLEQHYARPEEQQNWGELFIQHEEAFRQYEPFIANQMRC DKTCQKEWDKIQAAPRSPDLQQMVAQPATLNGFFVKPFQRLTKYPLMLSELRKQIEDP DLQADISRAIDSIQSVLDAANDAIDKEQLAAAFVELDERVDDWKALKIETFGELLRFG TFTVIKNDNNKDSEREYHIYLFERILLCCKDINPNKQKSRLVGGSKDKPNTSKGKPRL VLKGRIYMANVTDIVWLQKPGSYRIQIFWKGDPGVVDNFIIRYQNEDTMRKWYKDINT QREIQAEQRSARNTGTSDSEFTYMKSLSNIPNPYQQEYDVEEQSTKEAAFFSEFPMSR NASSTSLRTRSATGGSGSSGPPLSTSRPRYPAMPDSTLSVHTQFPGGSMSPGERNGNS YFSPTESARSSSQSAGYPYNRQVTPVTPWGDDNNRYTAPALSRATSRDGSNSGYFNGA PPNGRSAQRPSLPPMSGSNQSSNSASQRMRSASSPDIHHHNPESRRYMGVHTMQTVDN VPVPPIPAHMANMKAPVNRSQNNSPTNQSLPIRTNTSHAFHEPQYSDGRAAAPLSDQP TSPLSHEPEEEPFMPTQLKAKVNFDENYVTLVISSNIGFRTLTDRVDAKLARFTNRSI GSKTVRLRYQDEDGDFVTIDSDEAVQLAFVEWKEQHREELARGQVGEIQLFCQPIEN ANIA_05591 MSFSGRRVSILRPSNRRFSVGKELSNNELQSETHRQFRTAHEGH RPHAGLDASRASTGVVWCTERASEHGFLEDPSGWANLGQGAPEADDEIEGSFPRPETI PITSAAREYGPTAGIKPLRAAVARLYNEHYRQGKESQYTWENVCIVPGGRAGLIRIAA ILGNSYLSFPIPDYSAYSEMLSLFKNIAPIPMPLAQEDHYHIHPDKIAEEIARGTSVI LTSNPRNPTGHFISGDELAHIQDICRDRATLILDEFYGGYNYTTDCDGTTISGAANVV DVNKDDVLLIDGLTKRFRLPGWRIAWVVGPKEFIDALGSAGSYLDGGANVPFQEAAIP MLEPSLVHQEMKALQTHFREKRDFVLKRLREIGFRIQDVPQATFYIWLDLTSLDPPLP KEANISDGLNFFNALLSEKVIVVPGIFFDLNPAKRRDLFDSPCHHFVRLSYGPKMEVL KMGLDGIERVIRRARGEHYEPTAMDEQAIED ANIA_05590 MLPSRPTALPNSNLATRIRNGTTITRLLNYTVFTLLSIILLCLI LLTPADAIYQCYVTHRLTNIFIITGGYVVTFLLAVLIYATRIYTNRSVLGGIPKAWIP VEKEDVSKSVRRLVVEGLGRSALIARGARPRNLGRGSGANGPRDVDGNGQAFADPGAG DNEEKEGLLRGFDYDVDPSNPPWGVIEHPGWSAPESSLSASAPDQGTSDGDAQTPEPS LCYRTVIRELPHLIEAKAVSLAPPDPVFTVHPQYQTQTGEGDEATRIPDTRIVAILRR PGTMSLRSYINHLISLSILHPPEIGLEFLALYERARFSGKELYEDEFRELMGVFADVL RGMRFDQEHHRLLLDMNDYNEDGDLGHWRRNTSVFEHSLFGSKTESVIGPSDEEGETT ETDTLGSVNINIDSPRRRHRRQRQSRSGPRSRPVHGNDHTYNHTDGHPNLEPASRPPP GQSRSRSQSLVDFKAGNLSENAIYWPRAGARTPSTHSARSLHPDRSYGSGSGADAGSG LSLRSASRSGSASGSASVSLSGGSVIRLADARTESVSGLPYVIGR ANIA_05589 MRKNPFDMSELDAEIEANEEQQHQVRIHARQSIDGERSLLQDEE RRQDDLKDRFIGAIDQGTTSTRFIIFDCVGNPVAKYQAEYRQLHEHSGWHEQDPYEMV DSVYTCIEEAMKTFLALGHSKSDIEAIGLTSQRETVLCWDWETGEPLCPSIAWPDTRT KALVRELKAQKGADELKNICGLPLSTYPSSVSLVWLLRNNEAVKQAYEEGRLAFGTVD SWLIYNLNGGLEGRHHVTDVTNASRTMLMNLETLDYDKRLLDFFGLDPKKIRLPKILP SSDPEGFGYVRSGPLEGVPITSDLGDQSAALVGHCAFTPGMAKNTYGTGCFLLYNVGE KPVISKHGLLGTVGFQLGKNRKPVYALEGSVAVAGSGVSFLMNNMGFFRDSRKVSDLA AMVPDNGGCVFVTAFSGLFAPYWIDDAKGTIFGITQHTQRGHIARATMEAACFQTKAI LDAMEMDSGHSLSQLAVDGGMSNSDICMQTQADIIQIPVERPAMHETTALGAAIAAGF AIDIWKEFDELKNMNRANRTSFTPAISREQSQKMYKQWTKAVEMSRGWVDTKEMGGED D ANIA_05588 MASQLPILKPNVSASGSWPSMSKFLKSPLHRTGQSFATIGSAIQ DSRRRLHTETFARKSTPSEVVAFGVYSAPVHRLPRSLLSKSSIFTPSSFAGRHCHPLA SKTYSTLAPPLNRTRNVLIPSTLQQQRFIFGGPSHSLLAQKEKTANNNPSSANAQNAF YQALLRANMPAIVIERYRSGHFSSNAVSEQIYLKALERVGGGVSAPAANLNQGLRSDQ IQAVGQAVAAQNQGGQIGISSKQSGTGAKEAPLYVVVEESLGSAVFRWVKFIVLFCAF AYASMIVLSIVLETTGVLKNIKGPHSNEAQPEHQTVRFSDVHGCDEAKDELQELVEFL LNPERFSSLGGKLPKGVLLVGPPGTGKTLLARAVAGEAGVPFFYMSGSEFDEVYVGVG AKRVRELFNQARSKSPAIIFIDELDAIGAKRNERDAAYVKQTLNQLLTELDGFSQSTG VIILAATNYPELLDKALTRPGRFDRKVVVDLPDVRGRMDILKHHMKNVQISTDVDVAV IARGTSGFSGADLENLVNQAAIFASRNKQSKVTPKDFDYAKDKIIMGAEARSRIIQDK DKLLTAYHEAGHALVAYFSPSSMPLYKITIMPRGMSLGSTHFLPEMDMVSKNYVQYLS DIDVSMGGKVAEELIYGEDKVTSGISADLASATRTAFTLVTRFGYSKKLGNVDLYANY DSLSSETKQEIEAEVRRLVEEARQRATNILTERRHELELLTKALIKYETLTKEEMEKV LRGESLDRLVVPADAPMKLPEPISATNLSPNQGVEESGNRASAE ANIA_05587 MSSRTIFLMGAPTFSSLRWDERDLLSESLPPFEDSKGCRERFGV FSGSTPVKWRLLSAPIVPKTTQSKPSQVMTGETRFFTTHDLATSVGKCASEQEDSELS QFYDHSFTVHETSEVSAPSALSGESALDSGLWTVSTDTSIATSDEREIPAARPHIQGG ITDLKDIPNTVYLNSIVPQTMTVNLVVAIIDIRSPRRVITKHWKRELDLVEVVVGDET RAGFGVTFWLPPADENAVNTRGCGDGAGEELRASLMALRPRDIVLLRNVGLSCFQDRV YGQSLRRGYTKIDLLHRQQVDATDTGGIYRIRDILSHPAKDDDLPLVKVRKVHEWIRR FVPDSAGGGSNGPRMTTLPPDSQDGSL ANIA_05586 MKALILVGGFGTRLRPLTLTLPKPLVEFGNRPMILHQVESLAAA GVTDIVLAVNYRPDVMVSALKKYEEQYNVKIEFSVETEPLGTAGPLKLAESILAKDDS PFFVLNSDVICDYPFQQLAEFHKRHGDEGTIVVTKVDEPSKYGVVVHKPNHPSRIDRF VEKPVEFVGNRINAGMYILNPSVLKRIELRPTSIEQETFPAIVRDGQLHSFDLEGFWM DVGQPKDFLTGTCLYLTSLTKRNSKLLAPNSEPYVYGGNVMVDPTAKIGKNCRIGPNV VIGPNVVIGDGVRLQRCVLMENSKVKDHAWIKSTIVGWNSSVGRWARLENVTVLGDDV TIADEVYVNGGSILPHKSIKQNIDVPAIIM ANIA_05585 MLTDGIEGVKEKVFVLVTGANSGLGYSTCCRLADEFLASHRNDH RSLTIIFTTRSTRKGSDTLRNLQNHLRTSTFGASATARVTFVPENVDLCNLLSVRALS RRLNKTFPKLDAIVLNAGIGGWSGLNWPLAVWSVCTDIIHATTWPKYKIAPVGLITDN QTITVTDKEPRLGTVFCANVFGHYMLAHNVMPLLHRSGSPNGPGRVIWLSSTEATINF FDVDDFQALRSKAPYESSKALTDLLSLTSDLPSTAPWVKSFYSTDFETDSKPSTGPET ASTIPNVYLSHPGICATAIIPLPTILIYAMVAAFWLARILGSPWHTLSTYLGACSPVW LALSTQSELDAAEAPYRKHGGGRVKWGSSASRLGVASVVSSEVDGWGYGGVPGPAVVA EDRVRRRKRGAVDLTAEGKEGFEELGARCWRQMEELRILWDNLLDEEEKGTGVTA ANIA_05584 MPPECRRGQAGALLVMGAMQPATLSVPMSRHGASLSGPGKRQQG QAQRRDWKKLHSPQSFVLKDGQRLMIKRGLSLLSSVMAIGSDMELCVNMGGKHAVYLL RWAAEDPSPMYLGDPDSGLGSGSTVTERDCKYELDRLSIRSIFAGVVGNPEIEFRSAV LLFGPGIQIAEFYPNICLYDSGYGLVSFPSANQDPLLADGVAPDPKPLDANAMKWRSD ANIA_11483 MLGLGAREKLTEWESKWDVPGVDGKAKGEAELYHLVTTSDWQKP DEEGTHPPTLQAPAVPGQTSKLQRGD ANIA_05583 MAPGSGRDFSCPWDEPHCGKSFNRKSDLGRHYRIHTNERPYQCT YKDCHKSFIQRSALTVHSRTHTGEKPHVCDHEGCRKAFSDSSSLARHRRIHTGRRPYI CQEPRCDKSFCRKTTLTKHQHRSHPLGSMARMPSEETVSEHSYSTPVTTSHPNEQYLL SQQAYYPNAPTPTHEFFPQQNLPMRPVTMQEHPPIIHSNIPVTSSVEVQHVQQFMQMM QQQQHQQHQQQHQQQQQQQQHPQQQNQGFDPHRMGFMPVEYQQPVYTAPTIESQPPMP YASTLEYKPPVMRLLNQPEGTDFAFLGTGC ANIA_11482 MARRDYGDWNINPGTSTRLWNMDPDANPGLQTPFPPPVSSGASL IDNLEHRLRDMSNLTWDPRHRPTSAVLFGEQYSSATPNNLKILYSLGRS ANIA_05582 MSRSLQSNRNDDWDCYQMASVGERLEALGREASSVRVHGYSARH MPPIVGGTSARTIYRGPEQPPSILLSLGPFQVVAIPPCCTFLLQSQPSSLPDCEARDR TKVAEYKVANGTLSLSLNLNLSPSRSVSVRLDQSHSVFGLAVLYEDSEVNRYWYQNLR VGRDVEAALGFSIDKASQIATTEWEQQNGLTRHSAYILWLALPSLRTEAIHELPNHIC RKSNQHTKISGDVPMANGFLSVGMKADVWPEKSSLTNRQ ANIA_05581 MQSLLRRGASLLTPSPSPSPAQSSPSTPNPAPREIRSSEDFVKA SLPEALFSKTNPVTDGEECEHDCATCTIRYPAKFDVDQEDELYGHVNGWSTHLLVATG KTDWVRDVADEEGSVMEAIERGGVLPGNGKLKLSASNMPVPDEYHHHEKGEQPTTVLL LPSFTIIDHVTPKLAPDLIRNFVDRAVTTTTPLNGVGASTENKETEPATEEQVMTSLR SRSCPHAAVILLCSQKTRDARCGQSAPLLRREFERHLRPLGLHRDLDDERPGGVGIYF ISHVGGHKYAANVIVYRRRDFDWYKTTKTQVAEAEPVTANAPDEGASQCIWLARVRPE DCENIVRYTVLQGKVVKPGTQLRGGFDRERGLISW ANIA_05580 MLYRQTAARSALRAFSSSNASVARSSLVNNVFKAQLTSSARSPA RPSVSSSLALSAQKPVTTALVRYASTGTKTVPQKSDEDIDVMAGIKGDAKIIKETFSL EGVPKDALYLGIAGVVPYLATSLETVYLSYEISRANATGDGLIFSGQSAEMMLHMLEH VQVGYGAVILSFLGAVHWGLEWAGYGGRTGYRRYAAGVIAPAVAWPTLLLPVEHALIT QFLAFTFLYYNDARASIKGLAPKWYSMYRFVLTFIVGASIVATLVGREQISGIVTKEH NITDKVNALLYLQKKEKEEAAAAAKAAQEEESE ANIA_05579 MLEGLVANLLNRFLGIYVKNFDAKQLNIGIWSGDVKLRNLELRR EALDQLHLPLNVVEGHVGELTLSIPWSNLRGKPVKVDIEDVFLLAAPKEDIDYDPEEE EKRANAIKMDKIESAEILKERNSEGMSQEEQRRNQSFTQSLVTAVIDNLQISIKNVHF RYEDSIASPGHPFAVGFTLKELSAVSTDAEWRPTFIQSTSGTTHKMAILGALSVYWNT DAELFGTGRGSDVGAEAQGIDHAELLERLRSGIDNEDGNQFILRPVSGRAGLEMDKTG NHEQPAVNARLLFDEIGFVLDDEQYRDALMLVDLFHCFIRHQEYRKLQPKSRPKEDPR AWFRYAGEAVLSKIHDRNRRWTWDYIKERRDDRIAYIDLFKKKKREEMLSAEETEEFN RLERKLSYEDIRFWRSLARNQLRKENVGVKKPPRQQTWSEWIWGSKKEDSEETAMTEE QRQELYNAIDWDEKKTITESVDVPREWVKLQVNAGLKAGSLTLVRGPHGDANEVMKFV FDNFRAKALQRPDSFFLDLDLGGLRVYDGTTEGSLYPQIVRVKDSLPEPKHRLSQISS SGEFDPEEGVDDLEDEDSLFHLQLEQNPLESDADSVVKVKLKSIEVIYNPKFIVEITR FFRPPERHMESIGAILDSAGATVEGIRQQTRAGLEFALQEHKKVDAQFDVHAPLIIVP ESITHESSLCLIIDAGHASVNSELVDRQTMKDLQSKQKRQYDTGDYKELEHLLYDRFL IKLDSTQVVIGPGIETAKAQLGPDVESKNLHIIDRINVDFVLEMCIVPKLTELTRTRI SGHLPELHASMSDTKYKGLMKLIDIAIPRFDDEAQDTNTSKNKEGTSITHTRARSSSF QPIGQRELPVVDEESDIEDSDQISKSVDKLPDIHRRDFEFKFTVGRLRGSLFRSDSTD PFREQLLVELVAEGFALDFYMRPFDMVAEVILKSLSVDDYIEANPVPEFKKIISSTGF DADENKDLFYLRFVRVKPESPEFHSTYEGVAMNLDISVSTINLVVTRKTLLTLLDFIL LTFTNPQQPNQDTQAALTIEDTESAEQQPQQEGKIRIKADLKSIALILNNDGVRLATL SLHTADVGIFLVGRTMLIQSRIGSLTLIDDVNTGAKKSSDLRRLLTIEGDNFADFKYE TFDPEAPEYPGYDSEVFLRSGSIKINFLEEPYRKIINFLVKFGKMQAIFNAARRAAAN QANQLQENASRMRFDVVVMTPILVFPGAMSEDRPYDTVTAHLGEIYAKNTFVPLDEEQ PDSPAVNLISTGIRNIRLTSRFHYAGGAVEELEMIQKVNLDFSICYLEHQPGNPRPDM EIEGTMSPINLRVSQKQLKFLLDITRTAPNAFVTDSEQQELEAKEALPSLTDSGKETE SSLVQSTNQHNVATTDPNGKGENWVRLDMIFKADSVGLELILGKDDAPIGSLDDASLS KFSLNDTQVKLRMLIDGSLESELLIHSFSIRDSRKQETNKFRKIMSLINNDVKQQFMA SVSISPGPAKHLIAMLTIDSPRIILALDYLSALQSFANSVFSADEPQEDEEDIESPEE DEPRSSMAESTDGSIRSPSLEEEPQAPSQMTVSFRVSLVDAQIITIANPAIPHTEAIV LGAKEVLFSKQNVSTLHVSKIGMFLCRMDKFETSRLRILDDFTLELSMDSRSQDRGAS LTSIDIHVEPLVLRLSLRDILMAIQIVNKASEMRAARILPAETGETKALPESTGTFGS RTRRKSSVGKQASAAATKRSHRRSIGAVNAIDRKPVNKRSVVLQREELKAQVDGVRVI LIGDLHDLPLLDWSVKKFNVDARDWSSTLTADTSFDTFVNVYNFSKSAWEPLIEPWQL GIHMAKEVNPDVFSVDVYSHKTMELTVTSATIDLASKSFQFFYTDEDVLSKPRGADAP YRIRNYTGFDLHVWADVAEGEDGPAAKLADGEEYPWRFEDSTSMRETLAPEGQAGLVG VKLEGSGFDSVTRIPVVREGEFLYNLKPKKDTVLHRLLVEVKLGPDNVKYITIRSPLL VENNTQIPVELGVFNPQDGHLLKIEKILPGDARPAPVGAAFMHSIVIRPDQGFGYDWS HEQLYWKDLMRRPTRTIKCLSEGAQQSPPFYFQTNATFNARDPLTKIYPYMRIRIFAP VEIQNLLPYDFKYRIYDKNTRKDWTNFLRKGGVSPVHVVELAHLLLLSVDLEDTVFKQ SDFSIINGNAHDFRREHTLSLKDERGLPLKLKLHYFNVPDSGGAFRVSVYSPYLILNR TGLTMEVQSKAFLQSARSAAGQGLRAEAGSRQRRVRPYMYSYATEDQKNRSIIRIGDS SWSKPQSFEAIGSTFEVVLPDRDGRSEYHAGVSVAEGEGKYKLTKIVTIAPRFVLKNK LEEDILVREPGSSNVTDIKGGDLVPLHFLRQAGEKQLCLCFPGVNNQWSSPFNIADVG TVYVKLARQNQRQRLIKIDVIMEAATLFVHLSLEHRNWPFSMRNESDVDFMFYQTNPN LEDDEEDRTNTWRPIRYRLPPRSIMPYAWDFPATKNKSLVLACKGKERRIRLTEIGNL IPMRIPPSQPGEAQKIIDINIVADGPTQTLVLSNFKASKSIYRQQRSQTSQTSINTGF EVKEFDSDVNFKAQLHLGGIGISLINQKMKELLYMTFRDIEVKFKESKAYQTLNTTIK WIQIDNQLYGGIFPMLLYPSVVPKTGKEMEAHPIFHTMITRVKDDSYGVLYIKYATLL LQQMTLELDEDFIFAMLDFTKASGAAWAGKHEDKLCEEQLNIPEPQTEGAAQDVYFEL LHLQPMQLDISLMRTERVNVEDTLQPSNPLMFIVDVMTMSMGNVNDAPIKLNALMIEN ARVSFPVLASNIRRHYTQEFLRQIHVVLGSADFLGNPVGLFNNVSSGVAAIFYEPYQG LVMTDRPQELGYGIAKGFGSFAKNTVFGFSDSISKLTGSMSKGLAAATLDKEFQDQRR MSKTRNRPKHALYGITAGGRAFGNSIVSGIEGIARHPLHGAEKEGIQGFFKGVGKGFL GLATKPAIGAFDLASNLAEGVKNTTTVFDAEGLDRVRLTRFVGMDGIVRPYSQREALG QFWLKTADDGKYFNEDYIAHLELPGRDMLIMLTYDRIMLVRSKKLETDWDIRLTDIQT ISKERTGMSIMLKGGANGPFIPVQDESARNWLYKQIAIAVNAFNEKYTNRA ANIA_05578 MDSTVHKRKYADVSSDDDDESDSPPRTSFRRAASRSESPPSAGL GLGMGSGMGRGRGRGLGMGQNSRNNNATKQSSGNGLAANSFGARMLAKMGYVQGQGLG SSGQGIVNPIEAQARPQGIGLGAVREKSKAARTEEKRAAALRGESVEESSDEEFTRKK KRQQRKEGGRAEGEARPVGRKKPQFRTAREIEADMAGLEVPNVLKSLIDATGREHKVL TSTAGLMTPVDFVNTEQSEAYKIARRARQDLEAFADEWKGLTERKQYIEQDEAQLVDQ LDTNQRQIDQLSALVAAFGALEVIPEENSDSPQAKLDYVTDQLESLEIQYRAEIDEYR LWETAVAAIHPLFREAMEDWEPLKAPTFLVANLRRLQPILSRKASEGQLVQRSSTSPY ETMIYTLWLPRVRSALLNEWDVFNPSPATTLIVSWKELLPPFVYANVLDQLVVPKLTS GLNSWKPKRSSSSSHSQQNSRVPWWLFTWLQYLDERHTNPKQATGLLSDAKRKFRRVL DTWDLSAGPVPGFDLWHDALSSEFDTCLRNHLLPRLAAHLRADFDVNPQDQDLTAFEN ILKWKDWFQPNVLGLLFVAEFFPKFHQILYIWLTNDPNYEEVAEWFTWWRSQFPTGIN DLEIVDDEWNKALRTMDLAAQLGDRAAEELPPPSSTPTSSKPTKSTHPAPQSPQPSSI NSGRTAPHKPKVIEEVAFKDVLENWCAEEGLIMLPLREAHPQNGQPLFRITASATGKG GVVAFIQGDVVWVQNKKAKEVWEPMGLEEQLVQRAEGR ANIA_05577 MASLIRTSLRTGLRASSSSAAPLTFTRGKATLPDLAYDYGALEP AISGKIMELHHKNHHQTYVNSYNTAIEQLQEAQASNNIAAQIALKPLINFHGGGHINH TLFWENLAPKNAGGGEPPSGALSKAINESFGSLENFQGQMNTALAAIQGSGWAWLVQD KQTGSIAIKTYANQDPVVGQFKPLLGIDAWEHAYYLQYQNRKAEYFKAIWEVINWKAV EKRFA ANIA_05576 MSEDRQGIRGLLSKALRPKKSRQVLRKQVSASTNASTTLSTTTT LTENDHVPPLPVLAPLAAHNEKYRHVHAQQDTQLGETLDHTSVIHAIGIQNFDTDSDA DGLYGRDNRPPGEPLIASLPDDLWMLIAEHLSPADGARLAISSRTLYARLYPYPWASL NQPEQTDNRADFLVSQDKYYPHHLLCFPCGRFHLRTQEGHESLQPAKVLNPLFDCPNA RNNLRPQPRHRITHGRTIPFTFVQLALRPWRFKSPIYGLADPTTALSRRWRRDNWSHQ SRFLIHNGRLLMRVTSSTFADPGLPPSSQRLLLYSREDYWPYFSACAHWRDGDLMSAA KCALTHIPVSRRTEGLQGLEHRVKDMAHRRVHDPNALTTLCSHCRPMRRCPDCPSEYL IEVKLTEQREAGAQSRFRHAIVVTRWCDLGDGSSPRLSREWAACNGELEGYDSFQVLG KRAISGIFESAFTDETIPGQRIISMNPTGKRLGEEGNGWY ANIA_05575 METPIRMGNGANLYLPNMSWLQDATPMVKTPRTPQRSRSHTPDP NSSSIVNPSSALLQDLLKEQRASRGARTGGLEELEESPQRTPEWCHSQSLTNSQDEPG SEKQQTAKLSSNGSVRRPPEMGVRETDQVGVVVPYFLYAWLTEYKYISKISKQNFDLK LEIFHRVQQLSVLEKKLERMQELEDELERMRGLEDEVQELRAAEEDNQRLRESNEQLR QEIDKRDQAVTEAVELICQLEARVEELEKENPRSSTTHHPYVESGVATPRNTSTPDIP ERTSSRRGIRHAERRRVSSGSRSLQRAPSFLLEDSKSTAALRSLYAETDDTPISVPTP ITKSESMNSMAETAEPESPRLSALSECSELNIDDTLVSGNGFDQIDIPVRRQETSTQA TNLSSVTLRMSDADTVRVDQWVPEEMDDTSKGGTTRRRDVFKESQASSPSSSSRKSKL ESIFGSARLPPTPDTMTTAYPAWANSSSNSTIADKSQSDLRRCLTRTRSADELTARRG SITMEPRESMDSNVSKVTFPRASLDDRDEDPAIFPLSSIQQPRYDPSANHGIFAGDFD RVLARMNKDYYCSSRPTTRDELTSLGSSPLSMTAEDWIEAARPGTGRVPVSSRVVGAH APSQSSFLGRRHSIDSAVREPTLPIIQTINPRALNDGDAPPLTAGPEPEARRRISLIP PFFTRSANARRLQPSPIPDPADKDDGAPSPVIRKTRNPPSRTHRPLSGVGQASEFSAS SGPSYVEDGMSRSFTEANINSPSPSSTRPPTSNGGKDHHKRRGSLGIFGWMKGASAGL GSSLKKSDVSPQIQTSTTSNKPETQITAPPTVSTAPASASMTVRATSRLATHDNVTLA AKEPHSKPTESAAEELATFATRTRHPTRSDEPTDEHGRRPRYMERRSRRT ANIA_05574 MSYYDIDSILTDAQKLPCTFELDVPGLGILEGNPGESADPRTVD LRSLAPNYYRLSERVLELFEEEEMVDILSNVTTPTLQTSLDAALLTRTDQTFKKRAAE IADHAHNPKGALGDGVEFLQGLDETERQLFRVAHDGARETRIWAGEAKKR ANIA_05573 MAYNAVAQGEHELPSDSDDSRGPSPQPHAPFEQLPLDADHIGAG KHLQRAETDQNNGFRSLGSLVRSLTTTSYDMVEEDDYEVVADHPSPTGPDSNSRSSLP PTDHHAETLQTPTTERSVPLNHPTPGLQSLQGAYVHNVERLENAAERLSLSSADIGSE IRKMEKRRSSAGSATASNYVPQDAISHRDSIQSGTRLAQVSEQPALEEYPETTATLPL AHAYLPPLPDAVPLSTVDFNQYAHDPYNSEMRAVEMERPSSAASGDTYQQARVLFNDF DGVHYVPMDKGLDPARRVSLTRPPLASRPQPYKQPQEGENMVYYPAPVPMTINLPPKL SQRPDQAQREKRRTQLLDAVSPDKRKSAPWLADQNQTTSEDRRRTRPPSELPPHLRAS VFFEQPSASLDLDPAQTSAVATLDSILDASTTAPVTAFTDHPFAGPVGPEVYSSSKHG KLSKDMSGQRKKLRPKSALNLGHQPSVQELRSSSFATHSLHPTTEVSAAETLGTAHLR VGDSESSSDSEGDSEENSESSEEGSEGTDDEEFHYTGPPATLLAELEQRKHDLKQRRR TAANAGGMHSTLLQLEAVAAKQSEHRRQKPVALAWEPPDAHPNVEDDDEDVPLGMLFP EKAQATDEVRPLGLMEKREMEESEPLSRRRARLRGEPLPPPIDQRPMTMYSQGNPAAL TPDHADDSGDEGETLGQRARRLKGHERNISKADTDFTTEILAEFDHLKEEENKDTKDE PSQEDETLAQRRARLKKEAAEKRNSGLKIPRYRRSMADILHAPRPSTARQQSLMQEAP GPHGAIQQRSLDHRMSMQQLPVSNGPPMTPGGFSQYQAPSLTGPHDHPMVHPNTFYTD AILGNGNLSYATPPNLRQATMRQPIDPGQRAVIDRWRQSIV ANIA_05572 MLSYWRRRSSNASPVPPTSQPTPKAPPLLPLIPDSTSLTATFDE KFTAEGAPNEYLSKSDGGTSTVPGPTVDAPAPSTSSGTLAVAPSFSDNHTRPRSSPEE RNTEPFLTAQTNHSQPSLHPATSDRTHENESKPSSPLPASEKARLTPTAVDGQSKRSS STSPAMAPTGHRRFRTSPDVSPGDKHSASQKEYRFEGPTSRRQNDRDAQPVEPVPQKG SGKTMLQLLNPLSLLAKRRSHQVVGLRADEVNRGSRTIIPIPDDYDPRIRGKIVHDFS APRPRRQLSAAPGHRPEQAGQPGASPPAQPEHTADERSPYSPLPEQLKRHSDHSPVFR EHFEDDKRVLQVENKAYLQSSLLTDSSNRDHDPHTLPVFARKLPSSITDSEKPPEELP PSEGQLESSHEAKLAGGDTTKSVGDSDTFEMELPQQPSGLPKHFKSNASRFSFDMNGV GSSTQEKLLEEKHKEKEAARKAEARLNGEYSDLEDDYDNDMFDDIDGLEEEIPGVNVD ADEGDEFKNFSGPGNILNKSWLAPNLSPVVASPVQPNTSTIEGNQPAAPKQEESNPDS TGPLSPDASVAVDNSTDSSKTFLRDSKNLSNIPLQTIPDEDDLYYDDGEFGELDTKAT EEHFDESIFDDPTSHLYGRKQPTASVQPQEKPGIAGSVPLQTILDEDDLYFDDGEFGE LDTKDAGEQFDESIFDDPTSHLYERKQSTAPDQLRSEVSGIEAGSNGGLGHVPSSASD HHQGFAPRRYGSVVGNMPNPGLVNSHSGILSEHNLEAFHNALADAANQCAANDRLGHT TSVSERSMAQESAHTADSQPGLVSDESRISQAMEAVGFEEVLDDFDYDDNDDLLYDDP IIAAANAEALENDDEGFYGHEFGFYAQAYGANSELTNGGYFGPRGAEGISRSHSGRGK FREPSLTPITERSEWSTRNSIISVTAHGVAHSNQSVSSPGLAQLVDLNTIDDEMSLSA LMKLRRDAWGGSNGSLRSSSGSPPPQQYPASNRGSFTLSDVSPSVHTVPPDFLGVPLA MDSPIRESDKGAWASFSQHAPNGLLPGTETHRTSL ANIA_05571 MFRSTAMKATVRGAACSSCRRSMSLASTVRRADSSSKFGLATRR PLALVGKRFYASSAEDSGVAASDSFLSGNTANYIDEMYVAWRKDPSSVHISWQTYFRN MEEGKMPISQAFQPPPTLVPTPTGGVHQEMPGAGLGLSQGTDVTKHLKVQLLVRAYQA RGHHKAKIDPLGIRGEAEAFGYSKPKELELDHYGFTERDLDEEFDLGPGILPRFATEG RKKMSLREIIAACEKIYCGSYGVEYIHIPDRKPCDWIRDRFEVPEPYKYSVDDKRRIL DRLIWSSSFEAFLATKFPNDKRFGLEGCETLVPGMKALIDRSVDYGIKDIVIGMPHRG RLNVLSNVVRKPNESIFSEFAGSAEPSDEGSGDVKYHLGMNFERPTPSGKRVQLSLVA NPSHLEAEDPVVLGKTRAIQHYNNDEKNFDSAMGVLLHGDAAFAAQGIVYETMGFHSL PAYSTGGTIHIVVNNQIGFTTDPRFARSTPYCSDIAKSIDAPVFHVNADDVEAVNYVC QVAADWRAEFKRDVVIDIVCYRKQGHNETDQPSFTQPLMYKRVAEKKLQLDMYVEKLI SEGTFTKEDIDEHKKWVWGMLNDSFDRSKDYQPTGKEWLTSAWNGFKTPKELATEVLP HLPTAVEPPILKNVADKISGAPEGFTLHRNLKRILGNRKKTVEEGKNIDWATAEALAF GSLVSEGYHVRVSGQDVERGTFSQRHAVLHDQETEATYTPLQHISKDQGSFVISNSSL SEFGALGFEYGYSLTSPNALVMWEAQFGDFANNAQCIIDQFIASGESKWLQRSGLVLS LPHGYDGQGPEHSSGRMERYLQLCNEEPRVFPSQDKLDRQHQDCNMQVAYMTSPANLF HLLRRQIHRQFRKPLVIFFSKSLLRHPLARSSIEEFTGDSHFQWIIPDPAHGTAIDEP EKIERVILCSGQVYAALTKHREANNIRNTAITRVEQLHPFPWAQLKENLDSYPNARNI VWAQEEPLNAGSWSYVQPRIETLLNETEHHNRRHVMYAGRPPSASVATGLKSVHVKEE QEMLEEAFSVHQERLKGE ANIA_05570 MSAVAPSAVSAQGHSARQSARQTRTNPSRTSKTLGRSSFAYGHS SMVDSVSSPVPHGFYPALTHFTDAITALPREFRRHNSLLKEVDAKAWALEDNLLQLLK AASESQPTPYPPNPAPIIEGVVREDLLPADSSRPPESSESKNRRALFDRVRHTLSDLM MTADEKNHVISNANDELDRQLMRLDSIFPFIAGEISEEARLGSLTHWAYSNRNAPKTA TNERPRREAASNKDLAHALHEAEAASRSEARREAVLARRQRRAHADSDMEEARAVGSR KGQNNKNRAIGGDHGHGQAGTAGSSQTKRRKVERPGTAEAGATMERSASGAGASGRAV SKDAVDATKKRSRAPNANAAARKRNNTGVASADSPVIPASPLIGTAPAANISRSAASP GPASRPQSSRTQQGATQANSRQRPSSSASNRVPSSGKTTDNKAQSKETPSRTELVPVS DLQRDISEAIDPLDSSIMHTQREDIDRKLAESVERIETPAPVSTSAPKGRSSKNSTPV LASAEPVQQRTRPTRSSDPAPKRSHKKIGNNSSIVQPPPVSDPEESLHEGDDEDEEGE PRYCYCNEISFGEMVACDNDACPREWFHLSCVGLTKPPGRNVKCTTFAQPVWSKYTHS LTTRCLLEPVPKPEGPAFVLDPALPLAAALAAAASLSNLLLKPSNRASFLPLLAENTT PSSPTTQPGTLHPELPLPAARGNTSALHATPTIQPIADGRSMYGNCDNESCAAISVSG ENLCAAFRGWEDGEIGVGVDVDVDVEIVYRYVEGMEAWYCANKANIVAVGPEAKEGWV TSMRTYSNLPSTPPPLNNPAMINEQRGEQGLRRVCEPGSLPYQAAPELPVGKEMGGDV NGGEMFDVEDGERD ANIA_05569 MAPPSGSAAYKKKDGTLTMSADRQSVSWIPAAGGASGTITISVA QITNLQQTPASSPKVMLKIFALPSNNPSAAPEQYIFSFTAGATARAEADAIKDALSAA IQAAKTAQATPGPVAATGEGGGMSAAMAMASAVSSAGSGKHWWDDDKRLKTDVELQQS LLKADANLQRMFMESLHTKPETLSASQFMSQFWSTRLHLLRAHAIERSQTRGSYNVLS TLKPRTEDNVTKLNISKEQIQLIFNQHPLVKRVYDENVPKLSESQFWSRFFQSRLFKK LRGERISEADATDAILDKYLKADESGNLPRDIHMPHFLDLEGNEVNHSQRRGNRPDID MRPSAVEKVPIIRTLNSLSEKIMANVAPADRVASAPVGKMDDGTYDELQLRDLRGDEE QSRILLNIRDQSRFFSAAKIAEDERNRQFEQQDPEQILQDLRTSIAQNFRDDGSAPLS QLVDPDEEEDAEDSKTSSRHYRHLASTQILNVIKDRRTQTQVASNSDTYGLTSALYDR LTLTHATTTEFLHQFWQAFLSGNPDRASEVASLVESLNRAMERINAVATDAEKERQVE VEKVKQHAREVLQATGKRLRVNLDSIPGGEQAVKRLLGPTIRALDTALMRYKEALAEE MKNIEPSNT ANIA_05568 MSAATTSPTISAHKASFSTGPPRNSSPTAQSRNSSRNSSCSSPN RDGSKASSRRESFGSIKEDTDGIAQSFVDTHLDRFHKDRSKLDSFEMQMQQAPEFCCP CGGFLGWKSIRLGGKSLSRSYSDLRALGNMSARGWAWEDTPTAPARAPETKQEKRPEP GSSLLERLPSEILDQIISHLALDVPPNGYTPRNVDLISCLLTSRTLHAATLSVLYRNM TFPHSIIFSKALNHMSQYPALGTLVRRLDFSHFTSVGLGRTKQMNSEIQNLTHKTLLQ FLELLPNLKECLLQEHLEGDISVEVISKLFNGLPNLAAVDFCGSSSSHFSTVFQQALN SEPGLPLTLPNLRRVSFHECSGIPSSAFEVLLPRLVNLTHLDVSHTQVSEDALFSIPE TARITHLGLSRCIRLGGPRVVEFLTTHPAVRDSLVYLNVMTDASRYRLLEEEDVKKLL PKLPTTLRSLNLGGARVTSEHTDALIPLTKHLEELGLSSADLSAQDLNRFFAPPPTSE TSEVTSWVPSSLCYLDLTKVPQLSVGIVFNTNSCLLLTKQSYPLQVIEFSEKLITPLR EKPKNTKTSPDWTVRELGRRGWYVRDPASMPDTPIDDGSRSWKMGARWWGMKKIPVAV GDVGGLYGHYMFKR ANIA_05567 MAQNPITHHIPYNPANVQIVSERPQKRIEIVEPDPAWPAAFAVI EARIKAALPQGNLLYIQHVGSTSVPNLPAKAVIDVDVVVVDPTAEESYVPALENAGFQ FLLREPKWHEHRLFGCDEPYANIHVFAPDSPELVRHRLFRDWLRDPTHEADRELYAGV KRQAAVESREAGETVMQYNDRKEPVIRGILRKVYEAHGLLGDNPADI ANIA_05566 MADTIPHNTFDTILVLDFGSQYTHLITRRLREINVYSEMLPCTQ KLADLGWKPKGIILSGGPYSVYEEGAPHADPAFFELGVPILGICYGLQELAHRLHADN VVAGTAREYGHADLKATKFGGHVDKLFENIEGDMTVWMSHGDKLRNLPEGFHTIGTTQ NSEYAAIAHKSDPIYGIQFHPEVTHTPQGGQLLKNFAVGICGAEQKWTMAEFIGQEIQ RIRSLVGPDGQVLGAVSGGVDSTVAAKLMTEAIGDRFHAVLVDNGCMRLNECEKVQEV LQEQLGINLTVVDAGEQFLAGLKGVHDPEQKRKFIGGKFIDVFEDEARKIEAKSNGKV EWFLQGTLYPDVIESISFKGPSQTIKTHHNVGGIAERLMRGHGLKLIEPLRELFKDEV RELGRQLGISPELVGRHPFPGPGIAIRVLGEVTREKVEMARQADHIFISMIREAGIYD EIGQAYAALDPSRAVGVMGDKRVYANIILLRAISTKDFMTATPYPFSYEFLSKVSTRI VNEVAGVCRVCYDYTSKPPGTIEME ANIA_05565 MGSNGNNGPAYAPEWQHFITELGDPLLMRGETVAELYENSNENI QKLLAKYDFPPTDKSVTTEDITLKNGVWVRIYTPPAADFNATMADSDSGKEEKITVFM HGGGWIMGSVDHEDSAVRQLCRAVGHKIVSVGYRLAPKHKYPVALDDCLQATLWTLEN FASSAPSVSLMGGSAGANLAFGVALRLLDSGLGDKFKGVHALVPCVVHPEAVPEPKRE QFTAYEENAEATVNTLACMRCFLDSYGPPPDDIYFSVLLHPRIGDLKRVYIVECGTDT LRDDARLMRDALIEKGVDVRYDAYPGLPHYFWSYPAKALEGVSGVFHANMYRALQWIH E ANIA_05564 MPRFEDSDFGVEGSPSAPPARGSTLDTRSPGDRGSMGGQLPIPN DANNIVEVPATRSSISDAASFMHNLSLAPSSRDRRGSRNSFGTSLPIPRSPRVSRLSS VVPADVSRDILASQIQDMSKEKVAAAKNMAFAFDIDGVLAHGNHPIEEAKQALKMLNG DNELGIKIPYILLTNGGGKTEAARCQQLSEVLDCPISTDQFIQSHTPMQALSDYYETV LVCGGEGTKIREVAENYGFKNVILPKDIQAWDPTVSPWGHFSEQDRAEAKPRDFSKIK FDAILVFADSRDYQTDFQIIMDLLLSEDGRLLTRAKDLTQGRIPIYFSQGDLIMPTDH KGPTRLTQGLYRISIEAQYKALTGLDLERVVYGKPEEATYKYADEVLRSWMEQIHNEN TLPENIYMVGDNPASDICGGNMHGWNTCLVRTGVFQGGDNDETNPANFGVFPNVLEAV KAAVRKELGQEFKLKWNPKVNPVLHGNNASAIE ANIA_05563 MSSGKTFKLSNGVTIPAVGFGTFASEGASGETYRAVKKALEVGY RHLDCAWFYQNEDEVGDAVRDFLKENPSVKREDIFICTKVWNHLHRPEDVRWSIEDSL KKLKTDYVDLFLIHWPIAAEKESQDKPKIGPDGKYVILKDLTEDPKPTWQAMEKLYED KLARSIGVSNWTIEGLEKLLKYAKVKPHVNQIEIHPFLPNEELIQYCWKNDILPEAYS PLGSQNQVPTTGERVSENKTLNEIAQKGGNTLAQVLIAWGLRRGYVVLPKSSNPARIE SNFKTIELSDEDYEAVNAVAKGRHFRFVNMKDTFGYDVWPEETAKNISA ANIA_10694 MKALHQLIAPNASSLKESELLLEINNRGNADYCRGVKRQCLSVQ RISGMDDDAAPSVRGRSFLETKWESENSRKRRKLPATTNSMDDHMISDDPQDKKDEDM AEIGESPGGTTSNGDSAVQEPEETGESPTHTPGPCAVGAAYPDMLHGEPDCLTNKECG VNVNINARDTIVQDEVRELQPTVAADGAAATENALDVGTAADEEELQPDVATVTPGGE SKDTIAIPAGMSAAQESRLVRSALRSSLDGDDTALLNNFLSKAKAKREAKAAAEAEVA ATIIASDSEEKELEQPLQSQKVLVEIPTPERRVLEDLDANTSSPQKSPSKPAEKNENV GDNDSASPVTRRSTRVRSLQRAVAPSLRTTLSLRRARGNEFVFLQRTEAQETALATRR NTKQNKGDALMPKFKLQELARQTPESNYSTFSDGPRKSNKPKKYVTWNEERLVEYEGD VLGSDDELAGGSQNLKATTASKPNPAERKRGAASSSRSSLSQGSLKIGASAKPAAPAA ATTAPPTAARGRRVRRLGPPKPLESTVMIDSNDSASSPPSPNTAAGSTPVAQRKKLTP KSPKTSLSRTALKVPAAAAATSNTELPSLLSGGRSVKTNLLKVNAGSTPMPRRVRRA ANIA_10696 MASSPDSTHSFSDMDAGSRTPTQQIQTTSPQFAGASELSPPGSQ TQPAGGIANITQGISGTEEGTEESQHPGASWMNKRAEEEYQRAMEYVIDLDWNLDEFG DPFDERDMKEKLG ANIA_05561 MSTKQPTGDAWDDDWESQADRLAAEPTPPPPEKKVSSKVTKAQR RAQQLEFNRQLWAEAESPQTFHFYETTSDVPLKQDFKPTVTVLSRNPQIVARQSSAAG AAAGIAQMDLNADESDEEKPPEPTPEERQAMALRNREEKQRKYEEVRERLFGTPSAPT SGASSPRSATPPRQEGRGKGRTRGNGRDNNNRDRRDQSAASGKSKQLYDPASPSRPNS SYGRKDWQSGDKNHADQLQSPRQPIRNPRGPDGSGRGGFRAHRGAKTP ANIA_10695 MPHLPRSSPLFFRAFLASTFLFLDITTHQLSLTLSHIYSNRAYK TRTMSAPKSYHTQATGAAAETVANHSKESDLKLYGSCFCPFVQRVWIALELKGLPYQY IEVDPYKKPQSLLDVNPRGLVPALRHGEWGSYESSVLLEYLEDLEVGPPLLPPGDAKL RAHCRLWTDFVNRHIVPSFYRVLQEQDQQKQIEHAHELHSSFNTLIEVADPEGPFFLG PDLSFVDVQVAPWIIRLNRVLKPYRGWPDPEPGTRWSAWVNAIEANDSVKATTSLPDL YLDSYERYAGECP ANIA_10693 MDDLGLRSPDSLRPLQPLLSSVAENASNLAASVKHQQYNDHEDH QHEHNLEVAVEARSLQPLQSAIADYDHYELHQQKHQHQNQDQSEERQFRPEDAYGSLT NQSASLRIQRPQNTSESIFSSKEHGYLRDVASIANPPDLEAWRDRLFDVDEMIVMSEE EFRTYFPHVDNVYSHRSTQHYKRKPLVSHYWDCRLKGRPPGTPKSDDPNKKKRKRTAR QRDLCDVKIKITEYFPGYAVGLGTGAGAGVGGAEGLGSAEQQQQFSLPFSPNGVGVDT PITTGESLPGVNTLLQFPSSAGSGSFVPGNPAGRGELHNQNASQSQNQPFGVLTPNPP LPEGHPGAGGQRFFTIQRVNGNGANGKNDGVSGGHRHTLEESDRVKKNSVQRFLLKEA RERKKALSVTVSLFPRSILSVFTGYYRSRAPAFCMICL ANIA_05559 MSQLESDKDILQQSLAQYGLRVAADGYHIQWAVGNPRHPRNWVI SRKIHDISLLIFLEFFTYLLGQALGGIILPPYSECFGRKKLYIISSATYSICCVIIAA VPSVKGVVFGRLLSGFLSAIPSTIVVGSVEDMFNSRDRVWMIWIWALVANLGLVVGPI MGTFITAELHWTWLFYVAAIVTGILTLLLLGIRESRPALLLEREVANLREITKIDYLV SLNPDSAPDLRTFARVALGRPIRLFFTELIVFTVSVMSAVATALVYLFTQCLPPIYKS LGFSERQACLPFIAIGAGLSLGLLTRYLDMHLIERRRRHGTILLPEHKLAGFWIGSGV LAGALWVFAWTIPPAVQHLHWIVSVLALVLIGYALNEIDYVLGGYLADSYLSYAASGL AALSLIRALLSGILPLVSSPMFTNLGANVAVSLLAAVATLFCLVPPLFSRFGETIRAR SKFAKYSLDMYQEHSVDEDGY ANIA_05558 MHSFKRSLLLLGALLPAVFGAPVEPRRAAEKVPGKYIVTFKSGL NVDQIDAHTSWASNVHKRNLERRGLAERDQYSGIEKNYKINKFAAYSGSFDDATIEEI RNSADVAHVEEDQIWYIDALTSQSGAPWGLGAISHKGEASTTYVYDTSAGEGTYAYVV DTGINADHEEFGGRASLAYNAVGGQHVDSVGHGTHVAGTIGGETYGVSKKANLLSVKV FQGESSSTSIILDGFNWAANDIVSKGRTGKSAINMSLGGGYSYAFNQAVEDAYDEGVL SVVAAGNDNIDASDSSPASAPNALTVAASTKSNTRASFSNYGSVVDIFAPGQDILSAW IGSTTATNTISGTSMATPHVVGLSLYLIALEGLSSASAVVSRIKELATQGVLSNVQGS PNLLAYNGADE ANIA_05557 MHSPHYKFPRQQRRRCYKFPTAAITTMAAVLQSQKTALITGAAS GIGFAVAKLCRSRRMHLALLDIDAANLQKAKEVLVSESTDSSLKTEAYVIDVGDKSQW DSIAAQVQSSFATLDLVLLNAARGTKPKELDPWVQSAEYWRQIFDTNVFGPVNGISAV LPVLKKDPSSAKAIIITGSKQGITNPPGAHNPAYNSSKGAIKILTEHLAHDLRSDSAS AHISAHLLVPGWTWTGLMGNVGPTDEKEIKKMKGSWAPSQVAEELERGLQRGSFYIIC PDDDVDRALDQARMQWGCEDILEDRPALSRWEEGWKERAEQAIRDYAERRRK ANIA_05556 MSASNLPFTCALVTGGGGGIGKAFSAYLISKGVKVIIAGRTESN LQSTAREIGAAAYYVLDTGRTDTIPQFISSVTREHPELDCLMNNAGVQRPLEVFKTPT EEYLQKADQEIDINIRGPMHLTLGLLEHFKTKPSAAIINVSSVLGFIPFSVINPVYNG TKAWLHFWTVTLRTQIEWAGLKDRIKVIEIAPPSVETDLHRERENPDDNKKKNNPNSL SIEEFMHEVSPQLEAGEEMIGAGPSANFLRKWYDAYGEAYTKATTKKA ANIA_05555 MRPWPTYLRGLNSASYTEAGIKRKRTRLACGACRVRKAIFSRTS PCERCISRGISCDLATNGDDGLMSVSTPRTTISISPSMITWPSDKATARHYLDAYFDF AFKTLSAFLHKPSVLADWSKDFLDQQLLKCIMAFGLFVSDHRPEACATARALMQEVQE VQDYVFKWIEQTIAHLKNSGHFAALSFHAGNFSDARSLLAIAARSAFTMRLDYEHEGL DPLVQESSRRLVWTIYKTRSPILRCHKYTKGVRRMGNSPAESSAAMEALLAELNTFER TLPPELKLTPQRLVVMGHSREAATYAGLHALWTMCHCDLYRFCVPGIRESLARTPSNF KDYCQQACFSMAVQLCGLWSDLYHLESSEYFGDEFLAVSIYQVTQILHHLPHLMSEHG ENSVESLKKKLNKALQLAAPLRWVYASAINCLRNTERLLSALGRLSGARSSPDANPGE FRYCTRTFSPQTLGITALVQR ANIA_10692 MDWLFDKARYFNRTLSLSIFVIALSTFNYGFDNQAFATAQAMDT FTKHFGDLNYLGFATGVILGSLILARLGRRWWMFTMSVIDTHNRHYHRQPCSNYGCPG SQLRLLVGTHQLSLTLEGVVINAVCYGTSGLPDNRAWRIPLGLFYVVPTIIVASIFFL PESPRWLIRQNRVEEAKASLQRLCEGAFKADQVDKEFRELEFALENEVEQGNFAELFR EKNLKRTFIVVAVNFFEQATGQAFTSQYGGVYVRSLKVFNPMLYTLMSSCISSFVMIC ILCIADKVGRRKLLMLSSVIMLSGIITMAGLGVNEPVTTQRMKGVISLMVVFGVGFAG GWGWLTYVVVTEVNSLRLRDHTFHLGFAINVCFNFAVNFSVPYLVFEDEVGLESKVGF IFGAVAFLSLVFTYFCVPECKGKTLEQVDRLFNNGFRLRDFGKTDGSRMLDQGAVG ANIA_10699 MPFINLCQVAVCVFSVFSLFLLNRSFRLSNQGKQQPPRMITQSV YSMCRSDRTVSSEVSNNPTKAPYKVFKDLYELKDVEKSPELAGHEKQVDSDELHKAKE CGHWGGAEPSRLFLRIYHDALAALGRNPLGGVVSPPLMGSNGVVPLTIVAPLPDICRH MANCIARARKEVFLATNYWIFSDASTLITNALKELSRRAGERGEKVVVKILYDRGDPK QVWENHLTVDEKKYAAGKVNLPATDEIPHLDMQVINYHRPIFGTFHAKFMIVDRRVAL LQSNNIQDNDNLEMMVRVEGAVVDSLYDTALISWGKALEPPLPMLYSPAADAPLPSAQ VSINGHSEENGSLRQHTTEDENYDADITAETRRVNGLLTPKKGETRTQPVTRLLNHTR QKSTTGDASDEDQEDQMEPYILTPQHEPFSMALLNREPYGFPDHTSTVVPQNAAWLAA INHAERSIFIQTPNMNAEPLLEPLLNAVRRGVIVTCYLCLGYNDAGQLLPFQNGTNEM ISNRLYTSLETDEERFRLRIFNYVAKDQTRPIHNKFKKRSCHIKLMIIDEAVAIQGNG NLDTQSYYHSQEVNLLLDSALVCRTWLDALSRNQNTAKYGLVSPDDGCWHDPATGEIP EGSIGLDPGRFAWAKGAVGVVHRVRGTAVPSDSVYIQCFVYEMSLNL ANIA_05553 MLSSLLSLPAILSFFLALCIIQLVRSLAKSPYGSIPGPALARFT NAWYLWQMRRGDFHRTNIKLHQQNGPVVRIAPEYFSISDPSAVKPVYGHGTKFIKSEW YKAWNVTPDPDQTNLFSEQVSQRHAENRRKVASMYSMSSLVAYEPYVDNCIAVFKQRL NEISVQGKTVDMAHWLQCYAFDVIGEITFGSRFGFLDAGNDVGGVMKSIEDGLAASSY LGLYPWIYPFYLRVLGYLRQGLSYMNEFSLLHIQETRAAMKGSHKDLPSYMAVKLVQA QTENPHRISDWDILATVGANVGAGSDTTAISLSSTLYHLYRNPGCLAKLREEIESAGI GTVIPAFKSTQEMLYLQAVLKEALRVHPGTGFPLFRVVPKGGQVLAGQFFPGGVNVGI NSWVLHYDTNIYGADASIFRPERWLEADEEQLKTMEQNYMPFGIGSRTCLGKNISLLE MGKLIPVLVRDYDFDIQGEGDLEARNRWFVKPVDFWIKVTKK ANIA_10698 MVGTKGATSTSASAKSTPRVAEAPVQFSKSTSSATPAPSSTISK PAADACSATNPSWDVRASQTNSSGLTAPAKVTTQSPSPRASSPAIGVSADSTNAAPST RSTSTTFDSSAKGRIGAVFGSSGPEISAPAKSTSGSGSGLFSPSVDASTRDTGPSGSG LFGAVSGGPAKSVSGSGSGLFGSRVDASTNDTGPSGSGLFGAMSGGPAKSVSGSGSGL FGSRVDASTKDNGPSGSGLFGAVSGGPAKSASGSGSGLFGSRVDASTKDTGHSDSGSS IFAKNTNGSSFGSSRSLFKAPTDGTSGSIFERSGSPFGTSEKNTSNSGFGSSGPLFGT PTKNMSDSLAGSVDNPFFSSVSQAKDRVDPTTFPTTKNQKW ANIA_10691 MASQTTEDQALHQLQQQQSKLLDKIDDLRNIGVGSLVELPQLIV CGNQSSGKSSVLEAISRVRFPAKSNVCTRFATEVILRRNPVSRIKISIEPGPSRINEE ERKRLQSFRHEQFSTKNDLPALIEKAKECMGVSESGGLGFSDDILKVEISGPDKPELT LVDLPGLYYSTSREQGLAGIGIVRSLTEKYMQNSRSIILAVISAKTDYHLQEVLNIAA RFDPGGKRTLGIITQPDILEANSEEEDTYLQFMKNEKVRLHLGWHALRNRSFETRDVS DNARDEQEKEFFSQGRWTSLPREVLGVDTLRRRLSSVLLEHIRHNLPGLIGDIQQKIS DREQRLAKLGSPRSTIQQQRGFLLQISSSFERIAAQALNGMYADPFFGGLGDAKGTSD IRRLRAVLRQLNELFAEAMSIRGCRRTIVDESTSGSASTTASMPGSENPYIDGWAAEY IDRGALEAEVSKQARENRGIELPGSANQLLVGSLFRDQSHPWEELAKAHLLRACDSVR YFVFLVLQYLADEHTSSLLAASVIEPELERMKQALFDKLDELTAHRKRGHPLPVGTSF LAQMQKARSQRLLRSLKLDLLRPGKQSDTEDGTIAYSIKTLEQAITDLEMSRDGFAAA EIIDQMQAYYDTAILTFVDNVAILGIENCLLYPLQRIFTSQVVNDMEVSQIQSLAAEP SYVTEERKRLSQELEKLQAGLRTLNLFKPMPIDGLSIFGVLPFGFPGPDTTPQ ANIA_05551 MGFSLHANNSNDPPEVRNWRIHLITTIVSMGAIAMGYDTSVIGG TMALDSFRRHFGLIHASDIETDTLEGNIVSTFQAGCFFGSLLTFPLGERFGRRNAIVM AVCVFCIGGSLMTAASGHLGLIYAGRAIAGFGIGSVSLQVPVYIAEMSPASIRGRLVG IFEICSQGGGMLGFWINYAINRTISSSRMAQWQIPLGLQLLPGGLLLAGIFWCPETPR WYAKKDRWGDAEKSLVWARRLPANHPFIQGELQQIREQFLYDVVPEGRKYDPWRWLIE EYSYSPRIFETLGINSTDTKLFATGFYGVAKTLGMVIFSVWLVERVGRRSGLIYGAFI GSLPMWYIGGYVFERDPAGTSARGDTVQDAWGYIAMVCVYLYGLIYCATWQGITWVIC SEIFPIDIRMLCVAITTADQWLWSFIISRTTPYMITSLGYGTYFFFASLMIAMGVWAW FFVPETKGKTLEEMDRLFGAPSSVNGMTEKDDSEKIGSGKAGEVVHAESV ANIA_05550 MATDSSTAGLTSKLARFSSQHDDIILLTTTIESESFDTLRPIFV NPHASPLAIVRPSTIEAVSATVSFLASNKIPFTVRVGGHDLHGRSVEDGGVVLDLRLL NQVVIDKSGSEAVGGKTATARIGGGVLIGDLLSALEPHGLVTPVGTVSGVGYLGWAMH GGYGPYSSGFGVGIDQIVAAKVVDATGRVVDADGKLLKAIKGAGGAFGVVVEAVVRVY ELDSILAGTLIFNSQDLATTIRTFNKAYKALALTESIPSALNIFSCILSSPQTPAPIF ILLVNWTSPNLSEGKEWIEKIATLIPSAAATLAANTVQKIKPKPFIEAVSKLVAPSVQ GRMYSVSFREITDEVAGVIAHFTSAERMPRDEGVLLEIHELRAVSPSANPNTSSVFSA REPHFVVAACATAVHEENVEKVLKWGEELQVALKRTKRENILPTSYISFISREEMERD QERIFGGHLPFLKDLKRRLDPENVFKAAVSGL ANIA_05549 MVKDEEKIAVGEDPTSSPEVAPLENLNKSRWERSWPTIACGAGL FSDGYLNGVIGSVNTMLSMIYAEAYTKSSASKNVSSIAFAGTVVGMLFFGVLSDHWSR KGSLLVSTLVLILFAILCTAAYGYNGSTYGLFAALAAYRFFLGIGIGGEYPAGSVAAA ESSGELKKGHRNRWFIMFTNLQIDFGFVTSALTPMILVLIFTENHLRAAWRMALGLGI IPPLSLLYLRLKLNEPEEFNRERMHKFPVWLIIKFYWKRLAVVSLIWFVYDFSAYSFS TYSSAWLAIILGDEYPLWKSFGWNTVINLFYIPGSVAGAFASDWLGPRKTLAIGVGLQ GVIGFIMSGCYEYLNTPKNVAAFVVVYGIFLALGEFGPGDNIGLCAAKTSATSIRGQY YGIAAAFGKVGAFVGTYIFPIIQDNAPNAIRRGQDPFFVSSSLCIFSAALAIFCLPHI GQDTITDEDRKFRKYLQEHGYDTSTMGQTQTPQPTEEA ANIA_10690 MSPNDIRGRLALITGASGGIGAACAHQLAQHGVHLALTYSTNSR AVNELVADLNSRYADNKLRISTHQVDVGSPDQIEAMLQQVDARHGQRPDILISNAGYG KRITNVWDISLEEFEYMLNINLRASFVLVKGVVEHMKAQRWGRIVFMSSIAASGGGIN GCHYAASKGGLTGMMKNLSTRLAEYNISVNDVAPAMIGDTGMVPSATAIPGIASNIPL GRLGTPEETANVVTMLVNTGYMTGQSLLLAGGLK ANIA_10679 MPKSVSRRSGLFEMRACAECRVRERPLKTRTSPCAYCAKTNKPC VFGPRPSRTPLTRRNLDAAEARCASLLSLLRRLNPEVDVEDALRTVAPEFEHHQYPHP VEPLEPEPDSESPDSSRRFEWNEASTSNPPDGMVSLPTASAESGYLGHSSGTRLLQTI SDLFPENVTLDQQQDDSPARVSQTGSPSLLLHFANTAVLDNLIDAYFLWYNRSYPILH ESTFREKYRNRQRIPSRSSWHLIFYLVLAIGHWVSTGGMELGQDSYYMAARSRMSMRM LESGSLAAVQAFLLMVCPDLPIAGCVLTFQGNYLQKRDRPNTGYNYIGIAYRMALGLG LHREPPSGQTNDSLFNERRRAVWWVVYCFDSGFSLTTGRPVMASDSFIETRLPRNIDD SISALDSPLPSPVDRPTTYSAIIAHGRLVSIGNRIFSEIISCPYRQSFSFRAPRSIDH QLKAWRLSLPSYFTGQDIPPWFRGPRAVVGWKEQNLRMLLWWGSQRLCNDNVSMSAER EEARNMCHLAAVETIQDITTFCLDYADAVHVGLSWYATYFLFQASVVLSIHHLKPVPQ LDRGMAAVNRELWLSSVERARRCFASLGATNRAAFRCLAVLDRIRDHSPRELAQAELG GQPQRLRAHADAVYQGDPLETGITDASVASLTVDPTLQMFFEDTSWENDLFEGLNGFP GTDEVDLSESVPGRSGPTVPSYLNGSAI ANIA_05547 MSMTHENCAVVRDGFPRPVPDTPSNVLEQFKMAGKTIVVTGAAD GIGYAVAEAMAEAGGDVALWYHSNDAAIAKAEGLTKEHGVRAVAYKVDVSDPDAVQST ISTVVKDFGKIDVFVANAGMAISKPILSQTLPEYKAQLSVNIDGIVYCAKFAGEVFAR QRTGNLIITSSMSAHIVNVPTDQPIYNATKAFVTHFGKSLAREWREFARVNIVSPGFF DTKMGASPETVNEAYRMAVLGRQGSTKEIKGIYLYLASDASSYMTGSDVLIDGGTKLV YPASIGF ANIA_05546 MADKKLHLTAFMRPVSLHTGAWRYPDSYADANFNLAHLKSFIRK LEAAKFDAFFMADHLAVLNMPVEALKRSHTVTSFEPFTLLSALSQVTEKIGLAATAST TYDEPYHIARRFASLDHLSGGRAAWNIVTTANPESAKNFGRDEHLEHSERYKRAREFY DVVTGLWDSFADDAFIRDKESGIFFDPEKLHVLNHQGDDLKVRGPLNIARPVQGWPVI VQAGQSEPGRQLAAETAEAVFCSPRDLEGAKALYRDIKERAEAAGRNRDHIKILPAAF VLVGDSVEEAKRKRLELDSLVHYDSAIASLSIALGADASGFDQDGPLPVDLPETNASK TSRAGVVKLAEDEKLTVRQLAQRLGGYSGLAFVGTPASIADEMETWLMEEGADGFTVV FPFLPQGLDDVTQKVVPELQRRGIFRKDYTGNTLREHLGSEDNPNPDLSCGRQRSMDQ TGDNSGSNSYDIDDNEHVHDNDKTGYQSTNWNYRKLYQPFQCLICQSRFTRHENLKRH ALLHTRSHDELQLSCDFCTATFSRPDLRKRHMKRRHPEHEARRAKKRVQRGESTRQWS EGDGRDSVSPEGSHDGRSQQAVNNQTRGSASPWGDRRSPNISDLIQQALEEPRGDRIG QEVVDLQMLLDTGQIFRPPENIEQHLSGFTSSASLEDGSWRPSPSQISTGCALFFAHV SHFVPFLHQPTFDADQTPLHLLLSMLSLAFQYGSDPDSDTQAPDSGALLSARCFHRAR ALLTSSSTAGPLSTVQSYLLLQIASMMYLCSESHHTLQMHSASISLARTSGLMQRTAL ETSTSTSLTTLWHAFVSAESHKRTLFALHQIDALWYQFLSVPRSISHLEIKHDLPCPR EQWVAASAEEWAHGQLIRGQTGPQSLQYVDAVRRFLSQESLLSLPVFDPYGAINIAQF LISSAREISGWSTMTGMLSIDRFGALRSSLETLHPFICPAHPSLSPVSSSAPPAQDAL CPATWQTAMLELQIWSPAHTSGIIQTSISSLLEHSTQIHLSPSPQILCEEITAQAIKP HIDWFLTYLENTVDAEGEAPWVVVYAYKAFLIAWQLVRGGVEGAMRVVGVHDGDVIGA MEWARVVFARRKRWEVGRLVMGCLDRLATDINT ANIA_05545 MEKNSLKDEDKDRASTAEGQVHVLPSSDDARMPSSLRSLSSDEY GELNKKIVRKIDTLVLPTIGMLYILNYIDRQNLAAAKLQGIMEDLSMTTQQFATAVSI LFVGYLPFQIPSNLLITKIPRPGMYICAAVVVWGSISALTAAVKTYEQLLAVRATLGA AEAVFFPGAIYYLSAWYTKAELGKRIAALYIAQQVGNAFGGLFAAAILQLDGAHGIAG WQWLFIIEGSATVGIGAICALIMPEFPHNSRILSPIERDLAVWRIESEAGAAEGKENV SLLTGFVNALRDVKLLLLILANMLSQVQGSIANYFPTLVSSLDFSETLSLLLTAPPYI LAGGVYYGIMYYSDSRNTVYPLILICVAITVVMYIIPMATLNVGARYFSMMILPFASV GPQLLLYKTINLHLARPVSKRAAASALVNAIGGTSNIWASYLYYDEPRFMAAFGALIG AGVCLGITVTVYRWIVRRENRRLDSGVEEEIEKVIKNGVTREMVGLGWRYEMY ANIA_05544 MSHPTPLTTTIRNPRLRLLNKLRRNEYPLMTFMALPSVRIAQIL SLTGLDGIIIDCEHGHISDDSMHNAVAAISALGVSPIIRIRGPAHDIIKRALDTGAHG IMVPQINNADEARAIVASSKFPPQGLRGQGSAFPAIGHGLTTPEYMKSANETIITMIQ IETKDGVKNVEEICAVEGVDMVFIGPNDLAMSLLGYVPARGDEPVFVEAVEKVISAAR KYGKWAGRMVNDGTIAKAERERFDTVAVTGDTKAITNWYVKEFDIARS ANIA_05543 MSRQLISSEKFPLKPHNCPATKVPGLVFLAGQTATGEIKQATRT VLQNIKEVLELSGSSLEQVVKYNVYLADMKDFAAMNEVYIEFLPKPMPSRSCLQALPP GEGTVIEIECIAQV ANIA_05542 MTPAAPFNPPSPNLPGKPFVPEWNPPPVTKQTESFATLKSIDLS LLDSEDPVVVNRLIQQVKIAIRDDGFLFLENYGVSLEQLHRQFALAQYLYNNMSEEDK ERLLFDPETGRWSGYKHPYGFKRHRGPPDGIEQFNFYTREWNDPSRIPTCLHPFMDEI TAFCNYLTQSVNRRLLTLFSRVLELPDDYLWENVQSHGSPTGEGYFRHALFRPVQKET QEASKGLRMHGHTDFGLTTLLFSVPVSCLQIWGRDEKWYYVPYKPGALVINIGDTLEI VSGGHFKATRHRVYKPPADQLNEERLSLVLFNSSVGELRMQPAYESPLIQREGCIEEQ GVYKEFKRLTEAGQLVPSNREWREIQIATATDPTDTDHNRIGVHQLCVISVASSSQYL ILIPGHGSRQIMVQSWTQRHAG ANIA_05540 MTKPTESALKDINQGKETTQDEKTKSWIVGGKYRDEAEKLAVHT SGRGTPEEVDFDFPATAVEAGHLEKTNEDVMVLTFLDGDPENPFNWPLAKKAFISLLL CLMTLFVGLATTAYSSGINSMTSDLDVSTELGQLGLFTFNFVCALAPLFLAPFCELVG RRVIYVSAFICFSIMFIGLALGKNIATIIVCRGLLGLFGSVGTILVGGTFSDMYTPDN RAIPMATFSYVAILGTVGAPIYAGFIDETIGWRWIEGIQGLANIPLILVVALCLQETR GGAIMQKRAKLLRNQTGDNRYKSKSELEAPTLKHALHNSSVKAVHMLITEPAVFAFGM WIGFAWFVTFLFLSVIPITFTEKRGWSEGVAGLPYISLCIGTTIGFATNFIQIRKYKS IEASGRPVTPESRLYGAMFGAIWLPIGLFIYSFTQYGYLNWSGPVIALAPIAIGIFYI FESTYSFTSDCYGENSSSAIAGQGLLRNTLGAVSPLFASQFFHNVGSQYAGLILAIAG TALALIPFAFFKWGPKIRARSKLAETAKGEDEEKGKTGTTLYW ANIA_05539 MDKLNIAADPIDLHFPQEETSSRNDYNSRLTKAIMHYLLNEAQV PEFQRLYELRKKGWGVPAGDKFFAKQRRTSDKAHAKTAAHFYKMMQDIATDMNRLTGI FDIKPSASDIGSRPALLDLCMAPGGFLATALKINPTAQALTFTLPKSEGGYEVARELR KHPNVTANFLDITMLATDMRTPAAEFPPSHPDFAKFLPAHFNEKQRFDLALCDGQVLR TQARAAYREKREATRLTLTQLILSLEHLRPGGTMIVLLHKIEAPNAVQLLYEFEKFST VRVYKHAHYHAKRSSFYMLASDVKKDRKEAREVLERWKRVWRAATLDVDGDDEMFRQV LEEGAPRVEDILERFGERLVNLGRGLWEIQASALENAPFMKKSSRREDQRP ANIA_05538 MRSCHIRPWVPMTLTGLFLRTLARGCVLEDQFLDSSEEFYEALA GCTTVIADKLSIGGASGRLVLPDVVNITGAIHSTALVPLALSSPLMTSIEAPQLVHLG GLDLNSIGSIANLSFPKLQHVAGQIRIERLEQYVNIDFPVLEDVKSIYLDGDFGRLGF RRLQRVDNDLIVINCEGCKTGNLNPTTPDPVAILFPASNLWVSSS ANIA_10683 MQVRSFLAWWLLTFTSMPSGSGASTDIVRQHSKITPKMFIVSMF TPEAEIWHGIPEFDLLSHNISLPGLSPLFPSIHCSADYEVCQLITGEGEINAASTVSA LLYSNTFNLTSTYFLLAGIAGINPEVGPTASVTFARFAVQVALQYEFDAREIYANMST GYLPQGSTSTTPDQYPSSIYGTEVFEVNAQLRSIAAAFAKNATLADSESAKAYRKLYK SDSGIYDAATQDPLVLECDTSTSDVYFSGRLLGEAFSNTTKTFTNGKGIYCTTQQEDN ATLESLLRGALAGLVDFSRIIIMRTASDFDRPHLGESPFENLFTADEQGASTPAFENL YRAGIKVIEGILDNWEGGFEKGIKAKNYVGDILGSLGGEPDFGSGAEKVVKRAILGER RGRW ANIA_10678 MDVFYAYTYSTSAWLGLQSAALISVPQGISAMLLDETRPATEIE VYFARCLGFSLLALATLTFLLTGNIPLTSSLADPISTEDPESETDPKAPYAVPTLLVT SAFHAASAFYAYTRYVLNAKGVFLIAMVGYATVAAVGLWCVLFASSHGRISRRTGADK RTAGFPFGNREAKKKHAKGM ANIA_05536 MANSPVAVVCVGMAGSGKTTFMQRINSHLHSKKQPPYVLNLDPA VHTVPFESNIDIRDAINYKEVMKQYNLGPNGGILTSLNLYATKVDQIIALLEKRAAPN PENPAAKPIKHFLVDTPGQIEVFVWSASGSILLETLASSFPTVIAYIIDTPRTSSTST FMSNMLYACSILYKTKLPMILVFNKTDVQDAEFAKEWMTDFDAFQQALREEEESGQFG GEGGAGGMGGSGYMGSLLNSMSLMLEEFYRHLNVVGVSAMTGEGVDEFFEAVEEKRKE FERDYKPELERLKKEREEAQAKQREIELGKLMKDMNLSSSKAKEEPETVSEAEEEEDE RVAAMGRAYDPDSDSDEDYPPPAGDDEGLSQRYQSALADSRSGPSNEDASFMRYLQQS NLNG ANIA_05535 MTLEDFEKSLAEERERERRREKSDGDKHRHRHHDRERDRDRDRD HRRDRDRSREHRHRHRSHRHHSRSRERGSDRNNESHRDDGHRHKRSRQSSDHGEDRDH HKRRHREKEGREDEPATTAEITQEEPARLKRDAWMEAPSALDIDYVQRREKTRLEQEP KPRMLQADFELKIHNKELNTHLRDLRDGKALEEIEQEPAEHEVNYTFGDAGSSWRMTK LKGVYREAKESGRSEEEVAIERFGDLRSFDDAREEEAELERRETYGEGYVGKEKPTGE LFRERKQQENVHRDVHEHLRDPEKEFSAPQMMRAKLRAAPDAAELEEQYNAAAAAMAN RKEPDVMVLGVMENRMLAGKRNEVKAIQTKRGLERGKVEENEDMTIEDMVQEERRSRG QFGGEGRRLAERIAKDSRFENDLEYMDDNASKLARRVHRSEIDLRNTTINELQKMNKI LDNCPLCHHEDTNTPPVAPVVSLATRVYLTLPTEPEISPGSATIVPIQHRTNLLECDD DEWEEIRNFMKSLTRMYHDQGRDVIFYENAAAPHRKRHASMEAVPLPYSLGETSPAFF KEAILSSDEEWTQHRKLIDTLTKSKQGLGRNAFRRSLAKEMPYFHVWFQLDGGLGHIV EDANRWPKGDLFAREVIGGMLDVGPEVIKKQGRWTRGGDRRVGGFQSRWRKFDWTRVL VEG ANIA_05534 MDTPTRPSISPGPATNPLSAHSTWNSLSSLADLVTPKATNRADF IAECKSGALDGVVVAYRTFDSVSITGLFDEELVNALPSSLVYLAHCGAGYDQISTQAC TARNPPLRVSNVPTAVDDATADVNMFLIIGALRNFNAGMHALRQGHWRGLTPPRLGHD PENKVLGILGMGGIGRNLKRKAESFGMKVIYHNRRELSAELAGGAKYVSFEELLKQSD VISLNLPLNKNTRHIISTEQFNQMKDGVVIVNTARGAVMDEDALVKALDNGKVYSAGL DVFEDEPKIHPGLVENPNVLLVPHMGTWTVETQTAMEEWAIENVRMALETGKLKTPVP EQADL ANIA_05533 MPSKTHTDEPSSSAIDDSLPPIDKSLPTDDTALPAIDMSLPPID STIPALPPIDTSLPPLDTTMPAMDDLHGTDTHFSFDRFDEETAGHGLGENGSITHDQT TEQHGSSSTHVPQNPASSNGVHSDAPAQQHQHYEQQQPAQHHYSPQQHTPTPQSQAPL PQQQHQQPHQQSQQPLDMYNNHHSASPPHTNPPMQGQPSQIPQAPIGSPMPPMSSVSQ YMAGYPSMNSGGQMHYQLQGDANKMLSSRHKKEVKRRTKTGCLTCRKRRIKCDEGHPV CRNCVKSKRECLGYDPVFKQPTPSAIQPAPNPQPSLNIADGGLQPTVNPATTTTGHSE TTSFRLKQVQISDLLALRGIPPPPPHPITALPPNRLEEIQAVFLATYAPAIDRFFETR WFSEKALSHLLANAQLMAEYSALIEAFNGNLSDPNVLARLESFEASVVWSTMTLCRHV MNVSSGSQPDYDLLATSKRLDVIEAMITGDHLDSNPLAQFPTRQPAANPPSLPDQLMQ RQLDFWSAIGHFLTLHDNEASAAKEIDDTLGRCRTLLDTYENRDVIYSIAIARHLGQR WADFPHSFPQPITTNEKDAGAKLYVAQKFLEQEAGGKGTTQVIKRLCGMVVRSWYVSR E ANIA_05532 MAEYWKSAPKYWCKQCKIYIRDTAFERTQHEATAKHQSNLKRFL REIHRDNERQQRDSQRAKDEVERLRQSVSGGGGRTGDNQSWKKSSSSTPAPAPQRPVS VEERKNQMAQLAELGVAIPEEYRSDMALAGDWQTVSEKIIEPEGEEKEEKVPTLGVRK RKHDQDVDEEEEEAKREAERFVSKGWGSATRRYPGAKDEEDDIDALLASTKEVKKPQV SGTGEATSAEGEQSKREDKPSDEVPVKEEESSAAGTGVVFKKRKPKAMKK ANIA_05531 MNTSPVAVYPHPWVNQRRSYDGHLCTIRYVGKVDGTSGEWLGVE WDDPTRGKHSGQHNGVRYFRCLRNHPTAGSFVRPSRPADSPRSFLEAVREKYASDFEQ SRERQARPDAEFEEPIRFKSKIAEEVGFDKIRKQLAELEELKIVLLDGLRVAGLLAQE ASREQVEEARGQIERTCPKIVELDLSWNMLTKWRDVSDICRPLRRLSLLKLNGNRFEP VEEGLRFDGIKELHLDDTLLPWEQISRVAAQFPSLTWLSASANQISMVRTPISNTIIT LILDNNEIGSLSSIRALTSLQNLEHLSLRGNCIDAVAAAETEGHSFQFSRSLRSVDLS RNKINSWLFINQLPEIFPGLRSLRVSGNPLYNQAVAPSSITGLPERPMTVDEAYMLTL SRLSALEVLNYSTISEKDRNNGELYYLSLIGKELSALPETAEPDIHKAHPRYRELCRI YGEPLIQRASKATGSGAALNPRSVAARLVRMVFHLTQAEWASNHHETVKVKEIPRSYD TYQVKAIVSRLFGITPFEFRLIWETDEMDPVSKKNMDIEDEWDSEDEDVYGEGTLKPR DDPKFVKREVELVDTTKDIGFWFQPDLLEAKIRVEVTPRS ANIA_05530 MDYQNRAGSKFGGGGVASHSATNADRRERLRKLALETIDLDKDP YFFKNHVGSFECRLCLTVHQNDGSYLAHTQGRKHQTNLARRAAREQREGKNADPASLP GAMGVQVRKQTIKIGRPGYKITKIRDPLTRQFGLLFQLQYQEITPGVKPRVRFMSAFE QKVEEPPDKNFQYLVIAAEPYQTCGFKLQAREIDRREGRYWTWFDEDSKEFWVQIMFK TEREERFSGVPGLAPMGAA ANIA_05529 MDAQNGVYGQGYFMPNNYPAQAAYAQPHYGQPNLQSPQPAYQSR MGYNVSPNDGTNGLIQQFSNQDLNSNRTGFFNRSASPAQRPRTAGNTAPGQQQQPGHL APPVPRSPRLPPENEELQRYPERFSENVHKRGKAAKELVNVFFHENIERARDRNMRSA ELDKMMRDPNISQDAKVKEAEMVGKKESTFLRFLRTPETPANFQTIKIIGKGAFGEVK LVQRKSDNKIYALKSLIKSEMFKKDQLAHVRAERDILADSKDNPWLVKLHASFQDPAY LYLLMEFLPGGDLMTMLIKYEIFSEDITRFYMAEIVMAIEAVHKLGFLHRDIKPDNIL LDRGGHVKLTDFGLSTGGKKTHDNSYYQNLLKNSTSKDKNRNSGYFNDAINLTVSNRG QINTWRKSRRAMAYSTVGTPDYIAPEIFNGQGYTYLCDWWSVGAIMFECLVGWPPFCA EDTTDTYRKIVNWRECLYFPEELTLSRESEGLIRSFLCDAEHRIGNEGGQYGGATQIK NHPFFRGVVWDQLRKIRAPFEPRLTSNIDVSYFPIDEIPQEDTSAIHRAQARAMPDEQ NAEMSLPFIGYTYKAFNAFQAS ANIA_05528 MLRQAAQTRCWRTPARFVSHRPAFPRSNPVSAALGGTQFRKLSV KTQTDKNTLSDKKTAEADTSSSSSSAKSAAPSQQKTQNATTAQKNLLSESTVANKEQR KADWAIMREMAKYLWPKGDWGTKLRVGTALSLLVGAKVLNVEVPFYFKSIVDSMNVDF AAVGGTAYTVAGSMIIAYGATRIGATFFQELRNAVFASVAQKAIRKVARNVFEHLLRL DLNFHLSRQTGGLTRAIDRGTKGISFLLTSMVFHVVPTALEISLVCGILTHQYGIKFA AITATTMLAYSAFTIATTAWRTKFRKQANAADNRGATVAVDSLINYEAVKYFNNEKFE VARYDKALKAYEDASIKVTTSLAFLNSGQNMIFSSALAAMMYLAADGVATGSLTVGDL VMVNQLVFQLSVPLNFLGSVYRELRQSLLDMETLFNLQKVNVNIKEKPDAKPLELKQG GQIRFENVTFGYHPERPILKNASFTIPAGQKFAIVGPSGCGKSTILRLLFRYYDVQEG RILVDGQDIRHVTIESLRKAIGVVPQDTPLFNDTIEHNIRYGRLDASDEEVRKAARRA HIHELVERLPEGYRTAVGERGMMISGGEKQRLAISRLLLKDPQLLFFDEATSALDTYT EQALMQNINSILKEKGRTSVFVAHRLRTIYDCDQILVLKDGQVAELGSHRELLDLDGI YAELWSAQETSLAQDPEYERNAGLEGETAGEVEDKAPRQ ANIA_10685 MGLIEKLQAKQRYARRKHRSTFTGVQYVDGEYIYSNSSNSSSGT ISKHSHGSWKVPSWGSNDPRNR ANIA_10682 MDHSIQRLLNDKLYDRRKQGALELEKVVRDAAFRGDHEVIQKIV DQLCHDYAYAVHQPHARNGGLIGLAAASIALGSEGVAPYLKEIVPPVLACFSDQDARV RYYACESMYNIAKVAKGEILLFFNEIFDALSKLASDSELSVKNGAELLDRLVKDIVSE SAAHHVSVLQLSEKQSSDPDDLGDDLPTAFSLPKFIPLLKERIHVLSAFTRTFLVSWL TLLDTIPDLELVSYLPEFLAGLIKFLGDPNRDVNVATQGLLDRFLAEIKRIARLKKGL AESRKGQGSDNRRSMTSDNISLATDPTTALDAESNENAVEDSEADFAVEDEEGLHTDG DWIPGQDVQIDYPKILDILVGFMQLTALRWIDSFFEISPEDILPFVPRLLDQVLPALS SSSTAVKETAGRVNASLLEYIFSLTLSEDTSDDSRQTSASKLPSAITKELAERRSSTP TSKADISGVESKKASPRNSVSSAVPSPPPELDYGAAVTSLTLQFLSEYETTRIAALSW LIMLHRKAPKKVAAFNDGIFPALLKTLSDPSETVVTKDLQLLSQISRNSEDSYFKLFM VNLLQLFSTDRNLLEIRGNLIIRQLCLNLSPERIYRTLADCLEKEDDIEFASIMVQNL NNNLITAPELSELRKRLRNLDSREGQTFFVALFRSWCHNAVSTFSLCLLAQAYEQAYN LLQVFAELEMTVNMLIQIDKLVQLLESPVFTYLRLQLLEPERYPYLYKCLYGVLMLLP QSSAFAALKNRLNSVSNIGLLHTGPRLPTTYRSTMASTSTSGASTYDRTSGRLKQRDE NSIRWVDLLDKFKSVQEKTRSSQRSQRPFDANDARTHQTTTLSAAISNADQIRRDKTL PDTPRAAFGGAGGRASPADGGNAGSVVAGGAAGKGGILGPQRQKSGLPNLGRLRIGGG KNKR ANIA_10677 MTNSSGQPRRSSHTSHKRTSALKSSQLSRSRSRKSCLSYKCLIV EASRVSASSNSIDRLSKPFKCPGSATPTRASDKPARKRRKVNYAGADGSIDDNSVKPY TNEERLALATRDVNRFPVFKAKDKDVAFKQKFKVPLINKSTGTYDSSRPAPTLGMRQG ASFVVKPLHDPSGEFAIVLYDPTVDDIDEVKPEKQDNDASDKIQKLDEPIVHKSLADI LGLKKKVENRPKVPVVIDPRLAKVLRPHQVQFLYRCTTGMIDRNAHGCIMADGMGLGK TLQCISLMWTLLKQSPEAGKTTIQKCIIACPSSLVGNWANELGKWLGKDTITPFAVDG KASKTELTSQLKQWAISSGRSIVRPVLIVSYETLRMYVDTLKDSPIGLLLCDEGHRLK NKESLTWTALNSLNVQRRVILSGTPIQNDLSEYFALLNFANPDLLGSQNEFRKRFEIP ILKGRDAAGTEEDRKKGDERLAELSSIVNKFIIRRTNDILSKYLPVKYEHVVFCNLSQ FQLDLYKHFIDSPEIKSLLRGKGSQPLKAIGILKKLCNHPDLLNLSTDLPGCEHAFPD DYVPVEARGRDRDVRPWYSGKMMVLDRMLARIRQDTNDKIVLISNYTQTLDLFEKLCR SRAYGCLRLDGTMNVKKRQKLVDKFNDPDGEEFVFLLSSKAGGCGLNLIGANRLVLFD PDWNPAADQQALARVWRDGQKKDCFVYRFIATGSIEEKIFQRQSHKQSLSSCVVDSAE DVERHFSLDSLRELFQFKPGTTSDTHDTFKCKRCRADGTQYIKAPAMLYGDTSSWNHF VNTGEKGPLNQIQDLLIRQETQERDVSAVFQYISH ANIA_10686 MSADFWAGYISGAIGIIIGNPLDLIKVRLQASSSANGPVSPRQL TRFESTSSLVRGAAAPILGYGALNALLFVSYNRALMLLDRSVTDPTNPQNCALYKLWL AGALGGIASWTVSSPTEFIKCRAQLDACPGVSSWTVAKDIVRTRGWRGLYFAGGITCA RDSIGYGFYFWSYEYCKRLMSSPDGEDTSNTALKVLFCGGIAGIVTWASVFPLDVIKT RLQASTIADYAEARPLLQAQTSKQTLGSFDLAREMYRAEGFKAFYRGIGVCSLRAFIV NAAQWATYEWLMRCFQDPTWYKSLETI ANIA_05526 MGAVRRTKTKRRTRFFRDYDQVRADVESSKHLAQYKATKDPEDL PGLGKHYCVECAKWFESDYNLVAHRKGKNHKRRLRLLREEPHSQKIAEAAVGLTTDNG PRRGDSDEMAMDS ANIA_05525 MITTRLARMGALAPKSRLLFGTRGMATVADLDKKVEMCNLEKGN YINYKKMSENLDVVRRRLTRPLTYAEKILYSHLDDPQNQDIERGKSYLKLRPDRVACQ DATAQMAILQFMSAGMPSVATPTTVHCDHLIEAQLGGEKDLARANEINKEVYDFLASS TAKYNIGFWKPGSGIIHQIILENYAFPGGLMIGTDSHTPNAGGLAIAAIGVGGADAVD VMAGLPWELKAPKVIGVRLTGEMSGWTAPKDIILKVAGLLTVKGGTGAIIEYHGPGVN SLSATGMATICNMGAEIGATTSLFPFNDRMYDYLKATKRQQIGDFARSYAKDLREDEG AEYDQLIEINLSELEPHINGPFTPDLATPISQFKEAVKANGWPEELKVGLIGSCTNSS YEDMSRAASIAQDALDHGLKAKSIFTVTPGSEQIRATIERDGQLKTLEEFGGVILANA CGPCIGQWDRKDVKKGTPNSIVSSYNRNFTGRNDANPATHAFVTSPDLVVALSIAGTL NFNPLTDTLKDKDGKEFKLKAPTGDGLPSRGYDPGRDTYQAPPTDRSSVDVAVSPSSD RLQLLAGFQPWDGKDATGIPILIKCQGKTTTDHISMAGPWLKYRGHLDNISNNMLIGA VNAENGEANKIKNVFTGEYGAVPATARDYKARGVKWVVIGDWNYGEGSSREHAALEPR HLGGLAIITRSFARIHETNLKKQGMLPLTFSDPADYDRIPPDATVDLLCTELAVDKPM TLRVHPKDGASFDVKLSHTFNESQIEWFKDGSALNTMARKSGN ANIA_05524 MAHLVPLPEVERLSASVVRVLGGNPGKFTLQGTNTYLIGQGPRR ILIDTGEGKQSWAAHLKKVLSDENATVHQALITHWHHDHVGGIPDLLRLCPEVTIYKH QPGEGQVDIQDGQVFQVEGATLRAAHTPGHTVDHMVFVFEEENAIFTGDNVLGHGTAV FEDLKTYLDSLKRMQNRVSGRGYPGHGAVVENATAKIAEYIRHRQQREDEVIRVLRYG KLDVGDHERSPERKSWWTPLEIVKIIYTDVPENLHLPAANGVVQVLSKLEAEGKVIHD TDSDRWTLNTGKSAL ANIA_05523 MPGVEKSSQNESRLLLVSNRLPITIKRSEDGKYDFSMSSGGLVS GLSGLSKTTTFQWYGWPGLEVPEEEIPTLKNRLKEEYNAIPVFIDDELADRHYNGFSN SILWPLFHYHPGEITFDESAWEAYKEANRLFAQAVASQVQDGDLIWVHDYHLMLLPEM LREEIGNTKKNIKIGFFLHTPFPSSEIYRILPVRNELLLGLLHCDLIGFHTYDYTRHF LSACSRLLGLPTTPNGIEFQGKIIACGAFPIGIDPEKFKEGLKKEKVQKRIATLEQKF QGVKLMVGVDRLDYIKGVPQKLHALEVFLSDHPEWVGKVVLVQVAVPSRQDVEEYQNL RAVVNELVGRINGKFGTVEFMPIHFLHKSVNFDELIALYAVSDACVVSSTRDGMNLVS YEYIATQEKRHGSLVLSEFAGAAQSLNGSIIVNPWNTEELAAAYHEAVTMSDEQRALN FSKLDKYVNKYTSAFWGQSFVTELTRISEQAAGKLPTKETPVNGETSKLETSSQ ANIA_05522 MAPSHILRAIQPLRSSTMRSTFLLPTQTPAALQCNRPTFLASRF STSPEIATQQQEEAKPSARPSTQPPSLREYPYTLKKGTVVSVGRMDRCVRVEHRHTIW DDYLRKSYPKVTTFMVSDPQNSLREGDVIEFSSGYPKSRRVHHVVERIIAPFGEAIED RPPVLSRAERDAIRLKKRMAKAARREQRRVENGATQAQTSVHGQEHIGRIRRLVLERT AADTASVEASA ANIA_05521 MPEGEEDFSSLPFPDRFAHKNWKVRKGGYEDAKQQFEKTGDESD PIFAQFQDASLWKGAVTDSNVAAQQDGLAAYCAFLQYGGPAGCARTRGATVPGIVEKG LPQTRPAAKASALEALLLCVELDKPEAVIEEILPVLSHKVPKVIAATLAGLTAIYHNF GCKVVDPKPVLKALPKVFGHADKNVRAEAQNLTVELYRWLKEAMKPVFWAELKPVQQQ DLEKLFESVKQEPPPKQERLTRAQQAEVDAGAGGGSEPGEAGGEDDYGEDDGGEVDAF DLAEPIDVFPKIPKDFHEQLGSSKWKDRKEALDALYSALNVPKIKDGPFDEIVRGLAK CMKDANVAVVTVAANCIDLLAKGTRSAFVKHRPTVMPPIMERLKEKKQTVADALGQAL DAVFIATTLSDCLEDILEFVKHKNPQVKQETVKFLIRCLRNTRDVPSKPEVKSIADAA TKLLTDSSEVNRAGGAEILGTLMKIMGERAMTPFLDGLDDIRKTKIKEYFETAEVKAK DRPKPIVGPPKAAPPSAKKPVGTKKPLGLKKPAAAAPPPPVEEPAPAPSPSKPATKAV PSKRTLPTPGGALKKKLGGPGGLASPQKRVISPPSEEQPQQPPVSKFGLGRGLAGRPI ARPPAPAEPAPAPAAPAVSGLTAIERAELEELRLEKERLVRLNEELRSEKAKLSTTIG ELQNQNAQLIEDHTRDVLSIKAKETQLTRARSDAEAADQNVQKQKREIERLKRELSRA LRSGSMSSPTAIPDQFGMAMPDPGSLYQDPNNAHSAVARSGLHMGPRFESTRPRSYAS ASPSEEKENGLESPGLGRRKFSPTFGNPYSGMASPTRSSLVGSGSASGEEQPTRSTEP AENWRRAAEVTSQLKARIEQMKVKQGLIGRQPAQR ANIA_05520 MPAPKSGKKAAPLPYPQGKAGSSKKGPKNPLIEKRSRNFGIGQD IQPKRNLGRFVKWPEYVRLQRQKKILNLRLKVPPSIAQFQNTLDRNTAAQTFKFLNKY RPETKVEKKERLHAEATAVAEGKKKEDVSKKPYNVKYGLNHVVGLVENKKASLVLIAH DVDPIELVVFLPALCRKMGVPYAIVKGKARLGTVVHKKTSAVLAITEVRSEDKAEFAK LLSAIKEGYSDKTEESRRHWGGGIMGAKAVARQEKKRRAAEAAIRV ANIA_05519 MINAVLVFNNNGQPRLTKFYTQIDTQTKQSLIAQIYDLVAQRPP TACNFLPLPPILARGASSSASTGPSDAPTQITYRTYATLSFIMISTSTESPLALIDLI QVFVEALDRIFENVCELDLIFGFETMHAVLSEMIVGGVVVETNIDKIVAGVQSQEGSL GKKRAIQAASSGVGRGGLSGLAAWR ANIA_05518 MKLSVNTCRSCRPTLYSRISYRTTTTTAPSPTTWQSPSQLQIQK VPPLRDLTPGHISYYWDTSTPTKLQLAYADKLFAPSRHSPKKLWSAAKFRTTPLSSIE PEVAFLGRSNVGKSSLLNAVMGKEMCWTSSKPGRTREMNAFGIGGTKGGESKIVLLDM PGYGKASRTEWGVEIMKYLQGRKQLRRAFLLIDSEHGIKPTDAAILSLFRQYAIPHQI ILSKVDKLLFNKRPKPTSIPSAAKLTGLRTTLEKLRPVVQPDSRTARTEGPGALGELL TCSADTPIGEGRFLGVSAIRWAIISAAGLDGTVEARAELGHPELLSADSELVGGVA ANIA_05517 MDDSEAQATASGSIGQFSFAPATQTTVVTTTTTTTTTFPPLFIK PPRAVRELDPKLYPLASSATPSALKNIRFEVGGKSVVFNEPDDTTATIEAIHERNDVL RASNGVIRSVTSFNSEDNQSLSRFAASRVSNHPSPVPTSSKRRPLPLADSWQGHSKTQ STRIPSEPLVCSRSSGSTSSHPRAAGLATPETESSSFSSQDSTTSRRRIRSANFPRKE TLLRSPLSSEVESQGDRPNLHARKDPSRSRTLVSERNRHPSRPSVSDSAESAIHEDQD LEVTQSQDTLFNKDVLSQNRSIDSASQLTLVDNVLAQDMCLPSPSLSPVAAMNAMHAE SSFDSAEDPEDETDSSFEQVDSQLSESLSRKALTAPVPAPAGKNFENVTSNTRVPAAS SLMDIPNVVSFFDSMPEEMKTYLMYQLLKRCPKPTLHFVADVVNPALKCDFLTLLPPE LSLNIVKYFDVQTMCRAAQVSKKWRHIINSDEKSWKELLDRDGFTLPEGELQRAIREG WGWQFPNGLEDYEKDLSLSSSISEPSGSALSPLAGPSDRSTAVNRRPKRKANTRVSSR KLAKRKISSSGTDQSDSSDWKKDVSVAEGPYAAANAAAAAVPYPDIGLPSLRGLHLYK SLYQRHYCMRKGWMKPGVKPRHIAFRAHDRHVVTCLQFDTDKILTGSDDTNINVYDTK TGVLRATLEGHEGGVWALEYYGNTLVSGSTDRSVRVWDIERARCTQIFHGHTSTVRCL QIVLPVEVGKKADGTSDMMPKEPLIITGSRDSNLRVWKLPKPGDPGYYQSGPHVDDTD CPYFVRVLTGHQHSVRAIAAHGDTLVSGSYDCTVRVWKISTGETVHRLSGHSLKVYSV VLDHKRNRCISGSMDNMVKVWSLETGSILYNLEGHTSLVGLLDLKCDRLVSAAADSTL RIWDPETGQCKSMLSAHTGAITCFQHDGQKVISGSDRTLKMWDIRTGECVRDLLTNLG GVWQIKFNERRCVAAVQRDGMTYIEVLDFGASRDGYSEDQLGRRIVVNRSGQEVSDSD EDYDLSDA ANIA_05516 MVRIKFTGPPTAVRPQHAQARADLLSDKITAASSPPVEPQTAAS ALTNVSPEDGLVMQQQQSLEMVKIMLHVSIGTLFYLREFLPLQCFDDRDLKTAQRQQK FSYREFIDNDFNTSDPNRIANGTFGTGKRGQPLKIIIRNSEPKADMILNVLEMGIFDA LSKSVLEAIQLTIIADKEAPENVLESYTFSFRYSEKLGDLSKRLESLSIQPCGYVANM KSAYTARAGLESIVRRLITLSSFLPTLPNKRALGVHLFYTDDCPPDYEPPGFSGAKDD IIKYPLTEHWIKESQACGRMESGWHTRSVGLKVTSLKWIGPEPEESESIPQIPAHIEY TDAVTRGEDIGIEDEENKLPSSQSEVGTSQEATQDVVERERLQSMMPSQEVQSSNMDL TSTQPVKPLLTTGNGDTETIGSIEKFVLREEKIAELRETSKAQKVNGQDPLAVRCQCD WKGEEEDMIMCSFCHTRQHRLCYGYVEAYKFGVSDVHACYRCLLEPSETKILESLNNV VLARRALTLISDEGIPSSNMTFGEKIHCSDKAVARLKEFLKRKGIFHSPPGSTPKESV RRGLPPYCIPDKESVRKTIKQDIMHPMAKIQHHYATQYVPQPMEALNAPFISGADGLG KLSSQTNVIPERSNRRDEQRANDRDSSIDSPHQASSSQRRTRSSNAQALLTPQPVKDT PGPTRKRTRSSQIGPEPRPITPSQSTTTDLERESEGHRRSDRQKRRKVSNYSNFIDVG AETSGDE ANIA_10689 MPTNPFLVPPRTSSINVRTGDAGNNRRDHKTRSMHKAQKILGTM DIALDNDYHRPPDKRASRSAGYLNARETTHQHEDEQEASRPASVRVQSSSPQLSQEYR DQADSTSAFAQLSRKLHLPGSSSGMNARSGSRGNADPSASPGKDHAAAADSRTVPEGG LNPAFKQPKGPMKDTKRKGRPPRIDLSLLFPKPQTNTTTLLSPQRMVTSPSAVSFTSE LPAEHHTLKAYKSESRLAGKRLTKAPPRQRALSRPEENMLPLDDLSSQTAPQRPPSVY NPTMERTVRTTDMDRALMKNLDAAPTPRSPERAFNYNQMNFSLRGREQLLRCDSSFAT DKSGESAHSSQRTLRDPALAKALKDGPELEPSPFHFGLPDRAPRSKGNSMSKKSSRST LKNRDLTTSSVLCLSSSEDEDDEPQPAKSQSVPAKNRRDSVSTYGGYEAEICTAAAAH TIRGTLRSVERPSSSTTKSSRASSKHLRAPPLANGNNQSRRSSGTPAPLEPDFLHSDP IFDQSKVQTRASGLSQKEVNRRSRLIAVTRQEERLLDLMRQRQGKITPSILNENIDVD RRSMFSNFSGPSRNSYYCTDTSFLRLSACIPPDSARASQVTMKYKDTAALTPTATDFE ADETATKSVPSPQASLSSKSLPSPATTSTSPLTPTLPIHRFSPLPSQKPPPRLPPPPI PDLQRSHSRRRTDSSGAIVLDNPTEDTRQSGEFPVWALGWANDNNNLTASVSLHYSLV MVNTLHVR ANIA_10676 MGESVQRRSSGPGAAWKHGSWVLLTIQYTTFVLLVHYSRMMPPT GGKRYLTSTAVFFNEVVKLAISLTLALYEVSKSAPPSVPATSLLSTLAAAIFSGDSWK LAIPAALYTLANSLQYIALSNLPAATFQTSYQLKIVVTSVFSLVLLQRSVPLRKWGLI LLLIAGVALVNVPVITSDELSLDNGATHFDFPRSLEEWKSVKLQGQGLRKRSATYEGI EEDILTATPSMDRIVGILATLGSCAASGLSGVYFEKVMRDSAKSTSLWVRNVQIAVYS IFPALFIGVVFLDGEKIANGGVFEGYNWVVWATIIVQALGGIATPFFVGPAFADARNV ASATTIILTSLGSVWLFDFEPTVTYLVGTFAVLVATYLCELPSSDPKLRPPPIRVARY EKESKSDQASPSSSSPQEISIKLPTTPFLSDAGISTSRPTSPGVTRSGASRSSNGGYF ANIA_05514 MRRRIEEAFSVPCQATGLYGKRKADGPGKWKQQSHSNGMMNEKL IQESQVPPFFSTICGQPEEKKSYQLLSSAQMSVSPTPRKDDFEEDIEPSAFDLEVLAQ SDRNVNNYGSQPLLVSNIGDYSYQPLDAHPTKFVSPYFSDSQVTPHQSITSRLSNIGA RYASSPLARTGKTDAIFRNRDLEAQKMTEIFHPQSTDREVQHDRTSTNPATSHDLAEP FKDVPTSDRGIALLSVRELPDNYRPLFNFPVFNAVQSKCFHAIYKSDDNVVIAAPTGS GKTVVMELAICRLLNIRKDKKFKVVYQAPTKSLCSERFRDWNQKFHALGLQCAELTGD TDHSQLGNVQNRQIIVTTPEKWDSMTRKWKDHIRLMQPVKLFLIDEVHTLKEARGATL EAVVSRMKSIGSNVRFVALSATIPNSEDIATWLGRNATSQHVPAHREHFGEEFRPVKL QKFVYGYHFSGNNFAFDKLLNSNIIGTHSAKKPIMIFCSTRNSTVSTAKELARLWSMS SRLVRMWKGPNKPLPVKHADLKVTTAAGVAFHHAGLETEDRHLVENGYLQGKISVICY GCGQEYSDLEVMQMLGRAGRPQFDDSATAVILTRKERVSHYERLVSGSESVESSLHLN LIEHLNAEIGLGNIKSTESAASWLAGTFLSVRLRRNPTHYRLKEGANRNDTNEMLRHI CEKDIKLLQEYALVDTESLKSTPFGYAMSRYSIRYETMKTLIKMKPKSDIAQILAIIC QAEEFRDIRFKAGEKLLYKEINRSKDIRFPIEVDIVLPAHKISLLIQSELGAVEFPSG EQFQKHRFTFQQEKSTVFAHVNRVIRCIIDCQAHLKDSVTLCNALGLARSLAARVWDH SPFQMKQIEKLNATAVRKLVAHGIDSIVALEKSKPHLIDIALSKNQAFGTRLQKHLAN FPKLRVSVKMTGKDIHPGPFVRVNFKAEIAFMNEKCPVFFQGRPVYVCFVAETSDGHL IDFRRTKYMILGLFKHFDTNGPSATGLQRSYEIPLSVELKHQDDSITCHVMCDEIGQG IHSGEGLRNTNSTERESTGKSNLGRLTTDELLFGEWLNSGLLDDWDDKDVDSKLHERS NAATSQEMVRNNSEERLIDNWGDDDVEFEPSELVSAAPLQKKASKNKGDSQDVKIGHC EITMSSFNVRAKQSRCKHLCCREGLSSPPKVTKKKSDNAHSEAAEFSQSRLTGNIAKK GTKPMVTDIAKQPCKNSLGLLSSNQALIQQLRRSKVMGEENPNPDPPTVDFTSSDYGD EDLDDLPSPSQLLLGIDIIPKSRDSHNRNLQNGLNDASAVQNTFELGDSRVDGNISSL QSPLVQARAAEIPCAQAFLIDLVSPEPEEMFSVLPRLDGCDGQKRKFADKGAAYHNNR NNKRARN ANIA_05513 MSKTAITEIRTDNFLKSFTCQRLEQHSTKRLYCGFVVFERKTDY STMTMGRLTYSARKIGGIKAGQVVSKDLKKRIPPGLGSKAAARDPTLELDLTGKCLTD EGFAQFIDDLIECIWYRDEAHPAGLAKVTEVHLQGNNLTIYSLPKLGEVIAKSPGDLR ELDLSNNNIRVVTAEEKAIWKSFLCCFKNCYVLMKLDLSGNPLGVAGLEILASVYIKS DLEYLEADADAIVRENLGLASDSVLAGETGALKIGENSSKENTDPRSGMGRSKKSPNK GGKTGKQNGTPTTVISSKNISFADLKKYACTRGLRSVPYFILSNLELRTSSAVHLSRM LATQRAAEQLLTFLPPAKASAIPESAEEGKSIIWQPNDGLGATAKRLLEVTESILAQK TKTQAQEVSDGDENTYERAEGSETDEDTKRKVQSKLALEFTRLTKRVRLEALKQEGTC ASDIAITALQMMVVSRALLLEDRDRVVEASKIERAEAPLNTEGPTFEEGQEGLQGQEY VLEVEPSVEQPLSPVEYLPEPQYTFPQSFATGPFHPGAKLFDEEFPALQPILHEPPRL LTKPATTEPEVEEAAAPSVITGPSSSPGYPASSENGTKANGGSGSSHPTRSGKGNSRF SVAQKARKSSWRYNLPTEIWQRIIADAVGANGILDQEQQQRIMQYAADWDAVAYELTI KGAEDHQQIWKFLQTVGCFTYSPLA ANIA_05512 MIRARRTNTAVPIEDTSNAPSTFTDGLPLPKLIAFDLDYTLWPF WVDTHVTPPIKARDNNSRCTDKWNESFAFYPHVNSILTSCKSRSIPLALASRTHAPDL ARDMLKQLHIIPNFSDNPSANKARTVRALDYFDYIQIFPATKTQHFSRIHQASGIAYE DMLFFDDEARNRNVETELGVTFLLVRDGMTRDEVDKGVWAWRRRNGIKQNQNQEG ANIA_05511 MSQPIDNSYRGHKIYNKHSKPPYNHEYHMGHGALGSVDAVTDST TAKLVLYALKTISLTHSPKCRDSDCQSLQTELSKLASFEHEHIVRLIATYVNEDAYSP TFGIVTTPLAECDLEDYFGLFWNERGKKYHEESAGWFECLISGLEYLHNADVVHGRIN SRNILIQDGNVLFTDFGVERKYWEIDSVCGPNRSTVDYSAPELWKCDHVGWTPTKAAD VFSLAAVFLEILITYCTPDALHSFRTRLAHAQIDNEHQAQSITNISSKTEKKSQSLHL SYSAGATTILALRIARGTVIGTASPLSGEFNSVLDLCEEMMNPNPHSRPSAADLGWCW SYGHFLPASSCGITHYPRYELPKTLAEPVVGTRIETGTASILEKALEGHEWAKAKAVV WLNKVPLYDGRIILQMARERGDKEVLGFLERNLINNF ANIA_05510 MSKSEPAVYHAVIAFSAVHQDLETYGMPLPGQDLRNEWHLFALE QCGRSFALLSRRQSSQDPRFREVMLLCCLLFVMTQLLRGQYDDAFLHLRSGLRILNEA KASSSSETPIEPCIVAAFANLEAQSLQYGVRGEPTNSESAYPGIFNDFGAFSSLNETR QAFDYLTSTAFRFILLCKDLSENEIRSDYAFLHHKQLQTLSQLSIFSHRFDPFHSSSN LTLEERRAADVLRMLYCSLVLQVKTALVRNEAVLEYYNPEYETHVTMVGELLNKYPAR PSVVLEDGILSPLYYAAMWCRDYSVRRRAIGLLLSWPHREGAFDSNWAAFQALQRMNV ELSIEPQPYATAYNTSQKSSLNGDRWLGIKCSELSFEAALSFARYMHNRPCFRAVRKW LPAVLFDSQYTSMSEAS ANIA_10684 MRNANHSKDKPKRRNASRIPRVAISSPLLPNNQPRKSIPLSLNR HQPRVLDCLPLQHTLKPLLPHCKRANDLLLRFHSRLQPSPLPSSPNNTPLLYPRTLEN LASRTNFRMPANIHAPSNNSLVINHRKRVYPCARMNDRLQTNDDII ANIA_05509 MAGITSLPTEIIRHIFSYADQESQKALRLTTRRLGAIGQQSVFQ TLSPPESQYGAEKDVASRLFSYLKEMPQLQSAAVRFHWECPEETYDVQQDESFRSEVI QEGLKALAAIPGLKELALQEMYNVNETGPEAVANRNKILSRLKSLRLNITNRSRWING SSDYERPEPHKFFPELPSVWLEPCMSNLQHLTLYSSIYIGFTPKCDLGGLHFPKLKTL AFGNHAFIHDSQLDWILSHAATLTALYLDDCTIIYEAAVSSYSVQEGRTLLTFDAFRP HPHLPENKLYTSYDTRWADYFRAFKDKLVHLKDFRYGSAPNWWEDETTPFESEQKIRI GFGKESYLTFASGILPCEYMEHFYWWIRTKRAVVTANWRDYLEYVHGEKLEVSEDDKK ALEELCKKVGLSWSVSSSEDK ANIA_05508 MAMVSNGSFTSCPAPFIAELSIGDTGGCFDGRVLSWIALAVLIL MVCSALTYILLPVEDTQRHYLTLSPLMGFIFMSVAFIMPLGNSDHHCHDAITPNDWLS DTTCAFTGSLIHYGVWVLVIGCFFRSLSLYLQLIWDIQPGKQFRLVALLSIFGGSLGL LGISLGVSGVSYQVGDMCYISYPNSAGSFWGPLIGVAFISWVIQMFIMVYTIRGVLTR GGTARFSIFKKRSDDSDPLSRAAQARAMRRNILQILELQWRAIAICCLILAYVVYVAQ VVLRWGDPGQYSANELKPWVECLVREAGDKHACRDEASSIVPSQKSVVAALVMLASCG VLGIACTARYTMILGWKDWFHYRFDALADLLPGRDHTNRSSSIALNPSAGAGSDPRQG NGLDLELASASTNDTHSDGVTVVESPTTLEEVDKLYGSRTYHVPKNSFSRPGTVHSLL SYPDSNSTWNDPTTPGHAYSQSHTIFKPPRASPSASMFSRSSHTGSPSYPPAAASVYV GGSAAQNKITTRIEATNGAAYSLRERE ANIA_05507 MPNISWTPSARQLAGWYRPSSKRSYICSLSLSTGAGEILRKKAL ETGNVEILFHEEFQRLEQRDGTVTYWTKNHSLGIETKRVYQYLIGADGRRSSVRKCLG IRLEGYTFESLQFFAVNFLYGLRAASGWKAANFIADPVAWGTVINRGKWTSWRFATGT TKTVPKTSASLDGATIQLVKDRLSRILPGDTSKIHCASQFQKGDVFLAGDAATPDVLT NYANTRRRIFLERTNPASTDNWLRLLSQDPEYMKEREDIFAGLKDPHDVITKRQIGLP DFCLTTTSDKYFDTHGEVTWFISATRIPNWTREEFEHEYKNVHAKMTRVVAEKAPVIR SLFIIHAGFQDPDYREHADKHIFCRLDQEGCIMAQVEKILKRPFADPVQCLFSPAWFA ERSAKLERLSSERTIYTYILCRDVTPRTTNFFHGTQFSGGYWLRYKALETFGFEDTVS ACSFFEQCSSVIFGDSADTTQLVIGLSDWVI ANIA_05506 MLARLVFHMHIDCSQELWLPGVELQSPTPSSLPFCEHRQPWVAR SANQWLRAYIQLQGLQQRPHHSQQPYLRDYLAEPLRLRTIPHLYDSSLACLIVLHAVG SMIKAHWQSKNAMPSECSDTSRRYSPAAKDLEKPKLSYRSWTGGVKSLRHGKQSGRPA KLYAVLPPSCREFYRVLHSCSLPGQLVSIFVYGAVARSQASNGISDASNARSKFQLDA ADSPAIQRWIPLGSGTPMLGFMDSVSSPRNSLSPDATRAILLCVRNLLDSKASQKNLS PLVTGTCDLIHALINTKQRDP ANIA_05505 MPRGMKFFSIYHSVSSESAGHFATFSCANTRIPVAIAQDCKSVE ETIIVSTAEDLDIFHDGCTTITGNIEISASYKDDFILEGVTDFVGNISIAESANSGTL GVFTVPDLVNAGSIILHQATEINLPKLERADNILLDPWISGEVDLQSLREVNNLWIFG GWRSVDLSSLETVQGTLEFSHPKSDSIFAADEVSLEINLPALKTAKTLDVGGYAASFS APELEALGDPATEYSESLVVSVQNRIELEFPKLHTLVGGTTTILNNITRISFPALAET NEIFEFNTGNPVEIYSTIETAAQFRLWGKIKSIELPNMVDLGIVSFAYEIRPCNETLV KLWEAVPNHGPPGHETYWDWRCWREDLPETTHNTPFANNTNDDDEPAGVDQDLTETTT LPESESGLGSGSGEETTNVPDAEESPGDIGLSLIPPRLCGLAILLVTLVVVLA ANIA_05504 MYSPLDPLRREIRLLYLHPGCFEDRLKCELAVHCLNSPHCPQYE ALSYTWGDPASAEDPKNTILVGGSSFAATRNLVSALRHLRHQSGDPICLWADAVCIDQ TNFAERSHQVGMMRQIYASAKRVVIWLGEADQESDAVMSALHSIATSGVSYLHGELSH LREDAPRFFLELAERRPWFTRMWIAQELAVASEDPMVVCGMKSVSWSTFVKAWKVISR ELFTEIGMVRPIPRAEEDMADRQPPDTGDDLEVLAMLKIDVLDELRQAVQRNGGQPLR QLLWISRTSLSTDPRDRVYALLGLMHVSDDTDVTTILADYSKPTWAVYADAMEHIFSS GQGPYCLSYLFLPGVDTVLPWTPMATSSDEEQAYLPTWVPDFSRQTSDSTTKPSGITF QPPANRGGASGAGANCHNGQRVSDRVLKVEGLWIDTIQETIPLGTSMDEVLASLPRIQ ATAMQAKERPCRFEQPVAALIEQFKRKEPLWRMLISNKKYMSGYDEAPLAYEDMYLQL TEYPRVTKNNRTQYEKCLVEGLGQKAFFTTNCGLVGTCVPDSRAGDIAVVLFGSPIPF ILRPLPAGTNGQSQMYALIGASYLSGIMDGEMVDELYCEDLIDSTTFLIT ANIA_05503 MIFTQETGSDLIVNGTQGHPIKLDRERDSNQIRKLQVPILTLFL MPLAPYTSTARPVQTAVPPDTIWSRVTEDEFREHLVTLEKQTNAVLMDVLTAETDDEQ QDSSSLSLPLKREKQVADDFAYIAAVTEGAQSVAAVCLEQHISTSLALNHPTLVILVA GMDILDEKVKEMLQAVVAELQSLSQAEATTEVIFQLIIQQHEQKLLGRLRSRKWTKPK HLARTHKKPLWQDFDNLLHRVQHVFAKKSERDVREAIGTSIGEIGNMFKDFEVSGTET AQSLQELVKATFVWCKSPLIGAYASRLETAGDTRQVAAAIKTLRQLEKIGAYWRIAQD LVAMAKQYKQVFQRIHLEYVTPYASIPTSIAYESWARTCHVHAEIQLVVELAKSASQQ AANPSTVMVRPRTIGTSKYLCYLCYLFLRYHGEFQLLNSHGRLYDQWTVPDLAEYSTA TRNKFAAVLESMDEHVVQQIKETQCIIWRPEPMTSRQNLLLLGAEPVVDTLEAGVDEL SMS ANIA_05502 MNAIQIPSPVQLGHTNIDGEETPSSVDIYSWPDNIQELDVTGWL SCVGRRHLSFFRPSSPALPLSNTNPIPVPLGGQDVPMKPVPSHQPICRRPARGRSRSL TAERTNAQATEGIHRHRSVSPWTVELTDVRKISHTNRKREIQEVLGPLFAGGTNNLVL LCWGDRDRCHIIPTDVRNEADEVNVWESIKTAWYSRRDYEFAIPGAKTKAHFVGLYRD LTSERSKLEGDIANYEEKEFPCPYDSSTGMVDCFRDICISYMDDTQLCPERRLYNSQR QLLRLTRRPLLTQAFSNRNVAKVNNLLQGERLIYSQLDILKKLDEWHVPDLSEIPFHA LLITEGWDYDIRNVVIPLTASFFFTLVVMRISEYQAVLGYA ANIA_05501 MSTSIRPSLDPELVTVHASIPSIDIETPSKLSAYRAAIAPMFTL ENAIKGSESSVEPSEISIPGPAGPMHATVFRPKSRTRSVSETPGVLHIHGGGLATGNR FLGFTMLNWVEELGAVIVTAEYRLTPEHPQPAALEDSYAALEYMAAHSEELGFNAEKL IVAGGSAGGNLAAGITLLARDRQGPRIAGQVLMYPWVDDSMASASITQFGDIAPVKKG NLATVNDYAFGKNREFADMYTAPARAKSLNGLPPTLIDVGEADVFRDQDVEYATRLWR DGVTTELHVWPGAYHGFDVFVPSAEPNNQIKYRTMRSDIGYTYATGVEQLVSQPAT ANIA_05500 MALALEACLVKCGDILINAFFRRLQFNPDPSTGAVLAPRYDLVD VNLLHDPIGLSILAINERGELELHYEAISIGELRGFSGTGDEILYLGATHEANNFDMF AVHVITGKVRRLTRHPEYTDPVAFSLDNEWFVVMDTRGSDRQMWLSGMRGIPPLVDLV TANAAASTRNNRHRRFFQPILIDRHGDRGEYFGQQVNNRGTGLDGSPNDPNWNGRADP TFSYNGAKIVYWQTLVTAPACGGINPLPCPESTAQGGRTYRVMLARLFDRKLTAPAAV FDVPGFLLCATPFPPGSGFPDHRGLLPGLAGTYTLRGKASGLANATFLADSTSNFIHT VSVKYDNYSDDGEHIINGLESVTFTPDSTNPWISHLDWYSDLEGSGVATATKKTGLGG F ANIA_05499 MNPAAELPELGMNQPQLHRDNPGSNMAAATIEIPFLSSHYAIAE STLSTLTEAPTVELVNQLLEAITKKARETDELKSDKLRLEVELENAVRSSETKIKVLK GSVEKGHAEVEETRKKLHESETVRSSLESEIAALKSSSTSNDSELSSLKSRITSLEAS NRDTLALLESKSAAYDKLAEELSTQHKKTIELRRELSTAEQNLQAANSASASAKLREQ SLQNELELTKKNNEWFETELKTKSAEYLKFRKEKSARIAELQRENEEAIATTESLRRS ENALKSRLDEVEQRYEESLSSIQQLKEEAIQAAESFRIELDSANRLAELQENAAKTAK NRVQECQLALEKVRDDAAEEISRLRVEIETEHSDKEAAERRVAELELTINQLETEGAA GRRSMSPARGLNGAPGTPVRPSTPLGTFSPRTSRSKGSLTLTQMYTEYDKMRTMLAAE QKTNQELRSTLDEMVQDLEASKPEIDELREDHARLENAVVEMSNILDTAGKERDEATK ESRKWQGQVEGLAREGDILRQQLRDLSSQIKVLVLEVTLLKEGEANYDREELEKVARR EIEDSSADLTPTGRFISQNLTTFKDLHELQEQNVTLRRMLRELGDKMEGAEARERDVT RQQEQEELKELRIRVQTYRDEIANLIAQTKSYVKERDTFRSMLTRRRQTVGGDAVFSQ SLPLGAAPPASENSTGVPDYAELLRKVQAHFDSFREETATDHAALKQQVNELSRKNSE LMSEASRSNSQLVAATQRAELLQSNFNMLKTENAELQKRYAALFETANRQDLRTQQAA EDLVESKGLIDSLQRESANLKAEKTLWKNIEKRLIEDNETLRNERSRLDSLNANLQNI LNEREHADSESRRRLQQSVESLESELQTTKRELNEQIEESKKATLRREYEHEQNQKRI DDLVTSLSSTKEELVAVKTTRDHLQSRVDELTVELRSAEERLQVLQSRPSVSGAPAET APPEGSQESGLTREQELSIEVSELKRDLELARTDLEHAKEQVEDYKAISQATEERLQS VSDTNDQYREETEQLVKEKDARIQDLEKRIEEISSELSATNTELSKLRDGQSEVARRL EEQKASLEADIARLTEENERQIAAAQYHQEDLKAQAEIAQHAQQNYESELVKHAEAAK NLQTVRAEANQLKLEVVELRTQAETFKKDLAQKEESWNERKDRYESELLELQKRRDEV LHQNNLLHSQIENITKQISALQRDRATIAETEQDNGEAVAPNLEGLQEVISFLRREKE IVDVQYHLSTQEAKRLRQQLDHAQSQLDEARLKLEQERRAQTDSESADLSHNKLMNTL NELNIFRESSVTLRSQLQQTKTALAEKSARVDELVQQIAPLETQIRQLEDAVETKDEE MKLLQQDRDHWQQRTQNILQKYDRVDPAQMEELKQELEKLRTERDEAISAREALEKQV EAFPEQLSAAEQRTQDLRSKLTEQFKARSRELMGRVNAKQTELDAVVQEREVLQEELK TTKEELEALKSKLAEKPEAPADQGTVVDSTPASQFPIPTTQAPAPTDDERVKALEEKV QRLEAALAEKESVLAAKDAEHETKVKERVERLKEVFNNKMAEVRANHRQEIERLTATQ GGAHEGAEGAQETPGTPQPKQQPPATPSKSEDGLPDLTDAQARQLVARNETIRTILRN NIKQQLAKEREKQGQETQSTQDAIAAAEQKFNDEREALRKAHEEGMEEKIKSAVELSD KKYLARISMLDSRYRNTQAKVDIVSKAATETPQKPVVEVWEIAKVAKAPPAQAQTPKP SPATPAQVASPAPQVAQPAPTPAQTGAASQQSAPAQPPTQAPAQAPAQAPTQAPTQAP AQASAPEAAPGAAAAAPAQPQPSEQPSQTQQPQSEEGSSAAPPPATATSGVPNPFGQT QNKQQPQPQPQGSNLPNKPPAGGVLRTLQSGLPVARGGRGGARGGSHQQNPFGQLAQQ AQSQAPQQQQQSQRGGGIARGRGGRGGQGRGAHQNTQAQGQAQGQAQGQAQAQAQPSA GRGGLNAGARQFVPQGNKRAREDGTDNANEGGNAGGKRMRGGGHTRGS ANIA_05498 MAHLQQQLKNFNAGVMDYAKSMPAQRRFVHNTSASTSQVPSATS TPTPGGSNEQKKKRHDVDIVYSQPANTGTGKDIMTQVVFAIEHMKSKGVPLTFNDIVS YLSLQHRANDQGYVQALRSILQMHEKVQYDPSGANGEGTFSFRPPHNIRTAEQLLQKL QSQSTGVGMSVRELREGWPNVEDTINKLEKEGKLLVTRNKKDDHAKMVWANDPSLIQH FDDEFKQIWEKIKIPEQQVVKEELEKAGITPTNKNKVIKPRPKVEHKKVKKPRRSGKT TNTHMMGVLRDYSHLKR ANIA_05497 MPSPTDPALQFDSIEDTIKAFSNGEFIVVLDSQDRENEGDLIIA AESITDAQMAFLVRYTSGLICAPITPEIAERLQLPQMVLENTDPKGTAYTVSIDSADP SITTGISAHDRALACRTLASPSARPQDFRRPGHIIPLQAKAGGVRQRKGHTEAAVEFC RLAGKAPAGVIAELVEDGEVVEGVAEIRGNNGMMRRDGCLKFGKKWGLKLYDRNIAYY ILINWFI ANIA_05496 MRIMRRVLRPAVRLQSVSKRFFALARDNNLWRLHCYENTWAALL AARPSVEGSDSLATDSTASLSSLGQPSLRSLIQPQALPNNNDPDTQGRTPTFGERARA AAAWDPSAEGEDVDWYSEYIARNGPISLSWLQQPFTRTQSGGKSYIEVKGMGLLQDWS LARQNKVISPLSDGSVCVWDLNHSHAIGSRVTKGSILGTSAPGILTVDMSQKKENPAA KSALEFINLGECVSVDSIRQRAYLAVGHVVNEVDLETLKVVSQQRYPWSVFALSQETD YSVPLTLATTLSLHIYDGRLSKTEEEEAINLRCEQPTISLVPESLIYTPPDSPLLQIQ PNGRPPHRIRSPDPSETSDNYAPLFQPGPLSVLHPPGPHVNTIFLAGRFPSILQYDRR YFPKLQSTVHSGGRLCGLASVPAPRFPVFSSPRYPSSHTIVACGEYNGKGSLELYHLT PSGENDENGPSGCSSSLTAAYQNRQSAARSKLLSVQSHGTRIVYSDGDGNIKWVERDG RTEVRRLNINSKRPPAGTAFSPDGHGYSHIDDGAHDVSGLWASVSRAQNEGDVARKIL PTGGNLTGDELLVWTGDRLGRIMFSDTPDADEIGEDDEMSLDEEVDDATREEVRSKKR ELQAREREYSKMMRRALETQADEVRRMGDFGL ANIA_05495 MDYTMEDSQNSAPNAHEASKLGASTQRNDSQGVTKRLQSELMQL MVSPSPGISAFPDADGNLLSWTATITGPSETPYEGLTFKLSFSFPNNYPYSPPTVLFK TPIYHPNVDFSGRICLDILRDKWSAVYNVQNVLLSLQSLLGEPNNASPLNAQAAELWD TNQEEYKRHVLARHRDIEDIE ANIA_05494 MHHSQLAPLPIDLPFRIVSKTFGQGAYACLKKACPLNADTPVFA VKFINKDYAARHGKISPRQLLMEATVHKHIGDHNNIISFFQTGEDGAWRWIAMELADG GDLFDKIEADEGVSEDIGHVYFTQLISAVGYMHSKGVGHRDIKPENILLTADGNLKIA DFGLATLFEYKGGTKLSTTFCGSPPYIAPEVITCSSRNQTKGPGYRPDVADIWSCGIV LFVLLAGNTPWDSPTEDSYEFHEYVMTNARTSDELWQKLPTATLSLLRGMLNIDAQAR FSLEDVRRHPWFTRQNKHLAPDGRLRDPIKVATSMFESLHIDLSQSVSRPLKGGSFGP DRMDVDIGDDLGAEHRISSTQPEVPRGDMLIDWDTPHLTDVFSSSQPTNNPRPPSSSL TPEILEDEPSFSQFSQRPSVPMSRTQNAQRFHDIVPSRSLTRFFSTWELKLLVPLICE ALHRLGVPVPAVPAVSPGDNSAMIRVITRDGRMCPLHGKVLVECVSEGLFEIEFMKGK GDPLEWRRFFKKVVLLCKDAVYTPDS ANIA_05493 MANENVLEGVPPRESPQPQDFIRHSNGHAPPAISSDNHSSYAQE AFAEKKAIPESHPLDNSSEPSGQDVEAGRKAAFKSAARRYYKSYFKHVLYAVIWLLFT GWWIAGLILHRYDLGWLVPFLLYLVITLRLIFLYVPISIFTRPVHWAWKSTASRLVSM IPEHFRIPLGALVTIATILVGSFASAEAPGNTRADRAVSLFGLLVCIFCLWLTSRNRR KVNWHTVVVGMLVQFIVALFVLRTQVGYDIFDFISMLARELLGFAQEGVDFLTTTDFE SGHPYFLVTVIPAIIFFVSLVQLLYYTGVLQWAIRKLAVFFFWSMRVSGAEAVVAAAS PFIGQGESAMLIKPFIAHCTMAEIHQIMCSGYATIAGSVLVSYLSMGVNAQALISSCV MSIPASLACSKLRWPEEEETLTAGRVIIPEETEDRPANLLDAFSKGAWLGIKIAGMIA ATLLCIISLIGLIDGLLTWWGRYLNINNPTLTLDLIVGYICYPIAFLLGVSRDGDLLK VGKLIGLKLVANEFVAYSALQTDPQYQDLSNRSRLIATYALAGFANIGSLGNQIGVLA QLAPSRGGDVSRVAVSAMLTGAISTFTSAAIAGLLIQNEEQYMTTATS ANIA_05492 MDSDSCSWAAEAGVPHHEDPFIQRFIAGRHSLIQREKSQRHDAN LHNALPPVAKKACQIVSRVCAQELAKLQQDGYQSDGIPHPSRMSNRDRVRVESSDLWS IVRRLPKGSLLHGHLPAMVDMDFLIDQAFATPGIHVSAPRPLLTQGDFQDAPFAFRYC SRSDGGHTDKRSLWADSYEPSTMIDLQSAAASFPDKKSGFRQWLKSRCTLASDQSHQI PRGSRAISDIFDRRLPIINSILQYEPILRKCLRHIFSQLAADRIRYVEFRVAFNFEYT LEGSDKTEEDYTGWFQIFQEEVEKFRGTEEGKEFYGARVIWATMRGLSNKDIGLSMEQ CLLAKKMFPEFICGFDLLGPEANEKPLNDLLPILFWFRGRCADEGVEIPFMFHAGYSL GDGDQTDDNLFDAVLLGTRRISQALSLYKHPLLIDVLKSKNILIECSPSSAACLGLSN SFQSHPLPALLSRGVSVALSNDSPGIYGLGPNGLSSEFYQALLAFHSMGLSGLTMMVE NSIRWSCYEDKSVNDWNTDIQEAILGEGLKAARLHEFYADFEKFCDWVVLAFEEKCNF E ANIA_05491 MTYFLPSFFQKRLLKYALSRLEFIDTEALDPDSLGIRWGQRSTV ELRDIGLRLEKLATLLHLPASSKLLAARIRLLRLTVPADIYSSGIICEASGIDVHLHF PSEKEASAARTSLFESHEFGHESIIPNPADLAESFLQAEPKEEKEELQAALSSRSEIL HRTSSSISDDEEELGLGDETVSLPSFVAAFLKGVADRLQVHVDEVSIRLDVEMKQDGP SKRQPEEKPDLISGLLIIRQISVGAVVTASSSDELHPGKRPISLSAIELALVSEPIVF SNYSQFTAPTSPSSPLRSKSSQSSSPASSVPPAPSSASSSTHAMMASTIFEPSQPTVD IPEDEHGVAKLEGSIYTYDGRFSDADTDENRSHGYLEESQDLSEKLLDDPAYLDSVID SHLQDDDSEGLGDIQPGDIKPGDSNQSYTDRSTPSQPSLGFQAPALVDPHSRSGDHSV AVASMPNIRNIERPLDQYGPQSSMPVLSRPLTQDITGNNSQESQPAPPSPSETSSSGS VSAYFNNDLSESRLFSPEEAQSMYMSAMSHRSDSRSFIPDIPGAWDSPEATLRREAIQ HHGSLGHTDETTEALEDQDRTPVSTPKPTDRETKYHSGHTLGQQQESYSESTDKHSIQ PSPDVNETTKVSKSFLHIDKVTIWIPSTKPTKDHQDISEPLDARSAGGSFKDSTTHLE ASQVRERSVSFSKPPSSLRTRRDSTELALAGTDTKSYTKYGLGSLNSTNISVEISCIE VQFDISIGWLMIKIGQRVLQGFGDIGQPKTSKKSTERDVQSPQDIDFTLGTLCIKFVE LVPGKSFPLEEQQDPSTTFFDLLHDSVLLQSTVSGVQAHYSNANNVTDFSAAVSKFTI KFATEDLISFSEDMKMRESTKDGLLPTGNDIVLSLSKSQDSATFKTDTLPLCVSLNIQ RLEDLLGRFGGLSTIMELGSSISSMSGSKGPKNDVPRRTRGVRFAGSPQSPVRSPTPS VPWKVNARIGGIVLDVSGENHYLTLRTTAAKIISRYEMMAVQIDKAKLSGPLSIHSGK DAPAKVSLNNIRVEFLYTPKEPDLDRLLSLITPSKDKYDDDDDIMIDTLFRQRKQGSV LRVTVSGAKVVVSRPELGPLQQLGEELGRLSSVAKYLPEDDRPGILTLVLIRDVEARV HIGGQIGTIAANLENAEAAHISIPSLVAGQLGSIRVTRNGDEELIGEALPPKPGFAPL PVLMVRFIADEMEPTIRVKLHNLRVEYTVSAAMAFLGVSDEMTPGDVAANMASSLANI AELHPPLQDLEEGSTTPVKPMKLKVSMRDCVLGLNPRGTAAKGLIVLANSTFNGAIHD ISSSEIALDLRKAFVMIIDDVRNTDARGNLPRRRAASPQSDQIQAFTDMGYVSVSSIS SATAVVRIMRLTENGAKSLDVEVRDDLLILETCADSTQTLISILSGLQPPSPPSQLKK YRTEVVPIRDMLDSLSGDAFAADNLPIGAADQAEIQDHIPERDIDYVTDFHSMTASEE ELASGPSSQVRDSFHSQCHVSSSMSELDFREDHFMQQSSVGGTAHRWDSTHNTYDLSD DSKLQNSPLRVRVRDAHVIWNLFDGYDWQRTRDTISKAVKDVEKKATDRRTSGRATAA FEDDEEAIIGDCLFNSVYIGIPANRDPAELRNDINRNIDDLISETGSYATTSTVTTAR RNMSPGFRGKKLRLSRSKDHKMTFELKGICADLVVFPPGSQETMSSLDVRVKELEIFD HIPTSTWRKFATYMNEAGEKELGTSMVHLEILTVRPVSYLAASELILKATVLPLRLHV DQDALDFMSRFFEFRDDSTAPSGPSQEPPYLQRSEINAIPVKLDFKPKRVDYAGLRSG RTTEFMNFFILDEADMVLKHVIVYGAKGFDKLGQALNDVWMPDIKNNQLPGVLAGLAP IRSLVNVGSGVKDLVAVPVREYRKDGRIVRSIQKGAFAFAKTTSNELVKLGAKLAIGT QTVLQGAEELLSPPTGQATTGEEDEIDEDEAPKKISLYADQPVGVVQGLRGGFRGLER DLLLTRDAIIAVPGEVQESGSAKAAAKAVLKRAPTVILRPAIGVSKAVGQTLLGAGNS MDPSNRRKIEDKYKRH ANIA_05490 MDWPAELQYDRPPLFPPKSPRLEPVKDVPFSSGESYSLEHPSSE ILYKNSPSKSSPALPIEPVAGVLSLHNYRKSLSSDPSWAGCQERKTLRRKNAASNLNR TTAAPMGTFIQDAYHPPFPTSSTTSSPPPPLSPSYSPSALSEQLPDFLDGYGYPLSPL ADTSYGEGMAGNKQNPYKLLDTFRDRLDRFPDVDSPDTIEFHGHNRARSDSVLWRTSR VRKSPATATVVHQGTCFEILNPHESLDFARIVSYIEDKDCQSAGTRIRDFYTNGSDSS HINEEPHIYDTSCEIPVTEERVHHDLVGDSAQHPIPSISQRLEEDNDDEDDDTASWDS STIRAPLSQPLTRVRIGTALNESDLGEPGPPIDSRDSLPIAQPTSTDIDPFHIAALYD IGHLPAQGKGTDNPTAVIYSDRKPIRKRSTIRKSHNRSHKITSSFSFSPSTTSATVTA STPLKRLRGLAQSLRRKTFARASLSS ANIA_05489 MFEDFSFSSPSSSRPPRLTPTPTDDDKPMTDCDALISPMSSRCP SPRSFAAPRFPRSRSLYFRPPPQQQTQQAPTSVPFSAYDKHRLSIGTLTKKLHEHSIQ AENTPSAENASYPASPTSPSEPQLPTPPRSLFPCNSNFPGYVLTPPDTDHDDEGYASP ISPSLSGSGSASGGSPTLQSPSLIPTPTSAPLDCCPEFLQPKPNTDPSPTSASVTDQI SIRTRRQHISRLQGQYTPSDIESIRRALLAAADEDMRQSRSQWNFNPNSLLSEFSEAC HPSSLPPTQGSRRRRTISLQRSRVRSPVSPDSTSGPPFSGSGSGDAHQMQTRRKSMCT TSNTTSTSSRIEKNYQSSADRDRERGRGRKKSEAGLRRKSLVSAALASMIESGESI ANIA_05488 MWSPLAKSDQRSNAPSERKLSGSSAAPNQEQDTVFNPKEVNNDL RQQDPEPLVQEKGQENEQWQAEPTPQTPTSQQKEPELEQRDDGSQASGPAGSSGSGVF GKLGSLAGGLGHQVTQTVKSVPSIASKPLNMLKDLPVQEGGEIPGPEGGVVGKVVEGG EEAVGGTVKEGGKIVNDDGETVGQADLTDEVKETMERAKDEIPEDAKTETTEKTTENP ENETAEMSDDAEETAKEEAEGAKETSEEAENALSPLSSLEGLSCNKAGKIIDSSGKPV GELVEGDPKKLSRLGSKLDDKGQFWDSRGNIIGKAKTIKAEEYGEEPPFAGLEGLHIV ENGFVEDGAGHRVGRLTEGDAKKLLGRPVDEDGDVIDQHGSVKGHAEPYEEPEEEQPE DVDLSVLEGKTVNKAGNIVDEHGKVYGRIISGDGKRLAGRKVDGKGQIWSDDGKVIGK AELIPGAEQEKPEGIFYGFESLTVGKEGVVQDASGRIVGRVVEGDFAKLAGRKVDEDG DILDKNGNTIGKAERWEPEEKKRNINPMANRKVNREGEVRDADGNLIGKLTSGNLSSL IGKEIDDNGYVVDNDGNKIGECTLLENIPEPEPEEPEPEGPSPDELEAQKKEQEDREL AKKMSAIVSGTLDRIQPVCRMITDLIDASKHVDRAEKTPKNELDEEELVKNVKPLLEE ASNILQECNGAIRALDPDGRIAANAKARAASHEASPEEYNLAEKLKELSDSVLRTIEN GKRKIDGMPHAKKELNPLWGLLSEPLFQIIAAVGLLLSDYPSRWEFFKGEPSVRKPTC QREASDGIIGERSPGLRRECALNLCLTPRLRSSTGSCNSARLINHRGGGSAAGFRLQR LLMPHEHKESIIPWQATWVRQDPRKRTSSIIGVLHLGLGHVLTSAVISVVIAQSVDRQ VLSYFSEAVHYRESSPHGTDSKSTLSARLLSVHSSRLGLSSSHHSVVNIPISNAPVKS NISPEHSHFDKNTVAPVEILLRFPGDGPEQTHQAVASIDTQLVKVNLMRREVWDERLN EDRRGHLELDHNAYVTTIDSERIPIIGVARGVEWYPKYGPRTYVSDFHVIEMPTFDVL IGSDTITQYQLVSLGPDLIYHFNNRPVPTNVLRGYDSVRAGETVSIVCAQGLNAFSRV ADDLVSLDLHNLNNSLVDEVKRSIPMYSEPQLALYGEDMKGNMRPVVVVMKERLQSFK KLFRHKHQLNKDSKMTRATITTSASNPKGCGHDVTLRAIIDETIEENLISRNRLEQLQ DSLKVSAKPLGSAKALQDSCNMSYEAQSKVCLLVRPCDGTRTETFWFYIVETETVLVA GGHDIILAKSWETKFPIDGKDGIKTYRAAPTVYRKGVDDEKWKKNSSEKSKKNLEDAA KLEAEWKDAKMQKEKGKGASTGQ ANIA_05487 MEGYDKLAALMAGDKGLSIFRSFKMLNTKNLLFLQAEIAIKEKE FKEIMLKDRQSGDKYREKYSSSVRLLKGMDEPSEQWRKWLEIRELLEKYNTAFTQHAQ LLRLKPPHKRDLDTFRDWLDDNPICVFPVECLQWFGENDEERGDLVALSGRYENVDLL TNWVFQVVIPLYDRLIVHFRRLFGTKKHGDIETGPVYYDDEKIIRATRLTSIITSSVI PASSMLVLYLVNNMVARLIIIIVYNIGFSIIIGLLAKARRVEVFAASTAFAAVQVAFI TNFPRD ANIA_10675 MTALLKKPLKLALVQLASGADKAANLAHARTKVLEAAKAGASLI VLPECFNSPYGTQYFPKYAETLLPSPPTKEQSPSYHALSALAAEAKAYLVGGSIPELE TTSKKYYNTSLVFSPSGALIGTHRKTHLFDIDIPGKITFKESEVLSPGNQLTIVDLPD YGKIGLAICYDIRFPEPGMTAARKGAFALIYPGAFNTTTGPLHWQLLARARAVDNQVY VALCSPARDTTATYHAYGHSLVADPSAKILSEAEESETIVYADLDPETIESTRKGIPI YTQRRFDLYPDVSAGKN ANIA_10681 MSNVQTGKKQRSAIADVVTREYTINLHKRTHGVSFKKRAPRAIK EIRAFATRAMGTTDVRLDPQLNKKVWEAGIKGVPFRLRVRISRKRNDEEGAKEKLYSY VQAVNVKEPKGLQTTVVDEE ANIA_05485 MSSTPDDKPQRATAAQLAARKIKDVRRRPRAGTPSGSAGGSFGS PFNSIDPNTVSSPSLAQQPMTNGFSFGQSQSFPPASPTPKPPAQNGGSQFSFGSGGGS SAFNFSASFGGTASTPVSNPFASMNTGGASQQAPASSFSGFKGNLFNVPSTGSQSPAQ QPLPSGGLFGAGSQQSSSAGGLFGSSANNGPSAQSGIATPSNGSIFGQSTGASSSNIF APSEADKPNPFAQNTAFGGDSMQTSPDAKSSSQKPSLFSSGSGGFSVSTNFGSSGAGS LFGGQSSASSQTPSKPLFGAKPTEQTTSASPSLFGASSSAQPASSTPAASSFSSAPLT TGNTSSSLFSTSTPKPATTGQNLFQPTTSLFGASTSSTAQKPSEEKAQEGTKANQSTS TQPSFSFSSTTTGASLFSSNASGAPAQSTGPSQPVSTGSLFAPEPASTEQEKPKPAEG NPFSSLFVPKPANAESPKPEQKSLPSSSPFAPKPVSSEGAKTSEQPKTSTPASPFSAP TLGAASSPVSQSTAFSTSAPQTSSSISAFSPTTTPQNPFKTNGVKPAGINSPVSSTTS SSALSFDKLQPANMPSGLDKGTKEEVETVHRVRLLNACFQREVAKLDATTNSFDQLMQ FYLRVRETIGAPVEWAGTKRKASDSDNTVEPSRKAATFGNGNLASSAASPDTTTSSKL FSGSQNAPSTSNKRKATGDDDSDASSPAKRVDGDSATANIFANSFSRSKTIESNKPAG TPSPKKLDAPVLKPSTPESIKPSLFSTTPKSSPPKLAFSASSAPKESSASSATSSQSM PAFKPAFTASASGTPSPSPFVVKASGDAGPSTSAPPLAIPKFGSGGPINFMSQFKAQA EKNAEKEKEKRKAEEFDSDEDDEAEWERKDAEKQRKKREELEAQQNRRAKFVPGQGFS FENSATEEEKSNTSAASSVLDSKPNSFSSSSNIFGHLSATPSESGENEHDAADDTEED SVTGDDAVRESSSAPTEDLHASRADSKINSTASAPRSSDEDDSTKDLKKSKQADNSEQ HGAEDGSSGGRSLFDRVEYNKDGKPKRQGDDAKPASSLFGSPQFASPFNSSSASTPNP FAASAQSQDKKPEEGSTPKPLSTNIFGSPTPAGSIFGNSTSATSGASTPSIFATGSSA TKPGSDNTWKPNTPIKFSSDSAPGLTLSAKSETATAAPASESSNHHPTLFGAPSAGAQ PGPSGNEGTAGFSFGGPSQPGSSFLTPSVLTSESQSRATTPGVTSDTGAEDSADGDAQ KPEPQVDLARSGAGEEDEDIVIETRARALKMVDGSWASQGVGFLRILKNRTTSRSRVL VRADPSGNVVLNARLMKEIKYTVNGNNIQFLVPKAEGAPEMWALRLKAGEALKVGTAM DENKS ANIA_05484 MTLKVDGFDSSAAFDVINATLQSDEAERKNAIKSAQTIVAFNLK NASGKEESWYLDLKEKGEVGKGAAPAGKKADVTLNLSDADFGSLVSGKANAQRLFMGG KLKIKGNIMKATKMEPVLKKAQGKAKL ANIA_05483 MSSTGQTIEDLEDELDLQRAILQSLDESHSSRTDAAEQRLETLE AIKDLESKLARLRGHHAGGTPVYSLPVGQSLTSAQLDGLNFSAPSIPSSPPQFDRSAF SIPSRPRDSRLANSSEMLTVRKRRLSEDDTEDGVSVRSSKRTTGNIASPASTQAASST PFSRNSPSDGLDSEFDVDDDILGILGLDGQDMRALQEEQRRAEQWLKERKEQERRDAE FARHLMSASPSAQTLSYISRAEPPTPTRENLPSIPQFSSSNSLFLGTRPAGLSAAPSL NANHAFSGPQSGSSKIHPDSDDSDLAEISPQDFQSRITSNPRITSRPSQYVPNRGNAD LGISNTAKSVQNPYAYHYPGPAAANGSNGFGNSAMSRWPQSMPSAMAIYKGARDMLSG TTNISPSRMPLGNIITSGFLDDNYPTALAGRDIRDFYEDGGDPKQINQEIKQLLETIR PDADLSAKDREGTPAALKYPLLDHQKLGLAWMRSKEECDQKGGILADDMGLGKTIQAI ALMVSRPSSDPERKTTLIIAPVALMQQWKREIEKMLSPGHRLQVYILHGDKGRTSFSD LKKYDVVLTTFGMLASELKRVIKYEQLLKDGAEEPTLTRQYLKTLPCLGPTSKWYRVI IDEAQCIKNRATQSAIACCRLNTTYRWCMSGTPMMNNVEELHSLLKFLRIRPYANLDR FKRDFSAPLKTNNKHLQEKAMTQLRILLKAVLLRRTKHSKIDGKPIFDIPPRFSEKVH AVFSEDELELYKALEAKTQLQFNRYLEAGTVGRNYSNILVLLLRLRQACCHPHLITDF SVKLNEASEGVDFIANAEQFSNEVVARLRDNENLECPVCIDAVDNPIIFFPCGHGTCS ECFSRISDPSLSLQQGIDGAAQVKCPNCRGVVDPKKITDHVSFRKVHFPDESEDSAGL GGLGIAIKEDGDRDDTDDSDEGATLSDFISNDSDCDLTPPKRPKVRSKGKGKGKPRKT LAELKKEASKNQESKRKYLRRLEKTWITSAKIEKTMEIIRDIERRDNNEKIIIFSQFT SLLDLLEIPIAREGHRYRRYDGSMKPADRNSAVLDFTDDPSCKVMLVSLKAGNSGLNL VAANHVIIFDPFWNPYVEEQAVDRAHRIGQLREVHVHRILVPETVEDRIIELQDKKRA IIDGALDEKESKNIARLSTRELGYLFVRLPASHSPTSN ANIA_05482 MAEQQVPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLGVE VHPIKFTTNLGTIQFDVWDTAGQEKFGGLRDGYYINGQCGIIMFDVTSRITYKNVPNW HRDLVRVCENIPIVLCGNKVDVKERKVKAKTITFHRKKNLQYYDISAKSNYNFEKPFL WLARKLVGNASLEFVAAPALAPPEVQVDATLMQQYSDEMAAAANQPLPDEDDADL ANIA_05481 MATSIDSLATVDESKEVMSESGVVPVVSAELEEEKLQKLQSPEC TTTNISINRALTSDRVPTSGPFKRWVNSLRPRRTYEPEPHIEGWQHVPRGSGDQIHLS LPRGSLEQQWEKLSGKSSHLGTIKTATISITSQSVARSRRTTQSTTNRSKSDFRTSID SLRPTLTACIDEEAQNRAIKRRQVLQEIITTESDYLFDLKALLDLLLIIQARPEIYHN VQRIRECHESFLKRTRSLIPTTNIPRMQLERMMNYGAQKRPDLSIKAFQHRSLRARSL KAYVDRRLKQLASEANEALVVAREIGYLSKSFIYYKEFCERYDLLVEDIAVLRNSVPN WQAYEQGIEALTKSAASMETRSLYDNKSLCLNDILIKDDPSAHDGIRQVVENVREVLA EINEATASTLSRSIVEKTFLLQDMLDKTVNMPLPNLTSPMSLCGVLHVTYRASTPPRR VKGTFMVCVLFKHHFFLAKMHDECRKLQPLACLYISDVRIDSLSNGKGYDYFCVFSWK LLFQLNDEKYEIVLSASSAAEEKQWKTGILKSAAASVDVPDAVSSELRGSSFLVLDIA PEEEVSDIAPQLSRRPSLQTLGTIGMQRVRSNIQPIIIRKTYCPHKHSQLHQVDGELE RPKVPPPISQQFTITARRQDRIRLERTIYPIYTRDILPYPGMFLGAGELFFGPGSIMR HLSLRPKRNKRSSSINLPTSVQNSGQPQGPDDYECTLQSAAKRKRREASDFSHSFDHE KGWALHKDSALHLGRSRTMRLKTRSRSSNNLKSQPPSNTDKGSDIPNVQLRKGFWSVF NSMPFRWSKKNVRPGLGGT ANIA_05480 MRANDPSSKVFYRGKSDDFIVFVEDPATLKNWKNDRTIPLSDVV NGWKIFVTHKHGSQGILDGASKSSLENEFGTYNDEECVKKILEHGELQGYTQREKGAD RNIMNGPQVQLPSN ANIA_05479 MARSSSIAQTQASSKGNDSGSISYENDVPVNQVTSFHGVLNKYI HVSETKTETVGFNCSTQESKRQLYTADDPDADARTTPKRRRGVHAQPACQTQNVSAMT TGITATRRITRSQSRSSTPASSSASPSLTVPSTSSRSAPESQSPTPSARIPRHSRSRS RGSSTPRKNTSSPSSLPASTSLLRDTIPPNLTLLLVGVNPGIMTGTTGFAYAHPSNLF WKLLHSSGITSIRHPPSDTYRLPELYNVGNTNIVERPTRDASMLSKAEMDAGVPVLEA KVAAKRPAAVCLVGKSIWEAVWRARKGRPIRKEEFRYGWQDEEENMGRSEEWEGAPVF VATTTSGLAAGMSYPEKQAIWNELGRWVVNERANSQGHAQARVQTEAEAEAEDQG ANIA_05478 MGTFHWAPQTWEFIPFNHGPRICLGRVFGYFQMEYTLCRIFQHF ERVELHEPRVQRIKVELNTKMAYPVNCRNNEEACWLIIGCSPACALYIDPTRILGFPT NGSLPSFHLLLSSLNAKPFPTLVDMEASKPLGAFSRLNSQIYQSKLPEADILLIQPVV GDMVWTPEYAQLQHLGPAVTAIDAFSDTRSDQSHRLVVHAFSNAGSHAAVQLAEAYTA VHPSASLPVTGLILDSCPGAPSAILSANAMILALPKSPLVRLLGAVLIYSVVATVALL DFLRLYQNVISKTRHVLNSPSGCFLRHGVGRTYLYSRTDAMVPWTDILDHAGEARKLA ESGDDLVQTVEFTGSGHVGHLAVAGEEYTKAVLSVATSRE ANIA_05477 MAPVPIPCTVCPVSNYSIHCARHATGFHHELGQQKESDSILIRL KIYNLVARVLTKGYYFSEIMTGMGLSVALKMAHSPAPSYLSLTVAIVLAVWLFSSKRI KQTRLSTTAALVSYRLPFGIDCAVRAVYQLLNYNFVEWTQNVLEENGRTVELHLAGAR LVLTDDCENVKAIMFSQVGRTAQLYAKRVDPKSPSSQTWKGEIYTRGFCQRVWRLGIW QYASLASSIPVLSAANVVRSPPGSRWEGLDGQ ANIA_05476 MAERILSSPMPRNRWESWGDDYLEQQVLTYTGDAGDRQAKHGQG SIPWTEIAKALLGRSNKDCRKRWLKIDPRWNGGHRQLDEELRLTEAVMRHGYSCVQDS YYLMFMAFVSNLKLVYSKNSRIDRGWTWATRMFLPDGFMDLSGMMPPTLRTDIEIETA TPPSTRMDRGQRVLIVLEDVENTTNDTLNIIWSISSMLQSNLINFRTA ANIA_05475 MGSGRGRVPTNRYNIDAFVGPKGKAGHSCTEHGYFLEDIDLATI DSSFWSMSGKEVEWMDPQQRLMLEGAYECLESSGTTSYKGKDIGCYIGVFGEDWLDIQ AKVSHNSDGKTAGLSFPSPKSHEDLIRRSHELAGIEYLSKPAIIECHGTGTAVGDPLE ACAAAQVKTDLGHSEGASGLSSVLKMVLVLEHQTIPLNLNFTTPNPKIPFDSARRRGS CGMPVMAQQQA ANIA_05474 MDRQTAEVPILLSGDFRTYKTITAVHSQTSLAPHQQDALEALSN NLADIAQQHLNAYIRGVSLTKASSAIAPAPTTDSLTPSPPPSRPPSGLAQSTYASVAQ INSGKTAAIKKTGKPKPLKTETEAPPDTRLFTPLPFAPASALG ANIA_05473 MESAFLNLLTKPGSIYGNITELRLLLNIFRGKQVNGLSKPMCYG SFAYTRTLDLSDSAKACAVPKAVSHLQDFLTTTILLSPFFFSDSFFIRRPFTMTMEEE SVALLLQQLQELCTEIQTQKQQLQEENNSLQAELQAVQNLQLRNHPPVTTTVTSATPT PYKQSYPCPCHLDVKPFTREDPKDYPPF ANIA_05472 MSGRDWAGAPDGAWTHAKYLAMSLYWPRTRAFQNKQGIGSGAVA VQHIGSGVLAGAAVRAAEEGSGQSFVQSDQVRSGQVRSGQIRLEVIVLKPSVLASSSK L ANIA_05471 MVITDRLTKGVILEGMSETDSESVAWALVRVLISKHGIPKAITS DRGSQFTSDTWARICTLTGINRRLSTAYHPQTDGSTERMNSTVETYLRMYTCYDQKDW NRLLPLAELAINGRTSTATGVSPFYLSHGYNLSPFTPTEEVEHLAEEPTKSPIQKGEA IVRKVKEALDWAQASMAYSQQNTENQANKHRSPATNYQVGDKVWLSLKNIRTDRPRIH PVFHVDLLRLASSDPLPSQKNDDSQPPSIMVNGEEEYMVEKILDERRRRYGRDFRTAH ANIA_05470 MGGFIQRKRCRGQDIEIFAVSLADIQKALAPKRHIDPRTKLPRQ YWKYLRLFEQDKAEELPPHRGDGIDHKIELVQEESGKDPEVPWGPLYNMTQEELIVLR KTLSELLQKGFIRVSHSPAAAPVLFVRKPGGGLRFCVDYRALNAITKKDRYPLPLIHE TLNQIGQARWFTKLDVSAAFHKIRIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTF QKYINWTLREYLDEFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKCE FECKETKYLGFIIQAGKGIKMDPEKVKAIKEWETPTTIKGVRGFLGFANFYRRFIPNF SGIVRPLNNLTKKGTPFLWTKECQDSFDLLKEKFITGPVLATFNPSYRTVVETDSSGY NTGGVLSQYNEKGELHPCAYFSKRNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGE FQVITDHKNLEYFFSPRKLTERHVRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDM PDDEDDRVKSRTMQLFTEKHLGKTVVATLRPAEEQPWEPYEKSDMWKEALKQDERYSE AVLCPERWSKEISSTPTIESRNLRMPAGRPRPYPLPWEEVGA ANIA_10674 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL PSLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDRQRKALVRVNTMKQGK RDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAINVEQEDSYDNYCNQLREINHNLQ RVARLTRKGSRAAVPTHVARTRPAGGSDRTGTPDQMDWEATHAQIAALQKEVAALRTK GTRTPRKASQAPAEEKQKRLSEGKCLRCGDPDHFIQECPTKPTRRPRQVATVQEEQDQ MDDYSESESENE ANIA_10687 MAITYDKDSAMKVQAILSSRDDWHIWPELLTKLTILLCYGSFAY TRTLDLSDSAKACAVPKAVSHLQDFLTTTILLSPFFFSDSFLAKGLVIFDSEAKKILH LVYKFLYSINIFALLLCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLATTILLSHF FFSDSFLYVRHV ANIA_05468 MTSQHPASLPAAKQPPASSPAGQSTEEQNIDCVALACAICSNTV AVALIFSAFRRNLSDHDRLVRLAASAALTLTVHALLLIYSPPAEQRLSSLILCFIAGP MCVYLPFLLLW ANIA_05467 MKLSSLELSFLLTSSVTADFLTARSLNHDRNVELGELQDVQDFY ATLEQSSFCNTQNGSFVWEDITEFETLDDIDNSALELAGPNLDRGHSLVSREDQCTEL TGITRMICDNMPSRWTFWAFGGPLIIYYAPRLMTNWLNKNTWAETDSDALQKDIAVAI QAGRNLRRVWRDGSSGANGLTPHDELRRTLNMKAREDAVDYHFSLPYLLDRQGRPEAN SWSLSRRSGDSEGVDLAMLSHDYVFSETDQVLYYKGTRGTFSFGVPEGKDKSPSRNNT LELEARAPTHDYTIVMSVIKRSTANTIAKPSCIAKLLKFHIDRSSETNRFACRPIDNK GKWHATMHLMINRGKRNRGTARTCCD ANIA_05466 MVTDGGLKLFTYWCSELGLLGMARMLFFDSGDCDRLASWAGLDF HDFQLFLDYAATFLSNIGDYYGSDDQKFVPLIGKEKLGKLAACASPRVVALWEQICVP MFQEPPLSLGPPGGLMQSSYYQGYENCASSFRDMRHWLSGLCKIYRFLPENTRLRRAR LPAEREVLSIIQASVAETRTLCNGKISDTVQSDQVIEHEMIGKLRDSSITGDLAVYKE SQSIWVTNKAPKVEAALGFVESYKDPLGVRSLAYCSSIIFPGINLPNCCMKYLVMGLA NSSQRYPRATSILIPTTLRQFTSLGIQLRTRADLDRTTDGLRGLENYDPVTKKWSQAH SQAHYVIFRHLLRDSPNLYAVNVITLTHIADIASCRDFYESLSNADFEALTWTDIVVA KKDPLSQANTFTRGDAVELREYEPTAGGVIQSWAAREIQGDPVLRAQRIASSSILICS SIAYSHSIRLYWRVHSKVFK ANIA_05465 MTRWFFWGCWSALVFAQLRGVGVRGDSVSTNYQRYNDGEMGHRP HLEFQSSSEYAPLLQVNVWSPDAISPAGSHIFLRHDGNESSPLSSPLILAAHDLSAVY INRTFNNVFGTRIQENLGRKYLTFWAGDKGDGIGDGYGLAYDETYRLVYKVSAQNIND HADLHEFAFTGNGTALVTGVNHIRVRGNVLSEKYGWHYVLPDELELDILDAVFQEIDL ETNEVLFDWRALDHINPLDSFEPMGSGWDAYHINSIEKTQAGNYLISVRHTHSIYLID GQTGSIIWTLGGNHNDFIEESAAVPGQPLLTFAWQHHARFVPGTNETQLTLFDNHVKV TTHGECRTNCSRGLHIAINTTATPPTAQLLQQFLHPTNLQAQSQGSVQPLAPSDSNLR SVFIGWGRCPTFTEHNSAGETVLDVQFSPWHSDDIPDALDNYRAYKMDWVAIPWWDPA IAVRQDANGALVVYASWNGATEVASWAIRGTNNNEGDNANGLVLATSHRTGFETRLKI AENEAHYRYLWAEALDAQGNILRSSEVVDFTVTEIRSDSYSLAFYKELGFESLPSLLS VSNNGTQATEPAATAADSFDASTSYSSSPVHTRGLSTKALAFLGTGIGISALMLVAIV VVIIRRRQRMDYNTLNLKESDFELDLDSDDGEEEVDAQRHEGEVDEASKQSRVAGGKD EDTHALLP ANIA_05464 MWVALRSKHQCLYGNGPFGFYAASLPTAGDEACEISLTIRTVNY TVDYPIRPHVQMPMLVRFFQDKQGLSPYQVEVGSLANYMRSTWITQALADPCLLHATL FSAAVQLDALAGAEQPSYATLYHQFNAVRLIRSRLAMAGLDRPDDSTIASVLLLAIHS SLQFEQDSVEVHRQGLIQLIAARGGLDKLGFDGFLARIIQGSLSFLIIAYDQPEPFPI PEQEAPLGPHTFIWLVLNDSNKSPNEQIRHLLLNLFQDVQQLVREVCTERELWPHAPS NSCINALLEGLSSRPDPASLEQVSELSKRELALLRACSISGRILTYVLDDRLPWSEQQ LDRLLEELEVAIDTTDRGTWLKHSPGANVWVAVMGAAMCRDTNGRASFMLKENCVVSS IKGTESSHYVASRFCYRWLKERRLARSRIIEI ANIA_11480 MNAANGALVPTVHSVFEQLEHDEGINVLQMKDEPGIVMAVIINA YTLQSMSICPPSANTLQNLVSLDGGDVREDATQYL ANIA_05463 MKSLLALVAGNLVTAVSGHGYLTVPASRTRLGFEAGIDTCPECS ILEPVSAWPDLTAAQVGRSGPCGYNARVSVDYNQPGDYWGNEPVVSYTAGDVVEVQWC VDHNGDHGGMFTYGICQNQTLVDLFLTPGYLPTNEEKQAAEDCFLEGELSCLHVPGQT CNYNPDCSAGEPCYQNDWFTCNAFQADNNRACQGVDGAALNSCMTTIAGGYTVTKKIK IPDYSSSHTLLRFRWNSFQTAQVYLHCADIAIVGGSGSSPSPTSTTSTATSTTTPSST SCASAISIPVTFNALVTTTYGENVYLAGSISQLGSWSTSSAVALSASKYSSSSPLWTV TVDLPVGATFEYKYIKKESDGSIVWESGPNRSYTVPTGCSGTTATESGAWR ANIA_05462 MALELRVLALSSITYSKQGEIPLDQVFPRSNLCAENGLSPSRNS EAGIYTMENFVATEPEAVLAGTSRTLKPGDVMGQSMRKINGFATMPTNIFSHPGVFQR MLEGAGFTNVTAQHYSDNTKPMTRLFHLIAYIPHLIVTFLGLEKYFINTIAGVLQSYR GRNHWRIWPSQQRNEAGGTCRRSKRSVIMPSKLNLRFV ANIA_05461 MSLINLQIPDEYRDQLERAEPLDNRTNEQIISSLSSYQPVTSEK NIWAFWHAGLDAMPAWCQRNVADWQRVCGPSWTIRVLDTVPGSPNHALQYIPPELLPE TFVNSTMDGPYTGPHSADFLRGACLLLHGGVFMDVGIILTRSLDRICWAQLEDPCTPF NVSVPWMYGTTMANHFVASRKGDPFIRRWHELFLYVWKDRTNFQGLTKHPLFAFAAHL DFSASAKRGFKWEFIVDPATVFDYISQVLAWIRLTMLEDAGDGFSCADYAVNNILWFD VLPENWGFEEKVGFRGENLFRIMATRTDGDPESEEYKKAHEVVWHFLSQCSMQKITHG ENLTKTPALGSLWDREENQGKDIEPGTFAELLRYGTTHFKQTRSTIRYVTVEKPKETM RKGVFEP ANIA_05459 MISKQIQLLTALVAIAPSVLADCTLSLQFTLTQNPVVGGDNAYT CGATLDYGDGRKDTLDTECSHVAKDHCYNSQLPWRICVTTNWDLSDGYVDYAAEHRDF NEDGCTKDNWATISGQGATTKCTFPC ANIA_05458 MGRLIKNHWARLIVLTASVCSAIEAFIWPKIFWDTWTRNLDGAV TPVPVLQILNLLMGLLGVAWEWPLKYLAGTTAHRSIEFRLLLYPLSSILAALIYQGTN AAIYYLIGIAVYFWAYSEGEI ANIA_05457 MGRYPLKSYFAPSKLFFYTWFWGAHIAIFAYGWYHQAKSEPLSP LNVLSYSVWISRGAGLVLTVDGTLILLPMCRNLVRFLRPKLRWLPLDENIWFHRQVAY ATLVFTILHVAAHYVNFYNIERKQLRPETALQIHYAQPAGVTGHVMLFCMMLMYTTAH HRIRQQSFETFWYTHHLFIPFLLGLYTHATGCFVRDSAEPYSPFAGERFWKHCIGYQG WRWELVAGFFYLCERLWREIRALRETEIVKVVRHPYDAMEIQFRKPGFKYKPGQWLFI QVPEVSNTQWHPFTITSCPFDDYVSIHVRQVGDFTRALGDALGCGPAQARDLEGLDPM GMYEVALQNGQQMPKLRVDGPYGAPAEDVFENEIAVLIGTGIGVTPWASILKNIWHLR ASPDPPRRLRRVEFIWVCKDTTSFEWFQALLSSLEAQSASDAAYQGVSEFLRIHIYLT QRLDQDTTTNIYLNSVGQELDPLTELKSRTNFGRPDFKRLFTAMRNGLQDQSYMRGLH THSRTEIGVYFCGPNVAARQIKAAASSASTNEVKFKFWKEHF ANIA_05456 MATSGSQEKKSIPRFASFKPRPAPPDTDRPSEPSSRERVESEEK SRHRSKHRSKRTSEHRGRSRSSDRRGDCRDSRHLRKEISRRDKEHVRKPTPPSSDVLR DPTGDLTRDLSDIYVIDRKGDKYTLVYGTIHRYSVPHYYRIGRGRVLGLPPNYRIDRE TIGENEIVVRSETIRTDSSKTRSKKLLSKSEKSRPRLLRVRPTSFATDPIAASKDFVP LSDSQRRQHDGFASGSDAEDEKYGYRSIHGKLKPEEGLPNDLEPLTDSELSGEETVRQ DPDWDIKQRNVELSRVVGERPTDVDAWLRLIDHQETVLRGSSKHSSLLTTAEHKGLAD IKVSLYEKALKKIGQGPGRDRLLIGLLEEGTKLWDTKKVLEQWQSTLKANSQYINLWV KYLDFRQTEFLNFTHGQCLATFIECLRLNKMSPDGPEKACVQIYLFLRLTLFLREAGY TEQATGLWQGILELAFFRPQGVDVHMVTDEVLSAFTDFWDSEVARIGEPGAKGWKNSN VALFEPKTFQPQHHLNSKSMFASWTACERERMLIAQLPARSLDEPEDDPYRVVLASDL LEILSLASLPDSISELIEGFIYFSHLPPIITVNNYRTTGCWMGDSFLRNELSAASIAN LDDWLPTTTKGESGISPTIFPHQYFIHDYDTYFADPENWFSSFNAWLKATSDPACSVV RDWTRRTLRLLVDAYSSDELLAEYTVAVEFACNSKEAKRYAKSLLKKRPSSLRLYNAY AIMERRDGNHAAADHVWATAISMSKSFPSQQRVDSVILWHTWIWELLEARNIAHAAHL LVSMPQSNIDLKTFPDASQQSSFSPANQLKLRNYLSEIQESAIADRKPSIIKACTDSQ AILTYLIDAQDVNKALDAYSATINRLSALPNPDSGPTQTFISYATELLHQARAKLLYY HLRTSTLYKPSAIRSILNESIASFPQNTITLSLFAWNESRFRIEERVREIMRDITTTT TTTSSQSINNINAIPITSHLFSIYTELNRPTYAGSTLHSVRAAFEKAVGDQNSSPSSR TSTGRGSISLWKLYILFELSRSELIRAKSVFYRAMRACPWSKDILMLAFSQLREDTAT REGESGKGMSFHELRHVYNVLVEKELRIHIDIERELDEMAVKMEKERGAEFGMPISMP DDAASGDENEAVQM ANIA_05455 MASSLAAQLSQIAANSTNQLNLKAQRLAHSKSLIFDKRVAGSQD FDTIYDICYDGFRELCQLDFRFAQFERSIFSEQSKVQDRTEMNVEQNRELDSVLEAFL ALVGGRLLLSPAVKAVEWLIRRFRVHEYNTRFTILTFLPYYSTPVFLNLLAILPDDLP SALKVLIPYKRSAINPVRQALVQNAISNRDLITTLNNYVLQVCRQRAHHHALLAFWAG IITEGVAGMLDSSRSGRRNVEKQKHDDIILQILPVLNDGFAMKDVSELVIGCYMVCVV LAQKAELQDRVLDGLMEAVTGSWTEETMSSGLICVAVLAQQKPDPILPKRVFKAMLRM KDPLKQLADISTEYKTSQLLLGLVAGCVDSLSTQKDSARLELLSSMFKSQLLNDADTA KAMTFVLQAASAVGGTISLDTQTQIAEIVQHFSRSSSLQPVFQKVIDESSIDLSVIEY NLQTVIESVPVNKAIEDVEMEDADKTETATDGYDSALESLAKESSFSTSFLTAQSIPV FDKLVQTFALSAGSPERVSAFVELPVLCKANATTSPQFLSFFVRVFTGMYPAGVKAAA LKTISSIVSSAAWSDVDVQALLPFMLIALADPSERVRSGAVDALANIGKVVDKKKKSG VWARDSLYGKSMHIPWLSSSDFQKILERAVLPELEECRSDGEHIGRALENALRGAASD SASAIKKPLRLAFFTYLCSHAVHLPLFAPRAGLLNLLNRVDKAGGTTRTKELEPLLKK WRDMSEQEVAEVHEKEQISVSDFEAQVLKTVTPKEKDSINLLLSTVTPYSPSLRASFV SSVFNRISEIWGKVPEDRQITAAEKLFELSTQASESPLVDNARDLLRRVELPGPVLLN YLQQIPASITDIDSLGPAPKRRRTSQNNMVAMTTKDEAKLSKLMDKMTFILELVDGSS PEAHPELTEWLFQTLAALHHFKSQIQSGMSYLLSLTLGSLLAIVNRSRASSKPQFDTS VVRADLVVDCVRTTESPQVQNTALLLVAGLSVIAPELVLHSVMPIFTFMGSSVLRKDD DYSVSVIDQTIDQVVPALIQSLRHQKRDVVSGTSELLLSFTAAFEHIPSHRRLRLFHA LITKLGTEEFLFAVLAMLANRYSMDKAVLVLMTGLVSDADATVELSTYSKFLNLVGDS LKSKPGISQVLLGIGSDDGREPHKVAADLLRALAYLFKHSSLKVKIARALTTETDDSE RIRALFSNILEQVLAIGESMQSVKPVHQAAGDVLSGLFSTLTTIDFLDTIEALLKRPD DALRRKVLSLLATRLQQSPERDGASQTRMLDFLTVLVDIVQSSPDILLKHAAVTCIDR ITEKYGKKEPSMVTSAAQVVASASCIGQEDDRIRINGVLCLASMVEVLGQAMIPALPE VLNRSLALLELSLETNKVNARLHDAVFTLFSALFVHLPYMVSASHLDRLLVLSFKSAA SDEDLDNENRQEALHFMARKVDMAVALASIERNWTQAVSAGPSATHEVLDAISLSIEK HPKSATMKNLSVLTTILFRAFDLRREQTQSSESAFDASDLEEIEDLINDVTIKMIYKL NDTAFRPIFIKLVEWATGLPEKNTQGGLARLTTFYRFLQVFFGTLQSIVTGYASYIIE SVVSVLETASPSNPNTKSLWLATMRMLRSAFEHDQDEFWQSPSHITKISTPLISHLRH ATSTTTGALVATETIPTITELAVAADSTDNHKELNTVLMRFLRPSSASLSSSSTKRAG PGLGGDNPQTRIAALKTEQALTEHLGEDWLALLPEMLPYISELMEDEDEGVEREVRKW VKQIEGVLGERLDDMLT ANIA_05454 MKLPILFFSTIFLVLAQAATLPPLYHIYRSLNSTSNNNTNYAHN NTTGRGPGYSPGPISDPSSGQREYRSMAYFVNWAIYARHHNPQDLPVSHLTHILYAFA NVRPETGEVYLSDTYSDIEKHYPTDSWNDQGNDNVYGCVKQLFLLKQQNRHLKVLLSV GGWTYSKNFARMAATEEGRRLFAKSAVELMGDLGMDGVDVDWEYPENDDQASDFVELL RETREELDRYAADNDSDKPFLLSVASPAEPGPSKYTTLHLSSMDRYLDFWNLMAYDYS GSWDTITGHNANLFASRQNPASTPFNTHTAVTAYLAAGIPASKINLGMPLYGRSFTHT DGPGTEFEGVGYEGSFEAGVWDYKALPKPGAEVVEVEEIAASFSYDEDKKEVISYDNV GVVRHKGVYLMRLGMGGGMWWESSGDREVGSGGSLIETLVDTLGGVNALDKSENQLEY PASRFENLRNGFT ANIA_05453 MSASLLSAGTRAADVLYPFASPQIKIELASETGSAARAYTTGDR IEGTVTVTANHLISFDEVNIQLEGSQAVLVERGMVPGRTGATQTFLRLRQPIEDSLYP MPRVLEPGRSYQFQFTFVIPQRLLPHICSHHKANAHIHDSHTLLLPSLGDPVLSRDGR TALDDMSPDMTRVTYHIRAEVLQRPVVGPAKTLKLARQKIRIVPAVEEEPPLTVLDCD PVYCTRKEKDVRRGTLRPRQGRLVVAASQPKPLQMNSFPNKSSEPTSTAATIHLRFDP VGDEEPPSLGTVWSKLRVATYYSVRPWDNYPTASVVSASDMSGQGVYQEILPLSSRCV ASAQWTKHGMDSLARRYSLQSASSAESITGPSASWSGDNYYTASVVVPVSLPTNRAFV PTFHSCLISRIYILEFCISYHTPNMNIITPTVTLKVPIQLTSGQPGSVLAQSSEEITQ EEVDAEFFSPRTIAPPVMGSASAPPDYAEYTSSLPSARPREVRCS ANIA_05452 MATTSHMFMYSLTIQPPTAITQAILGQFAGTKEQQIVTASGSKL TIHRPDPTQGKVIPLYTQDVFGIIRTLAAFRLAGSNKDYIIIGSDSGRITIIEYVPSQ NRFNRIHLETFGKSGVRRVVPGQYLAVDPKGRACLIASVEKNKLVYVLNRNSQAELTI SSPLEAHKPQTLVYSVVALDAGYENPVFAALEVDYSESDQDPTGRAYEEVEKLLVYYE LDLGLNHVVRKWTDPVDRTSSMLFQVPGGADGPSGVLVCAEDNITYRHSNQDAFRVPI PRRKGAMENPERKRCITAGVMHKMRGAFFFLLQTEDGDLFKLTLDMVEDDKGQLTGEV KGLKIKYFDTVPLASSLLILKSGFLYVAAEGGNHHFYQFEKLGDDDEETEFNSDDFSA DPAAPCTPVYFQPRGAENLNLVEAINSLNPLVDSKVVNISEDDAPQIFTVSGTGARST FRTLKHGLEVSEIVESELPSVPSAVWTTKLTRADEFDAYIVLSFANGTLVLSIGETVE EVTDTGFLSSAPTLAVQQLGEDSLIQIHPRGIRHILADRRVNEWPAPQHRSIVAAATN ERQVAVALSSGEIVYFELDADGSLAEYDERRQMSGTVTCLSLGEVPEGRVRSSFLAVG CDDSTVRILSLDPDTTLENKSVQALTAAPSALNIIAMADSSSGGTTLYLHIGLHSGVY LRTALDEVTGELSDTRTRFLGSKAVKLFQVSVTGQTAVLALSSRPWLGYSDTQTKGFM LTPLDYVGLEWGWNFSSEQCVEGMVGIQGQNLRIFSIEKLDNNMLQQSIPLAYTPRHF IKHPEEPLFYVIEADNNVLSPATRARLLEDSKARGGDTTVLPPEDFGYPRGTGHWASC IQIIDPLDAKAVVGAVELEENEAAVSIAAVPFTSQDDETFLVVGTAKDMTVNPPSSAG GYIHIYRFQEDGKELEFIHKTKVEEPPLALLGFQGRLLAGVGSVLRIYDLGMKQLLRK CQAAVAPKAIVGLQTQGSRIVVSDVRESVTYVVYKYQDNVLIPFVDDSIARWTTAATM VDYETTAGGDKFGNLWLVRCPKKASEEADEEGSGAHLIHDRGYLQGTPNRLELMIHVF TQDIPTSLHKTQLVAGGRDILVWTGFQGTIGILVPFVSREDVDFFQSLEMQLASQCPP LAGRDHLIYRSYYAPVKGVIDGDLCEQYFLLSNDTKMMIAAELDRSVREIERKISDMR TRVAY ANIA_05451 MADDTVAEESPITFNIKASNDAKFTLTLPASTPVSELKEKLSSS DYADTPAERQRLIYSGRVLKDNDTLATYKIKDGHTIHLVKSAASNQRPAAAAAATPSS STPNPTNTGVPTNLAAGTGNNPLAGLTGARYAGFAQLPGAGMFGPDGGMGPPPDAESM LNMLENPQVQSLMNEALQNPAMIDMMIQQNPLLRDNPMARQMLQSEGFRRMMTDPNTM RHMMQMQRAMGGFGGGSAFPAPGVTNTTPEENRTAQTNTNNNNSNAANALPGGLFNPF MPQGLGAGNPFAALFGGNQGSQGGNQTDQTGAAQPESGGASETGTASEQNRPAPGFFN PFNPGANPFDPEQNPLLRNPALLEQLMQSMGSPAGGAANPFASLFGGPSFGSPAPQDN RPPEERYADQLRQLNDMGFFEFERNIEALRRAGGSVQGAVEYLLSHPS ANIA_05450 MGLRWLSCPHIWLLWILFASCSYAFYLPGYSVKRYNDDESIPLL VNKIFSDHTQLQYAYFDLPFVCPPSGRTHGGSPFGAGQSVSQNLGEILRGDRIMTSDF ELHMGKNVECQALCTAEVGRKDVKWGRQLIREGYVVEWIADNLPGATSFVTVDRRRKY YASGFKLGYQEFSPIDGKQRYYINNHFTIVIRWRSAPEGGKVVVGFEVFPKSIRAQDH GADGCPEHVHEEHEGLELYIPPNLEHLRQKYPGSSYLPEDDDYDDGATLKIPITYSVY FKEDNSIEWSNRWDLYFSKQDDSSMTHWLAVLNSLTISGVLGVAVYVIWTRTIQGDIK GRGDGAMDDRKVRKAGKAEGLLDQTSDVEREADIDSDDDGMDDVSGWKLLHADVFRVP NFSGLLAPLVGSGMQLLFMTSGLLLLSCLGILNPSYRGGFVSVGTGLFVFAGVFSGYF SGSLYKTFGGKSWRKNMLITALLFPGLIFCLVFILNLFVWAQASSTAIPFVTLIGIVL LWLLIQVPLVYAGSWYGFTRAKPWEHPTKTSPTPRRIPPQPWYLHNVQRAIITGLAPF AVLFIELLFVFKNLWQDKSGYYYVFGFLSAVTTILVITVSEVTIIATYSQLCAEDYQW WWQSFLTGGSSAFWVFAYCIWYYYFHLHITGFVSSLLFFSYSFLACAVYGLLTGTVGF LTAYAFIRRIYSSVKVD ANIA_05449 MAARKPNILYIMADQMAAPLLAFHDKDSPIKTPNLNKLAEEGVV FDSAYCNSPLCAPSRFVMVTGQLPSKIGAYDNAADLPADIPTYAHYLRREGYHTALAG KMHFCGPDQLHGYEQRLTSDIYPGDYGWSVNWDEPDVRLDYYHNMSSVMDAGPVVRTN QLDFDEEVIYKSKQYLYDHVRQRTDQPFCLTVSMTHPHDPYAMTKEFWDLYEDVEIPL PKHAAIPHDQQDPHSQRILKCIDLWGKELPEERIKAARRAYYAACTYVDTNVGKLLKV LDETGLRDDTIIVFTGDHGDMLGERGLWYKMAWFENSARVPFIVNAPNRFAPARISQN VSTMDILPTFAELVGAPLVKELPLDGVSLVPYLTGEDGVKTDTVLGEYMGEGTQSPVV MIRRGRWKFVYSLIDPPMLFDVQNDPLEKVNLVAGLPDPSMAAAADAKAAAATAFNKA APATLPTPAESPRATPLAHRNAAQDYPFPSPTPPRTPSPGKPSNVTVPETTDPSKLLA YFTEEVHSLWDLESIRQDVLRSQRRRRLVYSALIKGTPHFWDWEYRVDPSTQYVRNQG KGVLDDVEFISRWPRVLQQAAQAQGVKV ANIA_05448 MPLFRRKEKSKPSISSPAFQSDEHLPPYPPSSSSSAAPPFDSDD SSGNHHHHHQHHHFPVSTPPQFPPHPQSQSQSHHSNTFSQPQSQTQSPYSWDSRQPPP RNPARTGLQRSHTQRLRTPPDVSTLHNSHSTITVVTNSPSPSPHLAPPQSFDGIDADV SDQSGPSSPTDKLDDQEPRRSKRSFFSFHSSASSTSVRDQPSLLERNRSVKLPTRRSQ PQHQPHYHQQQQSPQLPQQSRSSLDWSREYAVDAPEAEYRTPSMPQQNSARAPDPALA PHARSPRTSPLPRSNTDPRLADELYRQSSVDNSRHGAELTPGANQLDPRPPSRQSLEP PSPYQFPRSETSSVTQLPAMSDRPSGSNSQNPAQQQGSSQNNAGQQQASLDPGRATPT SKDRNRDDLSEADVRTILQKYDELQAKYSKVKRYYFERDAQVQQLQNTVAHQRMAVSR TVLDDNEYANRFGRLDGAIKDLAFSIRKDWKTLPTWLSGFVNEDAPATGTKEMTAIGR SVMSRWLVDEIFERYFHPALEPSFSQQLKSIEMTLRRQHTNIATDEDKENSIARISNW RRTTLDGLGDSLQGPAADEYRSGLINCLVDNLVATLVSYLQSPPPPGIDNGARMIVEN AIGIAEKIPLESRDICVEYIPPGSPIHEATMKIESGVLPPLTNAASPSDTQTSTELPS NRSSHEDGPGNADNDRDSQLASTNPSAHDSGPAREQRMRSVFSNIMGRKPGQGPPQTG SGPGPTPASMGNDGSRRGSVTPAASAASGQGSGTGRIRLAAFVTAEVRGRGPMNVLVK APAYPMD ANIA_05447 MVHLASIKKDDDFEPVVNRVDSIKLDTIEEDDYSATVYGTRFAT QQLPHAEMPDREMPREVAYRMIKDELSLDGNPMLNLASFVTTYMEDEAEKLMAESFSK NFIDYEEYPQSAEIQNRCVNMIARLFNAPTDSDTDHPMGTSTVGSSEAIMLGTLAMKK RWQNKRKAEGKDYSRPNIVMNSAVQVCWEKAARYFDVEERYVYCTEERYVIDPQQAVD LVDENTIGICAILGTTYTGEYEDVKAINDLLVERGLDCPIHVDAASGGFVAPFIHPTL QWDFRLEKVVSINVSGHKYGLVYPGVGWVVWRSPEFLPKELIFNINYLGAEQASFTLN FSKGASHVIGQYYQMIRLGKRGYRSVMVNITRIADYLADQLEQLGFIIMSQRRGRGLP LVAFRLPADRADETFDEFAIAHQLRERGWIVPAYTMAPHSNNLKLMRVVVREDFSMSR CDQLLSDIKLALKSLREMDQAMLERYTQHVRSHTTKSHRAKHTHPHYKNETHSLQGRT GKTHGVC ANIA_05446 MAKKGGKGKKGKKSGSTATAAAATNKAAEPVAAAVPDTSVEENV AKSETEVEQAPTETVEAPTSTPATAAPETTTETATSAPEAPPIAAAATENKDEVPVPA AKDAPIEEAVEAVPKTEAKEDELKETAVAPETTAEEPKVTTETETETATAPLTNPEIV AATTTLPERLKESESHEETSTKRPLETPIFTEKEHKPAKIAKFEDESVAEPTAAETPV QSENVGNAAETDLPNEAGKVPPQMVPGLGAHPADKPLADFETPGLSVVSSNVVNNKEA TPAVETSEEPKAVEAIATSEVPTAQKETIPSDAKAPEPAKSENAPAEEKPLTTTATTT AVDSTTENAKEEAKALPKTDEPAVTQPTAAAAAVGAGTSEAPATLEGPKETPSAQKEP SVVGKTTADATTGPAATVGTTAQEESKTVPSAQQEPAVSKPTAAAAAATAAPTGDDKQ KVEEPSKSKLETPEVTKPPAAVTPPEKAHAAPVVSEATGATSSAADKPAASNQTQPEK PSGLSESAAAARAATQKSQQAKAAESQSADKAQSDGAAQSEEGKTTTEQTAAAQSETS KADKRKSGFFGWLKRKVKGT ANIA_05445 MSVREKLRKLLGRNRCKPSDSGIKIVYYRRGEIPPSKFKGPFDK DHQKRLAAWSFEKAQQGRPRSPDLCLSPCTTLPDYPPSREVTDGALGQARPRIPGVGF SNEATPAAYHDEFIQDRQATASLSSTTAVDPESFSDSTMTLFLDPLDDDSATKAKAPI RSTSPVVRSGATSTLPGKGTPTPFAPNDLSQALNAVQICS ANIA_05444 MLVSVKSHFRPRYEPYSSRWRQSKKGRQTLPRVSEEKYLKPVND SFNLNLGDLLQSPLWFKHHVDIPAVDMPARLGRFGGQFAPELQMDLLLDLPSVFHSVL SDNRFWTEFSASPFLRPSPLHLAHSLTKAVGGANIWLKRDDLNPFGSYQTRNIVGQIL FAQHIGKTEISMECGFAGHGLFCATMCARMNMKCTILMGASDIAAQPDAVEEMKQLGA TVVSVQCSISDDGSGTLRAATNEALRYSLTRLNSTYHISTGTVGPHPLPTITRTFQSL LGQEIKICSSELPGRGRRKNNFRPSALVSTSGAAALGMFAPFISDPAVRLIAVEAADA APLTHGSPGVMYGCKTLLLQDENGQILPSHSISPDLNFPCAGPELAHWRDLGRLETVT ASSEEAVRGLRILCESEGIVPGLATSHAVLETVRVARQLGIGKDVVLLISG ANIA_05443 MPINQPSNQIKFTNVSVVRLKKGKKRFELACYKNKLLEYRSGAE KDLDNVLQVPTIFLSVSKAQTAPAAELIKAFGPDTPADEIRQEILRKGEVQVGERERK EIAERIEKEVLDIVSGRLIDPTTKRVYTPGMISKALDQLTAASGQMQQQQSAAQTGEN GAGDEDAAAPRQQKKPLWTGVNPNKSAKSLALEAMKALIAWQPIPVMRARMRLRVTCP VSLLKQSVKSAAPAGSNNKEKESQSGGGGSKNKKGGKGKKSARRGDDTDSEGESAPVQ PKGPSNVKDKILSFIESIESQEIASDEWEVVGFAEPGAFKGLNEFVGNETRGRGRVEV LDMAVTYED ANIA_05442 MRVLPATLLVGAATAATPAQQVLGGLQDFGNAVQDAMHENLPKI NKPLEAFQEQLKSLYEAREFWEEVANAFPQNLDHNPVFSLPKKHTRRPDSHWDHIVRG ADVQSVWVTGENGEKEREIEGKLEAYDLRIKKTDPSSLGIDPDVKQYTGYLDDNENDK HLFYWFFESRNDPKNDPVVLWLNGGPGCSSLTGLFMELGPSSIDENIKPVYNPYAWNS NASVIFLDQPVNVGYSYSGSTVSDTVAAGKDVYALLTLFFKQFPEYAEQDFHIAGESY AGHYIPVFTSEILSHQKRNINLKSVLIGNGLTDGLTQYEYYRPMACGEGGYPAVLDES SCRSMDNALGRCQSMIESCYNSESAWVCVPASIYCNNALLAPYQRTGQNVYDVRGKCE DESNLCYKGMGYVSEYLNKPEVRAAVGAEVDGYDSCNFDINRNFLFHGDWMKPYHRLV PGILEQIPVLIYAGDADFICNWLGNKAWTEALEWPGHKEFAAAPMEDLKIVDNEHTGK KIGQIKTHGNFTFMRLYGGGHMVPMDQPEASLEFFNRWLGGEWF ANIA_05441 MSLVSGEKTNFQYILRLLNTNVDGKEKIMYALTQVKGVGRRYSN LVCKKADVDLNKRAGELTTEELERIVTILQNPTQYKIPTWFLNRQRDIVDGKDYQVLS NGLDSKYREDLERLKKIRSHRGLRHYWGLRVRGQHTKTTGRRGRTVGVSKKKG ANIA_05440 MSKPGDYNAVRRDIAAQLKKPGYDDGSAGPVFVRLAWHSSGTYD AASDTGGSNGAGMRYEAEGGDPANAGLQHGRAFLEPVKEKHPWITYSDLWTLAGVVAI EEMGGPKIPWLPGRTDFVDDSKVPPRGRLPDGAQGADHLRFIFYRMGFNDQEIVALAG GHNLGRCHADRSGFQGPWVNNPTRFSNQFFKLLLNMEWKPKTLENGVSQFVYIDPEAE DHEEPLMMLPTDVALRDDPAFRPWVERYAKDKDLFFDHFSKAFAKLIELGIQRDASGK VTNTDNVKGGYHSAPKKSDEPTGPPRPHTAQRAAKL ANIA_05439 MDSFTESISHKLPYLSSDQKTDLIDSIAKKMISTMIIISREIDR GNLDADNTTPIHNFIRTILRHERAQLRKLERRVARYQRRARRWRAERRRIQHEIAEIV RVLHEAWMEKNLKGSSPRTEARAERRPDSGSGDGSCGNFGESENTQ ANIA_05438 MSAHPRIEELPDDFDESLDLNAAPPQPTTPPTAGPELPVPGNEE RLKELEKDGQAPKLPPAMAAVESHTTDELADILNKTPLFMTDISKAGDENGENIMLDA LRALQNEGTRGDVAQNFREQGNEAAREKRWIDAKEYYTKGIAVLRSKEQKWDKPEDEK EEERLLREVEEASYINRALCNLELKNYRSTTLDCAAVLKLNPKNIKAYYRSAMALYAL DKIPEAEDVATRGLSLDPNNKSLQTISSKIADRKASLERIAAKRRAEDERARKEKALL STALRARQIRTRKTGQPPEMEDANIRLTPDPLSPESTLEFPTVLLYPMDAQSDFIKGF PEATSIEDHLEYIFPLPWDTKKEYTVKNVDCFMDTYTGGLIKAGKKLPLLQILSGSNV EIVDELVKIYVVPSSKSKEFITAMKARKTG ANIA_05437 MPGCPDSTNRALDSLESQHFALLYIDESGKLRFEASPSIANDAH AILSPEVTHSFLKAVAGSENGARAGSESAAEFRSGRSSSSPDSPVSSFSPRSNTSLPL DGSRKRKRASHEYVLPMSITSYPKTMLPVGNKRILRSYYEKAFECLQQTNCRILAKAY IKLVEPRKQVTYPYNGHKVVAGVPQQFDPEETRPLWWPTGVTHREPDHLRKPERIRLL IHILCELRESHAICVEKLREADQAIRRQISPVERLQVLDEIYRVRGEEERYLDGRSDS RAVVYVSRVHLPEIADAQTSLHPSNDSTALPDGYREDVPDLVHTSVPPSSSLSTSYSL PKRELDTTSNSITSISIPTSNPTVSTTWEAFHPTIPVSATLPPIHSSMQQDGTDHTKM PYALSYPTSPYGYHQPAPALEMQPFAMGYTNINPHLPPQPSQQNDAHHGHPQTHASMS MMRTDTGFGHHPYYFNC ANIA_10680 MDPNASGYPMQHNPGYPVPSQGTPQQFPYYPNQMPSFPQSNAPS QPSFGAVPLQPGGAMMPPAFPQQSPGPHANFSTPFSQPPVTGPFPQPTTTTPNIPATQ AQTFPPNMTSGLTKTMAPVQQPIPAQQAPIQNSAPAVQSPGVQSPAALAREKERVSVL LEINSILLQEAINLQTSGKAGGAPAQQGDSNPSPTESAPDKNIQRSPEYVNCMRRLQA NLAYLATVADKGKKSGGVVPPAPAIMTPPPNLPAVNDLYTKLNELFPRTAQGTANLNQ SGAQGNGGPNLSPTTENAV ANIA_10673 MNGDGVAKRKRNSISAAAERPVKHLKPENSTLTPGDTTPANGTV YDVEDNEELGPLMAIGPTQADSPEWQATIEEVVKSVVSIHFCQTCSFDTELSMSSQAT GFVVDAERGYILTNRHVVCPGPFWGYVIFDNHEECDVYPVYRDPVHDFGFLKFDPKAI RHMKLRELKLQPDGARVGSEIRVVGNDAGEKLSILSGVISRLDRNAPEYGDGYSDFNT NYIQAAAAASGGSSGSPVVNIEGNAIALQAGGRADGAATDYFLPLDRPLRALECIRRG EPVTRGTIQTQWILKPFDECRRLGLTPEWEAAVRKAAPTETSMLVAEIILPEGPADGK ILEGDVLLQVNGELLTRFVRLDDILDSSVGQTVRLLVQRGGQDVEVECSVGDLHAITP DRFVTVAGGTFHNLSYQQARLYAIATKGVYVCEAAGSFKLENTLSGWLIDSVDKRKTR NLDEFVEVMKTIPDRSRVVISYRHIRDLHTRGTSIVYIDRHWHPKMRLAVRNDESGLW DFSDLADPIKAVPPVPRKADFIQLDGVSQPAAAEIVRSFVRVSCTMPLKLDGFPQAKK TGFGLVVDADKGLVVVSRAIVPYNLCDINITVADSIIVAAKVVFLHPLQNYCIVQYDP SLVQAPVQSAKLSTEYIKQGQDTIFVGFNQNFRIVVAKTAVTDITTVSIPANASAPRY RAINLDAVTVDTGLSGQCSNGVLVGEDGVVQALWLNYLGERTPNSHKDVEYHLGFATP SLLPVVSKIQQGILPKLRILNMESYVVQMSQARIMGVSDEWIEKVAQANPSRHQLFMV RKVDCPPPEFNSTADSFQEGDIILTLDGQLITRVSELDVMYEKEMLEALIVRNGEEMK IQVPTVSTEDLETDRAVVFCGAVLQKPHHAVRQQISKLHSEIYVSARSRGSPAYQYGL SPTNFITAVNGVPTPDLDRFVEEVKKIPDNTYFRLRAVTFDNVPWVVTMKKNDHYFPM SEYVKDESEPLGWKSISHDVKGKDGVAADVANLNPDAMDEGLEGGVSDIEPEAV ANIA_05435 MASGTLPPFPTKLFINNQVENTPHPSTLLPADRMIINLVERDAE RLAILESLPTGKPVTPTIHFDIAHMLEVWRYYAGWTDKISGESYPESNGVYKIVRHEP LGVCAGIASWNATFMYIGWKIAPAVAAGNCFIFKPSEKSPFGTLALGALFAEAGFPAG VVQILNGGAETGAALARHMDIAKISFTGSLGGGKAVQEAATKSNLKKVTLELGGKSPA VVFADAELERALGGVCGFLFNSGQVCVATSRVLVQKPIFEKFTQGLKSAFEQTSSTLG ADPLDKNVSYGPIVDKAQFDKIMSYIAIGKQTATLLTGGERKGDKGFFIKPTIFVNPE PESPIVKEEIFGPVMVVQTFETEEEAIALANATVYGLAASVYTSNIDRALRVSSALEC GGVAVNSPFLPQVNTAFGGIKASGKGRELGLYGLLEYTEAKSVHITCCVNRGGWNDLG TQPDQLQTAGSVMNCLRQEAETIWIDEAYRTST ANIA_05434 MPTAKAIVAREPRFTALNWALEDVCVSNEPGDDEVLVEMVASGV CHTDIVLSAVPSGQLGIGYPKVIGHEGSGYARRIGKNVTTVQEGDPVLLSFYSCGSCG QCQERHPAYCDSFAVENYVGRRGHVTTANSANGKGDNGEQVHSRFFGQSSFARYSIVD KSCIVNAKDLIRSEDELTLFAPLGCGFQTGMGAIENVAKPDENSVLVVLGLGSTALIR PHSAIVGVDRLPGRLELAKELGATHTIDTSPPGFNLQKTLLEMFPSGVHHIVDTTGAP VLIEDGLRSLRQRGKIVLIGVPPMQYELGIGVVQHINTGRAVVGCIEGDCVPGEAVPK LVQWYREGRFPIEKLITYFEAGDFRQALAGLDDGSVIKAVLKWKDE ANIA_05433 MALLESAVVLQVFRDVTPMAILATLLLTTFLTYVVYMRHFHPLS QCPGPFFASLSNTYKAYYVYKLTIHEKLLELHNTYGPIVRVGPNHLHTWKGDAIALIY KGGRSMGKSEFYDAFTAFRPNLFGGRDEDIHALRRRQLSHGFAQVSVAKLEPLIDGQM AILISKLRHYARTGQAFDLKHALNLYVLDILGEVAFAKAFDVQNTEDNEKLHAINDHL LLAGVIGELPCQNFTKFLSRLSPVPWMRRLMKSRNKLKEICAGCVRFKIENLEATKSR PDLLRSLVEATDPESGKSVAGSHSTAGTLTLLFWHLIQNPSIMRKVQAEIENTLGPLK DRTSYPIAGIESTLKYTMACVRENFRINPVFTMPLWRRVGKSHVLEIDGHHIPEGTNI CISNYVLHHNPSVFGPDHNTFVPEKWLDESYNREKGRYLIPFSVGHRMCIGRNLAMTN ILKSVCTLATLFEFEPVEKKKDVRVISPGIGEMKGGFEVRVRVREVKRGSYKNALST ANIA_05432 METYTHTFKEVDGLSLKIDVSKPKAADNGLALVHFHGGYLVLHL LSRADSVKTTNPPYWLINACRTRGWTYASPSYRLLPEAPGLDILSDALDAIRWVHKHI SRNIIIAGSSAGGYLALASAANPTCPRPLAVLSNYGLLDPTGTRYVRPGQPLRAPVSD LPATVGDVQAAMQSKKVLDGCPFPTNWPADARLNWVKALHEAAIFPDVLTRVPGLAQQ ILQCGVEVIPAEYRALFPVSFGLTRNFPPTILLHGDADDLVGFEQSELVAEKLRELGA DVLLERAVGQGHGFEHNGFIDLDTSDGNGDNKEMLECLRRVVAALEKVCTSACG ANIA_05431 MADDASASISRCSICLETFRRAEHLKRHILTREDLTPSAPSTCP LTDDADDDAKRHTCHFCMAQYKRRDFSDALRRHWKTCTARIAAGTEIPKRSLSGKRKQ ACDLCTERKRACSTGLPCSECAMRKAECTYHRVRSSTRLRESLESAGRTPSETTNGGS TVQGVMEQIQAQAQGIVSHPQNRSTSIQSPRFGFLANFTRANGLNEAYSYRSQPETIN LSSLPVKEKGGADGDGLQGATASDPFDCYINEVESCLWSDTDTAQDPIEELLLAEKAR QTWDSLQRILDSPTAQQSRVTASEWFEFFSPANATRYLRFFWTRWYHHCPIVHKATFD INTCSHILLVAMCLIGACMSSQEIDHQMAKGFLDVMEDLIFSNPLFLESPRLGSSNEN LLQTRENIQILQATCFMCLLQKWEGSSQAKLRMQRHRFTAFVATTRAMGLSQARHPRI DLSSTVTFEAWNEYTLKAEMIRTFNHVFLLDSAFVIFHNSVPRMVLQEMTIDLTCPED VFQAVSPAEFTRVLKLHPACTVPLLTDCVRNLCAETPDPDVIAHLGHAGALNLFTIAT GEESPK ANIA_05430 MKVTITPALVLALSTLALTAPVEREVRSLETRDLSVDARHLGKL PVVKHKFGIEGGVKGGFGLGKKGKEDDKKDDKKGGKWDDDKKDDDDWDDDHWDNDDWD DDKKDDKKDDDKKDDDKKDDNGNSGGFGFGVGSSNGFDSKNPKGKPDYDPHHGKGPEG KPGYIVDHKHDDKKDDKNGGFLRARGEPKKDDDKHGGYKPDDKKDDHDHGYGYGKGDD KYDDKYDDKKDDKKDDKGKGKGFGFGFGFGAGVHGGGKGGGKGFGFGLGHGKGYGHGK GEDEGKGKGGGFGFGLGLGLGGKGSHGGHGGYGGGEGGNGGNGGGFGGGGGGGGGGGF GGGSGGFGGGEGGFGGGSGGHGGHEGGHGGHGGHEGGHGGNGGGFGGGGGGGGGGFGG GEGGFGGHGGGEGGHGGGHGGGFGGGFEGGFEGKGGYEGKGGYGKGGY ANIA_05429 MASTRRTQWPTKTALLASVLQVASASAAALASSASSLHWTPCPS NSALDCTTLTVPLEYADPHNGQLATIPLARYAARVPATQRKGTLLTNPGGPGSSGVDF LSNGAGSGISNITGGYYDIVSWDPRGVGAAKPLLACWETAGREADASASVTAAAEIEY SLFTNKSYTAPGGEYETAIREYDASLAKIAADCAKYDSSALYTSSAAYVVRDMVAIID ALDGTNASVNYWGFSYGTIYGAEFIQTFPQRVGRFVFDGVFNPKANAERYTSQLPNDQ LYVADAIADLASLCEAAGEEACALASAGGGADARGRSKNSSIDIAERLANLQGSLYEN PVSVSDGSWSITVGMFSFFMYSFLKLPTAWPAVVSAVKALEEGNADPMGELLTGATET TVNASAPDTGSMAGWPIQCTDNAPSNGTSIPEVADLVLDISLRERTPWLNADLSTLSF CRNFPNTRPRVRNLGASSLESFQTNAILTARNTSVLIANGLHDPTTPVTSAQALHRWL PTSSQLVTRKGPGHTTISLASLGLVYSIREYLLNGTVAESVQVHDFNQVVFSEGISAG TITPEPVFNGTYNEEERRLLEATHRVYLAFISLP ANIA_05428 MAQTPASSGFSPLKSLVIYTSQTSGLEKTLRLIQATSQVVGVTT ASKALATQCLTARDQLALTRRYFRLLDFYGCFERVHFLINTPSSTGTILSVMELAQYT FLGLYLLLEDLTILHDMGVARVDWYHPLMTEANKFWFYALMLSVIRCTWELFFPSAPV TPSKTSSSGSEKSKARGQQPQPSPPKWPLVKRIIIDGCDLTLPGSFLGWTPVTSLQIG LGMVLSTVLAGHDVWAAQQ ANIA_05427 MPLLPLTGLPSSLRYLIQQWRPRTATSPGPALSSRVLDVVRKTW DLGFTAFGGPPVHFQILHARFVERENLPGPARARKHKDALLSDAAKGRRIDDTLPAAV YALLSGLNASTVGIIALAAVQLAEKAVRDKLSRLLVIFGACAGLCYSALWYFPLLMVV GGFVTAAWDGWGARWVRGVKRWRRERGSALDGTGSQELEEGNRDGDEAVDVERVDVRT VADGEMPRLRRPAGSTDLDTIPLANVPAGSDITPSLNPAQDQGHVVRVRIGAAILVSF FASFITILTIRARLSSPPLPLSLFSNMYLAGTVIFGGGPVVIPLLRSYVVDPNWVSSR DFLIGLAIIQSFPGPNFNFAVFLGALTLSASHHSVLGAMLSGFAIFSPGLILAVAVQS FWRALRRKMYVVHFLRGVNAAAVGLVFTAVYRLWEIGYLRQGRSSEEGVSLGREPWWV VVAAVSYSGTRWFRVPPAVAIVAGAALGIAWWGVVGALNAQLHPAVPSTLVDLFTSTL YFANLLLFLIILPTL ANIA_05426 MASASLGDDVFEEDETTNEFQSLMAAQCGLEAGAFVVSGTMANQ LAIRTLLTQPPCRVLVDSQSHIAAHFQEGGLGMSGASLQTIRPSNGRYLVLEDIMTRI IVTNDVHKGPTRVISIENTAGGSVVPLAELRRIREWADRNRVAVHMDGARLWEAVATG RGSLSEYCTLCDLVSLDFSRNLGAPMGAMVLGSTQLIARLRRIRKSIGGALRQSGPIA AAAQFAFMEQFGLGPWGSRGKLRAVHLLAKQVGQMWVDLGGELLREVETNQVWVNLDN ADITVDRWNELGRKYGVKLEGERIVLHHQISADSIDQLGLVFEEALKLRPNL ANIA_05425 MVLNIAVVGLGRMGKRHVYTLLYRVPRARVVAVCTTEPHEIEWA KSNAEYTEFGIAVYDDYDDMLASQADLHAAWVSTSTDVHAVQSLKAIEKGLHVLCEKP ISTDLAKAQSVVDAAKANPQLKVMAGFSRRFDASYRDAAQKIQNGTIGSPFLVRSNTC DLKDETGFFVRYAARNGGIFVDCAIHDIDLTLWYMDNPVPKAAWAAGTLQHHPELAEN NDVDNAVGIVEFWGGKIAYFYCSRTQAHGHDVLTEITGTDGKIMVNVIPRANNVVVAD KGGMRHEVQPEYWQRFEHAFALEANEFVDAVLQDKPVPVNLETGMTVMKIGQALQHAL LSGEVVKFNKNGERLN ANIA_05424 MAEQFVGRWVMQGLSWPLRKVLCLAGLRIDVTATPGKPDSSQGM DPREQIITVNATVTGTPTGGLGGSTEVRKMDWSILERDDFLFGPLQEQSHFVYPKERG DEHENTDKGVYPDVEMKTKVDDLRAGRFLRGEIYENGSESLWDLTGRKRGDEEEKEGK QDGPVWVHTFVRNLRGNRWTAEQIWGFEVINGERCHTRRVVVANTKGQYVLARLVYKY VGEESEPKK ANIA_05423 MADPLSIAASMLAVITAAVESVKSLHSTVKRFKDRDKTLRRLRT ELEDLTNILGSLGDVINAEMPMLALLQGPVERCNQLCREFELAMENFGRKSTTGFRDW AKMEFMRGDINEFIDNIAGYKSTINVGLGTITIHSSKVTERVLREYNEMVQDTAYDLD MHLQRIDKKLALLTAQDDSASDVSVDLEDEREVTKQCLRICEDAKNFIESLTQRESAV LQEVPRNVTLDDVEKRFEAQLLTRQTLDENRAGFADIISRLQARLNSLITNGNRSSEE RLALQEDIQISRQCLEVCNMANEVSRQKIYRIGEVVADGDSDQVVVNTLADLFDIKKA LSKDTSAQLVGSMTDASLQNLTDKRYSSRFGAVDSKSTEVVTRNSPSTLATQNDNPPV GIIDGGLSQRIGARRSKPSPNEMRKRGAPGTAKSDDE ANIA_11479 MKLSRTCVPSCLLALLPLHTLAIPASPADLNSRAITTCRVVNTE SEVKCRAGPDFDYDVRTSVYPNGIYDFSCSEGPFNDCVHDL ANIA_05422 MPFTEQTVSTLRDLVDAACSDSKTGIPGATVVIVDKNGQELFAH AAGKRGADSAEPMTLDTVFWIASCTKMLVGIACMQLVEKSILNLDDKEQIESLCPELR DLGVLKEDGTLEEKKNPITLRMLLTHTAGFGYSFFNDRLREWSYPAGLDEFSGRIEDV RTPLLFQPGEGWEYGVGIDWAGIALERATGLSLNEYLQRHIFQPLGIKHMSMIPTEEM RSKLAYMNHRDHDGTLRPRDHLLRAPLVVNLGNEAEVKRLFNSGGAGMFAKPQEYCRI LSVLLNGGTYPITGTRLLLPSTVETMFSNQIPHMPNYSRQPIPASKPDLTNPIPELYP VPGNPPQGWGLTFMLSNGGATGRSTATAHWAGLANLWWWADREKGVAGMVCSQILPFV DLNVLQLWGGVEAEVYKALDGTN ANIA_05421 MGSIQDPEPVSISPSLSTPKKFSTSLTDYSTTHSTKTGPYAEDL DIDALIVGAGFAGIFMLKTLRDRGLRTIIFEAGNDIGGTWRWNCYPGAAVDSEVPEYE FSWPEVYETWNWKSNYPTYQELREYFDHVDRVIGVKKDCAFNSVVVGAEFDTGSGKWS VRTADGRVNSEIDVRDKRCAVIGTGASGVQITQAWGPVAASLKIFQRTPNLAIPMRRR PLSTEEQGRSKKFYPELFRYRETSFAGFLYDWAEKNTFDDTAAEREALYEKVWNEGGF RFWVSIYKDNLLNREANKESYNFWCKKVRSRIGDPVLREKLAPKEMPHYFGVKRPCLE TSYYEQFNRESVDLVDIKENPISHFSETGIVLQDGTEHEVDVVAVATGFDVVTGVMTQ LGLKSIEGESLEKEWVPGAQTYLGLTVSGYPNMFHIYGAHGPTLLSNGPTTVAVQGRW IADTIAKIEANGVKYINPKKEASEKWKKHILELNEMTLFPTTTSTYMGGTIPGKVYEP VCYAGGIPAYVRETREALDNWEEGFDVVREAAKL ANIA_05420 MAGCAASCSSRQPEAFPGDRQNPKCGRCQSRNIECMPVERKAVF RRGRVSRKHDLHENMFAVNQTWVNSRPRKWKGAKSAVDGAQQGASPNPGGSNREQASV SEGADAVELNLDYFPRQTCMDPSLDHLPVQECIGEGSTGVRARTEDSGLEDAILPRAA VEGHTSLTRLNVLVSAAVGNQTQHESPVTVQGVSYSISASPQAPYSSINSPVSSYQPL ASVQESCLLRYFIEELSPWFDHCDPQSHFRQLVPHRAQRSRYPSLLNAIFAVSARHLS RLPQYRTLEGNINYHGQSLPGLSTSSAVEYMLKCIPGLSSFHDIGNLTEQENLMCAAI ILRQYEEMEEEMEEMGTDPISFLTDSSFGGENTGEGDSPIEKQVNFLAITQTIIQAMI SVSSPLQRSPLAVAAYWIAIRQEVYYALTRKSAPCLTFTAQDWESAAIANKMIMHAGE VTKWCWGDRTLSEYGTSPFLLALLYALSQRLRHHQQQLITAYSSQLTPILHRLADRTK GDIFPTIWYATDAQVTGVQHLELARMILIAENPRLLVSHSMHRRTEFIVRNIVLNLCG IAVDVSRRMPALVNAVISILLYGEYFTDLAERNALLNIIERMKDMRAWPLRRGVERLR RAWREADNYKYIVARYRALRLTALQRSPEAFTSTYAREAQFDDKTWTIRVLNPLATVF IVPFPETASEDIGTRPNDDEDMLMKRPWLGQLTLMGPVFFPINDEKAARVPWDLFKNI DFEQAARDAASIPAGSNVVYVLVGMYVLPEGRGAGNGQRLLEAAIRVVDEERKAKKVN ATVILLVARENKKAKRLYERVGFVSWEDGVDIEGETHWALSLTLAE ANIA_05419 MTSLLFLTAISVLSQPWQVAAAPTAQSNASRPCVDMLLSIPITA NNSIYDIARVDNNIDAVDYVWDLDHWSAPNPTERVRGARPVHETFAISAQLCVPRDSN KAGILQIATHGFGFDKRYWDAELHPDKYSYIDAALNAGYSILTYDRLGVGHSDKPDAY EVVQVPVETEILKELAILARSGALAENASSIRVPSFDKVVLVGHSYGSGLTIAVLANY PSLADGAVSTGLIPNTQFGAAGQRAFGLEYAPASNPSRFGDRGSGYLVQSTESSLQQI FFKKGFFEPELLKYANSIKETGTAGEFVSFPVALAKPAAGFKGPILFALAEYDMGTCL GDCKGTYNLTMLRDEMFPQAGDVNVHIQPGSGHALTMHVNATGHYETIFAYLREHGL ANIA_05418 MSFTIVNGQIYTPGLAIIDAPQPYTPLGGERNLTISNGTNPSDP ESGYVGPVLDLGPGSTVKHVNWVWPRCFVGSGDGDEDEDDGDWRGEWNVTLHQAFLWE GEEYYTIFELPISVTNGIQEDRTRVACEDLENAFEKESLGVDGGLTAGEPWDVEDGID NNSEKENENGDGTNAAVGLRAGGGLQFWGRAALLALVVGMVL ANIA_11478 MSLNLESDPLHGVMSLRMGKSQLTALSLDPLRPRPGPVFEPMIN GYLHCGLYLHERLQAAFYAGS ANIA_05417 MHISWPPVILSLLLLAISFKSTTSAPDPSHRKCRCLPNDPCWPS AQTWSSFNQSVNGHLISLRPIGAVCHGHEFNEAECALAQQNTYNAQWRASQPGALQST NWESRSQTLLDCDLNSPREAPCTQGRIPVYTVLAESAEEIQTAVRFARDQNLRVVIRN TGHNALGQSSGPGSLQINTSRLKRIEPVPDFIPQGIGASVGQAATLGAGVLALEVAQV GLDQGFTSIMGLCNTVGSVGGFIQGGGVSLLGPLYGMGSDNAVEFNVVTAEGDLVVAN AFQNADLFWALRGGGGGTFGIVVNTTIRVFGDVPGIAFSLYAQISRQDPRYPDSVKAI FDITRQIVGIFPALRRADDATSGYVTPDITLNSTTVQVLSEILFPNTTNIDSIRQRLR PLMAALDDLGFSPVYTTTLTAFPGLSTFFNLTRLIPATGRLEGSVMVSERLLLSRNGP SRILDVTLGHAYVNGDQLEFFMSAGGQVKKNKGVIDSGLNPAWREAGMLVSLRRILPT SSATKRFANSLLPALRRIETPRLGSYINAADPDEPGFQRAFWGSNYERLYQIKQKWDR DGLFVVNSGVGSEDWGAEQICRVR ANIA_05416 MNYHWQYGRRYHAFKEGSYKFPNDEREQDRLDMLHNMFRLVLDG KLFLSPLKDGPLRVLDIGTGTGIWAIEFGHGQRPESYTTAVSGPSAELSRVPPNVVFE VDDVESEWPPRPPFDFIHSRYMCGSIEDWPRLAQQAYSQLKPGGWIEFQDFYLVNYSE DGSLKEGNNVNRFYELLREALDKINRPVTIGRELERIVKEAGFVNVHHEVFQLPLGTW PRERKMKEIGALNMLQMLDGLEAFSAATFTNILGWTIEEVQVFLALVRKDAKDRGVHM MHDL ANIA_05415 MANRDNETNSPNLSLTALASQISSCASLIDTFLLSNNHPTPSFA PDAPAAFPAAPANVQHARQSLIEAAQSLIDLLTGPAEHLRWLACRYHDMSSLRWIYHF KIAPAIPLDRAVSYADVAAKTGVDEDHLKRMLRHAMTNRLFIEPEPGMVAHTASSALL VTSRALNDWVGYTTEETFPASAKVVEAQERFGASRSPTETGYNVAFGTAKPMFEHMAE DQERERRFANTMVEMTSTEGYGIHHLVDGYGWDQIGKAKVVDQQGLGILAFRADAYVD IQVGGSTGHACIAIAKKAPEATFLVQDLAGVVDQGRASLPESLSSRITFKEHDFFTSQ PEEADIYLLRFILHDHPDSTAIDIVKNLIPAMRQGARLIINDGVYPEVNTLPKGEERI ARIMDLEMLTTFNARERPLADWVKLCADADSRLKLRSVSKPEGSVMSILEFVFDEPES KFDESLVDM ANIA_05414 MTDVSRYLHFGRFSLSATGVIVLSYFVLYVLSLRYYHGVSYRDP TSYFFDADRAYERHYSAKRVAEAESFLSAAGDVAPPSRVPGQQPSLCMGIVSVKRRGD QYVGLTVASLLDGLNEWERSKILLYLRIGNTDPKVHPIYSEKWVETLPDRLLTYSPDD PDFEQLKEWEEGGWYRNKTIYDFTTLMKECYESGASYVAMLEDDTLAVKGWFPSAMRA LDTVQSRTAGRDWIYLRLFYIDGLLGWNGEEWPKYLTWSFIVWASITGAMVASKRAFK TELRSIPMSAIWLTSTVFIPAAIVLHFLAGRQTMWPIPPGVHEMNKYGCCSQGLVFPR AIIPPFLEHTDLTTDWLVDMMVEKIADSQGWSRWAVVPPLLQHIGATSSKGYGFDNSA STIWNFRFEEYDV ANIA_05413 MKGAYLGADLMSPFKSETINLMLFQLCAKAHEMAIVASLAVIVL QYIRHELLFGEGLPLGLLGSGLAFNHVEYFISQEFRGSLRYVAAGSKTRKIALIALIL VAGVVAALAGPASATLLVPKSQDWSAGGTPFYLNGTDDQFWPDDLSEDISELQALCTQ ETSAERAICPGGGYGSLLAHWGTMNSSTFQTQGVRSYAKELSGSRFYWPISSPLSLIP PLYALGDIQQEPNGKTTLTQPHAATTVILQKLAEDWWNALQEEKGLTDNQVDDRTALA TFKNAITVVRCGEPQQLRASDTVVVFPVVHGRFDFGDALPLEVETLNKTRADHLRFQW VHLPADFGAASIGGLFESPWSSRWTGESSRAVIGCTVQAGWVPATVYTDKYTFWTGWY PWNILFGDRTPAYNPASTERTNGRVALGDDWLELLTPPAAATALEDSSWYPSTIESIF HAAGVATSPESWLSRDNAAWERVSLVEAIICSVILDGLSRTGSHRVFNTTRSLSDWSI TNYTPLPEFTSLILENRPAMQAPSTNPDRYITLKAEMKISGFSLQSSLATYLAMSVLL IHMFMATAHTIYIIVQRHTSGSWSTVGELIALSQNSRPAFSVLPNTGGGIQRLKTYAK VAKIRVIRCPDSSVDNDDAERIELLFDDSSVSDSALEDSKRSFREPGNELRRLRKSRL FHPATWPRPHIYTEQVGSISENNWPLPSSTERLVPASDLGTGLAERAIATRVQFDSQY S ANIA_05412 MREACWTCRNRTIQCDQSCFPCLKCKKAGLECRDKKPLRWVQGV AIRGRMRGYMYKETPTNHDAILPTYLRSKRVRRGGHQLQLTLQDPRMQNLDLSSRYYI DYYSQRICRLYILHDSDSNPFRGLLAYALEDAPLRKSVIALAARHTANTGYSFDQSDK NDVVVPTPQLTYATLDALRFKTQAITALRERLTRQHLEFVKTDTTIASILLLIFLELL ESGLDGWDVHLKGARTLVRLYQSLRGKTYGNCGSGDMEQEISTFITRQFSLIETLGAS LSHFNPISEDFCSTSYILNPGKESIVRSFLGCPEFILRSIQFFSSQRQLAAESPHYTA HMQDTLAMLEVTGNFNSLEWASRLQHQQSSPASPYTAEMENLYMLGEAYKIAALLYGR QVLGPELATAESNGLVLQLLGLIDALKTQDSLFKCLLWPTFIAGLHCLERDQQGLVHD CLKRIWELTACLNVISASNILKDCWDRTRFSETQFRCVGLDRRWLLI ANIA_05411 MCHPDIAANGWTAVPVDAGAIFTKQPLVEDAKAVSLEELRFPAE DLVVSKTVEYAKAVLDPETFNHSMRVYYFGMAIAKQQFPSQSADLNPATWALTCLLHD IGTAKENLTATRMSFDLYGGIKALQVLKDHGAAIDQAEAAAEAIIRHQDMGVDGTITF LGQLIQLATLYDNVGAHPRVKGYEKLIHPTFRREVNEAHPRIGWCGFFSGIIRKEEEI KPWCHSTHIVDFDKQIEGNALMKQWE ANIA_05410 MRTPFPYLTLALAALAAAESTTTVGLFGSSDESDSDIAIPSYTS VAGSVVSINALETVYAVSCLSGAKTESCSIKDPWTITQGISTLRLSAEYTAFEWQPPV TATFDYDCSFQSYSESASCTFSVYYSGSSDGLETSSSYSTHTSIASDKVEYYALEVTG GLDKFDKPEATETPGAAAAGVLAGPVQAIITAAPMLAAGVAAVL ANIA_05409 MYHCSYPTTQTASRLAMAVRDDRTRSLSTISQRSTACQQPFPEE LHSRFGNGGSSLWGYNRFCSMIHSSTMPSTVLVTGANGYIGNAVARAFSRAGWITYGL VRSESAVRSLQLEEILPVLGQIDDIDSHSAILHQLPKTLDAIVSTTENLDDYITHHKN TIQLLRTLSLASGRHGVRPLVIVSSGCKDYGIGPHYHGDRDLKPHTEESPLNPPDPVS KRANMSLEIFKNSDAFAPVLVRPTNVYGRSASYYRGFFEVAVLAKKQSLPLQIPVSPA SICHALHVDDCGDAYVALAAHPRRAEIEGGIFNISGREYETVNQIARALVAEYDLAGV EYVNSEDVGPVGKAWPPMLIDFPQWTGSNKIREITGWRDMRPLFSDTVGLYRRAYEAA VETGHENIAKMVKREKMLPRQHK ANIA_05408 MTSQDGALRLQYHFDLPFLNPALLSGALLAPGVSTREGNKAFGL LGGTALQLYLQVEGLKREMNVEQISQAMSQVAGKRNLAQRGFDLGIDKWIRNNPSQGS YISDKLMATTMEAIVGAYFEDQGRTFAALEKIVRVLGLGWPERE ANIA_05407 MERSEQTPSRPSSESVRTAHQTDSMVTVPLSSNSEDTQPDWRTL EIPQTPVHATTHSDDEISPKTTPTSAKPDLGSELARARISEETDRPDGLEGDAVDWEE LEKTEEQEPRMEASDETTALLLARLEQENNALVTNPKSGLARVAAQQAQQRPSRSQSL HQIKRLIDEDPRSSLRISQLPPPPMTELEFWAALVADYPQTVQRLPTLTSNKIRGGVP PPLRGVVWPSLSGARDPSLLTEYQKLCGETSPYEGLIGKDIGRSFPNVEMFRDPNGEG QRMLGRVLKCFSLYDTKIGYCQGLGFVVGPLLMHMTDAEAFCVLVRLMDHYDLRTCYL PDLSGLHLRVYQFQNLLSRLRPALFEHLETLGVEPVYVSQWFLSFFAVSCPMPMLLRI YDVIFLEGACETLMRVALSLMKRNEKKIMGCSEFEDVMQLLLSRSLWDTYAFDADDFV NDFVSLTTLVTKESLQALEASYNQSQGVPTGISFPQMQAAASRFLGRFWAGSGPHTSV KSLNLNPNNNLRRSTSKQSMASTLNSVESTSDASTAPTELSVEAQKPRAKSAMSHNKD RDLHSQIEDLLMALSDLQRQQADLSRELQREREEREEDQALARSMLEFIKENNADAAP SELLSKAEEKLATVDSTRRTSIDQTKHQLRDDLERWKNMYSVEAGRCLDLTRRIDEQE QEAASLREQLREARGRIQDGYRDRQRLERTVRELRSIKTKTPDTPPESYGSPTSDHGE SFCSGGLRELKLARSASQKSIQPATFNKRSSSLGLQNVLSTENNKPPGDEALLLELVN AKTAEAVAKQELEEVKAKLDSLRKMMGGQGRGLTRSSTTENRNSLLGVPPNPGLSKTY TEAPTTSGGFFSGWGR ANIA_05406 MSDDDSLMGSVFSDDGSSDFMTDEAPKPKKAAKKAAPKKAPAKK AAVKPKKKAKADSEDEMSDPDDPLDVDSVLSQTPPKKVPAAATKKSGFKPLADVENES IPIDDVDASEEVKASSKYQKLNLREHITIRPDSYIGSVERTTQEMWVYNSEIDGMEFR EVSYVPGLYKIFDEIVVNAADNKQNDDNMDEIRVTYSRETNEISVWNNGKGIPVEMHE EHGMYIPQLIFGNLLTSSNYDDAKEKITGGRNGFGAKLCNVFSTEFTVETQDSKQKKR YLQTWTDNMQTVGKPKITAAKGSDFTRVTFKADFPRFGMDGIDDDFEALIKRRVYDLA GTTGVTVKLNGTRLPVRNFKKYMEMYTKAIRRERGDDDSKSDPIITCSPHPYWEIGFA VSDGSFKQVSFVNSIATTSGGTHVNYIADQIAGKLSESLKKNKKGTGSILKPAQIRNY CFLFVNAKIVNPAFSSQTKEQLTTKQTQFGSKPILDESFLKKVANSGVLDSLKRFADH KADLMLKKSDGGRRSRMNNPKLTDANRAGTKDGYKCTLILTEGESAKGLAMAGRAVVG ADLFGVYPLRGKMLNVRDASFDQISKNEEIQSIKNFMGLQHKKEYTDTKGLRYGHLMI MTDQDHDGSHIKGLLINFLEAQFPSLLKIPEFLIEFITPIVKVWKGDIKDPTKQHSFF TIQEYNAWKEKHGHERGWEHKYYKGLGTSSTEEAQEYFRDLDRHLKEFHVMQDKEHEL IELAFSKKKVEERKEWLRQYNPDTFMDHSVAKISYTDFINNELIQFSMADNLRSIPSM VDGMKPGQRKVMYTVMKRNIKKDVKVLDLTGQVFSLTAYHHGDASMHQTIIGLAQDFV GSNNVNTLEPSGNFGSRLKGGADASSARYIHTRLSPFARKIFHPHDDPILKYLEDDGK EIEPWVYVPVVPMILINGADGIGTGWSTSIPNYNPEDIVDNLKRMIRGEEIKPMQPWF KGFKGEVTQLGPDKYKFSGLIKQVSDKELEVTELPIRTWTQDFINKLEEMIKADKAPS LIKDYKDYNTNSDVHLVLQLDEKNVKEPLTQEFLEEKFKLSKTIATTNLVAFNAEGQM TKYPNVEAILEEFYRLRLKFYGKRKQHQLDQLQIELEKLTNQARFVQMIIDGDLVVSK KKKSVLVKELDSLGFKRFNKTADAAKAGETEKVIEASEDEDTEAQDDTQDLAHAFDYL LGMAIWSLTQERVEKLRRQIGEKEHEIDELIKLSSEDIWLRDLDEFINEWRFQLSETD RRRRGQQKKGRRVSTKLATAARAVGGKKRKAAGDDSDDDFVVSKSKKKAAANKTEPAG GIMSFLKKTPKPEPAANDSDSDSDFGFGIEEVMPKKSRGPVKASPQLKDEDGDLDMEA SEVEVAPKKSRGPPKAAPKAAAKLKDEDKDEDEPKVKPVTKRGRPAKPKPKDDDSDGL DDDEFMEIAKAEAAKTAPPRAGRKAAPKYTLDDSDSDNGDDLLGDVSKMVKGIGGAAG GSATDSRQLFSELSRPGSSTGLPTNSKTSKFDNDFDADETDYSKLIPQNSPRRSLQVK PKDVKASESIDLDDEEDKPIKPAKTKAAPKAKAAPAPKAGTGKGRGRPKKDATATASS LKQTTLSPAAKAYASKQAKGASTASKKKTLMDDSEDDIDAMANDILDSPVGIRDEAPA PPRSTASRPSRRTTTKKSYVIEDDDSEDGADNQDDSFDLSD ANIA_05405 MASIQFLLNPLPSLPSSDRCPLPTPSPTISSSTAMLRSPRQKKQ KMAKDAPIFQRGKPRGEVRYPPYEDRDGKFSCQHQDFRIHPLGNIADYPRHIPYNSDK KSFQERTGRESFEVFQYTFQLPGEEKQWTVMWDYNIGLVRTTHLFKCNDYSKTTPAKM LNQNPGLRDICHSITGGALAAQGYWMPYEAAKAIAATFCWKIRFALTPLFGDNFPDLC IHPDDRARFGRMVIDPGIVRIATEKANLYRMLELRCSTTNSLRADYVLRPSSAPDIDR TDPNLERDRVALGRHILPKSHRHHHHRSKTSPSTNTSLVGYGSSPEVEYYSCGTEPYC VSPESPIRSSFTPVNTPRSTDIYPSSSSTNFLRSPHELLASLSSSASIARARIERASK ISGARVIPSSVPSNVTSITTKGRDNTGHSALMEESDIDADAETDSGHEHDLDFELSSS DESSTSSTVSSSTSSASLGFAANSRNRPYRDDDEPHRDTDEEMVDYRAPKRIATAGAR DRRWGRGRRVIHQEHSDIETSRRARKHAQRSSNARLVCEMTAAHALISLLHDATGSDV DVDTHNRLECGRSPDGGVKNNLKGSYFGIRLNHNPSTESGQKRRRASA ANIA_05404 MADNSEVRYPDPNANIKESITNGPVAETIRSEASRTGQEFRDLK SAKVIPSTTTATGQPLTYYHSLFYSLLSWEQPRATAASFASVVAFIFAARYLPLLRWF FKFLYLVLGVTAAAEIGGRVVLSQGLTSSFRPRKYYTLPRETIEAVLEDFQQLVDFLF IEFQRILFAENAVHTTAAAAAAFSAYWLIKILPFWGLSLLAVTIAYLGPFVYLNNQEI IDAQIENVRQMVGSQANQLKDLAEERTYHATGVVKQYVGDYSNKAQEYIGRRSASPEV AKGPAAGPVVKREPEPEAVVKTSDFPEAPKVEPVAQSIETQSEKEPLLAI ANIA_05403 MAAAGARAQKPVGSAAWISTEKENCAQLVAQEMEEIEFPARYEM EWLNEHMAEVFSNNQLNFADVFKTPGKLRGKTPRTLRKRDPTENRVPLSEIFSSVQKN RPDPTFSTSPVAQRSPTKAITAPPAPTPPVVTQGAPAPSASAQTAATPAKATTSSDKA KNRAPTPTEPQYPDLTQDINSFSQYNTDSGYHGMPDDDDEVVLTQVAPESQSTQQLDP EPTSFREARRSSVDRRTTDASFHSAPEEVRHRGGTAEPMNLDSPKQTRKEYAPVPEPS KEAESEADEVMEDKPDNRGAVTAPNARNVSGQDAESTPVKTTIPQPSSPQEPNEPEKD EMVLDDLDDIGSPSEKDSPERPPIRKSSLSFASLPAREPLAPKSIGSSRLSRTSHLES IKSNTASNYLGRQTGHRLTQADRMDVDDPKETAHVEADEDVKASKLHNKSSTQRLHEK ISMLGKMQPSRPTKSIPAVAGLSSGPVSYPELPAVQPETKHETSNKKQREATPPQSPD AEDDWMKPMSSPFQAQPSAKSTVSKLKTTDPATSDATEEHSSPIKSRIAQEAAKMNAR QTSDLARGKSTTPIFSSPQRFGHTKSASVNIDAKMTTTPIGSPRQDGPLSASKSRLQS IVRSAKGLFTSAAGISAAARMETSSPDEPRTQLQRADTDKTSQRPLSVFSPPRQEGRR TRSSTEREEKRRQQELEERKQEEELAEKARQQEKLRMTQQKATRERAHTESEARAPAV PSSPSRMLQQKSSREPEPAVDVAPKATQANRPNDRRPPKATRESQQKPKPQPVSVRVG SALSRQMPLASSVASSAESSIPVPPPSASKVPSLKKKASNQSLHTASSNSSFKSSVSS QTQRKAQLASERKREQEEREARRKEEQRREMERKRAAQQSRAEAERRERQVEDPKKAA QMQAIEKRRLENARRLERQGSQQPEMAPTYAEKTGTQTSHRETGAPRPASRLGSVLGR TINPPAPNPAKPPKRGMEEENHRSAAAKPGAMQPSGETKRRRTDDEQNRASMRPTMAP PIRQSNIRKEPAKKPSMYGTAQHSAAPQSGSTIFKTGQPQRPGHPMDMAKYASGKIPF AEPSNAGPSQSHRTPGPSSSKASKPSPNYPDGEKIQLPEIATDSEDEDSEAEMLPVPS WAQPKQLETLLRDQEGMEVDSIFGPIAPFSLEETFKADKRIKKFRERTSSANWAGPDG LTQEEIRRDVAERQRLRLNGGWTFGM ANIA_05402 MADRELNILSLDGGGVRGLSTLHILKSIMEAIDREHPPKPCDFF DMIGGTGSGGLLALMLGRLKMDIDQCIIGYTRLCKHVFGRKKRLSLTGMSPMSMSKRK AKHDGKKLATALKSILRELGHEDRDILLQDVDLSCRVFVCVTDASTQKLVPLSTYPSK YCPNELYKSAKIWEAGVATFANPALFEPIALGPARRRYIDSSSQANNPIREVWIEAKN VWRLTTLESQLRCLVSIGTGQHTINRGSGRKTSGVGGVFGFAKSAEVVVDPEVETNKF IQEHSELDDEGRLFRFDVPNGLAEIGLDAVDEIETIVDATQDYLAKELVYKQVRRCGK ALARPGDSEVSFPIRR ANIA_05401 MVKDSSTVIDEFNGLVNMTADELRDWLKEEHSQSSGWKNESGSG ETIGHESGRKIVSILEHNPSKDPDGYSDEDIDHMRRVVSYCKRHLAQEEKAKQDTGSK SYRSLKNWGHDALKE ANIA_05400 MASNASPPSNDKDSLDGNGNDFAIDESFFAFTPRRLRVVCVGAG FSGLIMAYKLKHERPLSFVDFTIYEKNPEVGGTWYENVYPGVGCDIPIPFMHMPIASL IVKDSYIFYFNPNPDWSQCYAKGPEIQQYILDTAERYGLRERIQFKTKVISSIWNDKE GKWDLRLQRGKEVFEDKADVLINGSGVLNKPDQKGGRDPSYDWTDKRIAVIGNGSSGL QVVPALQPKASKIVNYIRHPTWISVNIAGDITKDHRGTNFAYTEEEKKLYRENPEAFL EYRKYVERSVNSVFKIMLSGSEENKFLSNLVSHVMRERLSKNPYLIEKLIPDYEIGCR RLSPGDGYLEAMQADNARFCFNRIVCITPQGIRTVSESGTESDEEFDLIVCATGFNTS FIPAWTLIGRDGRRLDHEWKEIPTAYFSLCAGGMPNYFVFGGPNAPIGHGSNPLIMAW NADYMLDWIEKIATEDIKSVVVKDSIVHAFNRYAAENLKRCVWSKGCTAWYNKQNGSG EGNTVTALYPGSALHYKEYIKTIRGEHFDIRYNTSNPFRFLGNGELEMERNGGDTAYY LQ ANIA_05399 MLRKKEAYTSITPIPPSVPRQLAIDILHSHSEIITLSPLVLSHQ PVKAPRNAPAEEYYLTWYEITERVQYVPGMGKLGSGKISFKGCFHDEPWGLVTHVYAP LGIDLRHRYRIVDSMDESVLKSGAEESPPAPGEAGKGLYLCVDTEMECNITLMPLVKG QQRAALKALVDRFVKKAELLDAGVLQAGTTEDGKLRTWNPADRSSSTAMMQPTSSTPL YPGPGEMSPRSSLYQAPSSPTGYPQRGSVSSQGTNLQYGRENRQSFVVELPADTPNEP VYRPYRPETTTGKSTELPDSSSRFQPGYPAELPAGKFGNSLLRLMPIKH ANIA_05398 MHLRNLLQLTLLPALVVSHPGHEEKLATRELLNYKSHLRRSLDA CARSFEESGLSARAVSRRAQLANAYRKHQLTARDTADVLSTSHLSNESYTLSTPESEI FSADSTCTLNPEGETGPFWVSGELVRTDILEDEPGVPVVIEYQFVDVSTCQPIADLYA DTWSCNATGVYSGVSGKNGNADDETILQKTFLRGVGKTDEEGVVTFKTLFPGHYSGRT THHHVIAHLNATLLPNNTLTGGSVPHIGQLFWDQDLIYAVEATEPYNTNTVAITTNEE DRVFSDETSRTTSDPVFNYVYLGESLEDGLLGWVTVAVDLSAEYSPAYSFVWTESGSE AVSGHSDDNVSGGGAGGPGESGAPGGEPTSVPTGAPTGGAGF ANIA_05397 MLRSSFLLFFYALYASASWVFEHDFQPEERSLLFGTDVSPLKRR QEEGSSSASDSILSVAGGGGGRSSGRPQPTSTSFTQTRTTSTRPTSTNTRTGTRSSSN TTTRTSTSATSTPTTTATGTPCAGNTPDTRREWCDYSIDTNYHDITPDTGVVREYWLE LDEITVSPDGISRPAVAVNGTIPGPTIFADWGDEVVVHIKNNLHETLNGSTIHWHGIR QLNTNEHDGVVSITQCPITPDHEYTYRWRAQQYGTTWYHSHISLQAWDGVVGPIIING PATENYDVDAGTIFLNDWTHQTFEELFWYAQIVGPPLLNNSLINGTGVYGSSFSGHRA KGQKETGERFSMKVEEGTSYRLRLINGAIDTHYKFMIDSHELTVIAMDLVPVKPYKTT AVSLAMGQRYDVIVTANQRSKSDSFWLRSIPQQSCSDVEDPGNIRGIFYYGDRPSTPK TEPYPFEDSCMDEPMASLVPHVARDVQPPDYQNNALASVSFNEDHFFRWFLNSTTMQV YWEDPTLLQILNGDDSFENSSAVIELPNANEWVYMIVNTTIPVSHPIHLHGHDFFILA QGTNPWDGKYQTSNPPRRDTAVLPGNGYLVMAWETDNPGAWLMHCHIGWHTTEGFALQ FVERIDEIRENVDRDFVVNACNTWNGYGEEYGVEQYDSGV ANIA_05396 MLRMFSRALFFAVVCLFVAGASAYPIDDGQDPNTSDAFSLYAYG DGISGLPVFYADGKAQVGDPKLSTAKVTEKIYFTVASASPNTWIAHPKKKEAASFTSD VLMLPSGGSAAGDVVFKPKTSQKVESDTASVFSVYGNYVLINTAGANFYAEKTDVDGV WDLVWSDSGSGYVAITLRTIAPSTESV ANIA_05395 MSDSNSALVAGSSHRLAASSQEPLTSVLTLQTIQTPAPTVMATG LQSQKNVIRTVAVKFNEIPLPWTDNRPIEPPGLTYRDNTEHRHRDVLSRIEWHREDDR YLSSTDGRLKRLVVQATDDVRLGPRNGTWARTGIRKVTPIQLRIVYWVLKRHIEGKEN GMSLEICLKWVPACLAIAVLLFIPADLGGEKRNNGLYEQFKYHFWGYSYIPSNPLEAS TSSDPAITLADGINGITERPLRPRYLCFPAENGSVDIVRVADWEAQHGRDAVLEYIFI SYTSAQFDGPDDWDYLHSVAKAAVRSAGVPAYWISCSCMPDPEEYSEDVYRISDIVRG SHSVVIIVGPPISNPSAEFNMKAMLQHWGERIWTFPEVLLSPNTHPLAIYMRAGNVAN PTYLQKRNFPAVAWTDAPVSRQLVDHYEGSILLSPLELVTLALQCLSGRAHNTAPFFP GDLTYVLMGLLRRRPRVNRNHSAFQEFCRLSLANDSNRLLERLICLLPSRRKREDSWE WHDIDDTWSRNLWDITPLCQVDGVGEDDTVILGGGFAAPIRWKSFTPVNLLLRNTIKR MVFRVAVRAMPSVLVLGAFLLATSHSQGGSLAVVTALGWLLMGPAIITILLSPRLLAK LYIGKPWRAQPWLFGFEGYMPIGEIETNIFGTDLGRLKWAPYSSELSRHQNTEGECIG VDPMADSAVREIIIRARGSGYGEEKVFTLVDTNLMTVTLFSAVRPPVALVLCGSEGGM QRGLLCSYDWKSQTLYRESVLRVDTMVLEKMSRVERFKLGLRRPLGNTNEGTPSETYS DEQD ANIA_05394 MDQVFNDPVALRPYIQDPTDPAVPNTQLEVLDWVDAHRVHSPRA YKLPISSTDLTRFLEPKWPLDGLPLLIEHYKIPTAFFEGGIRAVTHSFGSLDTEDGYR CCWFDYLCKNITVAQSPGPEPVISDQSCNSLPQIRQSDFTWTRAGFFLRWPIRSENRH NLTMVYFGANMVYDRLTRLSCEAVKEGVIHDPMSLLVVVLHQLSARMDETVWNLSMVF GGIESKALGLHRDRESFTGLHNISKHIIYLQESSDAALETVKNLHHHHKDLSPSATEE ERAAGNLTRRTMAQIEAEFQTVRLRLRSLDRRMQNVIALSFHLVTQEGNELIQADSST MATIAFVTLVFLPITTVSTIFGSQFFNTAPDNASIEVSKDFWIFWVVSIPLTLAVLLG WSLWQRKALVGSHIARKGISGPSNSSALHAAG ANIA_05393 MSTPKRHGGGFIPAVKASLSFTRRSTVDQSSSGQDRYSRPQSES TPVSNLPSSHNSNTTKRHGGGFVPSTTNTRVSSLGATTQQQPQINAPASVETAAVSSP QTNSSSVKHGGGYVPKSLLQENGARAPAASTSSHVPAQMEGDISQTAVNNGHTSNGEA GALAGGVERPDRRRHGGGSKETITLHPVNVQPCL ANIA_10672 MIWTLPLKPSVLGVAVAAGLLLCPSTDARKLRSEQIDFDILDFI DPFIGTANGGHSFAGATLPFGMVKAVADTQGENQGGFAYDTTHVTGFSHTHDSGTGGA SSMGNFPLFVHPSCPDDDIANCSWTSSDRAVPWNRDSPGPEARPGYFAISLENGLHAD MTVTNRSALYQFQFPDGTGTSPVVFLDIIDLPQSRNHGTAWVDPETGRLTASGNFNPS FGEGTYNIHVCVDFHGAEIRDTGSWTNRSADLGQSTVSVTANSSYPASQYSAGTFVRF NSVSADDVISARVGVSFMSVEQACSNGEKEQPDFDFEQTRAAAESAWRKKMEVITIDA EGASTELQKVFWSGAYRAMISPQDYTGENPLWESDEPYYDSFYCIWDSFRGIHQLLTL IDPISQSRMIRSLVDIYRHEGYLPDCRMSLCKGWTQGGSNADVLIAEAYLKGVIDVDW ATAYEAIVKDAEIEPYNWNVEGRGGLRSWKNLGYIPKNDTDPGTEGLRTRSVSRTVEY AYNDFCIALMADKLGRADDRDKYLERSGNWRNLWKEDQSSAINGVDTGFTGFLQPRLE DGSWAYQDPIFCSPLLNFTSCYLNADGHETYEGSCWLYTFFVPQDMATLITTLGGREA FTSRLSYLHDSGVLYLGDEQAFLTVYQYHYGGRPGLSAKQAHSYIPSQFNTSVSGIPG NDDSGAMGSFAVLAMLGLFPVHGQDVYLITPPFFREISIRNDVTGKIATVKAKGLDAG YENIYIQSVKRDGNSWTRNWIGHDFFAEGGLLEIVVGKEESDWGTRLEDLPPSVSEYA ANIA_05391 MLQILPRLLSASFRFTGRWDNAPGMLDQLTSPAISRSTTPFVRA ANSGIISPAAEMAGSAHTTSTARPGTHTPFRIMENVAAPDVFDPSILSRARDFLRAHG GFPESQEFSMDLLLKLGAMMTELDFHRDQVQELASENAHLRQDNANIRAYLDSNLRTG RPFGPGGDSSDISRPPSDTTGKSWDRIVMDLI ANIA_05390 MPGVPSNKACERCKKRHLKCDETRPHCQRCADAGVECPGFVQTR KFIDQGASVRRRYAPYQEAGSKNTTAKPSRVANNPQPESLHNEATSTGLEPQGQSNPP VPPAPLVYDREHRPAIRSETSVLDSAPLAEASQPPATTMSVNRTGGDAVLGPMRWQGS DARAQQPSWALGNAGAGAGTGTFHERSRQSSPHVNPSSSRTPSQRGEQEEFQDIFSEL MTGTEHEICFLTRHYCEVIAAWFDVSDSGKFFGGCVPIRAIDDQSLRCAIASISAKHL ARMKGVKSTAGGGLFTSPATMESYPNSPHVDWSLKAANYYYLAVSHMSNSLSDYAAVS TSDVLEPPISVVNRWLNFQLKQSEQTSHTSDVAWKTAENLLAASTILTLYKIFDEPGE NWQSYLTGVKPLFSKLLELLKKDGGNQFFPGLTAVFWNFARMDYLASYYNRLPTHLDP DDLALWRAAGLSLDDQGNLESSEVSRSHWSQEDVATNVLTRLLNKLTNYLAAAKKSQL EQWVGGQSPQSINSTRSSSDSPDSHPTTASWLKISFEFQSWVERIPETFRPCLRIERP KLAPTEPSHMPFPEIFYSLTSCAVAMQQYHFGRLALSLNRPSDDIAGPSTAFDRLQAY RELTKEVDYRCKEICGIALGRPQIGARVQMITLLYAVGQCLEKPEDRQIVIDLLRGIE ADLGWSTATQLEYCSTSGYFYGFI ANIA_05389 MVTVRYICPPNSIPRKCTHIVTAEGAHRTIEIVGQVGLAPDGSL PEDLRRAGPAAFNYLQHAGSPTVTRLRYYVVGYKYPESLAAITAAKRLVTAEDEPLPT SVLVGVPALGHPALLFEVEATAVAKLWGNCKQAVGEKLGEVPGED ANIA_05388 MKSHSVNSKAVSILGIGQMGSAPAHAFLKAGYRTPSGTVPKTKP RISAARELLKQPRRWIAVGTPILASDLPERVPVLDNDLIYCMEGVFSGFVQGLALVGA AGLKETEFTN ANIA_05387 MLARAIQRCQRPRLSLYRQLSSFRISQSSLPAAYYRGGTSRAVF FNQDDLPKSRDEWAPIFRGVIGSPDPYGRQLDGLGGGISSLSKVCVVGKSAHPDADVD YTFAALGIRDTDVDFSSNCGNMVSAVGPYAVDSGLFAAHKDAESAVVRIHNTNTGKII HATFPIINGEAAAAGELAIDGVAGTAAPIKLDFVNPAGSRTGKLLPTEAVKDVFDGVE ATCIDVANPCVFVKADDLGVSGALTPDEITATPGLLARLDSIRRQAGAKMGLARSPEA VPGSVPKIGIVSIPKDDSRVDLVVRALSVGQPHKAVPITVALALATAARLPGSTVADV TSSDPVDPTGITIGHASGSILVGATFGADGGLDFATVFRTARRLFEGRIFWK ANIA_11477 MQNARGQGISHATGGSKVPQGVQERVPQGLEESLPNSVHDTGSS GSHKTHALNDGEDSIVPKGIQKAVPEKLERALPNKIHNTGDE ANIA_10671 MGIPTKAYLVEEERGPFVLKDVVLDQLEPDEVLVEMKYTGLCHT DLVVQAGILPVGSFPAVLGHEGCGVIRQVGSKVSNKALKEGDQVFLSFRTCRECTPCL AGHCGACIHSQELSFLRTRLDKTKPSPISLPDGTPVHGQFFGQSSLSRLAIVSERSVV KCDVEFDSEELGPLAPMGCGYLTGAGTVVNVLKPSSASTMLITGMGAVGVAALLAARA LGLTRIVAVDIVDEKLQLALELGASHTINTLKGPDSDLAATIRMYFPGGADYIIDTTG VGKVLQATLRALAVNGTFALVGAMPPDTELKVNALDVLTGCKKIIGVILAWSDPQQII PQLVQWYKEGKFPVHKIVRIYPATELDKALEDLRAGQVRRIVVRV ANIA_05385 MSTKNEVYCPFCNAPAVDNCYGEHLLDPATTPDSPELARALYTS MSTLMSSSLAAEDPRNADATYPGEITETQFRVETDQDIQFNGTGLSSYQAVSKDSESN TAEIGANIDNSPLQANIGPQRKRSKSDPNMTAVAIEKYTAELTDTQARAWQALKEIEK AKNMLRLSQAQHDLWDEAIALGRQKVRVFESKGMKGTLKIELARELSSRLEDFNLRVQ IGLKKGTFKGYYSLH ANIA_05384 MASDSCTECIKGSIHAGLPAGTKETIHGLNTYLTGNRTTPYAIV VMYSDVFGLSLPNNKLIADAYAKSGEWLDYLPDFFKGDRVPLSMAEHLIPVDATKQSV FRKYTGLLASIPSFFNWQNRHRQGPTDAICMEFLRALRRERKGMKIGMVGFCWVGEYA IGAGLEENMIDIDGARVPLVDALVALHPSHLAIPDDDKTLVVPVSLGWRAEDSLVDFK QMNMVKGVHADNKASGREVPGIAHHEYKPGRHGFAVRGNPDDPQERKYLEDSVTQVLT WFGRWL ANIA_05383 MLYSELKRGEFRLLKVHAGEAGNELHCNLEVRTLYTPRMQSERP PGDRAPDPEPYEALSYAWESREPQQSLNIIQCDDFHTVKVYPSLKAALLQLRQPRSPR YLWVDALCINQKDKEEKGGQVKEMWRIYSQAQRVCVWLGPEKDESSRAIHFIQNRLQD DDTDSLMTDANLAKDWAALYKIITRPWFSRRWIIQEIALAKTAIVYCGGESISWDVFA NATSFFVSAPTRLRHMCRTSPVFNYDEHHFGDLGESAAARLVDLAGHIFSKEDNGVIL GRSLPLEELLCSLHMFNTSEPRDAVYAIISIAEDAKPGFKVPEETSTVLNGDFLTSSP RPLSPITEHLSSTTADLSQLRRRSSSVDLRAGNGNLGPALSTNFHGHKRALSRSSAQD DVPPTRLKLDQPHSDAVPNNDDSVHTSGGVPGILVSGPDQSHPPPRSGSTLTTETAPD HPSDLQHPQTPHLEPRGRFASFSSASSTREEAMLNKALKRIERSYIDSRIPVDYTKPL HEVCKDVLSFTFRASNSLNMICRPWAPTDPSLPSWVPTMSNSAFSLAGDGVYVRVRAD PLVGGPRPGQGFYRAAKNAPALWSFGETEDNRPCLTVTGFELDTIKDKTSPAASGVVP GEWNEFVGWTDPHTAPPDPFWKTLVGNRDAMGQRARTFWKTVCQRAFQRRPPNGDLNV EKTMRTDNRDHVREYLERVLRTVCSRRLAILSNIPPSHSLSLVPYKAKKGDKVCIING CSVPILLRRSEQKTGHEDYYEMIGECYVHGMMDGEASSYKKKRGIRDATFCLI ANIA_05381 MYIPRTAESGASAIISRRQQTSMADFLPGVCLDAAGLVTIAEIT AVARRTALTGTSVLSDMFILCPGLHRQQSAPELSKGEYPAVAAMTTGYIFRVENPATV CFLQRVGKTGHLTTLHVSSPTTFNEQSKKNAQKSASVTWRQYLSILQVPGMIPALGSR EQPVVPIAYTCAVCVTIAMLIVYLWLQDWWGVFCLLSLVLARLCNILVIQRRCREAGW KGAPEPGVQGDLLVLLSGDCWVRIQGAVDDLKAITAGQWMRDRSVGEDVVSAVATLLV YVSAVLVCNISKTGQVLLLSGMIVSAGLLFLANAATATMNMNGREIRVVKVSKRYFRR RDLADELI ANIA_05380 MATFEYLFHFGTEEGEEYFTKCNSTNPDIGTLVNSFFTLEDLLG DKNPKQATVAKLNIHSNPPLWTKPSAHYQHQTKRSSESLYSLLHAGFETSHLKIYILG YTTGNDPSCRFFQLPEQSGGQFFYAKAFDKFAPIGPVLASPHIYNAAKATATLITRIN REVKKNTLIRDDMVFSAERMLSWMSQTCLTSNTRLNV ANIA_05379 MFALITGCSSGIGKDLAIAFAAKGVTVLATARRTESLSDLTSQY DNIEALSLELGNPGSIEKLRDAVLKRTDGRLDFLVNNAGTHYASTAVDLEIEEVAKLF EVNVFAVMRLCQIFVPLLRRAPRARIVQIGSVTRSVPVVWQAPYNASKAALSQYSKTL RLELKPLGIEVIEIVTGFVQSNILHHGLHAPEDSIYLPIKSTIEVIKYRGNKNGMSPQ AYATSVVTQLMQPRVSPEIWEGALAKWLRLLVTFLPLQLFNWILFRRFKLDLVKARLD KDKHFGQVVRMHLVRSSQATVEQLLATIRIALPPGWLNTRRNAFANESHNDYHVRMLT VLHLRMAQLLLAIICKRHDDYGLQQHDRKRA ANIA_05378 MAAAWENKGAQEASTAAPEPPPGYTEANRATHLPQSDLDAREMS PGVRRIELINTQLGRYGRIAMFFGIWLIAYVYGLDGGVRNTYQVHTSAFPSKARPAPR NGELCHSQPAFDGTGAAECDCGCGAAMTTLTWIGRTFCAGAVIYQIGYTGIMFLVEVL IGDTTSTRSRLLFSYIPATPFIINTWIGGNVTDAVLKVTSWRWGIGMFAIIFPVCSIP LFLILLYGHSKAKKITAYPSLIETHGLKHFLLDVFWRMDVLGIILLIAVFALILVPFT LAGGNAEQWKQAKIIAPLVIGVLCVPLWIVWESKCRYPMVPFKLLKDRAVYGAIGIAI MLNTGNYLYTVLMVSFDESNLSATRISSLYSFASVITGCILGAIVIKIRRLKPFIVAG TFLFTVAFGILYRFRGGPSGDSYAGIVAGEVVLGIAGGMFPYPAQASIQTATKHEHLA IVTALFLSSYNIGSALGGSISGAIWSQTLLPNLTRQLGGNSTLASQVYADPFAFALSN PVGTPDRDAVIAAYMDTQRLLCITGLCLTVPLIGFALCIRNPRLNEKQSLEDAEKD ANIA_05377 MSQTANLPISTDPMSCGLDLAALVDWPDDKAVEGLTRSAFYAND AEFDIGEQLKGSMNSPVAIDNVDARPSQLPTTLARAPPNGPNPPNKKASRQIQTSAWV PYDIYIPPQNVQVSGNIATKGSLCASTAPAPNIMRGSGSSSPVPYLASQLNRARAQPN ACKEVIKELQRVLKASSDGEVIRRLLNTVARKDREAEASRRELSDLEDECEMYKVQLS RVYEQSQASRKAIAQYEREFGRMEYQIKVLRTQLKLAKSERDRLRGQMNKQQ ANIA_05376 MTSGSTPLPNAPTVEVAPGVLLQPPLSRCGRGPGLLLVRAAAHT QCQADNQSLDPEPLQKWAEESFAVAQITLDAESSKSRAALTDLVRSAEQALGGLVECT KKDKFGVLVYGSRADYAPEFGDFLQDLISLDKFAAAVLFDLWVTRDIPAVAHLDSSKP GTESSDTLKVYSYPEALSSGFIIPGHADFNYSSASIAHTRTLTFIKTHLGGPYFDLEK IWDEHTYYEFAERSVEKTMATMVQEPYVNHVPTLTGGIGRAKLSKFYLNHFIFNNPDD TKLELVSRTLGVDRIVDEFIACLTHNKVIDWLLPGIPPTGKPLRIPFTSVVNIRGDRL YHEHIAWDQATVLMQLGLLPEYLPFPYPLADGRTPAPGKRFEYRVPAAGVETANKLQN ENAVPSNGMFEFGVREVDDQ ANIA_05375 MNAEFQTALSTFESRLNSLVTSLTTSPTASGAPKAAQELLNADD SLTSTIDTLKQHQSNYARILQLRAEAQSLEDKVKDIVRLVVRYEKDIREACGDSDSDS DTDYDSEESDHDMNAPHTTQTRTSRKRNEVDYRLLLDFARRISKYNHEAAADAAAGMA KGNHVLAGRDTEMTGVNTNGNQEGSPAEPVAAVTKEATSWLDESANMTRQVYMLPYPM EDRIRMGLMGQIQLAAAEGRPGFDPDKEVERLIREAEGVGIADAVAPPPIGEEATRVN EAAMAAAHAGSTASGGRMAPVQPKPKATLDLDLYDPDEDED ANIA_05374 MAQTLCQFVNHVDALQHTIAQLNKQFLPPSPTFTHHSPEDQEST DQALAVVKHTADGQYDPAGNEHIPTGPNRSSSLNHDGNQDHEDGERLACLYAEYGVDN SWHLPTNRVRTISYPLPEPQPLNGPEPTTGMALYRSLPLPTSPRAQTPAAVKAEQSKA TVQGKPKGRSHSVPIMSLRSLYWYEMLDYESEPNPGSITWEESAEEDVCIEGTGPFWS EKEVGIEGYIDGRCASIVSSIDREQRSMVWETELRIFQQAHELERAKKEVARLKERIK DLQVAGRIYRDKLTMATQGIEEVKEQWRRDKSDLMDARECITWLEMQWGKDHAELLIA RDYITELEKTGVQCDCWRKRKWELDVPGSTKKAKANGGNARRRSYDDFWAAQESRRSV LFS ANIA_05373 MALNLARRTAIVTGSARGIGKAIASRLARDGYSVCVNDIPSSQA AIEATVSEIKSQSQANVIGIPADVSSASSVSSLVRDTTEKLGPLTLMIANAGVSQVKP LLSVTDEDISSVMSVNFNGVFNCYTAAARQMIAQGDPKAAAGVEVYKILGAASIVAHK PFPTMGIYSASKWAVRGLTQAMAMEMAKHKITVNAYGPGIVDTKMWEAIDGGLGEIEG RAKGESLKLYSDRFIALGRTSRVEDVAGLVGGFLASRDSDYVTGQTMLVDGGIIFT ANIA_05372 MTITFSTENDTLLANNDIIFPTSWPMRFHAPRKQGSLIDSVPLA YALDVSPLPHQPGAILGDLYHLTLTLVDLQGRRATEHPVSIGIVRDINGDLQIIQVEE SWHRYHRHLHQAGAKSKGKDNAPKTDAQSKKPSWWRMEAWKEYYNTHFQKPEREPCTS GSASKPGQHCTPSAGDHQRLHHDHRQRLDDWIYDKHFHSKFAGPAVVSGLLGVCAAFL AGALGFFVGKVIVSLYCYLVDRARVQTVTGRGLDEERYMEEVAELEKKRLAQMEKQSY AFGHQVVEKN ANIA_05371 MSSDPVESITLNEKFAFTAFASITLYNSIELTILCLASFKRYQG LYFWSLLITSCSLIINSLGFVLLFFTSISPYISVTFVLLGWYSMVTGHSMVLWSRLHL VLHNPGILRAILYLIIANSIFMQIPVTVLLYGAVGPRSPTRMAFVRGYSVMERVQLVV FCVQECLLSSIYIWETAKLLRLRPQRAHRVILTQLLAINIIILILDIIVVVFQYSGLF VLQVLFKPVAYSIKLRLEFAILGRLVQVVSGESTGSSVRTLNEAPVWSGPGLSGDQEP YATANETREVNWRSSGTGHANGMQNGTGNRNSWPPSTTFIQEGCLDHQGFHRQCASVD GYRIVQVANAEQPVLSLGISILLVGLDRLQTIYGTQLEIQGMT ANIA_05370 MDSTSRSPTQLPEPPSSAQNAESSIATPTSTAQLLPNSTDKHRA LDRSPNTNDTGAGPGPAPSAGQEAQEAAPEEWISGVPLLLVNTGVTLVIFLMLLDTSI ISTAVPKITNQFHSLDDVAWYGSAYTLARYEVLAIQTTHLGADLDASCALQPLTGKFY THFKSKIVFLTFFGVFELGSIICGVANSSTALIIGRAVSGMGTSVLPTSLAEGAQLLT VLGVAALIGIMMGVSQLGLVLGPLVGGSFTTYTTWRWCFYINLPIGGLVAILLIFTRV PEQRRKPPALSVLPTLHKTLDLVGFVLFAPAAIMFLLALEYGGNEYPWSSSRVIGLFV GAGATALVFLGWEYRKGKEAMIPFHLLTIRIAYTSYIATGVMFGLAMAIAYYVPIYFQ AVRDNSALMSGVDFLPYVLGQLVAAVITGVLIGRLGYYLPFAIVGAILSAVGSGLFSL LSPTTSTVAWAAYQIILGLGRGASTQPTLLAVQNGVAADDLSTAMAILTFSQTFGGSV FLAVASVIFSEGLKSQIPRYAPGVNPQQVIAAGATGFRDIIGGEDLKGVLRGYSRAIN WVFYFVVGLSVVQFGVSWGIGWVDIRPKAKEETKKNGSEDGKAIDEEKGVA ANIA_05369 MAEGITYIDLTFDSELPPPARPRENVPPPNLDKVGSPYHWSTFQ KSFITWLSCLTTLFASFATSCFSPAAEQISSEWGVSRVAALLGITTFCCGMGFAPMLL VPLSEIVGRRPVFLGTGAVLVIFQLCCAVTRLYSGMLVARLFAGVAGSTFTTMVGGIV ADMYVPRERNAPMAVFTGTALFGTGLGPLACGFIAQYTTWRWIFYMQTIIDGILALAL LFFFRETRGVVILRKRAKALNRYYERLEKAGSPGMRLSQGENANDGQTHDQTRNESNV RRIRWRVAEHEARASIATTLRVSVSRAFLLLCTEPVVFFFSLWAAFSWSVMYINLAAI PLVYQSTYGFSLSSANAIFTATCAASIVSTLLSITQSILASRHERWNTIPEHRLYFAC VESVLLPAGLFMFGWTSQHGDTIPWIVPTIAVGISTMGIFSIYLSVFNYLADTYVRYA SSALAAQNFCRNLMNGVFPLVSRQLFKNLGYGPAASLLGGIAAGLCLVPWLLVVFGKS IRGRSRLQDNLTFNTLTAQFCIHLHRYISR ANIA_05368 MSRILITGSTDGFGLAAARQLVQKGHTVYLHARSASRAAEAEKA CPGAAGTLIADFSSLSETKRFAEEANALGIFDVVIHNAGLYLGPIRRTEDTQVPVQVF VNVLAPYILTCLMNKPKRLIYISSTLHKIADPNNLNDMFWTQRGEAQWDAFKAYCDTK LQVNLVANVVAKKWKGVTSVATIHPGWVATKLGGGQAPDSLEDGVETYVKLAEGDYDQ SLIGPYFDPKGKLGEQIAAAVDEGLQETAVKLLEEKTGLKIPA ANIA_05367 MDYRDLFIWLLLIFCAQAAFIRRLPCSLEEDGSTDPLFEPLSLS GSLDSRDDGAALSIKLLGDFIDERCEELDGASAVLTLDARLLGRLGISGKPWAPEGRC PTLSPKDNPRYDRRTYAIYEASFPLEPCMRAHITPYLGSIPSRILMGVPLTIMLLSGI VTGALRSYQRRRQSTFRYELGDGMQDPAESTMPGLGPCIHYLQFIFLTGCLTLSYPGF FRAVVSSLSWSSLIFRNWPVTHQFTYPGVEDGIYSVNATYGLEEMAQYLGSTATSDLW TNSIVNLALLMVGVVVTILSIGLYRWLRQLYESQRNPDQAVDLQIEMQTLLHRIGWSF ARLVLDYFLHPLIALSLFQTNNARWFPVTHTSTALIAVAILAGVLIVVVRRLVKTDRQ AVFFQPTSLPCGVGSNHWGFYTLYGIPFVRGIAIGGLQLSGLAELVILLGCEVWILTW GSWNRQTGFTGRHALLSAARLAALGMSFVFLVEVGASERTKSIVAYCILSLHLTVLII GIGLDCIYKPLGYLSYRVGLLDSAPNEHSRSKAPVFGIAQLSHRSTRRFSFAHLPALD PAPGEHPSPPYRRHSPRPGSSGSQFTYESKSFFRPPRCNAPLSSHNGAVGPTRSPEGS DRSSESTIESIELAPLDNIYEVINSTEYYSQRESDQFYRPRERDITRPRKEPCSEPEI PSAGWGLGPSKLLRWKRQMPKERGFEVIRPRATTPAMAPRADA ANIA_05366 MASTEDALLRKEAVKQAVPALDSNIAVYNSKAEGDSKNVSTEEF LIMGTIVMYHQLKAAMLKGGRADTKSLIIDKADNANNLGQQLKSSTCYKLDSNPDGDD SEARGSWIIFSGDVACGPGS ANIA_05365 MLNRIPISHSSSLLNISLPFAPKNVKHRPIPTSPTASRRSASCT IASSTYLPRQLTALQRTDPYTTSYTRHARRSSGHGLQAQDPPRARGEYARLTCAVELR LLFAFWKILSVADIVEQGREVHDGQASKAPDRAIPLYDKELDSLSDESLADLLKSAPI LYDLGKPSNNFVLKGGGNLLPCEAKVLQLIASRSNIRAPSV ANIA_05364 MDVLDRVQSGLLVHASVLNSIPAIPKSHISSHRVLPPIDYVDNA SFSIPTASSSSINDRPTLEVSLPLLSVSANVDIQGRLCTTTVTQQFCNASSSVSQNAK YVFPIYDGSVVTSFRCSIGNERLLEGSVKAKEAARRDFKQAVSQRKVAVLVEELVPEV FETSVGNIPAQTTVKIEITYANLLKVDNSTGGLVLTIPTSIAPRYGNAPAGYNGNQSI LTEGLRINVQASMPAAIRRMESRSHPISVEMGAVSHKSFKDFADGASSEVLDCSKGRA TLSDREPILHQDFVLLVLCNSRELSQSQAIAVAQPGQPAHSTIAVTIHPGDILRQNVY VEDFVGEIIFMADRSGSMESKISSLINVMNIFIRSLPEACSFNIASFGSEVTWLWPCS KRYSQENLDVASKHVDSFRANYGGTNIYCALESVLDHFNKQDDVPTNVILLTDGEVWD VDNVIQLVRRTVSMNGSNIRFFSLGIGDRVSHRLVEGIGLQGGGYAEVVPESSMGSWQ ERVIQMLKAALSPSRLQCNVDLGQDPTVKTSERQIAGYRVQRPEVVRAPHHIPVLNAS SHFTLYYMLESGLDSLPKFISLTATTGKGEKLTARLPIQAVAEQSAIHHLAAKALMND YETGQSWLHSLNPTLQTSNPTGFGKVLEQEAQHLGLRWSVPGKWTSYVAIDRTTAQQH AISLHKADAIEVSQLTKPRHTFTHTRLGPIHTQSAYGYGEYGAFRSLRLGEQRHPSPP SPRHPSRPVPWCAPPPSPRHPHPSSPRHDPPSSPQTPQTPQHMNTFNKFRASAIARAP DQLMRAPARQFMVQPSLDQTQQTFHDLSTPVGSFALNLDSGTLDSSDILQSFDFDAFL NTDGNDSSFLDNPTTGRCPPVTVQNGAMDKRFSRSNESLEAGDRDNETTATCNDPDKS NFALKWCPQLRPPAKRLAGYPANRIEWTTGSEYDSNMATPQDASSKLDEDTCLSSETP PSDFPALETILRMQQADGRFAFLGLFFIKVLREKYDSNALEKFLNSKFDRQSHPTRCR LTELELTYNILIVVYITHEHAPSKALWELQVAKGKQWIKRTIIELLKESCGSGEVPDS SLEELGESIFEEMQTQVLRET ANIA_05363 MAFQISIGDILMLSKLAWDVTQAFTSGRKSAPAEFQEVQNQLSS LTHALESLKSLSRVSPGHDDGAPVSSIARILQNCRFTLEHLEALVNKYMIIENESGPT ESKKRRWREEIRKNWKKVRWTREGGDLTILQHHLGVHINSLNLIIAVLNSGINQNTGR KVEDVHEMLQEIHAWFTSNLKGRTMSFYEPSSRSQETPPELSFALHLGERAPFDAAAL CDNASFNANWLQTPDQPVFKCNCQLRRTRYGDIHDEELMQYFILENMVVLWQNIPAHL PHNPNHTHINIFDGVISNLATRMARRAFHQGMASLMVSPSTNPYTGIPVVSVLNMLCQ LSDASELYNKIENVRLRASGHIYSTGAIEAVHLVHYRNLTGDGAWVFNETAAIVLVVP LNTRLVDSTEDEPFEFTLTGERPRVCDVADERAKLPQ ANIA_05362 MQMAQQLPSRAFTLWHHIPRFIIQASPVLASSGAAVRQISMISG SGSLNQTEVDRSFLDANWRRFEQDYGVPHGQQAELARLAALFMFSENTVGANSEALQC LRKGAGADWRACTHYASFALMISSIEQNAGRCVSLQAYLDLLHLCP ANIA_05361 MRVFPVLSFLSLALIPPSLGVPSPQLRDVELPPTQQALTINLKP QQTSTRDLVSLDGLWSFALEDATNSTSAPWTAALPKGLECPVPASYNDIFVDRTIHDH VGWVYYQRTVTVPRGWADQRAFLRLESATHHGRVYVNEHLVAEHVGGYTPFEADITSL VQPGESFRLTIGVDNQLTHETIPPGDLVTSEYTGKKQQSYQHDFYNYAGLARSIWLYS VPKDQFIKDITVVPDVDWDGDAETGVVSYTVQTSNATSGPIRISILDEEGNEVATASG ATGTATIPSVNLWQPGAPYLYSFTVSILSASQRLIDTYTLPIGIRTVAVGNGTILVNN EPVYLTGFGKHEDSPIRGKGHDIAYLVHDFQLLDWIGANSFRTSHYPYAEEVMEFADR QGILVIDETPAVGLAYSIGAGISTDTSRVTFAPDGINNNTRAAHAQALRELIARDKNH PSVIMWSIANEPASDEPGARAYFEPLTRLARSLDPAHRPITFANLGLATYETDTISDL FDVLCLNRYFGWYSYTGDLESAGKALHEELDGWVAKYPTKPIIISEYGADTMAGLHSV LGLIWSEEFQIELLDVYHGVFDQFQNVVGEHVWNFADFQTKEGIQRVDGNKKGVFTRD RRPKGAAFALRKRWMNMMSS ANIA_05360 MTVAQWMRWEVPLLLIISFYLTRTVYRLFFHPLTRFPGPKITAA TGLYEFYHDVVRGGKFLWEIEQMHQVYGPIVRITPWEIHVKDPHFYDEIYTSARKCNK DPNFVGMFGSPTSMIATVDHGHHRFRRGILSSFFSKRSIRDISPLIRRKVQRLMERLA EFYQTDTEVDLSAAFAALTADIISTYSYGESFDFLEDGSFHSEVRDAIMETEKLDHIS RLFPVVLTVIRHVPVWAFAIVKPATAVIAGIQKRVAEKSAKALKMTGSSKEEWTMFDA LTDPKLPACERTMSRIHDEGMILLSGGTEPTANALTVAAFHMINQKDILTTIREEVRA SGRDVKKASLAELEQLPYLTAVVNEALRLSHGLSIRSPRISPTEALTYKSYVIPAGTP VGMSNYFVHMDPSIFPHPASFNPNRWIEASKRGEKLDRFITAFGKGSRQCIGINLGYA ELYFALASFATFFDYQLHDTTEENVRVARDRGVPFPEAGHLTCLWRMWPSEGMILKAQ ISPEFRQCLLIVATRKAYARNGSML ANIA_05359 MDKISVQDLANILVDFLKRFNYNDTARLPSEELKPLYDFVLPYI PYNEKIVRELAEYAHCTFPFLPLEVRQAVALYDTFQMSVDDLPVEQYDSLHDLCVQLS SGETVKHPVWNGFFNSLPILLQYYGPYAQTTLFRGALEFIQATCLERTLFRGFPGSSY PSYVRRMSGQGPVQAAVCFPEAEFPQEQYLPLIASLEAELEYCRLENMTV ANIA_05358 MEIQVFHTEGESGSAFERINYPLKEATCTGQSVLDILTDLVNTA TGSWVRVRKILEAVGDKRLSDRVGEFFQGYVRHHLYCSRYKLGMVCAESGNQELLRFH QMSLDVVGGSETE ANIA_05357 MKSFTLPMAVLATLSVARAEISVSECANMCLSNMLAMSQELGCD DGDLECLCGTPDYRYGIRDCTTEACPGDNANDVLQAVLDECPGQSGSDLTLTPDPTST QTASGTATGTGTESATSSAGAGDNGSSTIYGDDASTIVGGGSSTVVGGGTSTIVGGGT STILGDDTTSVIGDDSTTVVANGATTVIGAVTTTGANGETTTTETTSTGGSSTGPSST GSPSPTQSPSGSPSPTTTTLVTSTSSSTSSDDQGTAASNTSTNSDGSQTSTGSDSGSN AEATDAPTNAAPRIAFPTGAAGALGLAALMVL ANIA_11476 MNFSWLATLALIGTAMAAGKTLPAGAPCTKDGKMGVCESGVCIQ DVNADKGHCK ANIA_05356 MSAAESPASDAVQETVKAAETKAVNQTAAQIRSFAAGGVGGVCA VIVGHPFDLVKVRMQTAAQGVYSGAIDVVKKTVAREGLVRGLYAGVSAPLVGVTPMFA VSFWGYDLGKTLVSNLSEVEVKNNTPQYSIAQVSAAGFFSAIPMTLITAPFERVKVLL QIQGQNPPPPGQKPKYSGGVDVVRQLYKEGGIRSVFRGSAMTLARDGPGSAAYFAAYE YIKRSLTPKDANGNATGDLSLPAVLAAGGAAGIAMWIPVFPVDTVKSRLQSAPGKPTI SGTIRTVYAAGGFKAFFPGFGPALARAVPANAATFAGVELAHQFMNKLFD ANIA_05355 MSTDYKFEGWLGLDAGSVDGKMQWKEFEPKPWEETDVDIKISHC GICGSDLHTLRSGWGPTNYPCCVGHEIVGTAVRVGSQVKHIKVGDRVGVGAQSESCVG RKGECNECATSNEPYCTKHFAGTYNGVFMNGGKSYGGYALYNRSPAHFAIKIPDAIPS AHAAPMMCGGVTVYSPLKHYGCGPGKTVGIIGIGGLGHFGVLFAKALGADRVVAISRK SDKRADALKLGADEYVATAEDTEWMANNKRSLDLIVCTVSSSKMPINDYVSLLKVDGT MIQVGAPEDGALTIPAFTLIANRVKLGGSLIGSPDTIREMLELAAEKGVKPWVEERPM KDANQAIKDMDAGDARYRYVLVNDH ANIA_05354 MKEAIVPKGLLITIWPDPVDYEYNHNNSDEKVSDRKLPEWLDQA GNQGDDIAGIVEAVGDNVTEFKPGDRVMAFHEMTKPGGSYAEYALAWQHTTAHLPKHI SFEEAATIPLAALTSVSALYHHLQLPTPWSPAASSIPLVIYGGASAIGAFAIQLARKS NIHPIIAIAGRSAPFVEGLIDRSKGDTIIDYRQGDEAVISGIKDALNGAELFHTYDCV SEGSSFVNLGKVVAPGGKIVTVLPFQDYPGVPEHVQVIKNSVGCVHQDQKDLGYVYFR YLAKGLQDGWFKPHPHQVVPGGLGGLETALCNLRDGKASATKYVVRIGETSGL ANIA_05353 MQFRNALLFLSALAPAAYAQRGQQEKQALFPKRCLPNPCEGITP INNTYVCGDPRLGPVQYPSKFPLRNELRTYARFGSLCPAEFLEKWATSVNSNGTYIYP PANGFVVDDEEAVIIGNVTLPVGQKLDRFGSEYGTFLAPLGAPYIERSLPPSNLITYD GNYPFNYHVYQVEKEFVVGLGPIAPWFEQPGMGTQFVAYTNVLELIEGGFLRRLDESE FDERVEYSNSYTEGPNE ANIA_10662 MYSISLIDRTNLGLAFVAGMEEDLGLDVGNRYTVVVMVFFVAYI LFEIPSNIILPKAGAANWLAFLGVSFGAILIGMGFTYSWETMTVCRTLLGIMEAGFLP GCTYLITCWYKRFEVGKRLAAFWLISVVLNAFAAIFAYALTLLKGTYGLNGWRWIFIV EGAITVGVCAIGRLIVIDFPTKADGFLSPAEKQFVIDRINHDRGDAEEDPLTVAKILH HLKDWKLYFWALNLMASTLPGYAYSYFKTIILTGMGFTSQESQLLSAPPYILAAAATY FSGWLTDRYHVRGPVIAVHQLLTAVGMLITAYAKANAARYFGVFLGVGFLQFCIPGVL AFQANNITSHSKRAVASATCLIGGGLGGIVASVSFKSSESPTYTTGIWVTFGVTMVSV CMTVIMDVYFWRVNKKAKETEGRIEGMENWYYTL ANIA_10664 MTVSHLVRRGVEAFSAAEDGDMPIYRVEVGSPILAGLFFITILA GVFAISSIAYTYGTLVPTLAAVEDSNPALYLRVDIDPINKNPADPNDVEMEVETPAPR PITSKLRTTLRHLRARGGRLSRFRGFSMFITLAVAQCIVSSIIPMSPSSIYSGFIQST LVHILLANLRVAWVHIVISEPSPKRFYQRIPSFRSLKKIIPAAAFESLVVNGSCLIAF FIIRLVHGLKELDLIRDGDVSPELVRRAAGIMSIAGLVGWLASFPANVIFVRVAASML PAEDEPIIPFDRSFGGKVAPEVVGGGALSIADAWKTMDRDGWKRYAKAELKAMGLYMA SSIFFSIVIAATLLGGAFAIKPKGGN ANIA_05351 MATTPAGRMLARQLQHMQSDKDLPGISCGLVDNNVFEWEVMLMI SDDVKLYGGGFFRARLSFPPEYPHMPPKMKFEPPLFHPNIYPNGEVCISILHPPEEDK YGYESAAERWSPVQTPETILLSVISMLSSPNDESAANVEAARLWRDDPKEFKRRVRKC VRESLGEE ANIA_05350 MASYETCHEVSPLCPVEATTYGYYPNFGGNVFFAVWFGLLGVIQ LGIGTYYRSWTIMIALAVGPLMELAGYIGRILMNDNPWNGDAFKLQIVCLVLAPTFIA AGIYLTLKHIILALGPEHSRLKPKLFTWIFICCDIGSLILQAAGGGVAAAAGNDMEVL KIGDDIIIAGIAFQVATMSVCGLLAAEFFWRVRKSGPGSFSEKSLGRRSIHLILFGEI FAYFTVLIRCIYRDNCSIPEMAGGWGNPLMQKEKEFLVLDGMMIGLAVLSLTVFHPSF FLPSLRKGVKAQP ANIA_05349 MVATSVRNDVLSVMSKAHRAPIANQESWSASTREHRCLVAYPVH PPVQQPLDTVIVRLPSSLDPSAAFTYINTALEYHNQTLTPFRHAIDDINPQNSDAVFA HSVVTTIICIALPRHTAEKDENIGAYEKIVLATELLQGVSKILNLYRGWVTLKPFTYE SNFWGNSTTALDQDTEIALNNLSMLTDEVSNSEQRNVFHEAVKLLRQCFVRYANSRDI ASILAWLAAADKGFVHALRCRHPLALLILMHWGVLIHELDGRLWWAQNSGSALVLELL VELQSYQPRWKNILLWPKQKIGLERHLVHSRTTHMGTPH ANIA_05348 MALQMVSPQLEEVMKKGYAAAFEAHTVFLTGSTGSLGGCLLYKL ALQLPTRKIFVLVRESSETAVRKWRRSMPCQAQALLGSKKIHFIIGDIRQPDLGIDSG GLASLRAEVTLVIHTAAKISLDSSITEALENNCFPSLELARLASSFRKLKLFIQLSTA YANSFLPDGYIGERLYDLSDADCEEEIASIKQSGESPHTSRFSSSYTHSKHLMERLML KRYPTLPLLFVRPTIFARALRHPYPLYGLDGSHPMDKFADLLISDRGGKQTWHATEGY ESGANVLDEIPVDFVANACLLHAAAKTRGIVQIGSELYVQRTYDDFLKLLRDHAPTKI REQLPEIIFVQDRNIPQHWLAELVKVASRNWLFDCGRSYWLKQMGGPLSLAACEHDAD RLLVARIEDVYKKHMRRMAKL ANIA_05347 MSSAEAIPSFSQGHGLGHSRGRGHSRRSTPISQPIPSYALPAAQ QQSQHSVADVNNTNSNAEDLKNGGHHHSHCHSSDHHDHFESAPVAHSHAHKIMGIQTE TAPRQLDEVVAGIMVALPWIALSWFYEHYAQWTQPEPDSTASIGRIDRATSRTLGLTA ATLILYGGWALIRPNRRSGGESALKMPSLELNTGITALSGFLVAFALALAVGSGLPTV VRGQTSASSGKERQSFKKLSAAFILIVLALSFFGMNAVWDNAPFVGYMALLASIFLIR PPFPAISGSNHASERALGISIPDRPNDSVTTLELQNSSQDPLIAALTGAVLGLLTFII TGNPSFAISDIIHILAAAGSLATCLTYLDISSIYSPRKIGVAVATGSAALFCSPPVQD NIYTVYFIRALLAIASFFAARLDDKRSVSEEHAHHHHHAHATSKPSRATKIILRYTES YPLLYSILKERDSRRIFYFMSLNFGFMLVQLSYGFATGSLGLLSDSIHMFFDCLALVV GLCAAVMSKWPPSTRFPYGYGKVDTLSGFANGIFLMIISVEIIYEAVERLSSGSQMHR LGELLAVSVAGLLVNLVGIMAFDHGHAHGHDHGHGHGHSHSHSHGNENMHGIFLHILA DTLGSVAVVISTILVHYSGWAGYDPIASCMIAILIFASAVPLVSSTAKSLLLTLPADV EYNVRETLAGVSTLRGVVGYTVPKFWLDDTEKSSGHSHGHDHGHSHSHSHLSHSHGCD HDHGHNNSIHSHDHHSHGRDHGHAHENDTPPVLGVIHVTASRAADLEDVRKRTVDFLR EKGIDILVQVDREGEGRCWCGGGGSGSGSGSGRIGGGNNLKAS ANIA_05346 MIESVIEVAFFVSTIVTLFILMLPSQYEPKRRVAGSSDDLSEPK TTVQVLVLGDIGRSPRMQYHALSIARGGGHVDIIGYSESEIHPDVSSHPNISVVPIPP HPSVLQTSNKVLFLFVAPLKVLFQVIALWWILAYHTKPAKWLLIQNPPSIPTLAIASL VCFFRQTRLVIDWHNFGYTILALKLGDTHPLVKVSKWYENVFCRSAVAHFCVTNAMAS VLKKEFDLQAPILPLHDRPASHFRPILDEQERKSFLLSLPEAAPMRRSIEEGNTKVIV SSTSWTPDEDFSLLIDALCRYSEVSSTTKPHLPAVLAIITGKGPQRDIYVERISRLQK EGKLSKVTICTAWLSTGDYARLLASASLGVSLHTSSSGVDLPMKVVDMFGSGLPVVGW SKFRAWPELVTDGVNGKGFGSPSELAEQLVELLGNPENLNMLRAGAQDASAFRWDDEW APVAGKLFGLD ANIA_05345 MLDPIELQVFPSSYDCISWSEDGDIAVAAGEYVHILTPKLSSEN EANGTSPNASSIEWHRIRFRANVFTINEWPIMFPQPRDHFSVGAEQSFSTVAGIGWSP PGLAKYRRSVLAVLTSNMVLTIYAPTNNPAKWTRIAIVNKSLEIFFHESIENGTPNLR TQSFRRDIEDNTARTRKTNIRSFTWLPPLKVPAKNELYPAPESRWGFFLLAVTNEDND MVILQVQPSSSEQASQYPLRVNLVSTVSLPTSAGFGPALQFNSLLAKAVRSQVRSLYL SSGPWLYQTEKGDRSGEFPIVATTNVATIQGVNLRVVRLSVNLELRNPDSHDEPRYNL TFNASENVAIPVGRTDLAFTGPIRWAQHAGTGRVSMAVGARAKLAWIDIPESVYIEQD SEDNSTQFYGFPMMVESSDGVSSTSRALHERISGMTVTTGVEPRTPTLHLGSVGGYAA VMPLAASAELSIAPWNAEVEDIRERFDIDRDLGGLAIARIWGLVSQQELIAAAVTLHP GDMVEYRTNAEDRLTVVLSTATGQPVDPERYILRNHTGTESALSPKVLYAAACCAIVQ SQRAELIASAREVLKKLSASTGVHLSDEIARCSEPGSAIEAKPPETLNAPGGNIFEKF SEARPANDPKSAVQFDVSGNPRAWNLKALLQM ANIA_05344 MSTSARRRLMRDFKVRTFASILAPSLPVLCISLYSLPILHSRPN QISRCWTLSANRFLQRQRMQTDPPAGVSASPVADNVMTWSLGTPLLLVPPIPRLRMAH SGWSCISRNNTQTSLPASSSSARCSIPTSTAQESSVSISFKTAGVPLMMSQRSSPVSR GKCLLNGLGYSCCILNTNCFLAQSVYSMIQTHLLLPTWKRQTFTGTTGRSTLNESGRQ WRRVGRSRLASRHDCNAMSLLGRRGLPTL ANIA_05343 MASSTSNPSNTIKVVARFRPQNKVELASGGQPIVEFENDETCSI NSKEASGSFTFDRVFPMDSKQTDVFNYSIAPTVDDILNGYNGTVFAYGQTGAGKSYTM MGSDIDDEVGKGIIPRIVEQIFASILTSPSNIEYTVRVSYMEIYMERIRDLLVPQNDN LPVHEEKSRGVYVKGLLEVYVSSVQEVYEVMRRGGNARAVAATNMNQESSRSHSIFVI TVTQKNLETGSAKSGQLFLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINAL TDGKSTHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNDAETVSTLRFGVRAKAI KNKAKVNAELSPAELKQLLRRAQSQVTSFENYISALESEVSSWRSGETVPREKWTPAR NAEAVGAAKAEARGPRPSTPSRLHDVSRSETPRPDSRIGDRSSTPSIVLEKDEREEFL RRENELQDQIAEKESHIATIERGLREARDELRTLKENSARSGKDNEKLNAEVNELRMH LEKVSYESKEAAITMDSLREANSELTAELDEVKQQLLDVRMKAKETTAALDEKEKKKA EKMAKMMAGFDLGGEVFSDNERKLQNLIQRVDSLHQIAEAGEVIAPDDLLELRASLSE TQGFIRQAELTVNDRSELNVLQDSRRMELEQKLANLEQDYESLLARNLGEEDLAEIKE RLEKVYIAKKDVELAAASELQQQLARKDEELTKLQQSLADSQSRGSTNGAASKSLQQQ IAEFDAMKKSLMRDLQNRCERVVELEISLDDAREQYNNVLRSSNNRAQQKKMAFLERN LEQLTHVQRQLVEQNSSLKKEVAIAERKLIARNERISSLEALLQESQEKLTQANHRYA CTFYSQEIPSLEIQEQSLFYKVPSQKKHILTSTPNNSFEAQLTAVKERLEAAKQGSTK GLPGMDSNGGFSFAGSRIAKPLRGGGGGNEPVAAVQSQDTGTKRTSWFFDRR ANIA_05342 MVRVSRGCQSCVDAKLQSTPSPSPSKSPSPTESPEQCLQKRQSG EQVVLPSRPFPRTSPRAEYRPVPLLALDGYINPSLTVTAVNSQQAQVFTNYVLASFPC FFRCTETRVPVNWVEYVDQRGSSMNSCFDWAVRACTSAYLGSLHDDQRYLVASRSLYH RALRGLGNLLSSEKTAKSDEVLASAIVLAIFEKHNCSSPDAWLRHAAGIRTLMKLRGP KAHLEGFGRAMYIVYRNFLITAALVEGEACFLEEPEWQALNEEIAASDAKLPTSSLYT DVVERGFLSVIKIPGLVKRTRELQCLSSKKRAEVQPALLQDVQATRAGLRGIYTEFGV AVSMLRSGQDENDTFVGPVPNFFFEGYSSLFARGVRLGLLILNYLIVIMDPKQRATID SENFMLLNDMTRSQRSTHPALEFKLPLTPPKSPGRPGLVVRSLITEETREPPTTDWMD RITSTMGLEAVHVSLVG ANIA_05341 MIDFKEFICALSVTSRGRMEDKLDWAFQLYDIDGDGKITYDEML AIVEAIYKMVGSMVKLPEDEDTPEKRVRKIFRMMDKDENGSLDMEEFKEGSKRDETIV SALSLYDGLV ANIA_05340 MTNSAMASAVAQQQQPELQNISDLLETLTTSLSTTGTSLPSTKR ESPTEVSILPPQDGISLLDTKCELLLSYLQNLVFLMILQLRELPSNDAADEKGDDSQS IRAQVTSKLVELRTYLDRGVRPLEGRLKYQVDKVVKAAEEAERAEKSSQATKPSKSEG DDESDNEGSASDNSSSEEGSDSEEDEDIDEMAYRPNVSAFSKKMVPEAKADKTARKTS SDGIYRPPKIMPTAMPTTERKERKERPIRRSNVIDEFVSAEMSSAPMAEPSIGSTIVS GGRHTKSRKEREHEAERTRYEETNFVRLAGESKKERAKKAAARRAEGTFGGEEWKGLT EGADRITRLTKRAKGSSSALERSRKRKLTEDGQRGDGAGVGQIFEKRRKKIESWKR ANIA_05339 MEDPVAEISTVVHLLTQSPPSVQGETVDRFFTRDAESIHPFCRI WKYNGSRWGVKKIYQWYKIMSPHIDLEVRSIAYDKDKLKLYLSMHQVFSIWIVPFHTA PVALVTVLDLATDPGDERQESAGGKKQYYIRKQEDFYQTSEFIKFVVPYGGHMLVMMW HAFASLFSIMGVFLLWPVMWAEERGFFDHHYRIANGAREGVVGALNNHVSNLKNI ANIA_05338 MPKSSSQSSLSTFARQPFLQTFTFFYVIFQNILNWIFAPGPPPS GPIANNLPKKRVAIIGTGLTGVSSAAHCVGHGFDVQLFEKRSKEKGLGGIWSRVNSTS SLQIHSIMYRFHPSVHYDAAYPTQEEIRDQIVDLWKRYKLEDRTVFETPVESVKQTRT GKWIINNDEEKWGLFDGVVASVGVCGDPKMISLPDQTNFKGSVYHSSELDGKQVKGKK VLIIGGGASAIEALEFAVKSGASEIDVLSRSDKWIIPRNVWVQSLLALNIFGQETFLS WIPESLLHKFFYRDLQDIAPSGGLYTQTPMANSELFDQIREGKARWLRGDILSVKEEG ILFNKRSKGVPQGGPGRETVIPGDVIIQATGFKRPSLAFLPEDAFEEPYGPPSWYLQV FPPSYPSICANNSTYVNAIGTVGNMHIGIYTRFLLMFLVDPLSRPTEGRMKTWIDFTR FMKRLSPVGAFDFFTYAELIYWFVFVILVNPFRWKWAPFVLFGIGRGLPAQVVKQEEA FRNALRKQYAT ANIA_05337 MAFQGTPVLDRFLTSLAEIVRDRDGAKLQDFLQIEPPLPDVYRQ MVDELRQHYANGSTKEAELLRRCEGLVPKSKGSSAWTAFPTFMKLYFCFLRDVNVDNL LETYDMLKMLLNQCVMALGDSQFGIVVLPTVLYLSKVLAKLAMGLDRRPELIAHLLRL EGGADQDESTEKVTLVEKSANVVREAFIKCLTDRSGTPGVHGKPEGKRVGIYLMANLC LKLLFQCGKLRNAEQMFASISAQSPPLKHFPASQRVTYLYYLGRYLFSNNLFFPAQIA LQSAYDQCHRQAINQKRLILTYLITCNIIMGRFPSLQLLQRPEAEGLADKFLPVCQLI VRGDYIAFRDHLTVNSPATEWFARKGILLPLRNRCEILVWRSLARKVFIHGGFHGDPQ GQAQRGPPPFLYLTKLEAAVRWLQAQHPTSHPMPTTSAFGNNLPLAASKSQSGSQIVA IAPDPDFDCLDGPDTNGTALNSSLLSKYEDYLCPDGFFDETGQWQSNPTKALVDGTPD SDYSKYELNPYAEPTDEGDKPSPLMREIESILASLLTQGLMRGYLTHRNPRFAIPGAR LRGALPTGFPNVWKTIYARESEDTGVPGWVQPPPTTTMGVAAALGGGGRVVNLSGVRP VGVQ ANIA_10661 MSSDRADGSSVTYSSNRSGNPDLRLIHYNDVYHVEPGSAEPVGG APRFQSVINHYRSHPSFDGQPTPLTFFSGDAFNPSLESTVTKGRHMVPFLNKAGTNVA CVGNHDLDFGVAQFRHLRNQCQFPWLLANVLDPALGEDVPIANCEKTCMLTSSNGIKV GVIGLGEREWLGTINSLPPDLIYKSASKTAIELVPSLREQGAELIVAVTHQREPNDYK LAESIPYGMIDIILGGHDHFYGHAFLNGVHILRSGTDFKQLSYIEAFRKTDGRGWDFN IVRRDMVRSIPEDPSSMALVSKLTSSLKAKLDKPIGYTVRPLDGRFSTVRAGESNLGN FACDLMRFYYRADCAMMAGGTIRGDQIYPPGVLRLRDILNCFPFEDPVVLLRLKGKAL LDALENGVSQLPALEGRFTQVSNITFSFNPSAPPGSRINWAKIGGKEIQFEESYTLAT RGYMARGKDGFASLLVQSEGGEVEELVDEESGTLISTLMRQYFLSLKVMGRWQNLSKS MTRHWADVQKSMSANGFLKPPSALSSPDAEKVPSRLQRPPLSRKQHYYYGRFAQAQAA IDEGEEPDIDVSMDSDSDSDPDILVSPRPITNYVTLPARSAEEEERRLRLARWATRRW MRRTGISSTMDEDSKNDDLFTPQWTPGIAPRLEGRIVIEVKA ANIA_10663 MGKPPAAIFIVRHGARLDAANKEWHLTSPTPYDPPLSYGGWLQS RALGARIINEVRALEDQLDRPAQGDHDPIAHSHRLRERKQKRRIIIHTSPFLRCVQTA IAISSGISQNHNDIESLRQSRVASSQSNGIPASDSATSPEPETPQGSSRCLLRVDACL GEWLNTEYFENIAPPPKSERMVANAKTELLRRDESVIPIADTQPTPTVGHFPGGWGSP VSAPDEEDRKFEVESSSATTNGPAQRNRSGSYDSFRAVDTPWGRKILKINTDVSSNPG AAYAPPVPSYAISPSNPIPDGYVTHARDNCVLVDYQWDSMREPQNWGSGGEYGDEWST MLTRFRSGINRIISWYQDDDASAPLGHRRTRSQLQFLGQNEAEESAVDTILILVTHGA GCNALIGALSGEPALVNVPTASLTLAVRKDYVKDLTRNGERAKKYDLLSRTSGPEHYN LVEVASTDHLRPGTSPITTSARSPTSLRSPSTSSIPTSPISSYRHRSTISSGPIIMGQ SLKAGMGLQSWTTSRPSSGLWKSVSRDRDTVDDLVPNFGSPLSATSSSSISGMDGASE ISSPTSGDSACSGQIPQRTLSQRGLWRSGPLNKERSAHTGRRWTVAERPH ANIA_05335 MVAWAVLLLAVVAATLLHLLYNYSRLKSVSGPILAAFTDAWRAN AQRSSSSSEYGRLLKELHRKYGVAVRLGPGFVSLSEVGDITRVYHTLLQDEYESAADT AMRNLVHTVRRCRTVDMTTLLHFFADEVNTRLFWSACAPSAASSASSRTHRIQPSFSF FATIEELVLRGPVALLKRERLSCYCLSGDVSAKIYGNELVLPTGPSATRKLAISAPDG LILAASIQIITKAFVSVFFFLLNNPLIMRRLRQEIESIPRFRNRTKLPSSRDLGGLYY LDAVFKETMRLVILQSQPMEVRVTFESLYISSKHVPRGTVLSWHPHVVLTNDAIYENN LYVFRPERWLTPNRQRQTLMEASLLPFMVCRIHYPKLEAAWLLLKKTVVVLLREFCDI NLTQTEGQTVADGMELPPWSMVVDFIPRPATAEEYVGQLL ANIA_05334 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQACLRWLQKQFIPSTEHRTRGRYQLLVLDGHGSHLTPEFDQ ICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSYASLVDQKMRLGISHIDKLDFLAA YPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKLSIQARTPTPPGSRGSQASTFCPH TPANVDELLKQASLLRDFLKQRSKSPPSPSHNALNQLIKGCQIAMQKGILLEQENRAL RAENAIQRRKRARTHRWITHDNGLSVQEATELEEAHNASFQAIPGPCGPPAEGAQTPK ARALPTCSTCHRIGHRRNACPNK ANIA_05333 MQPLHTLLALLPLCRSTTALLAFPGAEGFGANAVGGRGGDVYVV TNLEDSGEGSLRDAVSETDRIVVFAVGGVINIEDRLVVSKRVTILGQTAPGDGITVYG NGWSFSNADDAIVRYIRVRMGRGGDSGKDGITIAEGSNMIFDHVSVSWGRDETFSISG TAENITVQDSIIAQGLETHSCGGLMQTDGGVSLFRNLYIDNKTRNPKVKGVNEFTNNV VYNWGGGGGYIAGDSSGQSFGNYFISGPSTSVTAFTRGNENFHGYVENNYYDPDQDGT LNGNELGVSSSNYGGMDIVDTKYDYPAAQYIMTPDEAVSYVTENVGASLVRDGVDSNL IDQVLSYGTDGALISDEDDFGGVGDLDGGETPTDTDGDGIPDDVETQLGTDPNIADST EIDSSTGYSWLEVWANSLVPEGYASTRKC ANIA_05332 MNKTLVYKYCNNVLRSAKLPRQSIPLPAASLYSIRPYSTQKPSS GYRMVSNRPPNEMVYLPGILSPSRSFGVFRKVIHTGLYSQFVAMEIPVNGEIGDEKHA VDQVLLFTHGTGKAIVAGKEQVVKQGDVVIVPAGTQHQFLNVGDVPLEVVTIYSPAEH DPRTVHATKEEGDRQEEKGEDEPPLWSQRGKEENERLGIVRIE ANIA_05331 MIAKTEPVVESTDGRLGQFNPMIRKESLNEKVILVVGGSNGIGA SLVELCCENGAYAIIGDIDAARGEQLARKCIQQWPVHQEPTGPPKPPRAIFRKTDVTD YQSVLDLFDNTFKKYNRIDHVIVTAGSAETRESWFDHSLNLVQVRKAPSVKDIDVNLL GTLYVTRVASVYLRHNRGPGVDRSILLFSCAAGIRETPVVPIYQVAKHGVQDLMRSLH ANLNSPYRHSIRINTICPWMAQTGSTFSKTAEERWTKEGLPFSTADDVAKVSVGVICD DSLHGVSMYVEGSRAWGIESERPESQCLGEWPSQSLAQGQRVLEEVFAA ANIA_05330 MSLPKNPQQWTRQPFLISTDKSLLSVSAINAAFALESLYWAYPL PESVLQDMIDNSFCFGLYKTPSPSPETATPSFINNVPENESERPQMQQIGFARLITDN CTIAYLSDVYILPEYQGLGLGGWMLDCVDELVKPLPHLRWFLLRTGEERSLSAYRARY GMDVLDNGDISRGALFMGRRGGGNRV ANIA_05329 MGILPVGVKGTPGDEKGPAELDPDFLVRWDQAEPMNPQNWPDRY KWWVTFQLGMLALAGSLGSSIMTPADHLIAQYVGVSQEVSVLNVALYLVGFIFGPIIW APISEIWGRRVSILPAVFCQALFAIGTSRSTNAASVFVTRFFTGFFGSAPISNVTAAL GDIWSRERRGIAVSLYAVAVNGGPSLGPVIGAALVTNPHLNWRWPGYILAIWVMVTFV MAFFFLPEVYPLVLLKRKAQRLRKETNDNRYYHPHEHLKLDVHSIVTKQLSRPMIMLF TEPVVTCIAIYASFVYGVMYLTLEVFPIVFEEIRAWHPVVAALPFLALLIGVISSVGL NIWNQYRYNIIAKNAGGKAVPEGRLPPMAFGAVFFVIGAFWFAWTAKPPHHWILPCFA ALFIGIGFNCIFQQCLNYLVDMYGIYAASSTAAVTFLRSIMAAGLPLAARPMIDAMDV GPAVSIIGAVAAVLLPIPFLFMKYGSRLRGLSKLTPDNPN ANIA_05328 MRFTGLLTLTAAALALAHPGEKHDPVALKREIHARDVQAIRARQ ALDACSGSADAIQLNERNVARRAQTTRNLRQQRGITAHPQKWRRDLAALEKWEAIDHN KTGILNYEPNTPEQDIFGANTSAILAPTVTDGPYYIWGELMRQDVREELYSDGVDLFL EVQYIDINTCKPIQGAIVDIWNANATGVYSRSGVVATGNDGGWDTTFLRGIQESDKDG VVTFQTIFPGHYEGRAIHTHLLTHIGATVNENNGTLQVGTGSIAHIGQLFWNEVLRSA VEDTYPYNTNTQEIVSNADDMWSVEQATDEYDPFPEYIYLGNGLDDGLFAWIQIGINA SADYTDNSYYSIAGYYDENGGHQNADSAAFGGGGDGAAPSGAAPSGAVPSGAVPTGTA APSA ANIA_05327 MVLVQPTQTSLDATSSDATETMKESTSNNAHLTDPSLLEKFDKL FACNVGDYVALPQLVAVGDQSSGKSSILEGLTGLPFPRDSTLCTRFATQIIFRRASGQ VGRSIRASIKPAPQSDPECVARLKAWSRCESAYLDPKAFSDMINEVHTVMGIRSLDDK SKPTFSRHIFRLEISGPNEDHLAVIDVPGIFKSVTPGVTTKHDIDMVRGMVQEHMGNP RSIILAVVPANVDAATQEVIERAREVDPEGQRTLGVLTKPDLVDRGAEHNVLDLITGK TMPLRHGWVLVRSLGKSELATGDKTRADIEAELRSRPGWGLVPADRFGIESLRQRLQE TVTENARREFPRIRVDINKKLKETQASLLALGQERLNRSQQIDFLLDLVAKYQEVMSN ALRGNYGANSIFDKVKRFRLATTVIERNEQFRSDMMNLGHVYNFRTGTESSQSLLSRA RVRTDEGNEENMVSTRKIDSTVELNDVLYGPELISPPVEDDIYSWLEAEYLGSRGFEL GTLNSSLLPTVMKRQSKKWGSIALGYISDIIALVNACIVSVLTDLCQDGRMSRGLLAI MMDDLQKKYTNAIAHTQFLLENERNDTLISLHPSFVETLQTCPDSKMQKCIADKTFSA GNAALGKVVRVEDIKAALPGIGNREYMVQQIHDILHSYYNVAMSRFIDNVCMQSANYF LLSGPSSPLKLLSPTFVYRLSEQELAGIVGDDPVIKRQRLQLMKEIEELEVAKKILL ANIA_05326 MSVKQSGIERVGFTDLRGPYGAINIECSLYPSNPQLNAQNLTPA LIYIHGGGYNVGTGDEFENGCRILAEKAGVQVYLAVLNWLRGNGGRDRGVDPDHVFGG GDSAGGNMTAALSLRLRGEGKRAMAGNVIFEFASNYIRAGVPPSALYISPGQHSVPSL KDLPAAAVYTCGLECLRDVDVEFASNWKRLAFRSPGTNTKPCAMGFLQMVPWSSTAMK ALLQVAQDLRDTIKSGQK ANIA_05325 MTPTPRISETIAQDHAEIKAIYDRIVSSTSRDEQIRYQNLFVWE LARHAVGEELVVYPAFEKHVQFVGAGLASKDREEHQAVMDPSSRFAHPPLLIAVKEQL KTFQSLDPSNPNFIPTLQGLMNDLSSHMREEEGEDMPMLEETLSEHDSQSLSKAFNRT KIFVPSRAHPGAPNKPPFETAVGLLTAPFDQVADLFRKWPRKGVEV ANIA_05324 MSYNNTSNTAGPHTSDMANRVDPRVDSDLSRSQYGAGTGTTGVR GTGNEFAGTQSYGGNTSATGPHSSNLANKADPRVDNTQYGGTSAYGNTSTTGPHNSNL LNKVDPRVDNSQYGNTSHGTYGSSTHGASAAGPHSSGLANKADPRVDSDQYGSAGNTF GAGTGTHGRDSTSTKTFEDAHFGTGPHTGGNTSTNAGPHNSSLMNKVDPRVDSDADNR ARYTPGATTTGTTHSATSGNAGPHNSNLMNKADPRVDSDRDNRAKYAPQTTSTGNEYT ATSGNAGPHTSNLANKADPRVDSDRDTRSKYAPGTTTAAPSSNAGPHNSNMMNKADPR VDSDRDHRTQHGTTTGATGATGTTGATGATGATGAAGTTGTSGAGTSHGTHTGEKVHS SNLLNKLDPRVDSTTGQMKNSHSNTSSSTNAGSTGAYSSGGVGHNSATASNSAHHTGQ TTATRTGENAGSGVKGAAAEIHGMGESLRGGLGAAVDRAFGDEEGVAKNRAVAQQGDH EMSTGRFH ANIA_05323 MYGAHSSGSSHDAGQPSFEHKEKPKTRHIYDVEGLDRSKLNAIF ENPLAGVPRDELFEDVDRFCQNHGLMEYKELFRKGALISQNPAVAETLPELTEEEREA LQRETTHKWSQPWMLYFMTTMCSMAAAVQGMDETANNGAVVIYPRLLGIESPRFSDMM QDYITGLVVGAPYLACAIIGCWLTEPMNRYFARRGTIFISCVIAAVASVWEGVANSWV NLFLARFVLGLGIGSKSSTVPVYAAECSPAPIRGALVMQWQMWTAFGIMLGNIMGVAF MGVEEDLSWRLMLGSTVVLPIIVCFQVYFCPESPRWLIEHNKIPKAFKSFRVLRNTDL QAARDLYYAYVGVQLEREINKGKNFFTMFLELFTVPRNRRATLASWIVMFLQQFCGVN VIAYYSTSIFLNSGYTVQEALLASMGTGILNWVFAIPAFLTIDTWGRRNLLLFTFPFL SIWLLWAGFSFWIESNIPTSRKRVAMVTTGMYLFEVFYSPGEGPVPFTYSAEAFPLHV REVGMSWATATTWCFNFILSFTWPMLERAFKPQGAFGWYAAWCLIGWWLVLLFVPETK GTSITITDLILTNEYILTHLELTLEELDRVFSVKTRRHARYQLRNAVWHFRTWVLRHK LEPMPKLYEEPDSPTTPSPPDVEVEPKVRSENVENVGA ANIA_05322 MSFPVLCINPFQGYFPQEPESYGNELGELPPPVLIWGNLRLECG EPCLPLQISSLGSAFGMDSRAEVGETLVPSWGFRLNDIGMPISRRDVDIGVDTESSTP G ANIA_05321 MLVPSLFGLSVLAAITSALPGGVSPRSSPSPTVTVRNGTYIGKH NAHYKQDLFLGIPYAQQPVGNLRFAIPHSLNETWSEPRDAKEYSDICVGYGTDSIWYP QSEACLTLNVIRDSSVDETSSLPVGVWIHGGGFYQGSGADQRYNTSAIVANAQRIGKP FIAVTINYRLSTWGFLNSAEIREAGATNLGLRDQRLALHWIQENIASFGGDPKKVTIW GESAGGMSVGNQLIAYGGRDDGLFRAGIMESGGSIAASPGNTTAYQSQYDDIVAKAGC ATASDTLQCLREVPFESLNAIFNTTTGDPAYSFGPTVDGDFLREWGSVQLDKGEFVKV PIISGTNTDEGASFGPTGINTTQQFYNYLTGGASGYRYPPAIAKEILDLYPDDPSKGI PEFLGNQRIPSKGWQWRRTSAYAGDYSMHANRRRQTEVWAEHGIPAYAYRFNMRSGNA DWIGGAQHFEEVAFVFKNFEGLGYHYGLPFEGMPERYKELSTLMASMWASFIVDLDPN SGVESEVYWETYGVNEPVDIVFDANVTGLGYMEDDTWRKEGIDFLNSISRVLWR ANIA_05320 MLRIYLPLFLAISLVAATILENGQPRLDPYPGQAQLLENGVKEG WKTYDADAKEISYKGRWDEQHISWWSALSNECSLSSGDFATYEGLSSWAYLFAAGLGN VEYHLTAYPGICLHDQECWGNPRGQVYQWYRTTDTSARAMKIYGDEPPKWDFKSQQPA DLVVINIGTNDNNPANNVPSEDYFNDYVKLIADIHGIWPHAQIVLMSLWGGFGASGDT YVQGPLFVDEIKRVYEVFQKLGNFVHYFDTTGILQHNDIAPQWHPTDVGHIKVAAHFM QWVKLKFGWEMAATGPMVHSGTLYWNDQANY ANIA_05319 MSTEVIDPYGDIIVACSNVNFLVSSNALSLASPVFYSMFRPGIK EGLAVRGIPGSLDVPVIPFPDDDSDTFLLFCNLAHHKLPALPETLNATLLKDLAVFIV KYACRPAIIDRGWLWITKDELSAELSPEDCWNALLFAYAMDLPDRFSYFSGKLLSSRR QSFSNWDYALANLEFIPHHVLEQLDIKQALLHFNVETALMEIVSVAQTKSSKECRKLK MFLGSYSQILWKAGILPGMLELRRKTLPQIMQCALGLPAISYNPGSGECDGYYNECYC SHANELDIKQHLLKELMKCAEDEQAGICLLCVKREPCDKHPRLHKEDGNLGNEEKEVS GPAI ANIA_05318 MAIIDTTKDLSALFTQQVRASPNALALEDDKTSYTYAELDKEVE ELSRRLRSYGVSRDSLVGVLLPRSAHFVIACLAALRAGGAFLVLELAYPPDLLADVLE DATPAVVVTHRSETGKIKGSVPVISLDEPPVDANGHTVEPGPLPVDDDLDRLAFVSYS SGTTGKPKGIANPHRAPVLSYNLRFGVQDLQPGDRVACNVFFIWEILRPLIRGATVVA VPDDHSYDPAALVDLLASRHITETLMTPTLLATILSRHSDIGARLPELRTLWLNGEVV TTDLARRAIRALPNTRLLNCYSACETHEIACGDIKEIVSDESQYCPVGPLLDPKHAYI VNEQGEKVEEGVSGELCVGGPMLARGYINRPETTAKAFIPDPFSNSPGAVMYRTGDRA RMLPSGLLEITGRVGAMIKLRGYSVVPGKVENDIVKHLAVRQCAVVAHGEGLERQLVA YIVADQEHSEERPTVEINSSGHSPGARRALTKFLAHYMIPALWVQVDELPTHEVSGKI DLKRLPPPPTEVLANGNGKKEDPIGIEDIAAIWAVALKVPKATLKPEDNFFDLGGHSL SIADLSSRLSRKFGFRIPIVRLAENSTLSGHLDTVRAIRDGHTAAVQADLPAVLRTDA TLDEEIRSDAKICSLTDAKTVLLTGVTGFLGAFLLKDLVDSTSAHIICLVRFNEPEDD DQPGGVARIRRNLLDLGLWNDSIMERVEILPGNLSRSRFGLTPDAFQELAQRVDVIVH AAASVNLVYPYAALRAANVGGTREILRLASQGGATVQYVSTNGVLPPSGEKGWPEDTM LDMKDVPTKLLDGYGQTKWVAEQLVLEAGRRGLPVRVHRIGTVSGHSQSGAANAWDLL TALIVESIKLGKYPDVEGWRAEMTPVDFVSKAIIHLANQTAVEQTVFHIGDPDPVNTR SVFEDLKTLGYPTEPLSWDDWVALWTSQRGHVKGGDGGFTVDILRSGMPSIEFLRGIV VLDNSATRPIRREVERPKVDRFLLETYTRHWFARGWLKRPPIRQRQLSPIPKGPLSGK VAVVTGASSGIGAAVATALAREGAHVALGARRLDALESLKEKLSASGVKVVTCKTDVT DRKQVEGLVKAATEELGPVDILVACAGVMYFTMMANTQMDEWERTVDVNCKGILNSLA STVPGMLARGKGHVVAISSDAGRKVFPGLGVYSASKFFVEATLQALRLETAGQGLRVT AVQPGNTATDLLGMSTDAEAIKKYGEPSGAQILDPEDVANSIIYALRQPEHVAMNEIL IEPRDEPI ANIA_05317 MSSVENILVLGAGELGTEILLSLAQHPALSTRTHISVLLRPSSI ASPGPAKAAELERLKANNITLVPADISTSSQSTLSSLFSAYDTIISATGFSAGRGTQL KLARAVLDARVPRYIPWQFGVDYDIIGRGSAQDLFDEQLARAFGVVDTEKGVVTALGS WENRVTVTGPADIGRVTAEIALEESFGDPDNIVYVAGDTVSYGRLADIVESVMRRAFE RRVRTVDAAKRDLAQDPENGLLKYQIVFGEGRGVAWNAEETWNAHRGMRLQTAEEWAR ENLV ANIA_05316 MSSPRPPKPMPCSTYDAMCSQCRFHYIKLREKGVLPRHLNYHPG TYPVIFTCNLNLDLCLNNGGTFINHGLTALGTIQSHLESHFKLPVPVHSHCTSSTVGS AIHIHTSLLFDFPFKLKDVNRWKGWLAEFMKISVYEKSDTLRPRALYTTGDGDWNIGC PDEEEERHLCWQRLRWFLEREGVGVFVYHKPSYM ANIA_05315 MELTVAEVSGLIAAGNFVLQILIPLAIPWALSAFLSQENSVITW TILARFFHSSLWPSILGISSTETAGVPWRIYLTSWGQVGLLFLLSVAAICSPLGLYSS IEPASSATEKQFSYIADRSAFRYGTPLRSEGPFTRFCGTESPCPGTTITQTCATRGLS EVCNDTVYESRIPEDLASVFKDGAAAVGPTVASIFDMQYRMYRNSTDQNSELGWYTKP DYRTMSVQILEDKIKLVEGLITDLQDGGIGFRNHTAPKATLKYGASWTEDILFIEPET ECVPLNLSIHAITPPSNFNGRFLENFSLVDDGGFADLSRTAPPGSTATAIEQGELDLK DRAYRAAWLNNHYTMVFLNITDGDSQTQTRVDSVHGQRIDLGDGKNNNFSITFSAIQT NLNYGAYLNFTATQNRTFNPHNVTALDFRTASTICGGTTNSSPSNVNSTLVACGLVLG AATRTDGGDNRILDPGTPWTIPLYSCATAIKALVKTVEFQYNGTGFDALKVSSFKPKE YKTPASLPTWGVEDLPEHDIDTAPPMWGLLSPNPNVTALTHYNITTISAASIHLPGYM TPEYPLLRGLNSVPLGSGQNLPGVDFYNQALMTAYTVGAQGFENPEADYSGGHSLALY AKWQGLSKTVDGAAKIIDLVWTDVATNAVVGTAGWGLTASPSSAADGDQSSKSESKNV NVPVFVYGSHIRYRIPWAIPAFVTLGITAMLLALLMTMLVTRRTGFERLRSILESTTL GRVLAPLIPAPDHGHGLGEGADVEITGPGDWVRMVGRRKVLITPEVVSVNGTVLEDKM ESIQMSAGQKQQFQPGPVADVDADANADVISPLYPDKVYDS ANIA_05314 MDRVRNLETESGPRYNESVEHVREVEYPTLRDVIYLDHAGSTVY ARSLIRSYALDLQTSLYGNPHSDNTPSRVSGARVDAIRVQLLHFFGADPRDFDLVFTA NATASIKLVGECMSSYTRSQKASRFSKRRGFNYVYHQDAHTSLVGLREIATGQSMCLR GDAAVEEWIDWGKTPSQRDVTLFAYPGQSNMTGRRTPQSWPGRVRQNHRNTYVLWDAA AYASTSPLSLPDAESAPDFTVVSLYKIFGYPDVGCLIVRKAAAAVLQQRRYFGGGTVD MVVNSPSPNISAWHALKSESLHDILEDGTLNFHSIAAIPHAVETHTQLFGSMNKVSSH CAYLAAYLYRQLVLLRHSNNAPVCRIYTGSQKPGFGNVSLQGPTVALSVLDPSGTIHG YAEVERHADKDRIYLRSGSVCNPGGMAHLGWLRMDDMRAAWDAGHRCSHPIQEVDGKP TGIVRVSLGAMSTIADIEGFVAWLKRNYVDRYIGLPYSEKSSGSMSKSSLDIGMEEQR PARPKRPWVRTVAGRFRMLLC ANIA_05313 MSITYIASHEQFQRQPSAQPEDDMVNTQLSSESFRIIEMQTLVN LLELSHGPALHSGNPGTCKELVQENTGPLAAPEQTEIGEQSLVQPVPEYRTIMDPSAS LSQAQDNNLSRDAGNSDIELGRQNDMEETLRQEL ANIA_05312 MDMDRSAVDFSSNSPRILAIVGSLTAFAGFLVLLRCYVRLFVLR RFYVEDGIMVASMICSFGVLACFVGESHHGLGQYMAAIFMNNDFGMLTRWFWGHAIII VLGISLVKISIGFFLLQFAAQKKALKRFIIGSLVFLLLFTIACMLTLILQCIPVEAAW DSAVRAQEGTRCYSSATYLKIGKFNSVLTRRQAINIVTDFLYATLPVFMFYDIQVNRR TRASLMCILSLGYFACAAAIVKAVLQSRVYEETEPYRDATYHIWNSVELNVGIIAACF PTIKPLVKSIIGGTRSLTGYGSRSRKRTGVTGTGNAYYGPNSHVLGSMPRSRMDPEEQ KYHVQIHANHPSISESDGGSEENLASTATRRKSPININTRIVQTTEVIVHTEDGTDDH GASSIGPRRTIEDRI ANIA_11475 MILLTLLIRPISPAEPSEPSQIPGLIVICGPPDFPRVNVFPIYV VSRLASSATDSFYSE ANIA_05311 MRFSLAAVAAALAAVPQATATFNVDEWDILAGKALLKQVEYQFV KPRYFNSTCTPHNAAVRREWGALSKRERKEYIDAVQCLIDSPSKIDPSFAPGARTRFD DFVAVHINQTFFIHTTGNFLTWHRYFTWAYEQALRNECGYKGYQPYWSWPKYADDPLN SPIFDGSEYSMSGDGSYVPHDGPLAAPGITLQPGNGGGCVTSGPFKDFTVNLGPLAPT LLVDGVTAQNGTGLDYNPRCLRRDINVEAASWTTIDKVLDLFNRTTIGEFQDRLQGDF PNGYLGVHSGGHYTISGDPAGDFFASPGDPAFYLHHAAIDRVFWTWQNLAPRERTFVV EGPTVMPGLGIDGPDATLDDVQYFDVLAKDATIRELLDTTGGPFCYIYL ANIA_05310 MTPNPKPKAQTIPRKRDASSTLKVIIIGAGLGGLGAAIAIRLAG HSVTVLESAREIGEVGAGIQCLPNSTKILRSWDVHGKLASKASQTQTCNILGWKGDLI SSMDFAQAGIEHGAPFNDFHRADLHAVLLERAVELGAAVHTNSEVANIEFNVESNTAR VFITGQESLVADLVVGADGINSRCREILLGRPEPPHRTGDMAYRILLDAKDIRETGDV KLTKYLDEKAVTYWYGPGAHADNMPEEGPSTLKGYVDEMQSLFADWDPNIGKLLALCP SVLRWRLCIRNPLDTWVHPSQTLVLLGDSVHATLPYLASGAGITFEDAAVLGECLART TSNSLEERKKALAVYELCRKLRTETVVKRGSIQQDLNHLDDGVEQQERDAKMRAFEEV ERSWIAGARGPLPHGLKEGEDPLVWRRFGVGGWLFSYDTWEDVEERWAAVDNIPSTAL SANL ANIA_05309 MKLQLHLALSLLAAIVAANPIRLDQRQITGNELRDGSCHDVTFI FARGSTELGYLGSTVGPATCNVLKLRKPGQVACQGVAPAYIADLASNFLPQGTNQIAI NEAKSLFELAASKCPNTKIVAGGYSQGAAVMHAAISTLSSTVQDQIKGVVLFGDTRNK QDGGRIPNFPTDKTKIICAFGDLVCEGTLVITAAHLSYIDDVPDAADFLVGKL ANIA_05308 MWRPTLASNHRPTVSAALFDLLFNISAAIRVVPPTCNNIAATAS RRHTPANRVDKVLTLQDRRTLGYAEYGNPKGFPLLYLHGFPSSRLEGSAFDDIAWRRN LRIIEPDRPGYGLSAFQPNRRILDYLEDTQSLADH ANIA_10660 MMKLLFRTLPQLPRQRWHSVRTLSTDVLEKPRDDKERVVILGSG WGGYTMSRKLSPKRFAPVVVSPRSYFVFTPLLTDTAGGDLDFSHIVEPVRDPKIRVDF IQAAARAIDLHRKTVLCEPTIVKSGVTLTHTEEDEKSETFEIPYDKLVISVGAISRTF KTPGVKDNAIFFKDIGDSRRVRRRVRECFELATLPSTSPEMRKHLLHFAIVGAGPTGT ELAAALRDFITSDLITLYPTLEGLPRISLYDVAPKVLSMFDESLSRYAQETMKKEGIE VQTSHHIQDLRWGAPGAEPPYQKDPRGCLTLTTKEEGQIGVGMCVWVTGNSMNELLRD SLRDVEVFPFNSAVMKDGTEVSKDASQGSWAYKKAPRSGALLVDGHLRVQLENDTGAT AVLQDVFAIGDNAMLEGASPPATAQVTAQEAKWLATHLNQRDLQSSPPFSFRNMGTLA YIGNEKALMQLPNEERGYLPQKLTGRTAWLVWNSAYLTMTISWRNKLRVAFRWMLNRL FGRDISRY ANIA_10670 MSGDLRRPRAGACVTCRSRKRRCIPTPNGDGCQLCHETAKPCSL KIVSRFERARNGGRYQSIGGNAPLNLPSNGCEAPEAPLGGLASRDIWVELVQLYFRFI HDIAHTIFHKPSFLCRLYNGTAPMIQVYAIFSSNPTFEGSAPCDRGKAFVAEAVRLCQ PYMISPSLETAQAFLLIGYYFSGESNVDGKHIYVGLARLHAERLSLSDNLSAVYQEEY RRTWLSIHITSHWSAWDMAMEPLNLPNGSDFLPRIDDATFRTTNAALLNANHIQDEFR CDMWAQMARTIGIFAKVNNVLRRLSRNMISFDDYCVEAAELEASLDRWAESLSPMLTW SFENLMLLAGRRLGQLYLAMHVGFFHFKQMLFFPFLDARASKNPKPSGADKCKDSASV VSSILQYSKNIKDCELENFIYGHIGVVSSCVHLHTLLFSDDYRELSITRQRLVSNFQY LMALKSYWPIVEHFAIQLRTFQNSCRDSISDPFMLDNWMARFLTEHSSLLSERQLSQP AEPGGPLASDPKTSSGTATPEMLPTIESMTTYANGNHGSSITEDELSQWNDLSRLLHD QDITGEALVDSALTWLLDDRGNQVASI ANIA_05306 MAGQVSLESLTFEHHDTGFGVGTATPRLSWRFLTAEGCPHNWKQ TAYEIQITRREELEIETHLVTGDASVLVPWPSTPLKSRESAQVRVRAFGRGVGQPEVE PTNWSSWTMVECALLNREDWAALPITDPAKATDGPLRPQRFRKSFDLSGMGTISQARL YITSLGIYRAFINGCEVSDHCLAPGWTSYRYRLNYQTLDIAPFLNRDGPNTIAIEVAE GWYATRLGFLGGRRQLYGDKIAAVAQLEVRFKAESFSLVTDHTWTCQQSATLTSEYYD GEIYDAREETPGWNSSFVESGNSPWVPVQVLPFPTATLVAPDAPPVRVTEEIKPVSVQ KTPLGKTIVDFGQNLVGRLRVRSVNKPPGSRVTFRHAEALEHGELATRPLRIAKCTDE IICAGKELTNWSPQYTFHGFRYVQVDGWDENSDGSLLANLTALVMHTDMRRTGWFSCS NQMVNQLHKNALWSMRGNFVSIPTDCPQRDERLGWTGDIQIFGPSANFLYDTSAMLGN WLQDVAVEQLNEGKDGCVPPFVVPNVIPEELWPPTPQAQWDDVVILGPWALYQSYGDS GILHRQYKSMLAWIDRGIQRGPDGLWDPEVWQLGDWLDPTAPPVEPGDTRTNGTLVAD AFLVRITSVMSQISEILGEVEHSKRFQEQLGRLKAAFQAKYIASSGLLVGDTQTALSL AIIFELHATAEQVKVAASRLVELVRLAQFRVATGFAGTPIITHALTKGGYPQIAYRML LEKRCPSWMYPITMGATTMWERWDSMLPDGSINPGEMTSFNHYAFGSIINWLHSIVAG ISPLVPGWKEFSVQPIPGGNIDSAEAVYETPYGRLECRWRIEIDRDIFTLELLVPPNS RALVTLPSDTNLTGQQQQQGEWDASDFLLHAISRKKRCITPQPGRSCISCSQKRRKCD LVDDYRGNSGSSAFNGRLSIPHSDSRIHRPETRIAPAEGKINVSQPDLSLPPEHVCNE LVDLYFDLIDEKQLLLFHRTTFIAEQRAGRVPEFLVLGMIALMARFSSNSYFEGVHPW HRARPWFKAAMRSFNARSELINLASLQGSILLSFVALAEGDSAQEALLTSQAICMVRM LRLPENLSDDPIKREVEIRMFWVMWMMENWHAARVLIPKQLTASSTFRRPLEEEAYRN MKSTDSPDHYSETRIDALGLRSNGLWTWMLPLSTFHDQVMRLNDEIVQNTISEIDIRQ RVREISHEIDCYLRDLPRHLQHTSENRERHFARDLGREFTILQLNYHHQCQMLYYQFL NKKARLPDGSTDHEAVMYAARCKAHATALSQVMWDTNSRPGMECLWSPVNGHLLVVAS SVLLYTLLFDTDDESIEKAKRLLEQNFIMLLQFRKHWSLVEFSMTRLKAFHRACQMNS TQENFDMDRWMIYFLNRYDASVSERYNDGVNESLTAAPETPATDSWLEFSK ANIA_05305 MAFQQVPVRNVNITSAFWSQMRQCSKEKTIPAIIKAQKSLQHWY CLTWKEGHEIQPHPFWDSDIYKIVEAACYFLMKDKDDELMATVEEAADMIRAAQHPDG YINSYYTVLGIDKRWTNLRDMHELYCLGHLTEACVAYETLTNSGRLLEPVLKALRHVD SVFGAEPGKKRGYPGHQEIEIGLLRLYELCGEPVLLKLAKYFISERGRRDTNGETYFD HEARARGGDPYDHFGSEMKAWFQHPRDYSYNQADRPLVETTEVKGHAVRAMYYYIAAT DLVRLTGDEEIKAALDRMWMDMTERKLYVTGGIGAMRQWEGFGAKYVLADTDESGICY AETCACFALIIWCQRMLQLDLDAKYADVMEVGLYNGFLGAVGLDGGSFYYQNPLRTYT GHPKERSEWFEVACCPPNVAKLLGSMESLIYSFKDDLVAIHLYIESDFTVPETGVVVS QKTNMPWSGDVEISVKGTTALALRIPTWAEGYSSSVQGEVKNGYLYIPHSQDLEVKLS FTLKARKLYPNPATEKDQICITRGPLVYCIEDVDNEVDIDHVGLVDGPVTDGEPIDIA TVNDVIPVKATGRELVKGQNHLYASEPWKYGDKKNLLYVPYFLRANRGGKGGMTVWAK RLEAS ANIA_05304 MTSQRVVPSEREVAELRRVEGQTADLIRQAQESDEADRKLTIRQ AVKKYKKAVFWALFLSTSLVMEGYDLVIITSFYGQTQFKERFGVYDPASDQKLIPAAW QSGISNSALVGQLAGLVVNSICQDRFGCRRTMMVFMVWMAVAIFVPVFAPSLPVLAFG EAFCGIPWGVFQTLSTTYASEVVPTVLRPYVTAYVCMCWGAGILLSSGVVRAVAGLQG ELGWRLPFMLQWVWPLPLFIGAYFAPESPWNSVRRDKIDEARTNLMRLYQDMPEREHQ VEQTLAYIKYTTEMEKAETANASFLECFKGTNLRRTEINCVVWAAQILCGNAILGYSV VFLQAAGFSELQAFNINISLSACYIVGGIICWFLFPHVGRATIYMSGLTFMFFCLVTI GGLAWGPGKDAQLAIGILLVISTLCNMIAIGPTCYPIVAETPSGRLRYKTITIGRFVY NLTSIFTNSVTPRMLSSTSWNWGAKAAFFYAGTNLLCNIWCWFRLPETKDRTFGEIDL LFTHRVPARKFKSTHVNQFAHGGDYVSKQEVEHKENVE ANIA_05303 MPPLSHAAVVSNNATELGLEVVCSWPVSGQYGPGTRVLYYVLIA ACVFARKEEWVRNACLAGALLFPAIAALHGIVLAAVHVDAMLDRDPHRAPNRENVGHI LQRSASAHSFPVDRSHSSWAKPSKCTHDDNGNPVLSDPARFPYEAASCGLRCSAQEGP FSPIRVGSADEIYVIPSPHRLTFGTATLLAAACCVPAVVSLLYFWSLVLRDTWNNRFG ESTDTDRRNEVIEGTNGATEGKMLQINSLVRTVLSTVEAPVFAAAVLAILVLGERNFF SHPVNFGTEPIASIGQWAPIVGTGFAIFGSLYLFLTDDGEKPSSACKCTCHNAQGPSS RGTDPAASTNSSELAHCEITVVASPEPAHTHPTQEEARDFGYRRSIGRALKRLADTIS IAAHDRLTDYDFKQGPALDFPEIPAEEQRNSELPQIRDQYNLKRDSTASRTLSRVGST VSTASWRDGERSSTTSHGISPRSSRQSTRSRSPSPLPSPSRRDDESCTFPGSHDGSPS SSDPPILNTRRRQNTLEVPPHHGPVRRSSSISSASSSNFTMAGNLQSPTIVVSADDDV SPVFPRPGSPEPKQEVPPHASRHGRRFTS ANIA_05302 MAEVSDTDSIKDWRSIITLIVFVLANIVVLFPFHIPVYIPRAFA NIVLRALCALRVIEPRKYDHHDGRFVRLQFPFNFVTAPLIADLFLLAILAIGRKEVHD GTIEADNISPIDIMVFFLTLAYIAISIDASGLIRYLAFKVLQKGGKVGHRLFFYLYAF FFLLGSFIGNDPIILSGTAFLAYMTRVSSNIVHPRAWIYTQFAVANIASAILVTSNPT NLVLTSAFQIKFIDYTANMIVPVVITAIVLFPFLLYIIFADESLIPLAIKMHELPEEA RLKNPINPNIPNARGGVEEQEKQAATNGEEVKLLSLEEILNPFLDRRGAAFGAVIMAA TLITVLALNAASQGHTHPVFWVTLPAAFVLFCWDVAYGWQHRHETREIARKGREDMER TRAARARAMRDEEMGRENGEPQSTGALPSQPDHESHHPSAVASSQQSPSGTSTMDEKQ ELGLSDVSSLSPAPEGLGQSQQALTVPPLQPLDEPQSTKGTLESFAIATYRWLQETFP TVTAVVSHMPFSLVPFAFAMFILVQALATKGWIEVFAHGWDHWVNRTGTVGAIGGMGF LSVILCNFAGTNIGTTILLSRVVQTWQAIHRDNGIPISDRTFWATVYSMALGVNYGAF STAFSASLAGLLWRDILARKHIHVRSLDFAMVNLPIIAISMAVGCTILVGEVYIMRDN SPYDS ANIA_10659 MRPRQNYLSFTALPLRECFIAFFSNSRKENLHILTMDTRLLRSC TLCRQRKVKCDRQQPCSNCTKAKTQCVFPPGLGRAPKRPRKAVEARLLAQLSRLESVV KRMEQQSQDATSRAASQPLSDGRDSKIDQKSGRLVIDEMHSCYVSSLPWSQLGDEIEE LRDLLHQTSSEDEKEPSSSVIEGAGPNSALLGYRALAHSLQPYHPQIHQAVALFNIFS TNVTPLVRIFHMPTLHRVFWDAVASMETVDRNIEALLFAIYYAAIISLESSQCLDLLG LPRASALETYRFAVEQATARADLLNTQNLILLQATVLFLTALRNEDDSRTVWSLTSLV YHIAQAMGLHRDGQEFGLRPLETELRRRLWWHICLLDNRSTDYHGTEPIVQEAAFDTR MPLNINDTDLTAEMTQPPVEREGVTEMTFCLMRCHAIRAVWKLGYMAPRKKFGSAAAD THLDSANQDSLVNDLQHLLQDRYLKHCDTLNPFPRLALSVARIITLRLRMTILSPRCR TDKTAREHLFNITVQILRLSNDMLTSEDMRQWAWHSKTHLQCYSIAFLLAELCRRPPS PECDLAWECVTAVYERCNGMETEKRGPLWRSIQRLMERARHVREVQTSSTDGTGTEHP WKVAGEAMIANKDNIGPTVTATALEPQDRFSGVNSAMDPSMDMLNSFFPNLFDVSGMD ISGLV ANIA_10669 MAEVGAENKRRLDALRSLVKECSQDSAARHLLVFVVLIQMFFIM SGGNSITYYTPTILKPIGLADDQALLFTTVYGLMKVVSVFLYAFSLTERFGSRPLLLI GSTINVLCLLYLSVFLGVASISGAASPSPAAWVTIVAICIFAIGKVPDHSGREGDDGD LVKAA ANIA_05300 MSWWSSWITPNASLSASGRSARRKSLLYSLTGRNALQFGVNYAR QIRNLEIAKRGSSRPLTLTEKLLYSHLITSEKNTWDVERIERGKTILELRPDRVACHD ATASMALLQFISAGLPRVAVPTTVHSDHLIISKKGAEEDMHRALGEHAEVYDFLSSAS RKYGIGFWKPGSGIIHTVIFENYATPGGLIIGTDSHTPNAGGLGMLGIGVGGADAVDA MSGMPWELVAPKVVGVRLTGQLQGWSSTKDIICRLAGLLTVSGGKGRVIEFFGPGTQT LGATAMATICNMSAEIGSTSCIFPYTDAMGRYLETTQRAHVIEMAQRARSALLNADEG ADKYYDQVIELDLSTLEPHVNGPFTPDLAHPISKLKTAVAAEDWPVDLSHAMVGSCTN SSYEDLDKTRQIVAQARAAGITKLPTPFLVTPGSEQIRATAEADGILDELRDAGAVVL SSSCGPCVGSWDRKDVDVKGKEKNSVISSFNRNFVGRHDSNPATHSFVTSPELVAAFA YAGRLDFNPLTDPVTVPGGPSKELYFSPPVSQELPISFKAGSDTFQEPSLEGTDLPVQ IRPGSDRLQLLQPFPPWQPGCADGMELLIKVKGKCTTDHISPAGPWYKYRGHLENICN NMLTTATNAFVGQGDPRLLGHTRHPITSKVEGAPQVARDLKQRGVRWCIVGDHNYGEG SSREHAALEPRYLGGVAVIARSFARIHETNLKKQGMLPLTFADPATYERIAEADRITL IGVEEGELCPGSSVTMRVEPRRGEVWEAELKHSYHSGQIPWLRAGSALNYIKATALAQ ANIA_05299 MGSANDQVTPHKKVRLACKRCRTKRIKCDGGIPACSNCAKAAVP CIDVDGRNNDRSIPRDYASRCHARIRWLEQQIKILDADFDLTQGPQLDSLAADSSVSW PALESLPVDTPVQTLEPTLSRKRPHAAIRASGSEPPDPAPAAEARSVAVDLGMLSLHS DSRQKHYLGSSSGLFFTNLIGAHADALASPASTSTGPVQTHRERSDSSVDTYRALCRK LSAELPSADDATVLFDIYLHEVHVDHPFLHLASVIEAYKALRACVEQGLDGTHIVDAH GWPDGLSPFPYNGRYARVADKDVTPVGFSTAVLHVFMVFSISATILTRSKNFDFSPTR FYKVAASAAPECLSNISVPALQSILLFTILGMITPTNLNIWTLVHVAMSHCIDLGLHR EPRYPSDFSPISLSMRRFVFYTVYNLDRSIATIQGRPLGIRDETFDLRMPTLADIPME PGMRVDGLNGQYVRFSDDMALSIHRFKLDRHISEIKILFYHLPTEGGVFHWPADHSAD QARIKASLDGWLAEVKQIGVVADAHQKDAAESAKLRLKRLKLEVLYHAAVTLLYQPSQ ACPSPTQSALLQCYRSSSERIHIYNHLNNEERLYYNWRNIHGIFSSGATIIYCLWASP ELQLIIPFADALRDLRVCSNLLSIGGQWWTSVRNGRDNFDRIVDLTIKRLSRLRGDSS SSESQQQRLKSQRTTGVNSIDPLLNDEPGWDSNGTLCRADDPRQLADPISPAHSFPWD QRYPDEIATTPVDSMMETFLAEYLHGDWGWDPFSAFIDTPVL ANIA_05298 MKNSSPSLWQSILAGEAAGGFESPLTLSPRLNTGARGILRLQRK QIRSPVLRIRDSTPIDAHGHFRKVHRTGNMGAGIIAGAAESILVVTPGETLKTKIIDN EAGAKRYTSARALSGRRLPPRESPAMSGSDSNNSVIGATVVAGALAGVVTVYATMPFD TLKTRLQALDARQRYNETLDCLKTIRFL ANIA_05297 MAFTHVRPELWTELKPFIEAEMVPTGIRLVTDHFALLKGSSMLP CQGGGDGQEVDVSLQPGFQEIIELMRTGYFYVKISAPYRVSTQAPRYEDLRPLVRAFF DANPRQVVWGSDW ANIA_05296 MLLNGQISALSLDDNDNGQQHQDEVQAKHQDQGHTCPSRPSVPS LSRIYRCTPVPTIVLDASMVIIEVSNSHVALFGKPRDSLLHTSISDVSPECIPVPNIP ILYGALRAACSTREIQVVEHVVVGEKIAHNLRVTPVYEDETLLFVVLEVENLRAEVIN NQHAYMNETYKILVDTVKDYAIFMLDPTGHIATWNAGAGVLKGYKAEEIIGKHFSILY SPADRDNGKPARALDVCLREGRIEDEGWRYRRDGSRFWANVLITPIYQFGQHVGFVKV TRDLTERKEAEACMIAAFEESSRLKTDFLANISHEIRTPMNGMQIALTMLTDTGLSEE QREHANIVQDSMSLLLQIVNDVLDYSKLSSGSFSLHADMLDIREIVGAVVRNCRSSLQ EGVELDTEISPKLPTRMRGDPLRYRQVLQNLVGNAVKFTEKGSIHVKITSSTDEEDSD SSVVRTEVTDTGIGVPDSAINTLFTPFSRFANSAARKYQGTGLGLSICKSLAELMDGS VGYSPNPNASGSVFWFTAKMGGRSVTPPSKSPSVSGSPVPTEVASEMRSIAPRKHVLL VEDNIVNHTVMLKLLHTIGFQRIDGAWNGAEAVRMVRQKPLSYDIILMDVSMPVLDGL AATEQIRDMGLTMPIIAITGNAMKGDAETYIAQGMDDCICKPVHRDQLLRVLWKWFGT ANIA_05295 MGSIAPSSDVSVNNPFRTRILNAQITPVLTLKFWTGNEAALMAR FAGFEAVFIDMEHSALNFQTVAQLILSCLSVGISPIVRSPSKSHWHISRILDAGAAAV VVPHVDSVEEVRELVNHAKYPPLGSRGSANNQPILGFRSVPTNVQNEVLNRETMLIPM IETPEAVELVEEYLAIDGVDGILIGSNDLCTDMGIPGQYDNPTYQQAVEKIVCAGKKA AKPIGIGGIGGRLDLLERWFALGATWSLSGGDSAILQAGMKKITQTYDEISDRVEKQT G ANIA_05294 MASAVDFRFPRLPACHVCYTKKIKCDNNRPKCDPCVRSGLECTT LAFDGQPSVSRGYISDLEQKVRALQSQLQDAIDELPHNTSSDSRKERRRRSSMRSAYS SPTFTEGAGLSLCGIHVLNPFLLRRQVEDVYRRVFTPPESDQQHSQNDLFRAFMLLAI GAIHPFRQGSHQGHPYGYFLSAMQHFQSDSLSHGIQSIQDLLLIGRFGIYHHIGTSIW EITQLCMRLCIELGLHRPPTSRKPILQEQLERRVFWECYIIDRYSSITLDRPLAIADR DIHVLLPVDANDDQLEAAEGSITDLDLFQLTPQARIGNTELAVFFTSIRHRQITSKIH NLFQSEGHSDGAPSVTAAGRVYTNLYRLLEELNLWRRSVPVFERTQCVYETQDWFDLR WMRERLILVRKAMDLVPKRGNSPPRDLLLLCLQNATQIIVIFCRLYESQQITYTRSYF QTLFTAGLSVVNSGRAARGIALYQQALTGT ANIA_11228 MSASSTPSRRPQACERCWKRKQKCDRLIPACTACREAGTRCSQR QVPVEPSEESNGLSHAALPGYIESLIQRIRALEALTSQKRRRVSSDDPDGDATPPMPP EGLDSSVQTALGEIGFLSRSAMAEPREGVSGFPRQLAMSEMIQAMLSLSGATPSQSRR SEAEAAPWNLLLVPDPRQLLSKDFVTPFLRCFLDRIHIYYLDFDRAELEQQCEAFFDR TSHETGEPSAAAQSYRDVSVFLAVSIGMLLSPQVGCVDLLAQSLHSAAVKLLPMILQC GNCFSEMHCLVLLVVYSMLSPNGGSTWHLLGLAMKRCIAFGMHKEQDTDSALPVKEFE RKKSLLWTLYSLDRFVGEYAPAWTGHLASKTKTSPQQLGAVNADGQDAFEFHLLMYAK LISSIRDSDERCPFFHYKNICFWRDVPRELCLFLDQNETARDHLKQLSCRALIQILSL CIFSTSEIQVSEDPFLEIENDVRSSCEHMVDRMYRSLDGHAPAGFAFTDGYDVFAAGV AILCTADLALIQNLAPDSTGISKCVALLTAVGGRFDEVKVFRRVLLAASELRMGRHTE EEMRVSEQPSTPMTYRDLADSWPDAAPSAP ANIA_10668 MIPRIMESHEKTVATQVLTEKRTVYMIDSLHPDAVEHAKMLWNV VLPGDKKLNNWREHATAVLVRGSYVTADDIARAPNLIAIGKHGVGIDKIDQAACAKRG IKILNTPGANSRDVAELVVTLALTVARSIRSITTRQMTAPVPKETCKGLTLRRRTVGI IGMGNIGRTVAEIFHGGFDTKLVAYDAYTPDDAWPHLPHHRAQSVQEVLETADVLTLH VPLTDETHNLISYEQLRQMKPDAILINAARGGIVNERDLVRVLSEGHLWGAGLDCHEQ EPPSVERYGKLWENLNVVSTPHIGAATNTAQRASSMAAVENLYNYLCSIDAK ANIA_10658 MGLIADTKKAFRETPKGIFNIYLFSVTWIFALAGVAKGFDEDDE YAGTKGWIVSIATAGAVFGCLCSVPINDRVGRRWTLRLGTLVYIAGVLGQGLSGGSLA GLYASRVISGMGIGVTTIVPPVYISEISPKAIRGLLTLQYAACQQLGVVLGFFINYGV TKKYANTDTQWILPTLLQLLPAAIWGVGTFLCPESPRWLLSVGRKEEAAAALSRLRHL HAGHSVIAGELAGMGSQIQHEHESVASASSWQLIKETLVPVENRRRFFLLFMATLFSQ WSGANAITQYSPTIFGYLGIVGEEATFLATGIYGIVKFASTLAFALVIVDFVGRRRSL LVGISLQILTLVFVGAYLGATKDMTIAGVKASRHAERASTAAIVAIYLHAVAWSIGWF SIPYLIGPEIFPTRIRSLNMSISMALHWAFYFGCSKAMPSLLAATHRWGAFLFFSCIG VLGLIYVSLAMPDTTGRSLEALDKLFERPWYTMYKVAYANQDELRAEQPGEMYSTIKG LQTSAEHVETA ANIA_05292 MHPHVLIALGFFYSAVAAPVTKTVTTGQNVVTQNGYTSAPYHGP YLGTPTITGAAKASKTLAASIDPKPPNPTATYYNAEGVPQQPMPAPYVPAGGLGTNGT LPRYMVNSDWDFESIALGVYQEYIELDLFHDGLARFSDADFEDAGLGPEMRSLIEFMA NQEVGHATLLSNMLGEAAPKQCVYNYPYRSVREWVDFMQRVTRFGESGVWGFLSHLDS REVSQLLSQSISIESRQQMVFRQMAGLAPMPVWFENGWPQSWQWTMLAPYISACPEGQ TRLAWQNFPTLRILNNPNINRINADETEDDGSEQVGNRITDPSISNLEESCIDAEGIG ENCQAGIARNRSIPLSYPGKRLELEWEAPGKAVGPNNSYTTATTAGQPKFVGWSNQLN FTYTPLTVTGKNRGWTAQPGGVVFADDGIVNDTMAIMLTDTDLFVTPFNLTMLNPHIV ALGLYQAG ANIA_05291 MSAAADYKSAHRDDEPKAVLEALEHVSEADRMLVHFPILQDKSH EELELLNKAVLRRLDWYFLPCVTMMLLMSYLDRINVSNARLAGMQEDLHMSDTTWSAG ISLFYVGYIISQVPANVFIAKGKPSILMPSIMLAWSAVTLCMPALTSGWGFCLCRFLV GFTEGPFIPAVSLLTSSWYTKKESPLRMGIWHAGNIISNVFSGLLAAAILTNMDGIAR LRSWQWFILLEGIVSVLVAVAAYRFLPNFPDNTSRRWFSEEEAAMAQYRQLISAGGIH EGAEGQGDYWGGVILAAKDPFTWFFAAIHFSLIIAQSFKDFFPSIVETLGFSEVVTYL VQAPPYVIAYFATLAISWSSGRLLEHCWHIIVPILVALGGAVLMISTLNVGARYFSLI LLCTGPFVGLNIQISWETTVVPRPRTKRAALIAIANCVSSISHWFTPYFFLTSQEPRY QTGGGIIIAGCGLTAIFCLLARWWCVRKNKQLDEAEAATGDVTDWRYAT ANIA_05290 MVRLLPLTLTLGASALATERVGYVDCGSKCMPPGNSCCGDGIYC RPGTYCVVNGCCPIGEVCTGPGGTITEWFDVTTTATLTGTTTVTDDVEPTEAPPEDST TTATSSTSSRPGIPTSSESQPTQSPTESTPVPPVFTGGQSGLRPGVGAVAGLIAGAVL L ANIA_05289 MGDHALVFGASGITGWGVVNALLNDYPTPDAFDRVTALTNRPLA AQKSGWPASKKLNIVSGLDLLSGEQAALEKCMRNQVPQIDTVSHVYFFAYIYNSDNET EIRINVDLLRRAVTAVDNLSDKFAFVVLPTGVKASGFLSLTTYGVHLLDEFPFADKLP LTESLPAIPEPFKSKLFYYPQVKLLKRLSAGKAWKWCDVIPDIVVGFVPNNNAYCLAQ WLALYLSLYREINGPGAEVVFPGTKSWTIKSNDSNQDIIGRFAVYASLHPEWSAGEWY NVADNAQWSTWSGVAPTDGPGPDPSVYIQENKGKCFEMEKKYGLKGGRVGNDKSLTIV SNFLMNQFDFDRQVDLTKMHAAWGSAKEETDVQGAWHVAFNRFRAANIIPSSFT ANIA_05288 MSQFGYGQSKPTYQITAFDGAKYVMRKKPPGKLVSQSAHKVERE VLNALEQTELPVPRVFCLCVDEGVIGTPFYIMEYLDGRIYKDPTFPGVSAEERTALYI FNQHNAVLIEVRS ANIA_05287 MGKVYWAGQTMNCHAPETGNIELLAKYCNEQQKEQWLKPLLRGE FASAYSMTEPDVASSDATKIAISIRREGDEYMINGRKLFATKWNPEVKLYILMGCTDP NNPNPRRRHSMLLIPSDTPGFRMRQNLSIMGHDCAPESHGEYVYDNVRIPVSNVVLGE GGAFEIAQGRLGPGRIHHCMRLIGQAELAFDYALVRATDERKKPRGKLIWQFDSNIER IAQMRLKLDALRLVVFNAADTMDALGNKSGRYVIAQSKILVPIAVAKIVDECMQLYGG RVGRDQLKTAGSVVARHQQYYKVALQLKKQFGLDEELGDDCTWEYIEPKL ANIA_10657 MVYRSTFPHLEIPKSNILSYLYPPNQTVSDQPIWIDASNPNNSL SPRQMLTWVRRLGYGLDRLGIRKGEVVIILTPNHIFVPVAYQGIVGSGRVFSGANPTY TQLEIEHQLKDTGSKLILVHPSLVKTAVDAASRVGILKERIFQFADHPCETLDGVQDW RDFIGSEDEAREWRWDDMADTSTTTVATINYSSGTTGLPKGVCVSHRNLIANVEQTIF MRDQGFPHALVPASRPPERWVGFLPLYHAYGQLYACLMAPKLGFPVYIMRKFVYEEFL ATIERYGITHLQVAPPILIMLDKRSETARYNLSSVRNILCGAAPLSRELQNTIQNRFK TNVVQGWGMTEVTCGAIHVPGGLYDESGSVGMLDPNCECKLLDEEGRPVRPGEPGELH VRGPNVCLGYWRNDKATKESLDSDGWLKTGDIMVAKDDCFWVVDRKKELIKVNALQVS PAELEAVLLGHDGIADAGVVGFIANGQECPRAYVQIKEEASGLTEADIQSYMKDRVAK HKQITGGIQLVDEVPRLASGKLHRRVLKEWAQRDAAGVRSKL ANIA_10666 MEGFVCNERSFIPPRPFKNIGFVAIVADAAVGAMVYYAFTVLWP QLIESIYTTDSIQIGLQSSVVGGGVLLGQILGGMALGFVPGVKYQCIIDYCFLPCHGF YYPTECFGLGYLVNGYSVGHLGLHDIGLAAGVLGSLRALGGAVAQAVYVSVLNNEVAK NIPKYVGAAATAAGLPESSLSSLLEAVSSGSSLQGIDGVTESVVTALLTAHKVAYSES FRIVFLCTIPFSVLLVISSCFVPSMRNHVHYQVARRLQGVGKEESVSDVKADTEMIEH V ANIA_10667 MTYTEETMKAAQWDPKLQKVVVNRVPIRTPGPNQILVKLASASL CHSDILAINQPNMAEPFTLGHEGAGYVAELGTKCTDKGFREGDPVGFLHINGCCFECE GCMVHNNNCTQGTPVVSGFGGIRDFGFFQEYAAVDWQNIIHLPYQLDSKRSSAIFCAG ITAFHAVDSCNLTAGQWFAAIGAGGLGQLAVQYANAMGYRVIATDINDGALETCKRQG ADVIINSLSRPDYANEVKKLTPGGVHPTAVFSGAPAAYRGAPLLELSTFDLAIGTYVV KSESTSIPQRMAKAVDFTAKHGITPEIKVRSGLEDVDEMVCDMQAGRSSKRMAVVFE ANIA_05285 MDRPATGEEVQALYKSIHGSTDPSAIIPSLAGEDVYRANDLTRH LAVSPSAAHGAVHFTTEALLAGAFLMSKLQAENFAYPFKECKTPVQHAYQLMGKTEYA KEHTYSIMAAEGRLDSFNQFMQGKFFKPRKTPDRLQALGYDFAAVAREAGPLTSTVMV DIGGGRGELLLELKEAFPQFTAADLVVEEFQSDNDLRLDPGSEVTFVNWNYKDESSPQ PVKGALVYHLAHILHNLPDLNAARLLQRISDAMAPYSRLLVHEFSKNRNYALMHASMI ALYGGRERTGAEWRQMAALAGLRIAFEGYPDLVDNQIEMSSDPSLDRLAQHKVRIDSA RKHPVSTAKDRITVIAFKPGKALVAIARPCRGQFLLLISPSRLDKPRIQSSLALTSVG PRECGAVTQLGEEKQNQATRGNDTIRVSGKLPGSLQGTLCHSVLALIFRFTVKTWARW VLPTVSSPERVWGAEDLLFAVGYAFDMAHMALIQKSLDYGLGQHIWTLTDVQRIGALK YDFLSQPIAGRIIDVAYFSGRRIDALSLRNDLVLVHVLQQRRQAYPNLNYDRNGGPDN RKLSHYSADCASVRPESIPIGFNTAVDFFLTVLSAIQLWQFSIRSVDRAPSSSNSFFA RFKRLPRQARNRRIWQTIVLSGPLALSGCASIVKTYVRLLFFLHLAGSENYNIIPFVL WVKIENYSILLATCGPIIRLFVRVVFDKPHASRWDYPSNSHSNQYSHTRGRGLDFDLD GHRHRQNHGSVVMTVFGLKKGSSGPTSFGSDEMVRGKDRDARDGVGGVTVKTDITVQV AFSGGKASNTGANTCSLKMATSVVQGIRSDLPNGPTNKKTNRFISFSFPGVLRAASNS SNYQNGCLKQDHNEESCEPRTRRRTVDQLGINIVPTCATKSQTATMSVSETMWFRWTI SSTQTITGHANISIKIPPNGIAGPNVNQIPGHKNHVEHHNRVCDLPEQKENVPAVAQG PPPEKEELILAISFWLVTDRIDDVEVDREEGERMELFCPNSVVPLDLSVAVVGEEASA CGDIVPHSTGELSGSKQHTGVEEPPVDIQEQEKREKERSSPSPLLAMADLECGDGPSI KATAPPYSASKQGLAGGLNLDEAGAGEVSALHSMRLGLFCRMQQLMLDIFGLRNGTLY WAR ANIA_05284 MREKASDPQTTELPPTTYTPPAENDDESRSPRNWSPWKKRLLFI SLMSSSILADGGMVWGATLIVEQALDWGITVDKAATTMNYGLLLQGIGGLMAIPLIEA YGRLPVWLWPQFITTFMVLGATLSNDYKTFTAFRSLQGLFGTVPQVVGLPIIHDMYDP KGLTGHRGTTFLIGPFLGPAIAGYISAGSNWKVSFGMLTLFYGLSTILIFLFGHETYF VKGRQCQCNTRFQAIFGIKSHNLPVFSTVALWTKTLVVYIFKFPLLLTGIATMVNFCW PIGITVTVSTFVAQPPYLFDTIQSSSLRWAPILGGLTGFSFGYFFNNWIYRSRQENWR PEYRLHGVWFAIGTMAAGLLTYGLTLHFHKHWIGLAFGWGMVVAGMIASTVSITSYAL DKYPDQSTVVSAIINGWRTASGFSVGYFQPTWIAKNGLAAVFATQAGVVVAVLVLTIT PVIVIEGKRARGEGTINSSI ANIA_11474 MADVKAHFLINQAGVRALHRQVLARDITDAAVGGSRDRADSRVW GCDGVEIWERTGSIPRAC ANIA_05283 MTEYQTVSRLSFLTATQTLIPPVVVIATPKGSILRYVSIPCMIW VWSLMLYPVEKPSYLAVTFAAGGWMHIITALDVLLINPKCAGDFVDADGKIQSHFSRL RSAIGLMGNPRKLNTPREAKNTPPVPKYYTKSSPTTIPRGRFLIRESAIAAWQYLALD VLALQAAKSALEKKEKATVHYSQPTDNLWVEVWIENVIAALVAWFVLSRILISFYYRL LSTISVALGLSSPESFPPLFNKMTDAYTLRNFWGKFWHQILRVDFTAVSNFVTCKVLS LPKPSILERYTNVFLVFFLSGILHITNDVVMNVPIRDSGAMTFFLSFTIGYMIEDGVQ AVWKQLYGSQKAPQEPELWKKAIGFIWVVAFLAIASAGYFRPSQTRPESQLAFVPWSV TEIIGLDVVVGMIAFGAVVLRVVFECEI ANIA_05282 MHYSASGLALAFLLPAIQAQQTLYGQCGGSGWTGATSCVAGAAC STLNQWYAQCLPAATTTSTTLTTTTSSVTTTSNPGSTTTTSSVTVTATASGNPFSGYQ LYVNPYYSSEVQSIAIPSLTGTLSSLAPAATAAAKTRDVAAKVPTMATYLADIRSQNA AGANPPIAGQFVVYDLPDRDCAALASNGEFAISDGGVQHYKDYIDSIREILVEYSDVH VILVIEPDSLANLVTNLNVAKCANAQSAYLECTNYAVTQLNLPNVAMYLDAGHAGWLG WPANLQPAANLYAGVYSDAGSPAALRGLATNVANYNAWAIDTCPSYTQGNSVCDEKDY INALAPLLRAQGFDAHFITDTGRNGKQPTGQQAWGDWCNVIGTGFGARPSTNTGDSLL DAFVWVKPGGESDGTSDTSAARYDAHCGYSDALQPAPEAGTWFQAYFVQLLQNANPSF ANIA_05281 MQYSRMTATRENPKYKNLRVEECDVLIIGSGPVGATYAREILDP GSGASPGRKAPKVIMVETGAQESKVPGEHKKNAVVYQKHIDSFVNVIQGSLFATSVPT RVDPNLKLPPVSWSPREKQNFNGQNKEQNIYHNLDANGVSRNVGGMSTHWTCATPRQH ELERSKIFDDATWDRLYKRAEELIGTRTDVLDQSIRQRLVLDILRKKFKNRDAKALPL AAEKVEGKNLIKWSSSSTVLGNLLEDEKFTLLDQHHCEKLEFNDETNKVSFAIIKNLA KPQTSKEDEDRLRIKAKYVIVCGGPILTPQLLFKSGFRYDEEDAEDSEGNKSSLYIPA LGRNLTEQTMCFCQIVLKDKWVEELQKNNWGPECEEHRRKYDEEDDPLRIPFDDLDPQ VTLPFTENTPWHTQIHRDAFSYGAVPPAIDKRTIVDLRYFGRAETQWRNRVTFSKKLT DAYGMPQPTFDFKLSTKDRLESHRMMQDMEKVAGELGGYLPGSEPQFLAPGLALHVCG TTAALRKGCRSEDEMKRISVCDENSKVWGVENLHLGGLNVIPGPRSNASNPTLTAMCF AIKGAEEIRRKLGKKGSHSGNRDDGDVDTDTDDDA ANIA_05280 MSKIRAPKFVSEVIHRRADGYWIDKFYYHKDDKAPGLIVGAESH WIDLDEESECENEFSSSEAEAGGKCELFGLTHSETGPSMEWTSHEICQLNTPVPTASL DITGNGFNDLIVGYNFGPTMINSNPDGGDIIWLENPGNNPNAEWKQHFIGRWPTVHRL AVGYFTQRTFPEVIAAPSTPIPLIIFQKPDRKIVKEVKEWRKDIVDDGHFRVVHEIYA KSLDGPHGLDSLLVASMDGVARLYYEDGHWKREFIGQGEMKTRWQRENTFFPAAGNLW GAGTADAGRIGSDSFAYVAVSEPFHGPYVSLYTKNGRGQPGNPWKRHVLDVYGTPTQK RCWGDGPVHNIVCADIDGDGNDEFLVSMFGPVDRDSKGDTPDEDVTGVSPNKGIWCYK ILDLDKGLVSKWHLPPGTSSARCIVGDFYGNGRADLASLSYHVKKYYREKDPVVTLYK NQLSFPSVSPQINGMIWGEEGMVYLPRPESLKHHLKKELMVVANYLIRVEVFPPKHKV HLKKQDGVKVIFGSLSEGQNVTRRPLGGAPFPAKESLKPRGIYDTVLKADERLGAIFL RLKEQNTPGPWKEAKDVPVKTIFDLSSQGIDFPELKFVKVEKLWWGGDFKDYDFYNMS GFHFCLQDNKQNLCHMQFWTAGPNVNAEYHDHHDNAFKELHTCLSQGTPGDDETKLGG MWAPEPSTCDSIIPSVEPLSLGNEAFPSPPKDVKHCGLKPLEEHGRIWHEDATGNAVY RKNDTVSYPRHQWAAGDGGENKCIDSLLRLRKELISFITDYIAVLSSVPPIQWAKLMS KATIVGHVDDPGGHYGWQGASMYILPLWKRQIFQRKWLSSSTGGNNLVHLR ANIA_05279 MTTASQSIRGCFQCTRRRIVCDKGEPICRKCQKKGIECSGLGRF RFYSGSSIRGLSQGDPVPVTLPEQFQRPQRDSAGKQVPLNIRWKSDQPSKKTRRKRSI PAAGTTAERSLEHSQQGQIVIDERHSGVHDNISSPALDVSLATPMFDASWGIPDIGAD EDGFVKKASCSDEVAQASISSTIAPWIPPLNPQTRMFLSHFADEVAPNMVVLDKISNG YREILLPLAFHDELLLQSVCAVAAQHLSLRHASFRRIAESSRAAIISRLRRDAFQEPT ERLFSAFTWATLIVLLVGETITASPEYTHLLQMLFCMAGNTSTMAMSPVNQFLTQQTH MFQFLGQPFLGENQGLDMFRRPLNLFLDWTYYDLPPESTYTQSLGFIRESFIKASQIY IGRATNDEDQWMHLEALKQLVSCIHPDEQGSHALVWVCFIGAADSTTLDHRQFFTSRM ESVFRKTGFQNVAAAIRSLPAIWSQKDSGRWTSSLIRTIPALIM ANIA_05278 MYSTPTRHLFFFASVTDRYGPPSVNKHLAAVFFPIPHICIVHIA LSYYCICLENVRRLFPVTTDVISVGVTGRISNFSGRLIPPGVALLHGSLASPVDARAS FGVGDDYQQSASILANTPPPADLTDIHNAGYDEDFQAGVSDDEDEPPAAAPPLPISVG NTSVYRGYRSQLHRMRPLNIGRMDLECPDCHGLHWKAERDKGTSIAAPKFGKCCGGGN NFIPPVEVPTFLQRLFNGSDHDNGRHFRQNARLYNCAFSMTSFNAGEDPRLKGQHGPF QIQGQLVHFLGPLLPDADRPPAFAQLWIYDRLTDAARDRNRALATAVRCTRFSDLRPG IVGELTEWFELHNRFSQQFMSATEQLFANEARGTPAELLLGPGINLVAVEGTDKRRYN LPREGEQVAALLIDPTVNDLDHGTFREVILQLRHPVNGSGLKRIDPSHAGYLPMQYPL FFPYGDDGWHWGCRRLDGLRLSARMYHAYRVHIRRREFSPWHYGGRLWQQYLVDAWGT IEQAKLEWIRHNQTTIRAELYSGLTDALAAADGDVLVANNTGQRVILPSNVVGTPRYM QQLFQDAMAICQFYGPPSLFITFTANPAWDEVTRELRPGETWEDRPDIVSRVFNILRA EMVDELCKKKLFGVAPGRFFTIEYQKRGLPHMHLVLFLEERERFLDAAHIDEMVSAEL PDPREDLELYKLVKKHMIHAPCGPVYNSRAPCCDKHSDSNMIYCTKRFPKAEQYETQP IEEGYPLYRRRADPRGAYRIKAKNNDMVRIDNTWVVPYNPYLLKRFRSHINVEVCRGV DVIKYITKYIYKGPDRASMRSKVADEVDLYLDARYVGASEAVWRLLRFPLHQEWPPVT ALHVHEPARHLVYYNSNAGMRELEDCIDGGKSMLMGFFEYNAHAANPANAALALNRYL YAKMPQFFTWDKADRIWRPRTRNRFAIGLCVGPVSFEDLRTHDGILYPTFKAACNARG LLKDDREWHHAFEESVGSAIGAQLRTLFVVALTSGTLNDPPCLWEEFKCRICSDLEHY EIRRMDNPPDIEDRHIDYGLFIIARMLAEHGERETLDRYGLPLWTAAWGRLEPQTDVL VPFIPPVDLARRVDALIPSLNIDQRRHFDTVSAAMADRSGECFYLQGAGGTAQGKTVL CIASSGIASLLLPYGRTSHSTFGIPLALHEESTCAVTLRSTRARVLAGVDLIIWDEAP MQHRHAFEAVDRLLQDILKVKQLFGGISVLTGGDWQQCLPVVPKAPRAGIISATLRRS YIWPRLRAILRLTQNMRLPSVGINRLFSQLLARMSVDNTMHGTLELPDYVMDSASMSV EELCERVFPAADMTHCHTADFQASDPDFFAGRAILSMRNSALVEFNDRITDSMRSQES MRYSADEALTDNVAEGVEEITHEFLQSVDLPGLPPARLRLKVGMPIMLLRNLRATEGL CNGTRMQIVELCRYTIRARILTGDFRGSVHLIPRITLYSKPGDLHYVLSRTQFPVRPC FAITTNKSQGQSLQQVGVDLRVPAFSHGQLYVAMSRVTDVRRLSVLLPPGVRTTNNVV YPEVLQDIASLDDVPDWDDGMVTDEAA ANIA_05277 MSSTTSSSSSTAEIPPAALVAEWHRAAKYPKPSAAQAALLAMPA VREPQIRQKHIRDGKTFSYVRNSNSEALLGQMVGSHSPKPCTHCHIKESGPFEGCIVV AGRFQGACANCHFGSEGKRCDFHIKNLATTTPKHARKRAAALAALVAGEEEEEDEEAE EEEEDEEEEENARCCKGKAPATSARKGVHFASHDTREHADRVPRRAQRLHTMLAVAAR QASAAAAAQEALAQTLLLVAAEYADDDGFQGFGGEEEEEGEGGGDEDESELSSLDEEI LTLGRS ANIA_05276 MTSRDGYQWTAETGLVQGVPSISVISPPTNISPESRQYDVVVVG AGYSGLTAARDTCLAGLKVLLLEARDRIGGRSWSSDIGGYPFEMGGTWVHWGQPHVWR EISRYQMRNELESSFDFSRGVNHFELRTNQGPAIMSHKEEDELLAAALHKFVDVDGDL GRRAVPFPHDSFHVPEARQYDQMSAKDRMTQIADTVSPRERAALESFVLLCSGGTLAT TSFFEFLHWWALCGYSYQGCLDALISYKFKRGQSSFALRFFREALSTGNLSYAFNSPI QSIDDQGAKVVVTTREGHRYAGARLISTIPLNVLNTVTISPPLGTQRTAAANTGHVNQ CVKVHAEIASRDMRSWTGISYPFNKLCYAIGDGTTPAGNTHIVCFGGSHNHIQPEEDI KQTKTAVESLSPGNMDIKRLVFHNWSKDEFAKGAWFFSPPEMLSTSLEALRSRHGNVV LANSDWALGWRSFIDGAIEEGTRAAMTVVEELRPQPAVRERL ANIA_05275 MESSMDIKIEESFQEETAIEQSRIEGVTLRTEGSRRMTPLQTIS ASWIICDSWAGVAATVALATVQGGPVTLTYGLIAMFILVGACVLSLGELASVYPTAGG QYHWTSILAPRRARRVMSYACGIANMFAWIAICTGIAIIPAQQIMGIVLFYYPDFNAQ PWHYFLIYQAINGLVMLYNIGLLQRSLWIHDAAFFITLASFFVITISCLSRSAGNYEP STTVWETFLNDSGWSSGGVAFLTGLVAPNYMFAGIDGALHLAEDCRNAATAVPWALMS TLTIGFGTSFAFMIAMLYCTHDLQAVVETTTGVPIYEIWYQATRSSTAATVFVLLLCF AATFALIGAQETASRLTWSLARDEALWGSKWIGKIQDRLDVPVWALVFNASVVFIIGC IYLGSSSAFNAFIGTGLILQHISYAFPALLLLYRRRASDWLPESRKFRLPAVVGWAAN LTTVCFAILVLIFYNFPTVMPVTGSNMNYSSAVLGVMAIVACLNWIIYAKKQYHGPYI RGSVEEQS ANIA_05274 MEHDSDRLNAGITKRIRQACASCRRRKTKCSGERPVCFHCRRSR KRCVYEPYSMTIGDSSACQSAILQRINMLESRLAELSDRAVPQSLTSPADIGISLTSS SPLRFSDEQCTVPPSSVLQSVIQTFFDHIHNRPYSYIQEAAFRRKLEMGLVPNCLLLA VLASAVRFSTHDYYEGQKQEATEVYSKGSWMSVLTEHLTVADNLSVEVVQAVNLLAIV DYTAGHVSSAWLKVGVAARISQDLRLMMDPSDALSFPEQEERRRVFWSGYLLDRLISC GKSRPLCIHDEDCHVRLPCDEETLQAGQVQKTHTLHELLSWDSKIDHDQPPSPFGLVI LMASIFGRCTRYVHRECNPDKTPPWDTNSEFSKINSSLLLMESYSRSRRLSIVDTLRD KESTHKSEAEQVVFAHTLFHLCHCLLNHPFLSRLRLRPFGSKVPTSFILRVLQAGSEH ANELLDLVRGASEAGFPLQSSFYAYCIVVVGGIQSLTFHYEASRNDRGSAGTLQRFHD SLNTLERLAGTWSHAANMTVRLREFHAQAHMFSSLLDPACITDEMYDAAIIALWTMLD YGMVGSSVGDSPNCSEPLISNIPSPSPWVLGSDIFNTPSLEMGDTDPHLLGGLTPFTH FNREVDNLLHPC ANIA_05273 MQNSTKTVRIVEVGPRDGLQNIPQSIDSTIKLDLIRRLRDAGLQ TIELTSFVSPRAIPQLADAQVVVQNADIQKLLKNPKLRLPVLVPNLKGLERALHNGIK EVAVFISATEGFSRANINCTVDEGLERARQVASRAASAGLSVRGYVSCIFADPYDGPT RPSSVLRCTKALLDAGCYEVSLGDTLGIGTPADVRWLITYLQDNGVPLEMLAGHFHDT YGGAVANVWEAYKCGLRMFDSSVAGLGGCPFALGAKGNVASEDLVYMFERSGIHTGVD LSKLVETGEWISRQLSIANSSRAGAALWAMRKQTAVPKSPKVSVSWKLVKQTEGLQLF RSGVNLRINLNRPKNGNALTAIMAQDLTEAVTNAGRDATISRIILTGSGKFFCTGMDL GKGSTAVGQGGSSSNAQFDRLTNLFEAIDQSPKVTIACLNGPAFGGGVGLAFACDMRF AVRAASVTLSEVKLGLCPATISKYVIREFGIALSREAMLSARPVSAGELKARGLVVEL ADNAEALPGLLDQFLTQLKAASPEASRMSKELIRLAWAHGGKEEQAKGIRALFDGMMR PDGDGAHGVKEFQAKRSVDWDAYTLRRVDSAKL ANIA_05272 MSAQLSLVHGPKEPPLWLDKTLCNVIDQQEASYPDRTALIVPWQ STRLSYYQLAERSRVVAKALLSAGLLHGECIGIMDGNSYEYIEIFLGAARIGCPVVVL NNTYTPDELRNAVYRSSCKAVFIAPKIGTRSLMGHVENLQDRSSKNPTLSELQYIVLL RTEKLSPASEILHTYSKFLRPKPSGDVEKALFQAQASLAPEDVLNLQFTSGTTGLPKA AMLTNINILNNARFVGNAMRLTPSDVVCCGPPLFHCFGLVMGFLASFCYGASIIFPSD HFNASCVVEALMAEDATVLLGVPTMFISELEILTKTGQKPRRLRTGLASGSPVSQTLM NEIRARMGVDKMLIAYGMTETSPVNFITSLDDPENKRISTIGRVMPHTVAKVVDKQGK IVPQGQRGELCVGGYALQKGYWKNEEKTREVMKYDANGMLWMHTGDEVMIDEGGYGHI TGRIKDLIIRGGENIFPREIEDRLVAHDSITEASVVGIKDEKYGEVVGCFLKLTPGCQ RVQDTEIQQWVGGNLGRHKAPQHVFWIGDVAVGDDFPKTGSGKHQKHLLRDIGNRLVA QRKLRARL ANIA_10665 MATESTYPVISSRLDLRAKHLQQNKADWGDILIRFEEALKHVAA EGNEVSLNRHQSRGQLLPRDRVALLLDQDTPFLELGAFAGFENPNSTPCANLIAGIGN VSGRPCLLMSHIPTQSGGAWNEMTVLKVNRMMEIAFENDLPLISLVQSAGVFLPQQFR VFHKGGQLFRDLAVRTQHGKPSCAIVFGSSTAGGAYHPALSDYTIFVENQAQAFLGGP PLVKMATGEVIGAEELGGANVHATVTGLADQIAIDEFDAIVKAREWVSTLRERAVPLH SLLSPAEPRYPAQDLLYLVNPDIRKPFDMLEVLLRIVDDSRLSMFKPKYGTNMINAWA HILGFPVGIVANQISVINPNEAAKTAQFIRMCNQENTPIIFLHNVTGFMVGAKAEHAG IIKMGAQLVSAVSCSTVPHISIIVGASYGAGNYAMCGRAYKPRFIFTWPTGRCSVMGP DQLSGVMETVQLQSAKSKGKVLEPTLLKKQVESFRQSAARDSECYATSSMLIDDGIID PRDTRDVLGMCLEVVNLNGVKGTETHHLLARI ANIA_10656 MANPSLNGETVHAAPLRPPLYVAPSPLGEDGRPIIKKVLIANRG EIACRIIQTCHKLNIATVAVYVNESRDTSSRHIRDADEAINIGSIDQCPRNPFLDGEL LIRTALSVNADAIHPGYGYLSENAEFARSIRDAGMIFIGPSDTAMSTLGNKRAAKEYL SKHAPDVPLIPGYVGSSQDAPELSRIAAQIGFPVMLKASAGGGGKGMRIIREAGQLQA ELERAQSEALRSFGSADCILEMYVESSKHVEIQLLGDSYGEVVSFFERDCSVQRRHQK VIEETPCTFLTEKTRQEMSATAVRIAKLLGYENAGTVEFVVDAVTGKFYFLEVNARLQ VEHPITEEVTGVDLVSLQLYVAAGGSLRALPALQGLTQQGHAIECRLCAEDPRKNFFP EHGKIHLWLPASGVLGPGRDVRYEAAVQSGSSVSIYFDSMIAKIVVWAPTRALAIEKM VKVLAHTICAGVQTNQLLMQRCLLHKAFHNPAYTTSFLSLHLDELLHEPGGLIAEIRK SLPIVPAVALRHLAALSASQKRPFQNVRRRFRNQHHDPVNLQYDVVTMVDWPYSLPET DPTTPLMCVWTPDNTGPSATQEAHLLAIPEIDTSNDVKKPAGTSARYQKVSKVLRDDL VNLSGTRYAVKIESWKPAEGDPALKESWLSSTLEISINGTKLLAYVSVAINRLEALAG CLNRTQTVFCHIPAIGASVEFKRDTSLSFVESTRAAASGENNQEQRTVTAPMPCKVLS TLKKNGEQVKSGDIVMVIESMKMEVTISASADGQFETNWKEGDAVEEGKTLCTVK ANIA_05270 MSSSSLPFADPLWLNRRYSPYYKESHRRLQKEARSYIDTHIAPY CDEWEKKGSVPVEVYQRHAALGYTAATPFPLATDYLRGQKLPAGIKAEEWDGFHDLIV IDEIARCGYLGVIWALGCGNSIGGPPVINFGNEEQKNRFLPDMLSGKTRFCLGVTEPD AGSDVAGITTTAERRGDKYIVNGAKKWITNGIFADYCTAAVRTGGEGIAGVSALVIPL NASGVTRRKIDNSGVLASGSTYIEFDDVEVPVSNLLGEENKGFPIIMNNFNHERLWLA CTSLRMARVCAEDAYRHAITRETFGKKLIENQVIRAKFAAMGRSLDSAYAWMEQLVYM AETAKANHTDAAMGGLFANLKVLAGRTLEMVNREAQQVLGGLGYSKNGRGARIEQISR DVRVMVVGGGSEEILSELAVNQEIRAMGKLSKL ANIA_05269 MSQQKLSPWNSVVSGTTAAVLANTLVYPLEFRVKTRLQVQVQKQ QRHISDNDAKADPDGNNGALYNNALDAIFQIVQEEGIAGLYSGLGSSITSTAFMNFTY FYWTATARNVHQSTLQSFGLSDSSSIVKELGLNATRNYYSEKLPLWETMKDIVQSEDG WTGLWRGFKVNLILVVNPMITYGFYQWLRGRLKKARELGALDTFLLGACSKLLATVVT HPLIVAKTMLQSEAPESRKGKSFTGFTEILAYIIRNEGLLRLYKGLAPQIIKGLLVQG LMMLLKERTELLMMALSLHGKCLSTSHPKGTIG ANIA_05268 MVCQQRGSGRAFASSNWRTLTAEPLNHPAAPPRSPIHEAQPPQK TTQRSINDSSAIAEGRRIYLGNLLYAAKKHEIAAFLEEEGYKFTAIDISIDPFSGRNP SYCFIDFDTKDKADRAVGELNGREFLGRPVKVKPCIPKEQRKGSGQNSGYVFDRWQRN DAAQHFKGYAARECRLIVQGLPKPSSQAHMNEKLAEFFQNFDIQAISKTICPHYQGRA APTAPHYAYVDFASASQAQAAIDALDGSVGPWGTVLKLTKAKTDWNGP ANIA_11473 MPDFRCNKGSFNFAHIHRVRVLLQIPSGQPVENNTAYARYMKDF LMHGPAVWTGSSASSSATN ANIA_05267 MLRAVLLPTLLAFGAFTPVHGANSPGCGKQPTLTNGVNQINGRE YVLKIPDGYDPSKPHHLIFGLHWRGGNMYNVVNGDSIQPWYGLEARAQGSAIFVAPNG LNAGWANTNGEDVAFIDAIMEQVEDDLCVDQASRFATGFSWGGGMSYALACARAAEFR AVSVLSGGLISGCDGGNDPIAYLGIHGINDPVLPLDGGVTLANTFVSNNGCQPTDIGQ PASGSGGSVRTDFSGCSHPVSFIAYDGGHDGAPLGVGSSLAPDATWEFFMAA ANIA_05266 MVAYYTSKNTNFPEILPLDMQLYHSQARNIFIAARIHNFQQTPL WHSSTRGSVDALVIAYTTASHCNNIWVDPSTARPLNSYWRTGTALAIPHIPTGGPVRL WRSLIFLLADRYGSGDPSYSYWRIGTGKAPVRVTWELRDRGHVSQGQVVASWLRRRPV TQDPIYLSNSPWPQSSPS ANIA_09501 MKISPHYVARLGISMDSAPHQQPTLALTEPEQAPRLTVSLNGWK KLSAKVANWPSNAIAITIKREPDGSDRPCVFRWNPASAPLILRLLSNNGEMQEAPVTA PTPQGPTLEHDSPLGPFSANSKDTIELWPAAETAIYTTLPERYGPLLDVGGLYEVVLA WGGCDIAHWAWGTMLDHLVQGQDLFALEPPVTRIPLTLTVSPGARFSFTALTPHWRPE LAHQNKTPRPPLIDPSARLSGAPVLTITLSVGDGKITGREWDVELKLMTKVTYHGVLG NPTPSPIIFFANATIIYESHFLLDRRRGEASWERCQPVFPCGVGVYPIDVPRGFTHAH PSSFVSLHPGESWEATMEACDGDPWEFPPDVAIGDIFRLQHRGCVVGWWNWGGSEDLA AALTSFPSINETLVAYPKDIGGRPKLVVPASDAIELVYEEQD ANIA_05265 MDLEDPSFFLQDDLFQSIESELSDQIYSKDENILIPLTDASRNP LKVLKLEYSNDLPEYPLTDPNGYGYVINVPPNQRRETVEDMVNSTTLQDIQHRISEIK KDATKDAVYRYKVLLYSKESL ANIA_09502 MDLEDPSFFLQDDLFQSIESELSDQIYSKDENILIPLTDASRNP LKVLKLEYSNDLPEYPLTDPNGYGYVINVPPNQRRETVEDMVNSIQYYT ANIA_05264 MHIVIIGPKLPFSKRAMHALINSQHAKQFSKDITRWGVIQGHTA VDLEVLEDLFNKEILPATEECGVFEPLSSRRKYCDLCPYMLFTSHGIYKHPPPLPSKA PERILAGVKRIIEQIRDPNLTTAIIQKQHLISYPNGQDINSLIFLQNTDQNLKDYIQE YYHDSQGTMSKDINEVLFATFLPDQCKIITLLRVFTSIDSTEGYYLLFKRVFSLVQRI SHQPIYFDPIHGSGIHGIIIDMDSKQYTKYENANVQNWASQKKGAVIKAGLNKACSKI QPYYFDLLQNYTNAVEQSHQKSYISGKYLSLVQAVKNSAKLDRDDILQYDNFQEFNIH HSYQTSNMEANYLRHMSRERSQKRRRSALSPSTEAESASPLPQGNTRSRSQNSSRIGD DESIRSGNLRRAISTNALNLEQRRQELELENFELELQQKRADLKKKEEDIHLQQLQNE KLELDLMERRICIQEAQQHELSSL ANIA_05263 MARLMSFPPEILDRLFFYADSTTRKNLRCSSHVLSAIGQRWVFD CLTVSLTDASCGRLDHIISRPVLAKCVTKLYMNTWNLDNEVSDWDYLQSQEFQYQENG RSRTSLGERLWNAFDRLKELPRLESLILRFHDDCGETEFDNCLQGLAVRDVILTRFLS AVVALPRPLRELGLLDFQNVYFNETQTAANRNKVLNSLQILRLDVTNVDREESQFVHA RNAQDCYRKLPRSWLKPTMANLEHLTLYSRLRAGFCPKLDLRGLHFPRLRSLSLGNYA FMHDSQLDWILSHGSTLLELYLDQCTIIYEAALSFNVDEPIPVSATILSLEDFHPHPD LVVDRLYASYSGRWANYFRAFQNALPHLRHFRFGFTPGRWTRRILPFEQDMQIAIGFH QQCYMEYILAERDAILGAIQLESGENTSRSLLSVFQNDHVKTRQRVLLAWWVQFMNQA GGINLVVYYAPSMLVQNVGLSARLAQILGGCINMMFMFGSIVPSFFLDRMGRRNTMIA GCAGLSLCMMMISALLSQAKTSNGHSYSSAAVTFFFLYMLVFGMSVNCVPWVYVPEIL PLAARTRGTAIGISSNWLWNFTVVMITPVIINRLHWKAYLIFMVTNALFVPAFYFFYP ETSNLRLEDVDYIFSRSGDPVKNAQQILAELKLNGHVDALQGSGSQISPSRHFSEEKG VHEARNETKLAPAESRST ANIA_05262 MGLKLPFLLEDLKFNIPVPYTPHVDQQLLEVTKQKLALARYPEE QTDFGEKDWSQGAKVSRIKQLADFWKDQYDWEAEERRLNDIFRHFLVKVDVPGYGPLM LHFTHNQSARPNAIPLLFSHGWPGSFVEAIRVVLPLTEPEDAKDPAFHLVAPSIPGFG FSPAPSKSGVGPNVVARAYKILMTDVLGYPKFVTQGGDFGSFITRAIAIQYPALVRAQ HLNMFPVPPPTLWSAPYAYLRWCLSALTYSEFEHEALRVRRNFEQDQSGYLEEQRTRP QTLGFALGDSPLGLLAWFVEKLHDWGDVHEAFSDTDIITLVMMHWIQGATPGLRFYRE AFGCGKREAEKTFETYVSVPCGVSMYVKEQLHCPRDWAAQVANIHYWREHDRGGHFSS LERPDLFVNDLRSFFSSPAVMESYARH ANIA_05261 MYVLAQVICNLKIKQLVDAWRMTSVQERMTTLLWIRLTHCLSSP CALSCQGISNSTNWIGTPCYPVQVYGLEVAVVVGAERKATRRGRTVVVVYEIISVAHF QVEDSLWTDAHVQKSPGCGKEKLQLKPVHKINASNAMAF ANIA_05260 MGSSKEVIIIGGGVSGLGMAVQLKRLLGHDNFTIYEKSDNIGGT WWHNRYPGCACDIPSHFYSFSFALKPDWTTMYPGRDELHAYFVSVAEKYDIIPHCRFN AMCLGLVWDTARSLWVCTFQDTSSGEIYKREAPVVVSAVGTLDRPFVPNIEGSDTFEG KTFHSARWDDTLKASGKHIVVLGNGASATQFVPELVKEVGPKGSVTQFVRSAHWWTKR GNPKYSEAFKMLMKHVPFAARIYRLLLAWELESVFFSFRMNANGSRMRQKIRDATYAY IEKDAPPEYRETLKPNYEPGCKRRVNTATYLSSLHSPQMCLTKDRVVKIGRDHVITET GGRYPADAIIYATGFLTQEWLHPIKVKGIGGRDLHQVWDASGGAEAYKGTVVTGFPNF FILYGPNAATGQHSVIFHSECQINYSCRLLRKVLGGSADSIMVKPLAQERDLAWVHRK LQGLVFNSGCQSWWMDPHTKKNTFIYPDPMYLYWARTIFPRWSDFEIRKVQAGYSFAI VAVGIAVSLSALTWSFASLPHIARWTAGLSWIASGKWMTAYL ANIA_05259 MHSTRTRTRSGCLPCRSRRRKCDERRPQCQRCEARGYICQWGLK ASFHPSRSLRLSTPERAALLAIEKGRQDPATDIQNDDPRSSLEPSAPIIIDDTAEVVR YYREPCDGLSPCGSDPLHDESPLPNETCPGIPRADHVQPTLNFASHDSVRANANARRS PVNEVTIESLLSPTQSGSISDIGENRLRQGGPVPVQQLGDSPSSYALPFSLGLAASRN ASWLPEPSMPITSEESARLFSLYLYETGTWCETTDSERHFTLSSVHQMMASKSFLASA IALASRQRDAVQDQPRPLTLELYQYTIQLLLRQDPDEASATVLATCTLLCVYEMMASC VWEWRRHLKGCASLLHSRKWNGSCSGIVKACFWAFARIDVWAAFISGKRLLIPTDSWV DNSSIPLVAANGTLDDYCNLAILVFARIVNLLADAQLSNRDSDPAYSSVGKLWDELQE WWRLRPKEACPLLREPSTATNPFPTAVFSRSSSICGNTFYHAGSILLLQSGCLRRRVD APVSVMDPVWHARELGGISVSNISQYVPRCCALRKELTRSAEYSPNWVNQLQPLYIAG TAFSENHVSSFQDTSGRNQSTWIGSHLEVPWGRPVPSVAADMTEKYASEKIALLKQLA RIEYCPANDDWPMAPTAGSVTGLSNQYHVTMILLASKGDSYLQK ANIA_05258 MQFWSILGAGFFICAVQAAVSSNGTAEAKLAQIRKLLKPQLSEN ATIVSPNSKEWVEVTHRAAAPRVHPGYLAVVDVAVEDDVVNTIKVANQIGVPFLAVTG THGWTDDISKIQDGIQIRMRGLNHIGLGPNNDTAYAGGGVIQYEVVQALYPYGKQAVH GLCECVSILGPLLGGGHSVLQGAHGFAADNLVSAKVALHDGSVVTASATENQDLFWGL RGAGHNLGIVLEFEIKAFDIHADPWTFMTFVYEADKIEEYFEAWNQLEDTIADPGLVI LNGYYRNLPEINAEKPVLVMELIYQGYDTAAPQYIEAYRAIGPIHETTVTDIYWNKLF DITNFGRNDRVCVPSQNWAGYVNSVVRWDPASMRESYEIFADLVAIETYNTSTFIFES YGRKGVRDFPDNFNAVPPEERNKHNMLAAFLFWSGDDETELAVAREFGERLQIASRNG EIAHSYVNYAIGGEELPQVYGRDVDRLEKLQMIKTKFDPYNKFGFYASLASA ANIA_05257 MAPPTATALVTRGGKLSRETIPVPTPGEHQVLVKISHVAQNPTD IQSLDADAFGDDAVLGCDFVGTIELTGDKVSRVKVGTVIAGLIWGGEIKGLGGYSEYT LADERICFPLPEGITPEQAATVPLAACTALLALFSKDCLSIPQKSGETVLIWGGSSSV GLYAIQIAKHYGLNVITTCSARHHDLVRSLGATVALDYRDARVVESIKAAANCSLRYV FDTIGNSSSSGTASQAISEQGGSLCTVRPGKAFTENVTKQTKVTDVLVWTAFLKEHKY KEFYWPPHKEDHELSAKFFDALPKLLSTGAIKPNNPKLFEGLESVTKGFQEYRDGVIS NYKIVYKV ANIA_10655 MLAHGAPVLSQAPVIFTLTSLFLNWRALLNIPSHPLQTLKTTLS CPIPRHNIATTFDQTGPRGSLKRYPNIGLTSSVSLALPNHTSTIWNRMGCQTKTTVPI LIALLQDRRTVVPLSSQLSSVKHQARISLV ANIA_05256 MKFLAILSAVVFAAFAVAGPVHANDQDESKMGKRDRWDVDRIKR LLCDMNGTAVSATFHRRKDRRFESRIVFY ANIA_05255 MTDSEPQDRIIRLVNDLPIIKDTEPTPSDADSDVSDTKSPASER SQTSDSELPDIPLLMGLTYKEIKAYGLIISANNKAKITVDEIQEYLIMPSYPATKDSG SLEDPGILYFIYCSNPIGPNIDSESINAIFTKEIS ANIA_05254 MPATAPRPPFLPKDPTEFVQHVTSHSAEWFEYCSQADQYIAAAE TTLLSWETGKEALQIQALQQENEHLHDKCARLRDVISRRDAVIQYQKEQAKEKDIEFL KLAKEKPQEPQPAMPITGISDGQPKPGSPTQTQVFHQLSERLPDPDWFEGDRKDLRRF ISQIHEKMNINRDCFPTPQSRMTYVNNRLKGAPYAQILPYVKKGICQLKDYEDILDIL DRAFGDPNRVNNARNELFRFRQNNKEFGLFFAEFQRLALEGEMPEETLSILLEQSINR ELKGMLMHNQPPTQDYHDEFDLLSSYRNLRTAAGIMKSTCNQPAETTLQLLELLLRTQ PHNAHSLMYIMMPWICHLSANITLHVASRENASTVDPQNIWSGTAHTLITALLAIRSA YPASNITPSIKSESTAVSEGSRSPSPGFSEKGQEKSLSSYAMLDTGADGKRFIDQEWA EDNHLELLPLKNPIHLESFDGRESEGGPITHYVRINLTIHDHHEKKACFLATQLAHYP IILGMPWLETHDPRWGFAEHTLIFDSAYCRQNCNIPAQPAKIKALRDVPARSCQKNLT SCPKGLEKQDIALVSLRACSAYARRGHALFTATIGNIDEVLAKRSGDGNPEDLLLPEY KDYADIFSPKEADKLPPHQPYDYLITLIDGKTPPFGPLYGMSRDELVALQEWIMENLR KGFIRPSSSPTASPVLFVKKPGGGLCFCVDYQALNVILVKDQYPLPLVKETLNNLKGM RYFTKIDIISAFNNIRIKKGQEYLTAFRTCLGLYESLVMPFGLTGAPATFQHYINDTL RDYLDIFCTAYLDNILIYSQTRSEHIQHVRKVLQKLREAGLFAKLVKYEFTVHETKFL GLIVARDRIKIDPEKVQTIAAWATPTCITDIQAFIRFANFYRRFIKDFSKIIAPLVNL TKKDVEFQWTPTCQLAMDALKKAFTSAPVLKPFDWTQDIILETDASDFVSAGVLSQYD DNGVLHPVAFFSKKHSATECNYEIYDKELLAIIRCFEE ANIA_05253 MSTKLLNRQQARWSEFLSCFNFRIVYRPGKQGAKPDTLTRRSED LPKEGDEHLLHQSQTVLKHENVDPACLPEPAEPAEPAEPAEPAEPAEPAEPAEPAEPA EPAEHAEPAKHAEPMGTRQSVSFRDEVELQLPPEIQDLICIAYETDPVVRSILKALAT RQAQHPDITLADCERKREPPVLPESPARQGILRPLPVPEHAWQDISMDFITHLPTSQG FDSILVVVDRLTKMRHLIACQATINAEGVAHLYTQHVWRLHGLPRTITSDRGPQFVAE FWKHLNKHLDIQSLLSTAFHPETNGQTEWVNAMLEQYLQAYITYLQDNWSTWLPLAEF ALNATYSEAIRTSLFFANYRFHPRMGFEPVPVPDCPASQDAENFAQKMQAISDYVRSQ MTSAQARYEEQSNKTRQPARQYKAGQRVWLDARNIRTLRPSKKLDWKFLGPFTIKRMI NAHAYELDLPASMRIHPVFNVSLLRPAADNPVPRQRADPPPPIEVEGLEHWEVESILD SRWERRGRGGPRLKYTVKWVGYDDPTEEPAEYLTHAKQLVQNFHRRYPHKPRPSLDGA RP ANIA_05252 MDPRLFADSQAGDWMSLGVNLEPPLCADLISWNINPTPPIEPHR ALFPTSEESNPFWWTGLLFDHQQQFMQISELAIAQSSALGELNRCGLEPGPDGLYHCP ESGCRSKKSYSQKWMLRKHLREHIKPVLCSLCPHKAGTQRSMRRHVEANHKEWAKQYW EVVSWSCDSLFTPRNCQIHSPKAVKIHGASSDPRVES ANIA_05251 MKQGNSLTLVPKQIGPPRLDPYGRLLARFYESLFFLTSLGRTQG EHTPEPPVLDIHQECRRRFLKNLSYICDFRKGGQACTAIAVEDRVDCYRFWVASNMNV NKAVAFIREILAMLHDRHLDASNNESMIEASLIQRCVEFAAKRIDSEGRFLRIMANRC ILMLEDEESEAAQALSEWLHQALSCSRNITLCRFLYDQRHSAAMKELSARAISDKGRP GRAEEDSCFSSARHHIGRLIHHIRAPIELAQDSRHLMYLTDAYTVCPVSPCSAVSCPV SDMHTNLQGILNWMFMADDEDRVAVGDGLVYINKTRPIFDTFLAEYNGRDRQVHAEIQ VLEHFYQQRLSFLDGDRYIACSKPACLCCQLYFKHHPARMVVPASHQNVYTSWSPPLL PRFAKGDKDTQLQKQVLSYMAQDMREQIIQQVLQRSRSMIRHPDSRTSLTDLPAEAAF GFLE ANIA_05250 MRELTRHTDSRTAYQLSLLKLGFSGDEAKDVSLAPVFTGPVLYT QPNLVPSQYGLLAGVLELRALGSPDLDSQAYGEDPRLFFNHTLSCLLEGCLIPSAAGQ LHNPLTAVVFHYDTFIGDQGGSPCEAAFLATSPKCPSEGSLCPQQISEIFRFKIRVHP LQINQQDLNTKRMLDLMTAGQGSSSGPLYLHTVQRVLREMRLLQQVSGGRFDYQDFKK RIFDSDLLPSQQQPLRQRLDILESFMPSQQIIASTSKKGKKAVNDAGTSWSPKTGCGI SSWVAANRKTLSDSAKACAVLKAVSHLQDFLTTTILLSPFFFSDSFFMRRPFTMIMEE ESRTTGCTELTAKKPSTSYYYSYICNAYPLQTKLSLSLSPGY ANIA_05249 MQAVHLRLYLLLQKEDTLARTYHPQTDRSTERINRVSPFYLSHR YNLSLFSLTEEVEQLAEEPAKSPIQKGEAIVQKVKEALDWA ANIA_05248 MAGPDPGPGSCAYPPYAGKPAAWAASSYQWHAVPTQSEPLPVPG DLYQYNAQALAAGAWLDPASLPGACACRGQSTGQAGAAIVISPGSLAAGVPNLQELAP GAHLIITAKIKARAADDEQQARETADSETLHDANPWLRMTRWARYLAGVHFPDLIDVV TPPDPDDADPASQATQRVWDAMIWLACQPLSQLTGLLMGQLMGLPDGLGGPAEPADRA DGLSARWSPDPMAPDLDPRRIEPFVMTPLETTCLEFCIELLNQKIKVHEYESPLVCAM AVLGRGEQGWRDTDSYPPIISRVLKVARFLVVQKALWLDPEHWEIIRMWVAASEQGAW AGEAADQELGWLMEDEGYASAPSPPSSNETPSSPPQGRAITRMPRSQLLFQAGVDWMV QKFMVRGQHGPVEVLLDWRTFGLKIHYNTTTPGHVTWMGQERLLYKEMDFTMGHLLCQ PNQEQWPPIPWHQLFDNPTKGTPGWSFLQDPRTPWPVTGGTWLVDRIAGEPAVARAFT TQGAVSPTKLQKYFQQVARFKEKLALAMHLTGGAPVRAPELLSIQHVNTKNNWQRNIF IKDGMVVEVGELVVWYLWLVLLFVRQLAVTWGQIRPSNPRPSPRASNPNPSSSSPSNP QPSNARPSNPRARNPAPSPPHRSPYVWGPDVGTGREWPSERLREVLKRESEASIGAQH ALNITNYQDIAVGISRQFLRPSSIFPNNIQAEQEQEMAAMEVDKEESIGNIADKQAGH TPHVAGMVYGRESTEFAGSTTTRRLRFWDIDKLSSVSSGCGAEPKFI ANIA_11665 MAFCITRKHATFSILLVKQLNAEIKAGLSPTGSS ANIA_09507 MYFQDLLNIVMPLTIPHCLLQAYMDKTSIVKLPASCQSPDLILD TNQLEAFMMTLLETACLDFCIELLNQKTKIYKYKSLLAAAAKQGLWVGKAADQELAWL FNDKGLKVHYNTMHHNIFIKDSLVVFVTAYYKGFYASNNVKIIYQYLPCEVGKLVVYC QFLCILSIFLNNIQAKYKQVMAALEADKDLNKIGFPDLLLVNIDSASPVVAIMPTAPG GCIIMGFIQQYIQQASNSQVDAISYKLGSRRVLDAKFKRVQAYMEVVEGVGCHYWIGR YQQQNTISI ANIA_09236 MPKRVLKGAAKRAREAKHARDAAKARRLSSFLNVRLNQEHIPHE ILPNSASDDKLLDRPVTGADLFAPSSGPSSNSVYPSLLQEEYFWNYFWQTYHVSLCPI LDDAQFKQHYQSLLIADGKGRKPSALVDIVVAACMQYHISTLPLGSQSGLVEGKDASV AGRWHYWRGQTLLTYELESLSISTLQCHVLCSIYLCGGSFHNMMDTAMAQAVRTAYIL GLHRDLPSTLPEAKREMRRRLWWTVYFMDTRATMKLGRSFMLSESHSMPALCIDSLRV AASSGSTFVPADEDMTWLSFNLRQITLCRTFRAAYTSFHNTDFHLQEGQPIWDRPDAL QAGAEIIAKHIPSLDAWCDSVPDALKLKRQDSNSRPFSTDGARVVLELSAPEWLQRQR MLLENTYHHVCVNLFRSMICFPYQPASQVHISENSLPGELATRCAAHAIALTKLTHQV LEETSLLDGWHEAFYCQWDAVMTLIGFVLAYPGSGTVTLEAKSAIHLAIAVSENFGFK FAVGTSASKIVQGLCAKSETLTANEYASAYIGASTQNANIYRIYGGQICGVIDWQNS ANIA_09235 MSSQSFRQIIGLAPSTATITDSTLIIVDAQNEYAQGLLRVQEVD QSRKVIADLLSRYRYMAHVCVSTTARTGAELGYDVLVVRDGVSDRAIPGVEANVLVDV ALKEVTDAFGTVIASGEIKGVIYPVIFLYLYIQDLI ANIA_09234 MRPAILAAFSTLPAAAKATYPFAPETFDGSYKDIGLPTIYDLSA TQSTNYNGSWATGSWITSVSGGQYFVVSHYVNDGIHDVYRSSILDLSSLKYRYFFQAG NGSYTASPPSHLKAGVGKGNGFEGISNDNYTTMRVQSSHPNVTFDLTYHATTKPLING GAGVVMLGASESKQWSLPACWTNGFLIVGDEQIPIDPKRSLTWYDRQWGTGGLTNWTW YGLHIPKTGHVLSIWTGDTDADRAAPITPVRFATVRNAYGAQTVCNITWIPDLSHIFH SDSTNKSYPLAWTVEIPSYDAIIKVKSRTENQLNTGSHGSEPEAYNGFVTFAGQFQGT ETEGFGIVEIVYL ANIA_09233 MPVENEFPRELPNHSLISSKSQMTLQSIWLFRYPVSSIVCVQFP TDFPKPVLALSARIAIDLGLFTHIVQKCPITSRSLAAITGAEELLITRILRLLSTAHF AEETSTGTWAPTPITKTMAKEEIAAGYRFICHMVVPALQSAPGYFQHHGYSCPTDAKD GLVQHALQTKKTSFEYIMSDPHLLKDFNLFMGNGMGARKSWLDWYPVQSNILDGADAD PDKALIVDVGGGKGHDLIAFHKRYPNAGRLVLEDLPAAFDDLGQYSMVIEKVPHDFLA EAQPVKGAKAYLCHHILHDWPDNYCVRILEGISSAMTPGYSKLLLHEGIVPEKGVCQF QAMSDIATMACNGGMERTREQWRTLLHMAGLQLIRFWNSPDEGGDGIIEAAKV ANIA_09232 MTQAPWSLARKTAIVTGGSRGIGRAIAIHLTCKGLSKLAITYIS NLAAAESTLDECRKNGLGMGIAIKADLLDPNIGHGLVQQALAGLETPTIDILVNNAAY LDPSEAASVEELTLPVFQKVMQANAFAPISIISATMPHLPVSGGRVINISSAAAKLAN PGPVMTYGASKAALDSFTRSLAAEFATDKAATFNTVCVGPTVTDGFHVVGKLYPEGFM EELAKAFTAAQRVGMPQDIAFIVGFLAGEEARWVNGACMSANGGFREVLPALS ANIA_09231 MALFRLSAAIVVIFLYIWSPSQRIQCRCRSFERCWPSQQDWSAL NNSISGHLVNPRPVAYVCHDPDFDHDACEHVRYMANNSLWRASMPGALQNTVWESSLV STQTCLPFSAREQPCNQGRIPLYAAVVESKKEVQTAVRFARKYNLRLVIRNTGHDGAG SSSGPESFQIFTHRLNSILYHSNFCPGGSHSKYQTCAGPAVSIGAGVMFRDLYARGAE RGFVVTGGDSGTVGAAGGFIQGGGVPAFMGYTWGLAVDNVLEFEVVVATGQLVIANAD ENADLFWALRGGGGGSFGIVVRVTMRTYPDLPTLKGELTVSGNRHDPSFWTEDIAGLL NALRAFNHLDAPGVFRLIQTSAKGSISAVLEVYLLNRTLAEDLTRMMGPILGTSRRKY NISPITVGKISSFANPNEPTITEFFGSTILSRNFFESPNGPLVMAKRMAAINLDPGDG LLTSNLGGRINNENSDLPLHPAWRSSAHLVSLVVNVDTSLRARERAMVRLTDELMPML YAIDSSQWVSYSNMGNPNEPDFKERYWGMRNYRRLVSIKKKWDPKDLFIARVGVRSDG WDSEGMCRT ANIA_09230 MAAFTVIIIGGSISGLTLANVLEKYGIKYILLEKRPSIGPQLGA TVVVHPSGLHLLSQLGLRERVEELATPVELQKAIGPDGTFVLNIAATNQCDRTIADAL STGYMPMFIARQDLIKVLYDNLQDKFRVHASLGLRELEWAGDKVKVTTTDGTSVVGDI VVGADGANSRTRAEIWKIADVEDPSYGSQQLAKSIACTYRCVFGMVDDGDSSLARTAY LAFQYNRAYTYLPTDSGRAYFLAFFKNPAKTVNDAIPRYSDQDEDADVAAHANDIIVP GLTFGDLYKRRTRCTLVPLQEYLLDKCFYKRVVLIGDAVHKLNPITGRGANLAIEGAA LLGDLIKHALEKSLQPTDEMLQTAFFTYQQCTKSRAPSQIDDAHRVQSLAALENPLLK FMSLKLLKRATADKLALGVAVDFSTGHSMRYLPQLPQRGMVPLNKDVVANPEHRPASS TVLWIILMLGMASLGAVWQKHQRAEEDQPIHGYTLLTLSTFYNLMILFASAVHGSLGR RAVNLSFSDDSGMVPFYAVGHAPDKYRPTTPSRRVGLLVPGPSYLGSGGHFNGLLEVA ANIA_11202 MDRNSFTAYGPATGAITESGEQENDHTKPHTWKTFAKYACFESE AERQWWNDSGALIARFLSLTNGDIDQQYQCLLFVRQVLIPALGPYPPVRRCCINTTEI GMELSLNFQGPGEPVFRVSIDPVSRMTGTPMDPLNINTVNNMITRLASMGIKGFDRTL HHHFTREFCMSEQSMQSYQRDSGEAIAWSQTILAFDFKGGDVVTKQYIWTRHAARASG LHPHSLIRRAISRVENQMHCSAAVELVLEYMETFNADIPVPFFSWDLVDPTQSRFKIY GISWQWSWAKAEEVCTLGGKLNHHDIDLLKKLWHILKLDEFTPTMGFTWNYEIRPGQP KPEVRLYLAICDRSDEEVAQAVVQWFELLGWHERAQSYPETLRYLHKTKSAHTWLSVT VSEKGVYTSLYYHPLGNGSDDFKIRENWF ANIA_11194 MLCKTGGCVEGRAAEDQSTRKVHWGQEGSGQSPEARPRALDMIS RLEPSRGPSHAHWWHIISPQLAVMLEETGYPVEKQLEILTFLYHWVIPYLAPVAAGNA ASSCNWKSLLPSAIVPLEYSWKWDSSGKAREPEIRLTIEVFGELSGTQFDPLNQAPAM ELLYRLSSILPGVNQILASHFRCKFFDHDNVKYMEEPRLDTLPRSTMLTYMTPRKLGQ QGFAPLSEYVSAIQALGQASGRTLDTLTNFLSTSPEGVHLHPFGLAFDNVEPSSSRLK LYFFSNRTSYNSMREVLTLGGRIYSESYDMEEKLRTIYSLAQLLMGCPENNAEDADIP LLPITHSQHTAAERATLLSGFQYYFDVAPGADLPSVKFYIPVRKEHANDRAVGSALTG WFREQGRGKFCDNYMRMLERLAGGLELGECRGLHSFISCMIGGDGEIEVTSYLLPGSE A ANIA_11201 MQIVYLFSSVLLTAALAHENGKVAYPFRLFNGTSSVEEISQQDQ MDAPKIMPHVNATTFEWWYFDAVSTTSQNESLTVMFENMGPEGLGAPYPGGPLAVQIS GSFSNGTAFTIITAATKGAVLEWGGGGVRGEWRGAGSSFAGKDHREYTVSIANPGIGV YGTMTLRSYWSQFNPKCLKVSPPRYPCDVKERRASEQLIPNMYLANSQPDAIVEVDFN INESTLKFSGIGYHDLSWGSAPLESSVHSWYWGHGRLGRYSLVWFDVMGRDGKEYFSA WITEAGNVILCGCEPDSVLVRPWGENSGFPPGRGTPAPSGYSLRYDLGQNQIFIANFT REVNIIDNDFTKHIIGLFSGGFEGGEQYEGRAMADQFQFGDL ANIA_11193 MTCTLRDLNSLLEICCRCPAHNPSTAFAPTTKVRVSSDVRGIFA LPVQKDHKPYNGLSPEHLETMKAVSLMLDAAGPKLEDGISKAKELLEERINPELMRDA LGIYLTHSKDAQQRKIFPPPLKNHPFFSTKTRRPANVAGEICTADTLHGHALLSYWRD DYDLNDSHYYWHMVYRGAGGDNSKNVGDFDRHGEVFLYVHSQMVARYETESLCWSLPL VRPWNQYDDFLENGYAPISSLIEHYGGYPPFSTWYSIRNPDMPDTLNVTIPRARLEEW RDNIYAAIRKGQFETTSKDKPLVLTRDNCLNFVGGILDAQYPSLNKLLGGCSLDEERY GNLHNYGLGKFAEMAYRNKPGEKSPYGLTISNFGAPRDPCFWRWYKHLQYYGRLAATR YPQDITAHRAEVVLSNLVVRLQDRSSPHYLDGHITTFLGPPAVNFMESKAKLGHEPYE WNVQVKSCRRSPPSKENPQTLTLRLFIAAEDLMNDYHSWIEMDRATVQLTDESAITKV RLDTDSSVARKMGNYGEPDPRYASAVFRHGWPQNLMLPVGKVEGMPFVAFCIATDDGI PDPAPAPPFHHYHDPRGMGYPFNRAWTQLTEDSTGKASIRTIISNAELYPFITSTTFK IYRTTKFETKQIIQPTTVTWFNTIRGYFKDADRACMRSEYGYDLYNYDHVMLHADAIL DATASKRMPLQMGKYTQDNPDPEHPLWTVKMCENFRAWLLNGCPKGTDPA ANIA_09227 MGYPKAFTSSDSEPEPDLSRDLGNPVMGNPGVVSRSSSTVAQHS VRNNPTGPDGRLAGLWNARALLRFPAEVNGVRLDFKSVSSRRPTSRLSLLPYSLCSIC PSSQATMTSKDHVKSQIPRLSAINDLHKIWPTVEEHGAAIIESFLSLDIVRRLNEEVD PFVKIEPIPAAKTKDHPNHVLSTTTRLVNVLAPISKAYREDVLNSKVLHRICSDAFHV YGDYWVLMGAVMELAPSNPAQPLHRDMRFSHPIVEYLKPDAPATSINFLVALSPFTAE NGATHVILGSHKWQNLSNVSMDATVRALMNPGDALLITDSTIHCGGAETTGTETRRLL TITMGISQLTPLESNLAVPRPVIESLTPLAQRLLGWASQRSAAPRDIGLLTIRGNSIE KTMNLKAEQPLHDDEAEPLCRETI ANIA_09226 MAVSYTNFTNLPAKLYLVYRACTFTPLHAENLASNITQAMKQLL AQPDACVKDIDIFSPFNEITVSRWNAEIQEAPDASLLEVIRGHSRYRPSHTAIHAWDG TVSYSELDVTSTKWAIYLQSQGVKAGCLVPIMMDHSKWAVIGQLAILKAGGAFVPLDP GQPLFRLENIVRLTTESHISLSSPHLANRLHGLVETVLVISDERTESLPKANAHHDAA DISPVVNNGPAYVLFTSGSTGRPKGCVVGYGALSDVVNQTTALKIGPDSRVLQFASYT YGMSLIEIYCTLAAGATICVPSEDDRLNALSSILLSMQVTWAILTPSTTISIADAVVC LNTLVVAGEALTMDRLHSLADKTEVIQAFGLTEWAGICCVSQRITSETDLRVIGRSHT ARLRLVDPANYNRLAPVGAVAELLIEGPAMADGYLGDPEQTARAFPKTSTGGRFYRTG DLVQYAADGSLRYVSRKDSQVKIRGMRVELTEVEYQIRRAFPGVEEVVVEAAAPKNSS GIPILVAFLCPEDLSGLVCTIKETMKRSLPDYMRPSVYVPLEFIPKTISRKVDRKALR HLVQSSTRHELERYTQASLPSLVGPRTNIEQLVHELVADMLRLDPLSLGMRQNFISLG GDSVTAMLLVNKLRRKGYKITVAAILRAQSLLDVASLVHYPAGLEPSAQKSTPGDLKL VPRAIHRISDSGTVEQSFSQARIWFLQTLRPSSTWLLLPSATRLRGSLRVDALETAFS ALVERHETLRTTFEDREGSGVQVVAPFHPYQLEIIEIPSGSDADLITALHGQQIRPMD LTKECWRATLFRLSPDEHVLNIVLHHIICDGWSFDIFLKTLQIYYAAALQGHSPLEGV EPLPIQYRDFSIWQRQNKARTSVEQLAYWVRQLDGSQPAEFLCDKKRPHMLSGKAGSQ PVKIDVCLYHDVKRFCSMKHVTPFTVLFAAFRATHYRLTGASDATIGIPSVSRPQAEL EELIGYFGNVQCIRTKVESCSSSFQLLVHQVQSSITAAFENQDVPFDQIVSKLLKDRD VSRHPLVQVAFILHTQAQFGKLRLEGLESEQLPLPHVSRLDLEFHLYPGEGGGDLQGE VLYSMDLFHAETINAMVLVFYDILREGIRKPDTSIDSLPFPGGYSILNERGLIYPQQS RSLSIIDLFDEQVRAQTDEVAVKDINGHLTYSELHKRSSMLSAWLKNSYSFAEETPVG VYASRSCESIVAILGILRAGLAYIRLDIDAPKARTEMILSCLPNCRLVLVASGLEPPR VCVQGVQFAYIADSCKETVTDVHDFLKTCTPPGPMSLAYIVFTSGTTGTPKGVMIEHH GVANLAKEPDIIAHAVNSRIASHMLNPSFDASGFEIYATLLNGGTLVCIDNSVVFDFP ALGATFIQHGIRRAFMTPAILKQTLASCNSLLRMLDILYVGGDKLDPGDVAKVQRLTT GRVQIFNCYGPTENSIVSTKYRVPVDEEGVNGVPIGRSISNIGAYVVDRSLRLLPLGV LGELVVTGPALARGYIDPKHDIGRFIELDISEEVAPMRAYRTGDMVRYRPRDAQLEFF GRMDQQVKVRGYRVELAEIDNTLLLSPFISAAVTVARQDQELVSFVTVSDMASGFNDR AETEHVDSWLDVVEGEDYYGSVGTIEPHSLGADFLGWISMYDGEPIDKNDMREWLQDT ITAILSCSPSSVLEIGTGSGMILFNIIGSIQKYVGLEPSPRAVDFVRRAVHWVPEAAG KVNIKCGTASDIGRLQDMGTLDLAVINSVAQYFPSLDYLRNTIKDLVRQGVKSIFLGD IRSYALYQEFQVSKVLRLYGRGLTITRFRQHMAEIARLEKELLVDPAFFTSLPAELPG MIEHVEIWPKRMKATNELSCYRYTAVLHVKRAEQPLLIREVKEISWADFQAKGWDYNS LSQMLEISDASTVLAVENIPFKKTIVERDMVRLLQELPEDTGSVSWSSNARGPKRALA PIDLFDVAKKTGWDIEISWARQRSQRGGLDAVFHRQGPRVLFRFPVDPYIPGACSNDP LSPQRNRLLEKHLLEYMSTKLPTYMVPKLIHVLDKMPINNIGKVDRHVLAQRAAITSA TISESESLFRREIEPAFTSEIERAVWEEFTGVLGREVGVADSFFRNGGHSLMAIRLVS RINKRLSSALSVSELFRYPTVSGLAQHLQGLGALETRAVTVYAPFSLLDRPYDPSEVR LPPEADIVDVTPVTECQAWFLQCWSLVSHSFIIHGVLDVDRLRAACQAVVRHHPPLRT VFTEFQTQLVQAFDGVSLSAILYDIARAYGNSASPLSNAVPFSHHLHMCRSTRPDALA FWKAYLRDAVLTEVPRPEEVNATNEKPLEIIQQEALGEVSLPSTVDITFSTLVNAAIA LALARLVQRNDVIFACVMTSRGVLAELAESVVGPCVNRCLLRVKVPDDSNPDSTALDF CRNLRDNQAQVSGHGHLGFRDVVENCTSWASLGVDVGRIAFVTHLPAETALETFSLTL LDSPVSYDSTNVTINPGNQILVRSAITDEQQACIQVLSSSNVMGAEKALFLANRILMI AQRLSVSVSGGRSPRLLELDK ANIA_09225 MSNGAPFPLDYRGTRNYGPRFIPDRYSTFVSSARKSPRTPPGGS DQVVHVNDAGVYKRTFAVASPFPKSEFFYSSVGVGDAIGAMTDTKKHRVRRSILGPRL RAKAIAPYTPSLQKLVIACMDIMAGKARQGKVINLLRYTRALTVDVISDFTFGRPMGV VNEAEEMPDLLNDLEVFGRHFHILKHFPISRKLLDIIPESFARKLMPLPGFFELREKA TTAVNEAVVERQAGKTISNNTDGASFLDLLLNQIDENPSVLVDEGCAFITGGSDTTGF TMENATYLILSHPHILQSLLQELDTSPHIRDTFDLDHILRLPFLTAIIKETLRLYTPA PSPLPRTVPAEGIDVHGHFLPGGTILTHSLYLIHHNPVLFADPKSFKPERWLGTQGKV LEQYYVPFSKGSRSCIGMALAYHEVYTYLSLLFSRFEMEIFETSQSDMDWREHFFVKR NGQLRIRIVRDRWTGEAFPTRAVEADLR ANIA_09224 MLRQSDFKIAIVGAGPAGLTLASRLTASSHSFDFTVFERRDKPD PSQVSVPCANLDLHRELGLRAIKGCGLYPQFLEVQSACTEQTRILDITGTVLSDTTGD GERPEISRNALIQLLLESIPEERIRWNTKVLDITPANCSRSKGKECLRFTDTSIATTP ISEEIYDLIVGADGAWSRIRAAIPNAPKPIYSGVCYMTMYLRISREQYPDMDNMIGSG TFAVVGDNKLLLAQRAIHGTLRVCLFLHSKCLAAVRKELLASMHNHNTGPCPLLNPDD LINSLPSNPKTLQELLLTHDDFFASWSEDIKRLLRIAFESQVADAEIITRPMYMLPLV PYPYAHQRGIALVGDAASLMTPFAGRGVNVAMADSLDLAEELERLHLVTVSSSASTPA AIFADKLEGALSAYERTAYTRAKDAMELTWRNLCLSFSEKASDLFAAVMAS ANIA_09223 MRVLVNKHVFMQPQPGHYAHTRMSMLLLKPKTKDLLSHRLDDVF RSASREADALAAARYREPERGAPTGFNLAFNTDKNFWEYITNDDPQRGERFARAMHAV NINSLDVIPRLYPFDSLVVDGGLIVDVGGGQGQVAKRILEYFPSSGLRCIVQDRYVVN ASSPGPAVVEMQQHDFFEAQPVKGAAAYFFRHIFHDWPDKACAAILKQTARAMDKDRS RILICDQVLQDDVPAEASLLYDIDMMSLFGGKERSLAEWKYLIASAEESLHIVNVIFS TESEAAILDVRIK ANIA_11200 MFSSTRRAEGPCATELTQVSSLLPPRGPYEFSLLPTLTRPLEDL SKCIEGARQTSATANGYSPTGLVPLADSILEICQAACTAYGLVDGAIAAGVGTGSSDN SPTATGIGAAGLTGDRPSSSGASTWRCVKTPMTLGSLTLQNEEESLLARQIVYAVLTS LSALLREVYVREKDVVSETDVVGEGGVGAGAALYGREGAGAVSQCLSRVLALLGKIVP E ANIA_11192 MTMAALDVFNVPYSVPLLGSTVVILIGFIAIKALRVGSRPKGLP PGPPTEFIWGNTKQIDLFYPQYQYRKWAQQYGPVYTVMLGDTAHVVVSGLRDVRDIFI KQGASSQNRPPSRFQLLMRDGFFPGLNNGEKWRQSRRMWQAVLNNSAAKQYLPYQELE TRQLLFDLLRAPTEWRDHIERYSNSVAMTMVNGRRIIDAADPRVKETIQDLYDLAETG VRGAFLDSWPFLWKLPEWMFPVCRQARKIAAKHREYIWRNYSDVAKRTSQGEVLPSVN HAIQEKLKQGWPGVSEIEGAEIGHHLLTGTTDTTASTLINWVAAMCLHPEAQKKAQEE IDRVVGPNRLPTDADAANLPYVQQVIQEAQRWITAVPLSLPRAANAPVHWGKYTIPEE TGLIMNSHALHNDPDIFPEPDKFKPERWEGKPNASSNGDAQLLFTFGAGRRVCPGQHL AERSLFLVISHWLWGFDTLQATDDDKNKIPIDKDDLRPGFIVCLNPFPAKITPRTAQH RELIERIWKEELEVSLDESQQWKATPEGIARLLERVGK ANIA_09221 MTRQIPLLALSWLELIFFSCYYGGLAGLGYHSLWRIALRRRNVA PAIKSVLQTGRFADGTPLTRRYTNLEFLDKKLVPAVIFYDGLLTGACPLYRLLLVDIH STMQAMALCMLVSTRSKSLSTISLLLPTFWNVFNQFYGAAFVYPLYLLLEAVTTGFNP LYPVETETSRSALLVSAMIGSFLPFTFLWPAFLRSGTESRQRAIALYRFAPVVFSLLQ IVGEKVLGAQMIPQPTSQASPYLVAGCAATVGHWYALGGALGLAMRLSHRKGRLGALT LVLKRLYLPRSAEETTRLDASVLARAAHEFLQYDVLVLIAAYIPYAYYLLAPLNLASP FAMVVSLIAFRGPDPSGLNLHLRHGSERLTPSPESLELVAYIIMHFREVDKEARVCLL ILFIHLKTSHWMSGASGTRTVLIMSTVNQSSTRSELAGNWERLRKSCDTCQEAKVKCS QHKPSCHRCLRHRQPCVYSPQRRSGRPPKRPSPSSRLGPESNNSGDDIHNENTIQRTN LNANDSAMTDAGAVDPRVLTGDFAASTGIDPVDDIFQTSFESFLAASLSPKGGLLPGS HSNPTTPNGFSMNSPSITDPFGAFPFLITDHNLPIAALSSHVPPIDQLPVLSTGASNT SSECGDCGAKCYSSLLQHLLFLRQTLPESTRPSIDVIMQAEGHVRALLDRVLGCNACL GNRSSILLISAITERIVQMLDWIIEEKTLLDTENMRYNRRTFSSWGRPPRLPPHGLNG MRRNVCHVSLRVGNTELDEDAKQYFLKNFILLRLKKLAVKVQEVRRTATTRPGDCIYR AAELVLADSIQRLDYLRGQCQLWE ANIA_09220 MADYVIQNLTSNLQAMQYAYSSREEMPVWATKLLTPIFMAVAVL TTLPPPGPLRVIVGLTAFTSLWLHVLTHWVSGPAFFMDAIFMISITVRWLLMFVAGTP EIDYHQTTQSGTTITHKGTKDSSTLRQGLTKLRWSVELWSCWRGQGWNFVDQHLPRGA ERTQSRWEFLVSNAGRVLLNQYISDLVRKYAFCALWPAQVDAHVDFSSLPLLNRHGLV ALQLIRDSLMLDSEYRKASILFVGLHLSTPDRWPSLFGNMRDLYTVRNFWGRVWHQIF RQIFTRCGDIVANALNAQKGTLLYKYSRLYVGFLVSGVQHYACALLIPSAEYGWGMFW QMPAYAAVVTVEDILKYYGREAGIQDGNFVRFLGYIWTAYWMTLIYALPVGFVSDIGG FTGDGNFQLSDPCVSCSSTSIPVSGFESIDLEFGLW ANIA_09219 MSSDDTVKQEHSCSADSEKQDSSCASDNEQPKEPQSPRNIHGLL WVVTILAIYSSTFLFALDNTIVANIQPAIISSLNGIEKLAWSGVAFVMASSATVLTWL QIFNQFNIKWMYIFSIAVFMGGSAICGAAQSMDMLIGGRVICGIGGVGQYVGVMNFLP RLTTMQERPMYVSAMGLTWGAGTVLGPIIGGAFTDSSAGWRWSFYINLVVGGLFAPVY IFLLPSLEPQPAGTTVSSRLKRMDLVGTLILFAAFAAGVIGINFAGAMYPWSEPGIIV AITLGGVLFIVFGIQQTYCILTTEETRLFPVELVSWRQPLLSLLFVCGCCTGVCVTVP TYVIPLYFQFTEGDESLQSGVRLLPFVCLLVFSCVSGGYLAGRLGYYIPWYIMGGGFC LIGSALMYTIKPSSGPGATYGYSSLIGLGSGMYLQLGHAVAQAKVKPEKVPAAVAFTT TAQLNGLTFALVLSQCVFVNEAAKRIGWILPHEPRSTIVDAISGTGSTFVQELPTATQ NEVLGAIVTAIDRTYILCIVAAAVTLLATLGMKWERLFIEAAAAA ANIA_09218 MTVQTFYLIGEKERSTRELDVGDPKTVNALRQGLAEVFNILSAE GIDFHDCHGPISTIESILRSESVGITVNGHPVRYPQQPQGIPIFGNHFEIYPDHLGNH ERLFNKYGSVIRTNNMGRVTYLTNDPDIAALAFRDNDYFTKAPSSASHPLYGIRDQTA LFLCDTESPAWKEAHKFIPPSMTPRAVRHYTPLLQQSVDTVFNVLDKFDNNGQAFNVY HLTAKLASQVICQLVLGVDLHHFDAVDSPVHPIIVLLQRYLTLNRRVQTKGAWYSYLP FGDPVALKNTRRELYGLIEEAVITCQKKNGGTTGDLPIQTAALHATCLVDYLARATDE HGNKLRHEYILSNTLALVGAGFVTSSAFLSWLIYSLVEYPGQQDRLLQELVDHGAVSD KRWTYDEIQALPFLDAFVKEAQRMHSPSFQPARNVKKDIILPGGWALPQGSILIPSIP HLHHHTAYWENPDRFDPDRWRTEKVKNRHRSVYVPFAAGPRSCIGFNVALQEVKISLA ELVYRYEFVNATNEGIEYDPDFIVIRPVNFYVRAIRRTEWPARSP ANIA_11198 MASPASITLAEVARHSSPNDLWIVIEGNVYDVAEYREDHPGGDE ILRQFAGKDATTEFQDAGHSNDAYVKLKTLLVGSLQSKTLPENQPEESSRIVSIAVSD RGKIPTKRQARNGNDTSKYGQLPSLVLAGGLALLFFTLKQHPWQSIQGYLSQAQVSRV QSSGWVGFLGGFLTATTLNTAAATFVGLTAKKTLLLRHRELEEYPRVKQHYLPLPPKK PGISGENTQQFLTLVDRQCIAPNVYKVRLQGDGLVIGLGQHLKVLAEIDGRKIQRSYT PVSPVGNSPKVDLIIKVYPKGQLGNYLLNLPLQSRVEIRGPFGRYSPSPTWKHIACIA GGTGIAPIYQVMRAWPGEITLLYGNETWEDILLREELEQLVLQSPRRIKVHHVLGQPK SDWKGLRGWITREMIQDLLPEPSSSTGFLVCGPDGMVRAIRGHFEAIDANGEEKANVF VF ANIA_11199 MPKILCLHGYGTSASILQHQLGPFMAAADPSYEFVFLEGEIECQ KAQGLGPFVKGPFLCYNESFAPADIQESCDLIDEMIQAAGPFDGIIGFSQGGSVALSY LLQRQIDGHPPPFRWAVFFSTVIAFAPNDTFGSNILANLTDHEIRLLDGYPATDLSSL HPLTRALCETTAQTFYSAKTGGFISPNTPIAEFSKRDDPSQPRVFHPALLGDRIPIPT VHITGRKDNSLMVGLSVLVQGLCDQRLIRSLTHSGGHNVPRSADDVRAAWAAVDWAIQ HSQKQHIW ANIA_11191 MNLPGEIHHRFTYMYIAQVSFADLDITKHNLPISTMSSSDSPNY GDATMPIAIVGMAARFSGEATNPSKLWDMMVQGRTGHSAVPENRFDAEAWHHPSHERR GTIQPRSGFFLREDPAVFDAPFFSMTAKEAAGMDPMQRKLLEISYEAFENAGIPITKL PGTATGVYSGVMTNDYELMTAGDPMQLPQNAASGTSRAMLANRISWFYDLRGPSFALD TACSSSLYALHLACQSLQAGETDQALVTGVNLILAPNFISQLSSMHMLSPDGKSHSFD SRANGYARGEALAAVVVKPLYQALADGDTIRAVIRGSGANQDGKTVGITIPNPQAQAE LIRKTYATAGLGLEQTGYFEAHGTGTPVGDPIELSAIGTSFGEHRSQNCPLFVGSVKT NVGHTEGAAGLAGVVKTVLALEAGIIPPLADFQELNEKLRLEEWKLALPLKATPWPMP GLRRASVNSFGFGGANAHVILDDAYHYLKSHGLSANHHTTLSESEDSSDSGLEMDSST SDSGEGQSSKLLLFSAYDGAGIKRTEASWNSHLADILADSKTVDETMGMNDLAYTLSD RRTTFDFRSFAVASSVQDLKAKLENDGLPRLNRASRRSNPVFVFTGQGAQWPAMGREL LSNPIFRASIERSKAVLELEGCEWDVVQVLSDPQDQRIHIPAFSQPVCTILQVALVDL LQSWGIQPAATVGHSSGEVAAAYAAKMISQDEAVRIGYWRGFYSEQVKARLENIRGSM MAVGLSESQATSYLNRVPEGSVVVACINSPSSVTLSGEDHSIKTLEAILQADGHFARK LRVEVAYHSPHMKTVADEFLNAVGIITPQPSEIPMFSSVTETRVEDPATLVASYWMQN LISPVRFSGALATLLNDTPSVKANTRRRRTAGIVWSALIEVGPHEALKGPCRQIMSGL NTKLADQIPYMSVLSRGKSAVETSLTAAGLLWASGHPINIREVNQYRDTGERVITDLP PYPWNHEKGFWHEPAASISARLRKEPRNDLLGVPVAQQNPFERCWQNYLSVSECPWQK DHVITGTVLYPGAGHLIMAFEAAIRLAADNRPLKGVSFSDVHFDKGLVIPSDDHGVET RLCTRPHESLLDWYHYTLYSINATGDWTKHSWGSFSLHYEDAVSVQQAKRSKGEYDDI NTRACRKLDVESFYEQLLSIGTEYGPTFRNLVHAAAAPGYHSGVGTITIPDTKSVMPH EFEYPHLIHPATLDAIFHLIFVAMGEGNALSESAIPTRVDRIYISTDLPRGVGAKYTG YGRAEPVSSRDTLGTIVVSDENWSAGPKIIVEGMTVTEVSAGASTSFNSLLIPGGQGR IATLEWKEDVDSLVGPTAESWLAQKGPSIGGQASDVTEAVQRLDAWLELSCFKSTDLG TLVICPSKLKGSFELVKKYGSKHGERYRFGRTTIIEFSENDISAAESAFAPHGIESSY AAIDLSATPEHAMEQLGMFDLIIAEENVIVQFPDVTKILHREGRVAIIRSHALPDERH FAATKGLLKEISFESQDGSILQIAGLGLEMDPAIRSLDDVVLLQHVDASPAAKNFEKR LTAQLTSLGAHVRSNTIANASSLSGNIVISLLEIDCQFVISWTSEEFEQFRQLTNARY VLWITRGGLLDADRASLDYAPSTGLLRTVRVEKPQIRLPHLDLSPSLDLNSDRAVEIV ISAFHSSIKPSVKEKNLEMEYAESNGLLYIPRARGHAALDHELALRGEKVSSIPGPLS APGIARRLETSLAGSPSQARWVPDETVGDKLADFDVEIQVSHVGLEHSKVENYLNGKQ LSLAPGLGRVAVGTLTRAGAKVSRFIPGDQVFALHAAPFHTHLRVTEDAVHAVPDILS PAQAAHLPLAAARAWHSLIDVAAFRAGQSVFVNGASDTVGRLTVELARLLKGDVFASV SSDDEKHTLTKTYNIPEDHIFSLSHRTDWASDLKAAMGQGQLDIVINNATPSPAIRSL FQSIAPKGRFVDLTQRLDPSLLDPRMFQRNVTLSLVDWESLTNPQLGALMVRSLDLLR AGALTPIKEEYIFSVSDLPEALLSVGQQQHERVAAPVVVEFSADATVPLLPSLPAPLH LKPDATYILAGGLGALGLTIAENMCSHGAGHLVFLSRSGASSQRQQEALESFRARGCK VDVVKCDVTDQEQVQALATQIREQSWNVRGIIQLAMVLRDSIFENMTFDKWETAVNPK IKGTWNLHAELPKDVDFFIILSSLSGIIGNTAQANYCAGNTYEDALAHYRRKQGLAAT TLNVGLVTDASHFNENSTIEDYLRKYSHWIAAQVTDSELQHTITAVMRRTVGDKNEPV PDQLLVGLSDNVRRDGNSLNLWPQDRKFDHRISLEDGLGVVEKDTNQQKLKASTTVAQ AHEVVETALRLNVAAAMTASPDDIDIEKPLYAFGIDSLKGIEVRNWIFSELQADVSVF EVLSPMTLSRLALKIVSKSTLVGAELAAEAAADSVA ANIA_09216 MSQKIYRSPFPNLEIESVDLVSKVFSNPFDTPLSRPMYIDALSG EQYTYGDVIQRTRSLANGLQQLFGLREHDVVALFSPNTIDYPIACHAIIGSLAVVAPT SAALTAQELHAQLKTSRARFIIAHSSLLSTARAAAKGTSIEKVIVLDGQSSAPGHLTC QQLASTYAPTALRQIPAHEAGSRIAFICFSSGTSGPAKGVITTHRNITSNLQQWRAQL LDSGSAAQRVDRTAAIAFLPFSHIYGLNLYMCQCLTWGTPVVILPRFDLDTYLSCVEK YKPQELALVPPIALMLVKDDRIRNYNLRSVRRILSAAAPLTIELSSALETRFKDIFGT EVFCTQSWGLTETSPIATGIPNDRMDKRGAGVGCIVPNMEFRFVDPETMLDADVGKDG ASQPGEIWCRGPNVTPGYYNNLEATRGAFHVDDDGVSWFRTGDIGVIDAEGYITIQDR IKEMIKYKGLQVIPSELEGKLVDHPDVVDVAVIGVWVDARATELPTAFVTLRQGIDER GVGKVIEDIHLWFNARVANHKRLRGGIYVVENIPKSPSGKILRRVLKQQLKESAAKAR L ANIA_09215 MAKARAPDSFSIVASNFYQLSGPTDVDQVFESSVYELTLAVGTA VLWSSLNAESSLEVACAAWLGAPLLEPTSTATNLPSFPKTFAMVQECLSCNKDPILRF IMEISARSIRYGQLYSLDRFSPVTRYHSLIFSFSLIVVQSVRS ANIA_09214 MDCISQNSVIQRALLALYEHPVAYSVITAVFISVLCRKLLYKPR NYALFPVWATIEVAIASYLLRGDGIGRRVFSVIRRYGGSLFGITSTHQILVDFPGLDR FMARSLHTLNAEPVQYTIFTRTFGGVDSPELKRKLKNSWKDLLAPIERLFLNDASAAA ALDRACVLQQAASFVSFSSSPAQMKRWELSAGIRVIPPAESGSPHKVEANLQSLTRDF GACMAIPLLYGRHFLDGNPTLLDDFWKFDNELFPLLMIGVPEWTPLRIVKDGCAARAR ILRELEALYRRIDQSQCGEPVESGIDMSDVSGALFERSRIYKREGWSFPERAAGDFAI FWGQNANTHPLLFWFLAYIYSTPGLLDTLRAEIAPYTCFASSQAKVPEITSIDFPGLS ANCQLLKACLYETYRLVNEPISIRYVERPVTLTDGSLQHTLKTGTWVSAAHSLTQHNA SIFDNPAEFRPERFLETDQVSGKRVARYGRLRPWGAGAAMCKGRTFAEKELTSAAASI VSLWDIEPAYGQVWKLPGMVPGTGVKRPVRDIRVLISRRQSIVVKGNDMSPSKGENHG KPLGV ANIA_09213 MHAEAIEMLNSHEAIPVDPSNISAIETHSHQKRIVHALGLLGVQ ILSRLGAEALQSVIGELIEFFTTDDIIWTSRDNCRAYFSTQGGGNEEFRTYAKDHRQA TAVDKKNVGWINPESTAPPVHFFEGDPAIGLYSVQFTATDKVAWSGIPNTEKCKIEGL CNPQYIFYHKGYRIVLNTWESQGRVSACQYSKGEDCKGLCASGVKDQFTSGGVKWGGD CAIPCIDDVPEGFKKVQNEEARFMVVGDSISHGMEDDWTWRYRLSQWMEHNGYTHHFV GPWTGTRGMDPISISQPRAPLLPDEEPREDYTGKSLGDYAKGVSQSFRDKGHASFWGR QVAQSKEQFKAWVSEYNPDYLLILLGFNDLGWWVNGPEALVGDMANLINDARKAKSDI KLLVGNVVDRTFIPGRQDLVDETAQYNKLLKESMKDWYREGSPISYVDVNSNYNCRPG GCPDGHDGLHPNALGEYHIAQSFARVLQSDFGYMGIEFRVPETVDSRPVSTPANVRSN SYPEGLWAVWDRVENARGYEVRWRLKGATSWWSEGAVYPVTNCAWQPWVINGQTWEFQ VRTMGDNTVRSGWSALQSVTANVKTAPGPSNIIVEPRGNDLVVRWDAVTDYNVDRYGV MLYDRDKEDELMDVKAAKGTSLEITGLNSGHRYGVWVASYVGMVGSLSRNGIQAGGLP SVAREVIIGRGTPAPPAGLRVENLDATTVRLQWDKVSSATGYSVHVRSIIDNTAFRVD GTTTQTSYEVAWLFPGNWNFEFCISAYNGNLESAPVSCIIPPVCCGYEKRDLVATEYP KAENNSAFSNSISSFGGMSVGSLFTTFQQSIGLALDTASDHSLAIPGEI ANIA_09212 MASDSFLSLKVSDLEILLYKNAETWFTKMQAVLDGKEIWYPVQD IYLIRQGLDPLPDVDKDDDFFLPPRALLSPALRRTIPTSTPEPSIETSATTEVEKI ANIA_11645 MLHLSRARGHFAAAEAENVLGIESEVLSRWEP ANIA_09211 MSLTTPFIPPPDCSIQDLTTITTTSHSTTEIVPVLISDPADPRF TECQPSNWASAPSSSRFSYSPSVCPSDWLAWSIATTELQGETVTTAWCCHTGYTLEPY PAYTFPYMTQPCIRTIFLDPTPSSTITATLSHGTGPTTPFTSGIALHAPWYITWKESD RALLFPQPPILSASETIRYWVPGEAVDDLNTNVAEDEGGMDERTVLRLGLGIGLGGGF LLVAGVAQVAARLVIIYSRVIRETPKISNASTSLHLQTTIMPRKARKTRQELREQEEK FAQFRKLAAFIMCLLKPYVIG ANIA_09210 MLALLLVLVALTSYFLVRMRQQRGMFRNLPGPPHHAIWGHFLIM RDIASSLPPDATPQLFAHLMRQRYGLGDFFYLDLWPLAPPQLVIAHPELATQIVHKMN LPKESAVMQKWTGPILGEKSMVSANGHDWFIARKSFTPGFQPRKLQQHIPHIVDETQA FADILREHFHMNDIFKMEDLVARMIFNISAGVILGIKCNAQRDDDEFLELFRKQAALA PQDFWSRYLYDVSPRRYYRKWSNGRALDRYVGRLVDQRVVSGPAANPDQKTKYYAIDD AIATSRTLNKNIPHTSSLDKYTRDMLITSVKTLIFAGHDTSASTLCYTFAAISKHPQV LNTLRKEHNALFGTDPSAAADLLRDDPNLVNNLPYTLAVIKEALRLWPPTGISLRRGQ PDQTLFAEGKEWPTYPFAVLVNNCATMRREDLFKDAERFYPERHLVNDPTDPYFVPRD AWRPFEKGPRMCLGQTLALMQLKIALVMTVRTFDFEIVYEEGTFMYQVLDVTAKPSLG LPTRVKLVE ANIA_09209 MFALRDAVYLIELAFYGPIIPAILFIILFHGNKHPYTWRPIVIP LIILSGLRIAAAGLGLAAMNPAKSNLLSTATLLDTIGLAPVLCLLIGLLIRANAPVTK GLPLWMFIPLHMITVAATVMTAYGGRDLYTSRDDQAQDLRLMRAGIALFIAVFIGTVL LSITSMLKVQMKGYRTERAAVVCALLCVPFMAVRLAFSAGSLISGERSVLNPMSEDET SIWLHFFMVIVMEYIVTLSATAISLTARKVVGQTAEGADSLKDEET ANIA_09208 MKITDLQMDMHKSNSWLYYKLSIQTLGLVLRSGITITRPNPKAD AKLQITSGVHHGGTIKVIVAGLPRTGTISMKRALEELGYGPCFHLAEPLCQFDNLSQS AAIVHTKDTSLRRRKLAKLLQGCEVTLEVPGSACLPDLLEMYPDAKVILTERTSAAVW LRSWRGFGIDLRSDCFRWVGYWVPGVVAANDLYRGWMQLSAERFGVEPEPSEKLYHAH SAWVKSIVPRERLLVFKCQDGWGPLCEFLGRQRPNPFPHGNEAGYLRYYKRVAMVLGV SLWLVVLAMAFLSLLTVFA ANIA_09207 MLFDMKSVILYGLMALAHASPLPELSKRAKLSDFQCPDGTTLSE HDIREAFHECRRLDDGSIGKYPAFFGNKGQNGKVFSNIPDGTDLREFPIIVDGVYEGG EPGPYRVVTDYKNNRGDFRGVMQHTGATVGGSYTACTRVTGSKRELSKYDEKDDKKDG KKDDKKDDKKDDKGENEERSIKATETDSNEFDHTNDLTTRSKKKKIGSATCSDGVTLS KDAVASAFKECKKLDDYGAGGYPHKFGNTSGNSQVFAGVTKDLREYPIIEGGTWTSGE PGKYRVVTDYSNNFVGVMIENAGASFSRCTVNSD ANIA_09206 MGRENFIRQIENSVEFKLVDRVIKEEVTVQDAVQEIISMTMTAL SIHGPKKQGGIGLADYNVSLAVMELAQRLEPSKHTKLVGFISHLQKQVAVDPSTNEPL KVQGDTLWTDMPSFGYTELETWYEFGGDYKDPCDATLDSKQRERWVKLNAFLAQLTQA ANTRYPAANEEVRYFPLDKSLRAIWTIATAFEKERPPASLADTAAMEAACQWFIYAAD RLWANVVNGRTYPKPADAGPGKRYEGESWTGYARERWGVWEDALKEARAACNNERMSM LIDEALASLKRAMEGQ ANIA_09205 MTNALSAATCAIYAVFAIPVVYLLVRHGRYGLLGWLFLFFFCTL RIIGGALAVHDTSIAANIISSVGLSPLLLAISSILHEARHYRIQSLDKKLEWAAVFAY HILVVAGVALTAAGFAKLQQHEQPLDKAEKITKAGISILAVAWGVLVGWTGLSFIAPR RRNSSLMSAGTALLMAVAFSLIFIGIRVFYSVAALCTQRASLNPTTGSLAVRVVLGFL PEVIATLSIILAGIKTQSAALLAHVDKETVSRGPKPRAQPWV ANIA_09204 MSPELFHFNSKRLVYRAPEFNEADKRFIHSQIVNDPTVQTMSSE RLKRPVPEKAAEDFLKLIQDSLLGVIICLPASDKDSNPVPIGHLNVFRTSPSHTDHHR CASLGISLAPEYRGQGYGGEAINWALDWAFQHAGLHRVNLQAFSYNQNALKLYRKLGF VEEGRERECIYQYRAWHDIVSFSMLEHEWELLRNSNQ ANIA_09203 MRHTRNTIFAMATVGAASAKSMGDVCTVSHVRSILPTNGTLLGI DLLPSLTTASVYNASSASSSSASAGGMAMGGSSSSYDYCNVTVAYTHTGKNDKVVVKY AFPSPSEFKNRFYVAGGGGYSLSSDATGGLQYGAVSGATDAGYDAFDYSFDEVVLYGN GSINWDATYMFSYQALGEMTKLGKALTRGFYGKSSDAKVYTYYEGCSDGGREGMSQVQ RYGDEYDGAITGAPAFRHSQQQVNHLFPAEVEYTLDYYPPPCELAKIVNATIEACDPL DGRTDGVISRTDLCMLNFDLSSVIGESYYCAEQNYTSLGFGFSKRADGSTTSYQPAQN GTVSKEGVAVAQAIYNGLHSTSGERAYLSWQIGSELSDADTTYNSDTGKWELTIQSTG GVFVAKMVELLQLDNLESLENTTYDTLIQWMETGMVRYLDSLQTTLPDLTTFQSSGGK LLHYHGESDPSVPAASSVHYWQAVRSIMYSDVSYKKSLEEMQDWYQFYLIPGAAHCGS NSLQPGPYPENNMEIMIDWVENGVKPSRLNATVSSGTYEGETQMLCQWPKRPLWKDNS DDFECVSDAKSIETWTYSFPAFKVPVY ANIA_11644 MDPHRVTTHRNSTQLRMILPAFCHLAAQLAIKVGAASY ANIA_09202 MSPKNYYAIYKGRVDRPTIVSSWAQAHPRVKEYNGADHEGFDTL EEARNSMQKRGFSEYSEVIKGNQASKTVPRSTERFYAVAYGKSTGVFKDYKDVRKATD YFESACHQRFNTQDEAEEFIEQWRLACSEVWQREIREKLQNGWLLNDLSFNTESILKK EDEGPVLHRR ANIA_09201 MAAMKLAILGTSWPHRREALTAIETATLGSYESIAVPARLARLR LEEDMETVAPTVTGNTYPATTNIFALPDDIIRASDSDSAAAGEMGKARYEQANRLVQI LKDYTNTKRST ANIA_09200 MPAPFMVSTIDASLYLFHHVFFPSKLPQGDDYDTNCELILIDSV IHSLKEFRTLVPKHHRQVVDPVIAMVARLRGIHGSHGDMSEGRLKEAFQKLDDEGGIL PIHVRCQNAAILMTRDEKVIYVEVFELSPQNEAVYSTAGRLQRQFPGPSFMLDRATFN APGFQDTLANTLYTMSHQSAPGTKSRVKKAGQEHDEERDTTDPKMVTEFLVAFLRPYA TIFDGLQIQKNTREEVLWLDTRLPWRRSPLWLLVRVALQLNLQRLCRRHEISDDIYKH YMVYYMGSVLNVCLEEAMSDEQYYVMTAKIARRLCKLDLSHLPAWFPFVQTVLKKANR SIQKSWGEIIDQTGSRLGKEYPGKLNFEEDVYHSLPDLDKWLEGLEERRHCLSSADFQ PRTDLLEFDKAKLPLPLRTDDTDYNLLNLAAFEDWVEFNLDSWLQVHISDEDTCQQLH DLIKNYYHVAFPQYSSNPEAVSVMLLTILELWIACDKSAVILHPLLSDYDTCVPMELF EVLLLPYRSQMERLARAEDYMEQRRQRLRFPESSIFEDFGTRSCFSVRFFDKSIEHQD LLAEIEDHAERERTKKKLELRQKHQRYQELYALAGQRECTYYEVIPDSRFDHTESRHS PNCQRCAYTREADSIQIGIHEWPLPTNPLKAKTTVFELNVPQPFASWRDTTVFFLLNV LQLDYSSKGNPRARHQPKDYSGLSAFFASTNYSRRIGLLSEVKPHVKTHRYKKKIIDV TESDVCLANGLVLRYFDHGTDCFVTQFMATNKTASSCTYKLPRRSSDLQMFLFRPAHS RNGPSPNTVIGSQDSCPLDISLEEYKALCSMPLGVEIQWQNILRQLAMPSVVFKKDET CFFILQIIRQAGTSTKDSVLRAGHVILDDDRFTTALLGEINNTAARIKENWESAQELG VLVILTQRILALSASTEVRDLCRAQLSSLRTASFKLITLVREQAGHSDTDRHRNDLIA RATYLALICVTTFDAESPVVQQILEDELNASVWIQCCMMIHDRKGLLDMTAGSLSQIL YHRWQIVSYRCYRDLAHNIVHKKCPAMDLAIREAWAAYHPEFPWSVAPGGGNHWLVTG DQSLQVHYNVLTGELLINGQPLARLPAEYERHRTYRTLFGQSAMDVMPSGIPGMRFSG QRKHMRQTIHLGKESAPGLNGFDLCVRTINEDDQAREFVPFRLLDGALPVDFVQNYAH WYDVDGGYVEFCPVKEPWQSSSSHWRLERKRPGQNGWCLVNGAISLVNNWSYTAKFLS SILQPIEEPSRVHYKFHTSTSSLEIDVPRLRLSFTLQSGDSVIRSRQYRGMFIDPDQS LGTLIGLRSKLVLLHENDNSRKVLIPDGAVNWARDGKHVAVNIGWQHGSKLHVYSVDN QLGRLVDNGSLHSKLMLCYLHAVTSFCLPDVLTKKTGTEQALSVLRSASMRSFIQLAE DEISLLVNIARLTTVRQYYPDNERVMQSIGWQNLGSLAHHDEFREQVQAILDQDSTMR MFYPHSKRYGAILPFSNHDLLQRNRIRSSVFRITGFGAEDHTTAFDVQYTERGRNYQS EDFSRVFCLCKTIHEGTFHSALLIDHQHRLSEIWKFLCQSDEVYGPDVVVDKERLKYD ATWLLNPVDFVSAHWCSIHEVLRQGPAQLNRYQVMIWVSAMAFSNKIPMAVLETFAAF YVIRAMDCCRPPPRSSFQPTKGYVLNETVLKCQMQSVLRDETPESSLVPKKKETYRAF RRRIEQERLKNRAQALNSLIARLRSQWPTAAPVTPSSQEVPSCDDYYHMQQAMAMVHR CFSEWFNNRELREYLTMVTSVISSQHVQIMAVPSPQFSTPAVPLLRKRGFISIEDVLD QSLGAPPVLPTKPPGLMDLLYVDSTPIGPAPRLVPLITALGSQARSGYESRYVEQLRD SAKSLQGIRQADQVTLPEEELRNVINGYLTRCEEHYKEMHRAIFARMTFSGVGSKENP VYLATLKTIITIDMGPRFSTELFLQQLTRKKWNQISPRWKACFIAYGISITAFQRAKR LVSLVGHREELVRELQTPGHSNWDPYEFPESLLLEIENGILIRDVQEQIARQLRNIQP GHNAVMQLNMGEGKSSVIVPIVVTALANGSCLVYVLVPKPQSRQMFQMLVSKLGGLLG RRVYCLPVTRSLSIGEKEASEMESMCLECMENGGVLLVQPEHILSLKLMCQECFLTGR DAVGRRLQRILQLFRKSSRAVVDESDENFSVKFELIYTMGSQRALELSPQRWTLIQKL LGLVQRFAPAVKAKFPLSIEIHGQQQGRFPRIRLLHENAAVDLLRQIANHICNNGIES LPISRQPEATRRAILSYIVNIELSAEEIAAVEGDSAASFWTTSTKEPLLLLRGLLAGG VLTSCLSQKRWRVNYGPDGSRKPRTKLSVPYRAKDNPAPRSEFSHPDVVIVLTCLSYY YAGLSNEDLVAAFHHLLKSDQADTEYQAWVDDAPDLSDAYRQLGGINLQDRHLCVERV FPRLRFSKGAIDYLLAHLVFPKEMKEFPYKISASGWDIGEINSLPTAGFSGTNDSRVT LPLRVTQLDLPEQNHTNALVLEYLLRPENSVVPISARNEREPKSDAEILLDLVVSLNP RTQVVLDVGAQILELTNLEVAKTWLKMAPDEERAQAVVYVSDADELCVVDRTGLVEPL QISPFAKQLEACLVFLDEAHTRGIDLKLPQHYRAAVTLGAGLTKDKLVQACMRMRKLG KGQSVVFCVPQEIETKILSIVEKPSGHDINVSDVLRWAISETWADMQRTIPLWAIQGE RFERQSNLWNRARRDGETQMTQTLAEEFLEPESQSIEQRYRPHSDQDTPPFTLSNNDD NDNIRLIRERCREFKDINFQSTQLQEEQERQLAPEVEQERQVQRPPAATPEDHFIHPD LRYFVATGILKEGSNAFMPAFQALDDTSAANYLNVSEFAEHSDVPEPTSGLLVTGDFV RTVRVPKSPSSTMDDYQRPVQWVLTGIARPSSEGGRKTVKHMIVISPYEANELHSEIL KSKTVMIHLYAPRQNSNFSALDKLDLYTVPQADCAVLDIPAAVRIQLNLFAGQLYISS IGEYHEICNFLGIAYYAAPEGLSLAPDGFILTPNKTKFTKSPLKFLKVLMSQIRKDGQ EIDKTHIGKLLDGKLLTLTDFEG ANIA_09199 MAALIRTLYFLYSRSDNERGAEPQMHLHPREVDYPPGAPPPPPP YDTVTGGTKAGFIALGVCGLVSLLATSTLFLFLTYRFLFSSRHYAWPLWRNQYVVLIY NLLLVDMQQATAFMLCLHWAVTERIWYPSAACVLQGWWIQTADPGSGLFVIAIAIHTG AVVLRGRQLPYRTFVGCVVGLWVFILVLGFIPVGLYKENTFVISEAGWCWLSPVHEHE RLWGHYIWIFLSEFGTIILYSTLTIYLRRRMKQAARKIQTHNYNRSPRESQALKRLNR VVIYMLVYPLSYVVLSLPLAAGRMSTARHVIPSREYFAVAGSLMALSGAFDTVVYTLT RRQLLLHTEGGSGGVLTGTGPGQGGRIYAYSQDHTFQTHISTKGRDSSEQGGNGNGGQ SNRGRNLERGKTRWIGCAGRKSLRRGRLTLTDPARDGREGSMEQIVRSGNDRDSNRDR NMEMKEMDSEVSGGVSGVYQETTFEITHEEVDGDYQRSYQYRQ ANIA_09198 MGLELRSMVLVSLARIYQTGFKVRRPLLAVATTNFQNIINGEKT STTERRHGINPATGEPNPDVPVAIKEDVDRAVVAAQEAFKTWIDVPFDERRKALLAYA DAIEEYVADFAKLLVQEQGKPLQFAANEVAQSAQVIRSAADVAEGLTDEIIEDSAEKK IVVRHIPIGVGAGIIPWNFPHLLTVVKLAPALITGNVIIIKPSPFTPYCGLKLVELAQ RFFPPGVVQALSGDDRLGPWLTAHPGIGKISFTGSSATGKKVMESASRTLKRVTLELG GKDAAIVCGDVDVQSVAPRVISKGFFNSGQICLAVKRIYVHESIYNEFRDAAVAYAKT IEVGPGTQEGVFMGPLQNSMQYEKVKGFFADLTKEQLSLTHPDGKAFDNKAGYFIKPT IIDRPAEDSHIATEEQFGPIMPLFSWSDESDVIARANNTQMGLGASVWSRDLEQAARI AAKLQAGSVWVNTHFEADLRAPFGGHKESGIGTENGLQGLRQWCNLQTLYLKN ANIA_09197 MAKKKDKRKRPSEPEAINEEPMPTESIAEFIAEPEPPEAEPVEA QLSELPGIEYNQPASSPYEYPIAIVKIGRKSYGIPAYYIRCIPQIKCEFEWHPLGSVS LTDVDEDIGHTFVHFLYTGQYETLYSNCDRVREYRRSVFAYQAARKYGLPVLEALAKR YIEHFSEFTPLENILGTAVDVFTKLPHDEVWFQRYLRGYFVQSYRENMHFFHRDEFTK STGMCPPLDRIILQLTVDILSSRIAELESTIEQANELKPETEFESLPEPAPEPETDIA AKSAPEPESSAPTRASDYDAESSANYVEPADLGYSEEAASFPVPEEPGKPETCDLPEC TAESSVEVDPPAATFSPAQPHLETYGNGDVSPGARSPVELGPVAENAQGKYPSQEYPV PEPVPSDTSDGLYGSTSKMKKKKGKNKKKNRPMVPPPPVPIIWS ANIA_09196 MDPISATGLAYPIAKDLYRYAKRLKRAYHEIQHAKESLKKVIEK IEIVAETYMFFKDTMDDAKKIKDMAQTFKLRRNLIRKVKSEAKQIIAELKALTNIFSP LLQNSSRDSVQKWITQFQWYRKEKSAVVPLLMDMRILQGSMDLVATLVTLQMLKNSYQ NAESGRDSIHMQIKHLRRLTEIGLKKLQEDEKAQKEILKKRLTTAKQDDMALNLALQI IRILEKEIPGLYRNQPPDSQPTPVPATPSSSSAPPQITPRTSPSLGSRPDVKGQVSPP LSHQTVWVIDESEQEEGMEDAPPEQGPYVRMPPFGPPEAGPRPRRGRKSLDRPTPSSS GDDQLEKNRARNHQNDYSRHRNRELYSGHKLRAGSRISVYGSEGEVTPTHLMGSAALP QGWQRRKEES ANIA_09195 MAVLPNNCIFTDRGGITENMHYIHAAVVDASGTLLYFVGNPSRV TLARSTAKPAQALAILETGALDQYGLDDGDVAPMCASHSSEHVHVARATDMLRKIDAR EQDLQCGGHASLSETVNAGWIKASLVPSAIHSNCSGKHAGMIGGAKALTTRSDGYHLP GHPMQVRVQQVFSELSGLDAQDIEWGIDGCNLPAPALPLMNLARVYCGLAASADEAAV SSAAPAPRSQHLSRIFGAMAQNPRLVAGQGRFCTVLMEAYKGVLVGKLGADGCYGVSV RVSDQTIALGAEGAIGIAVKVEDGNIGILYSAVVEILQQLGIGTTATWEVLEGFHRPR LINTAGMVTGSLHFSFRVQRAS ANIA_09194 MSRSIAITAVDGHTGFLIAELLLTDDTFKKKIGSVAGLSLNPDS ESAQELSSLGAKIVPHKPGDLKTMVSSLQELKADVMCLIPPAHKKKYDITVELIEATK QAGIPNVCFLSSAGCDLAERDKQPNLRQFIDLEALFMASKGDASTSTGHSPVVIRPGF YAENLLIYSKQAQEQGKLPLPVGKNNKFAPIALGDVSQVVAHVLTGEGKHGFSDQHRG QLMVLTGPMLTTGDELATAASNALGQELKFEDISEKEALKVLQAQSDSDESELQYLLE YYSLVREGKTNYICTTAFHDVTGGHPQEPVDFFKVYAESLQPKHKSKRRKLSTGKK ANIA_09193 MTMADAAEIYPLGRDEAESRRLNEQHKLLIDFTEGLIDKSVPLE KITAVADVATGTGIWLWDARELLVDRAGESPRYFHGFDISPAQFPPAAEGIDFTVHDI LKPFPVEHHNRYDLVNIRLLVGAITESDYEKVVQNLITILKPGGYLQWGDMDFYRTAT DDPRAATACDLWLKYCTINNLSQCAPKAIQKAYQDAGLLNIVNRAFLPSKRGDLLERL QNWQMQFYKSVAHLILLKTGYVADQAAASQMAPELFRGLELFYAEGNTVDSRFNVVVG QKPT ANIA_09192 MTDTNRGHPEAENDVTASQVAAVCLIQRVYRGYRTRRELQGRHL TATNRWIDVRPRIGRGFDSKLTAQIVAETQSQARHRSAASTAGSPAAQAHRNWSHAVH VAKLARGDSHARQRETSLQPTKPAPATISKAMDLQYFLEMMDPSHRHGSNLRKYHEYW KAMDTHENFFYWLDYGGGKGVELPECPRDKLSREKVRYLSREERLNYLVKVDQRGRFR WARNNELVWTNNSLYEDGADGISPIRAVSPPGKGSSDARSRLKLRHRSAPESLLDKET QVSDTESDPAHGLSSRRRQIINSIKDKLFGKDDWWIFVADPSYRLYIGIKQRGSFQHS SFLRGGRIAAAGLIKIRGGKLRDLAPLSRDGRICRH ANIA_09191 MPTEFISLTFPTPSTEIDPIPNAAIDPAFLERYARNLDDYSYNY TLVPYDSSYFDPWTVGATIASHTKNLKIIIAVRPNTLYPTVAAKALATLDQLSSGRAV VHFIAGGSDAEQAREGDFLTKDQRYGRLEDYIKILRRAWESADPFDWESPYYTFKDFS NRVRPVNGTIPVSVGGSSDEAYRIGGSLADIFGLWGEPLKETKEQIDRIYAEAEKADR TDRPRIWVTFRPIVAETDELAWAKAHRTLDALQKNRAAGLGKAPANAPPPQNVGSQRL LEIASRGEVHDRALWYPTVTATNARGASTALVGSWETVSESILDYVELGAELISIRGY DNLNDAIDYGRYILPRVREELKKRGKQ ANIA_09189 MQLQHEKLSSSLREAIKRATAWVAKKARNDGHWCGELKANATLT AEHISLLGALGIFISSPNEWIKWLLANQHDDGSWGLAANLPGEISTSVEAYFALKLLG QSPEHATMRRAQQFITSAGWIEKVRIFTRFNLAIFGLYPWSAVPELPPEHLQHILVGA FHCRSVDDRTPSRALLPANSWYPDLDDTAAAIIAHAKQTPSSVNKWVVTNAIEWIMGM QNKDGGCDAFDYEINKEFFNKIPFSDMDSMCGPSTADVTGRILEALGLLLKIGTETAS YEVSASLESRMRETATRAIKYLESEQESFGAWFGRWGVNYTYGTSNVLCGLAYWETNL DVTRNDTVYMMIDDGVRWLVKAQQSHGGWGECFETYKDISLAGTGPVSATYSAWAIMG LLTRLVPSKPAITEEIVFLLSRQVTEGEVGRNMG ANIA_09188 MEIMDVTINTPIYVLLQAVSTGVIHRNDLHNAYKRTGPSRIEMQ NKTVTLLGSEVTPTATVSTVKTPGKLLTGNDFDAITKSNMTQSDCRQQLKRPVNVDEP MGSSPCGGEPSRLSRKPPAASGGGMFTLPTAQVLRTSSLGLMGWTCLHADQYPGLAEY LLHGLQLPVLSCFPSGTSSQYYLIHVPKREQTK ANIA_09187 MAPTLGQLPSELILLIAKHLDVPSTNFLLQTCLRFNRLLGPVLY KLARRYRSVPGAGTPLIWAVKTNRLNVMERLLYGKPWPADNENGTTALHEAVYAQNED ALRMLLRAGADVFALNANREAALHAAIKCEYVLAARLIIGVYPTVMPWESYAWHWTET LLQAAATASEPLCRLVLDSIIREYPDQVPDLLNSALHTAAGPTGDLGIIQLLCGYGAD PLANAPGGTATLLHTFAHNGRLEAAQWALALGIDPTVVDSRGETAFFVAVARGHLALV KTFLAVGMDPELPSRGGITPLCKAAAGGHMLVVQCLLDAGADIRRRDDRGFNVVDFVT TAREDTGSMLEFLLQRGADPSPPAGTVRMTALHSAARLGLVKRTALLCSASPVDVVDQ RGRTALHLAVREGHAATVEVLLQAGANPSVADERQKTPLHEAVATSSTDIVKQLLRHG ACVRAADLHGHTPLHLAAIHGNYETCLTLVEHCQHKGIDFSSQCLNGDTVLAEAVRHG RTAIVVMLIEAGFDPNVHWTWSSVLHLAAAQRDAQMVALLLASRADPYCLDLYGRSAF DWAAADPDTLSAMLMQRPRFSTDSKKREIRLRQTVATFGRRLLESCKNGRGHSHGFDS CIVARALVYLGEGDAARLLYAYDGEMGTQIEEHAKPVCTGCQDRVEGGSWFVCLACAR TQLCWSCRDRSLQGEGPARACQSHEFYEIPQETIELPGENEIGRDQAWREWMERLVSV HTETSDS ANIA_09186 MIMNAWLAAKMKLVAVLLALATVEARPTVDTTYPYNGPDVPIGD WVNPTINGNGKGFPRLVEAPAVKPRSAHPKNNVNVISLSYLPDGMHIHYQTPFGLGEA PSVRWGTSPANLNKVAHGWSHTYDRTPSCAQVKAVTQCSQFFHEVSLPHLKPETTYYY RIPAANGTTESDILSFTTARAPGDKRSFTVAVLNDMGYTNAQGTHRQLLKAANEGAAF AWHGGDLSYADDWFSGILPCADDWPVCYNGTSTQLPGGGPIPEEYKQPLPQGETANQG GPQGGDMSVLYESNWDLWQQWMTNLTVKIPHMVMPGNHESACAEFDGPGNPITAYLNE GIPNGTWPAENLTYYSCPPSQRNFTAFQHRFHMPGKETGGVGNFWYSFDYGLAHFVSL DGETDFANSPFSTFERDLTGNETHPRPEETETTDSGPFGTIDGDRYDDNTAYAQYQWL KRDLASVDRTKTPWVFVMSHRPMYSSAYSSYQTNVRNAFENLLLQYGVDAYLSGHIHW YERMFPMTANGTIDESSIADNHTYTTNSGKSMTHIINGMAGNIESHSWFDEGEGLTEI TAKLDRTHFGFSKLTVVNETVVNWEFVKGDDGSTGDWLTLVKGETCTINV ANIA_09185 MEWAAVVVSWLGAHLPGFINPSSPNIGGNIGTALILRAVRCFIQ PRSQYSEFGIPREVPENPNGRAESVSPLIFVKGIRELGYGIALVSLQRLHDEAGLGLL LWIGAFMSLADAGVVLIFGRRNYQMVVFHLLFGLYQGAAWWIRTADGRGKKGAGG ANIA_09184 MGFVFANIYVITTIAVIGGALFGFDIASMSAILGTQQYKCFFNQ GGIGEDGKCAGPTSSNQGGISASMPGGSFAGALCSGILTDWLGRRRAIQVGAVIWCIG SAITCSSFSIGQLVVGRFINGVSVGICSAQVPVYVSELAQPSKRGTVVGAQQWAITWG IMIMFYISYGSSYMEGPAAWRLPWGLQMIPAVFLFFALFFMPESPRWLAKKDRWEECH ETLALVHAKGNHNDPFVRTELREIREMCEFERANADASYLDLFKPNMINRTHIGMFTQ IWSQLTGMNVMMLYITYVFGMAGLSGNANLVASSIQYVINVVMTIFALVFIDRWGRRI PLLIGSTLMMTFMFANAGIMASYGKPAPPGGVDNVPEESWDMSEAKSAAKGVIACTYL FVASFAPTWGPVSWIYPPELFPLRLRGKAVAVTTASNWIFNFALSYFVPPAFENIKWK VYIVFGVFCAAMTLHVFFLFPETAGKTLEDVDAMFHTNVKPWQTRVQYRDIKNVERGG VGARMLEKENAVGVEREEREVAA ANIA_09183 MRARVILAFAVGVQGQQLYITTTGYSARPECTAAPATPSYRFEP FQYTLNETIRWLPGETVISATDTEDKYGQAAWSSQWQAASLINYTTVGLYTTTVSPTP IPSSELVLPPRDYFGPTDCYDFPEDFMFGVAGSAAQIEGAIALEGRAPTNQEKLVQDD RPKNYVTNENYYLYKQDIQRLAAMGVKYYSFSIPWTRILPFAVPGSPVNQQAIQHYDD LINYILEVGMLPVVTMIHFDSPLYFLEGSSVSATPDVGASNGGYWHPEFVKSFVNYGK ILFTHYADRVPVWVTFNEPLLYSFNFTGIHNVVQAHAELYHYYHEELGGTGKVGFKLN NNFGVPKNPENQTDINAANRFNEMQLGAFGNPLCLGEQYPETLLNTLPGAQKLTEAQL DYMANTTDFFGIDPYTATVVSAPPGGIETCAKQNMSTNSLYPYCVTQETTNIYGWDIG YRSQSYVYITPKYLRSYLYYLWNTFRTPVLIGEFGFPVYAESERELQDQVFDSPRSQY YLSYLSETLKAIWEDGVHVAGAFAWSFADNWEFGDYASQFGIQVVNRTTLERVYKKSF FDVVDFVGARNGLGY ANIA_11190 MPGSPNRPSEALARALSHLTKDTAVGRQAHCHCHHHHYQEHQDH EWFLQIDTWGQLELLRQLLCRRSPEIELTEGQLQDIDIVLSYKHSHALFTPSESLHPR LVVNKSRISVWKGDITTLSNVTAIVNAANSALLGCFQPSHRCIDNVIHSAAGPRLRQA CFELMMEQGHDEPVGCAKVTPGFKLQAPYVIHTVGPDLGHGQLPDRRHREQLRDCYVS CLEAMETVPALPDGRKVIAFCCISTGLFAFPSDIAAQIAIDTVLSWCLEHPTTTVTDI IFDTFLQRDFNLYNDKISTLVSSSNDCVHIAPIPPQPAPVASPSIKTARSWLEQADYL IISAGAGLSAATGLDYTSTDLFANYFPAFLPLGLRRLYDVFGFSGWKSPAQKWGYYFH HLNMVKTWPKSPLYASLLEITSRFGPRCFVRTSNADGLFLANGFHPSRISTPQGQYAY LQCFAKCKREAVFPSAPFLTAALPFLDSQTQLLTDESKIPRCRYCSGELTLCVRGGDY FNDSPFQGQEREYARFLEHVSSEIEQGRSAVILELGVGMNTPSVLRWHNEELVEESNG GSRLIRAGFDAAGCVPWRLAEEGVAVGVAGELNAVVDLLRGPVTCN ANIA_11195 MLMCDHPGCTAQYRRKEHLTRHARKHAPLAQRLTCEVCSKAFDR TDSLRRHRQLHRREKESEGPGSRTVKACDRCHECKTRCDGGQPCGVCARKGARCTFDR SSKAGPTTGQSTGRLGPDRPQKLYGVCETAKTLGSQAYRGGSAARQTPVSGSEIQDLL LQHESNLRERGLLGTAAAGPLEPRSQNKDLDIDRYVGVYFSHFHYQWPLIHRAAFGRS NEPPILLLAIVMIGLWLTGEAAARDRAEKMHEKFLALLENRIDAWKLDGEFTDKTWPM TTYQTIVLNVIFAIIRDVPQCLVSRFRSLLHAVTTTCLAGGLFTYAKMQALLEPTDSL VYSWTYMEEVKRLALAIFKLNHHLNTGMLRPSDLQFPLPDSGYLWDAPETKDFYCRYH VQLESRTRVDDGPLICDIVRDVQDGKRGLGMLFQADSWLGFAVSQAILSEKTPPSVDC MQN ANIA_09181 MATKNIQNVAVAGVNGNLGPAVLHALITSGLFKITIFTRLKAEN DAGIRSPSSTSAPIQVPVNSNGNCSDSGNSTGTPKPSIQTLPVNYDSVDDLTTHLTAH SIHAVVSLLPHTAPDKQMNLIQAAVAAGVYRFIPSEFGSDLDNPVNRAAPTYKGKVNI QELLKRLAAENKISYTIIYNGAFLDWGLTHAFPIDVGKRTAVLYDGGERVYSTTTQPT IGHAVVSVLANPEETKNRVVRIAEANVTIKQLLSLVQEVVGDKDWTVNETDIDQEVEK AWALIKQGVFSFESMMPFIYRAGWGKDAGGHFETTDNILLGVDELDTEGVKRVIEGVV KKLRDEAFSH ANIA_09180 MAGYHLPVDLKQFKKLQLDPNSKKLSDQQKKDLLHNIGIFRDAI VAFTATGAARGQAGHTGGPFDTAPEVCILLGFINANPDAFYDAIFDEAGHRVATQYLL AAIDGKIEPDHLLNYRDANSKLPGHPELGLTPGVKFSSGRLGHMWPLVNGIAMAHKDK KVFMLGSDGSQQEGNDAEAARIAVANNLNVKLFLDNNDVTIAGHPSVYQKGYELERTL TGHGMKVVRAQGEDIDSLYNAMVEVVSTDGPAAVVVDRKMAPGIEGIEGQTKAHDVVP VDIARKYLTKRGYSQESLAFYDQIKATSNTHQYLGSTKEKGGNRVIFGEAVNSVLDGL SKEEAARRVMVIDSDLEGSTGLKAIHQAHPEVYVSSGVMERGNFSAAAGFGFGSDGSR QGVFSTFCAFIEMLISEITMARLNGCSVLSHFSHSGVDEIADNTCHFGLNAFFADNGL MDAENTGLYFPADGEQMKAVVKKVFFTKGLRFIFSTRSKVPYILKENSDEKLFGGSYE FQPGKEEFIRKGKAGYVVSYGDMLYRSLDAVERLRKEGLDVGLINKPTLNVVDEDAIK EYGSSPFVVVVESLAQKTSLGSRLGSHLLDRGLTPKFRMMGAVKEGCGGLFEQINAQG MGPDDIVRVVKEVAQK ANIA_09179 MPQLNGKEVGPIGLGLMGFTWRPNPCPQEQAFETMRAALRNGCT FWNGGEFYGPQSYNSLVLLERYFEKYPEDAEKVVLNIKGGFNTSTFQPDGSESGSRRT LDDSIAQLKGRKKIDQFEFARRDQTVPMEVTFGVMNEYTQAGKIGGVALKEVRAETIH EAVKHTKVLAVEVELSMFSTDPLENGVAAACHQYGIPLVAYSPLGHGLLTGQIKKLED LPEDSFLRTYPRFQPDTFEINIQLVHKVEELAAKKGCTPAQFAINWVRCLSRRPGMPT IIPIPGATTVARVEENSKVIELTDSDMDEIDAILTKFEPAGERYPEGVPTHT ANIA_09178 MDALLPRSSPQLKFYLNGTPISLTSPHPRWTLLDFIRSQDGLKG TKLGCGEGGCGALSGKHVITIEGLGTVDHPHPLQERIAQLHGSQCGFCTPGIVMSLYA MIRNAYDPVTGKFQLSADDIESKGHLDGNLCRCTGYKPILNAARTFIEDDLGSVPSIV ESELVGTEEETESDMGAHSGSGDTGSRSSGSCGRPGGCCKDSPGISSCSSRETDMTTP SLPDSPVLKQYDFIPYTPTTELIYPPGLAKFVPELLCYGDAEQAWVKPRSVQEALEIL SQCPSATLVTGASEVQVDVRFKDFRPSVSVFVGDITEMTGISWSEDMKTLYIGGSASL SDIEAECLRCIPLLKAVNLGSESVLSAIARTLRYFAGRQIRNAACLAGNIATASPISD MNPLLLAVGATVHARTSAEETTIPMSEMFKGYRKTALPSGSLITKIAVPMPSKDQIEI VNAYKQAKRKDDDIAIVTAAFRVRIAPGPDYTVQEASLAFGGMAPTTVLAHKTASALE GKRWGDEAVLDIVLTSLGEEFNLPYSVPGGMATYRRTLTLSLFVRFWNYVNQKLGLEY DSDLIEEIHRGISTGTRDDDNPHAQRVVGQQIPHLSGLKHATGEAEYVDDMPPLHREL HGALVLSERAHAKILSVNWTPALERGAVGYVDHTSLPEEKNHWGPVVHDEPVFAKGEV HAHGQPIGLVYADDAMTAQIAAKAVIVTYEDLPAILTIDEAIEARSFFNYGKELRRGA PPEEIRKELDDCEYTLSGTTKIGGQEHFYLETNAAIAVPHTEDGSMDVWSSTQNTMET QDFLSQVTNVPRHKINARVRRMGGAFGGKESRSVPIACIVAVAAKKARRPVRIMLNRD EDMMTSGQRHPVQCRWKVGFNREGKLLVLDADTYNNAGYSVDMSAAVMDRCLTHIENC YYIPNVWLRGWVCKTNTHSNTAFRGFGAPQAMYITESIISAVAEKVGIDVDEIRRRNL YQVGQRTPFNQVLDEDWHVPLLLEQVREEADYDARKKEIERFNSEHRWRKRGIALIPT KFGISFATALHLNQASAAVRVYTDGSVLLNHGGTEMGQGLYTKMVQVAAQELRVPVDQ VYTQDTSSYQTANASPTAASSGSDLNGMAIKHACDQINERLRPYREKYGEDADLGTIA KAAYRDRVNLSAAGYYKMPTIGYEWGNYSENVKPMYFYFTQRQGVACTEVELDLLTGT HTVLRADLKMDIGRSINPAIDYGQIEGAFVQGQGLFTMEESLWTRSGQLATRGPGTYK IPGFADIPQVFNSSKGIGEPPLFMGSSVLFALRDALSHARRERGVSEPLVLDSPATVE RLRLAVGDDLVHRAQVQRKDGEQGFFVAVA ANIA_09177 MGSLSPLEPLFTPLRIGAFALQHRVVQAPCTRMRSTKESDGIWV PNDLNVEYYAQRASKGGLMLSEATPISRDAAGYPGVPGIFTPSQIEGWRKVTNAVHTK GGLILCQLWHVGRATTPGFLGGKTPLAPSDIPISGKALDGNVYADAPPRPMTVDEIKE VVLEYAAASKRAIEAGFDGVEIHGGNGYLLDQFLHDNVNNRTDAYGGSIENRSRIVLE IISAVTEAIGAERVGIRLSPYNYFQDTRDSNPQKHWGYLCTQIASLPESSRPAYVHMI EPRFDEILDESEKISALETMQEVVKPSLDGLRSSLKKGGVSFIAAGNFKPENAGEKLI TDSADAIAFGRLFISNPDLPRRLKEGIELTKYDRSTFYGATPPEKGYTDYPFAQ ANIA_11197 MKAKMKKHACTYPGCSKAFTRAEHLRRHSLNHETISNSQGYTCQ RCMTHFSRADLLSRHLDRHAKKDAEAGGFGKGVLETRKRMRRAEDGSIVLRPPKRPSR HQQKTGPPVGAPLSSSGSVSAGSGRSSRSPDVSLHAAQAPVSPPRSASDPVSVSGVSI DDDGTDPDPMLAPMMPGGPFEPYVEPIPGQFDAADGSWGGFDALGDGMMLDTATDFNL PFAATGNYNWLFDVSSLDDAFHHLELPLGPDLVPFANSHGNYASVNTMELSGAGAENV QDSMLNLDLDIDLNGLPAGFVHDQGPDGSSASVLLQAASFVERGNINGSDPKRDFPDL DWMAGAPPIESTVPLRPQLSEDARRGILTLIAQSPPVDIHGQPLNLDSPLLSLSALQS YSDLFFSRFNTTYPLIHSATFDPNKTEPVFLASILSMGATYSSREAHQLAVGIHDGLR NQLFCHGAFSPQPDELWVLQAMLLIDCFGKMRAGPKQRERAQLFHCVLIKLIRRSTCC SIRADTHSDPGLGGLELEDAWKRAMDAEQRKRLAFQCFMWDTEHSVLFSQSLCMSAFE IRSSLPCSPAAWEAHTAEEWSRHASRDTEHAFLPVLKGYITPGSVSRPRDLNRFSRMV VLHGLMSISADLKRRDQTTLRAETPERVGAWTPRMGRAYDLWKADFDADCLNMKLGPV QVSADETRRFTSLKAAAMALYRAASLALHVEVLDLQIAAGASHILGRVVKQHDRERSR VMLSRWLSGPSPAATTASRHAAALLQDAVLSLHDWDQTDAFHFPWCLYLATLTVWAFH AREGCVPKPTDLSSLIVAMTTSNAADLEGLAGQYDTRPLIRAMAQQLATVRWAVVHDA MKVLLNLGV ANIA_11189 MGATATDIEKVPSAGTPDEPKAGETNVYVDTEAEKSFVRKVDFF VLPMLCLMYFFDCMDRSNLANAKTDGLEEDINLKGNEYSLLILLFYIPFGLFDLPWNL LIKRYSARIMLSLRRYAVTVVWGICALCQCAANNFGGLLAIRIILGVFEAGFFAGSTF YFTLFYTRNEMGFRLAVLQSFAVLASAFSGLISFGLFQINHSAVKGWQWLFIVEGAMT LIIGVIGFWWLPDTAQSAWFLTQRERDAASARLLRDTSAEIETKLELKAAFQTWSDWK FPIWAVITFSYPVAYATAMNFFPIIVARLGYSVVKTNLWTVAPNLVGAVVLLVVAKSS DIFRERSLHIIFSLTVSLVGMLILASIDVSHNKGVSYFACFLLASGAYIPTCLVHAWH NNNNTNENSRAANTGFFVGLGNIAGVLSAATFRTEYAPKYVPTLVATCACNGVCILAT AFMGTWMRLENRRKDKEQGARIVAGQVETRMLADGEKSPEWRYFL ANIA_11188 MAPTAPPILDFSPFYGTDGAAKAKLVQQVRESCEYNGFFQITGH RIPRELQVRVMDAAKRFFALPLEEKMAIDKNLNSFNRGYELLRSQMLEVGTAPELKEG LYIGEEIGADHPYYINGRLNSGPNQWPATVPDAQEFRETSMEYYHAVYELAKDVLAVL ALTLDVEESFFDPLTEGGVATMRMLHYPSQPKDEDEKLNRGIGAHTDFGCITLLLQDE VDGLQVLDAPSGQWLDVQPVLGAYVVNLGDLMMRMANDRYKSNIHRVINKSGRERYSI PFFFSGNPDHVCKCLPNCCKAGEQPKYPPITVEDMVRGAYKQSYGRAEAYKKELAEKA KAHKIEAASATAMVS ANIA_11196 MDISYPVINAGGLKNIASQIIMEIELDKRENRPTDNVPPDDIGK IEVVDDAEMEQFYGSSTTDAYRLKSELVSQCMADIGMGRFQWKLFTVAGFGWIVDNFC SQGISAVQPPIQQEFSGIKQVSYSSVAYYVGMIIGASFWGISSDLIGRKPAFNSTLAI AGIFLCAAAGTSNFIAFSALWAVIGTAAGGNVVCDSMILLEFIPGSHQYLLTALSGWW NLGQLVVSLLAWVFLANFSCPTDATPDTCSRADNMGWRYTLITLGGLSLAFTFVRIFV FKMPETPRYLLSQGNDQAAVDAVNYVARQNGKPEPLTLSMLQAIDVRLGFTPNAEERL STKDILKENMQEFRGEHYQALFATRKLSQHTALIWAVWLIIGIAYPLYFNFLPSYLAT RFTQDSSLDLTYRNYCIQSAVGVVGPLSAAVLVNTFLGRRWMMGISSIVTGVFLFAYV GVKTPMSSLAFSCVTGLLANFANQLSEYAIMYAFTPESFPAPHRGTASGTAASLLRFG GLVASLIASETGFTTAPIYASAALWVGVGVLCFGLPFETHGHAAI ANIA_09174 MAEVARPSPLGESSSQDTSDKQVHPTLQETDHGAETDLRRTLST RHLTMIALGSSIGMGLWLGSGTSLRNGGPAALFIGYILAGTMIWSVAHAIGEMAVLYP LPSAFVQWSSIFISKELGFAVGWAYWFSAFITIANELQVGNPPYLTFFAHCQLNPTPV VSAGGAPNHEAVGFRYWNAEPFTNGFKGFLSVMPTCIFAMSGSENSALVAAETQNPRR SVPRAVGSIWLRLSLFYLLGAVVVTITVSPEDPNLFGASGTNASPFVIAYTNAGIPHF HRKDQPNTEEQIFGRADRKGRPVAGLVVTLLLGGGFSYLNVSQSGADVFSWLSNLTSL FTLFGWGSICASHLRMRYAWKNQGRSEADLPWKTWTYPYASWWGLSWCILLIIAEFYL SVWPLHTNPNVTDFFANYVSIIVVLVVYLGARLWYQGPWWVDAASIDLDAPRRFYAPD DAEGKKVNVLEKSVGWIFK ANIA_09173 MYRINNIYVLAAFGTIGGALFGFDVSSMSAFLATDQYLEFFNHP NSDLQGGITASMSAGSFAGAIAAGFIADHVGRRKSLMVASLVWIIGAVIQCSAQNVAH LVAGRVISGLSVGVTSSQVCVYLAELAPARIRGRIVGIQQWAIEWGILIMYLISWGCG KGIAGPSAFRVAWGVQAVPGLVLFLALFFFPESPRWLASRERWEEALDVLAALHGKGN RNDPVVQVEFEEVKEAARLAAEAKDVSFFALFGPRIWKRTLCGVSCQVWQQLLGGNVA MYYVVWIFQMAGMYVIFVATTGVILPYIDRIGRRLLLVSGAIICMIIHYAIAAVMATR GNPVDEINGNASLKWEITGASGKAVIAMSYIFVGVYGLTWAPAAWIYASEVFPLKYRA KGVGLSAAGNWIFNFALAYFVAPAFTNIQWKTYIIFGVFCTVMTFHVFFMYPETAQRS LEEIDLMFDTHVKPWQTAKLQDRFEEEVERQRKQSVAEAHVGDASHKEEV ANIA_09172 MIFFFPILFALAGTCITLLFSNRIRQYRALVSKTRQPDRDRVVV KGHTYSEAVSGDQKTEVEFRSEGLSTISQIENVRQVCIIGAGKEGVITGIILASHNPE VEFCVADTDEELIRLWKSDTLPFSEPGLENMFFDDGALHATRSEDEKEDGKHANIDHG QDQDQQRLTGKETAAHVERRKKLSNLTFSADIHAALVPAQLVFLCLEMDPSAGASDES SSHSYLDSALYTIALASRGHKIIVQRSTAPYGATAYIKNRLQAISSPKATHTVLANPV LAVPFPGSLIASMITPSSVIIGHIFSSTASTPAITALKRLYASFVPEDRIVTMDAYSA ELGTISAKAVIVQQMMALNSVHMICGKVEASPGNVGWILGGLDIAAVRTGVWMRREVR CLVNLAKGLGMEEVKAYWEGVLKLEGMKYRRDVRNFLETLNQGEDRKKAALILTDAMG DQETALVLLDELKRAEVTIRAWVDCATKEQSHNVLQTVRGRVEGLEVANSLESACAGS NVVILHGSLGIRDEAMQAIADNMERPKALLNLGDRMDEMKMRQLGFRLV ANIA_09171 MGWILGEKFDTVYPHKGSIEALWETKWKAACEISVYPFHDGKLE DFEPIFKKLIAENINDAYSDAYTEIFLPFAELLEQRAAAALRKGDGATASGLLLRAAV VYRIARFPYVGPATTGLKRTAFERQKIAYLRAASLWKPQLQETVIGHTHRKGKDGTHI PIYLCVPEDSSKPVPCVLIMTGLDGYRPDNTKRIHEIVSRGWAVVICEIPGTADSPAD PSDPSATDRLLDSVFLYMRQRTDIDMSRIALWGLSAGGFYAIRAAHTHRNRLAGCIAH GPGAHYFLSEEWLNRVEDHEYPFP ANIA_09170 MAQLWGWISVYCLLILSFTVRSLGQEQSAFCEHSSLARECWGQY NISTDYHAITPDTGVTREYWLVAENTTLAPDGYERQVLVFNGTLPGPLIEANWGDELV IHVTNGLEHNGTAIHWHGIWQRGTNQYDGVPGVTQCPISPGQTYTYRFRATQYGTTWY HSHFSLQLAEGLFGPLVIHGPASADYDVDLGSVFISDWYHKSAFILWEESTRYGGVPV RANAVPPTGLFNGSNVFGGGGRRSEMIVEKGKKYRLRLIDSSVDGWMKFSIDGHKLTV ISADLVPIEPYETDAVILTSGQRYDVVFEANQKIGNYWTRVIYQTACNGLDIKDPDIR GILRYAGAEISDPTSSQWSSIRNSCGDEPYDKLVPYVKRTVGKAAGQENLNVGWYYDL PELYFHWTLNTKALTIDWGTPTNKLIYNNESVFPTEYNIYEVPNRDQWTYWVIQDVGL VNAYHPFHLHGHDFFILAQGRGLYTPLVKLNRDNPPRRDTATMAGNGFLVIAFESDNP GSWLMHCHIAWHASQSLALQFVERESEIGPLIEPVIGEIESDCKKWEKYHAESVYHQD DSGI ANIA_09169 MHLWKHDFRGRVLVVAIALASCQAFLLLGFDQGVMSGLVGADNR FGRDFDNPDANMQGNITALYDIGCVLGSIVSYFVGERLGRRTMLIAGGGIMVIGTVIL ASSDTVAQLIVGRIVTGVGNGMNSSTAPVYQSECAPAAYRGALLTLQGTVTILGVVIA YWMDYGPSFTESSLQWRFPLAFQAVFAIFLVLQVIGLPETPRWLVQHDRHEDAREVVA AITDRDVNDPQVVRTVLDIQVGLEEEQKGGPFKFKELFAWGEEQNLRRLLVIISVELG QQFTGSNMINYYGPVMFQTTMNMGRNLSMILGGAIQCTYLVGSAIPVLLMDRFGRRTL LIVCSVGLCFCFVMVSILLSLGSTNAAYGATAFIFIFQIFYGIGWLPVPWFYPSEIST TRTRTRMQAIASAWNWMAVFAVVKITPLAFQNIGWRTFVIFAVLNAAFIPMVYFFYPE TKGLELEDIPLLFSKGGFTGGVFSSKGGRTVTPGQHAMQTNVDRKVERMGIELEQVET VA ANIA_09494 MHLWKHDFRGRVLVVAIALASCQAFLLLGFDQGVMSGLVGADNR FGRDFDNPDANMQGNITALYDIGCVLGSIVSYFVGERLGRRTMLIAGGGIMVIGTVIL ASSDTVAQLIVGSYSP ANIA_09493 MPFTICSLLPSDYVEAFTVADLAFASLNTLLYNTYPLSPESTEK LTAARLRDIEAMPRANMFKAVDTETGKIIGVARWVVAKEEEIVDQSIEDTVSNDIMHR TVPETNEASTRGFYTMAARGKWAILGIEDERAEVVRLKRRVELDALFTHPGYQGNGVG SALLQWGLNEADRLGLITYLEATEEGRPLYERFGFEAIKVVEFDAGAFGGVGKHQYTY PREH ANIA_09168 MWTNTSGLRGKSLHLFITFTAVMGFSLFGYNQGMMSGIIAGTEF VGEFEALKMPTDYTDAEYRHINVLRGAVTACYEIGCFFGALVSMFYGERVGRTRVIIS GATILIIGAVISCAGFGPHWGLGQFVVGRVVSGIGNGLNTATIPVWQSECSASHNRGL LVCFEGAMIAVGTLIAYWVVFGLSYVDQSVQWRFPVALQVLFALIVVTGAILLPDSPR WFIMRGYDSEAIEVLAKLKNDSPDSEAVLADYNFMKADVEMTKTSQAGFKVLFTFGKT QEFQRCMLGCAGQFFQQFTGCNAAIYYSTLLFEENLHLEHRLALTMGGVFATVYALAT IPSFFMIEKVGRRKLFLIGFLGQGLSFIITMACLIKDNEENSKGAIVGIFLFIVFFAF TLLPLPWIYPPEINPLRTRTAGASASTCTNWITNFAVVMFTPLFAATSPWGVYLFFAL INFIGVPVAYFFFVETAGRELEEIDIIYAKAHVEKRMPFRVANDMPKLSLAEINQQAR ELGLDTNSDSHHQVAELGLSSDNSSNEKQEQHEKQ ANIA_09167 MSQPTLHPPDFTTYHDQSQSPLFSTLPPEIRTEIFKYTLSPIED KTKLYAKETYWARPGYSAPHKTYTELLRTCKLVYAESWFMPFALAEHSFYIVARDRAP STRANWRWEKEQMEGWLKVLNDIHREGQDQGQGHDGRKVEVGDVRVFAQLYLLEPGML LQSVLDTEHLLPKRVHLTLRYTDFWYWEHNRPLYIGGKWVRRVRFPESVKAFAVDFES LERRKGEVDILVSQAVEKWVFRRKDGRTLAAKSAELATTKWTGSSMFGGERWIRDEVR PGELDYYVVTATWKLQPPSAADSASEEEKNDMTVRVPDGYRQLPPSFTGQISISRGEM ERARVEMDTPAEQAVNAIMEAMEE ANIA_09166 MRPGLISLLVGILNTPGLINAALNTPLTVSGRWILDSTGARVTF AGVNWPGAGEAMIPEGLQYASVASTISKIKSIGMNVIRLTFPIELVDDIYANDGDTTV LASLIKALGVTNGTDVFNQIVTNNPLITNTTTRMQVYDLVAAECAAQDVYVHLDNHIS KAMWCCSTTDGNAWFGDTYFDVDNWKRGLQYMASHAASWETFTSIGLRNELRQPASAN PSYPYNWETWYSQMTEAADLVNAANPDALIFLSGLNYDTTLAPIPTGSDLGEGTRFYL EDFSYADKLVLELHNYDTGASSCANLSGALWNGGFKALDTNSSDIVNIMPVVLTEFGF AQDETTWQSVYASCIREWIPQQQAGWMVWTISGSYYIRSGGQDYDDTWGILDHTWSGW RNEEAIEQGLRVMVEASLEA ANIA_09165 MTVSEESSLLGPARDEETGEHSNSREGSDSLVIYAAFLGNQTQS SLGKAGSPSTGVFIASADELLVISTYSAIASQFHRLSEGSWLLLAYNFGYCISLPVFS NLGDRYGRKRVLVGSSLVFAVSCLACGASLSILQLVLSRVLAGTSGAGILVMASVIIT GSLLCVLYLQSLGSLQLALDMTPVDEVALYRGYQNVVNIAGRSLGGPIGGFLADTVGW RWSFFGQVPIVICCALFCVYRLPSYLNETEAKGESAEEPAPASGPSGIRDLDFAGLFT FAGTVLLLLFLLRALGAQNKGMFFQTSLLAFAFISGCTIFIVIELFWAANPLVPMRLF SKPIGVYFLCQTLLMAGRFSYRILKRTQNTSDFVASLALVISALGVAVGGVVCGLVIK RTKRSKSITLFALALNLLSHTLIFFRWRRSHGETPWQHMQDGVYLFVTGMAPGMLFPA LFTAMASVAPEGELHSCIGTYYLFQQLGIIIGPAAGAAVSQPIFEKGLWRALHGVEEK RMIINRILNDVRYANSLPVSLQTFVRDCYLASFQYLPLFPVVATAIMFPFLFVLKEPR IA ANIA_09164 MPLCAAVVGYGFSAKTFHIPFLLHNPGFHLYAIVQRASRKGNSA VADFPHVRIFNNAYDSIADGAVDVLIITTTNDTHFPLSKAALESGKHVRLRSHFDCAP NSRTAIESKKWRLASGVPGAGMLFDLGSHLIDQCWDMRKVYKYVVSDRQKRFEVLGTK GRWVKYELDIQEDQL ANIA_09163 MYPSARIRRSDDLAKLADYHIQHDLSSSDRDALKSAAKTVSLWT TVGSAVGIGLGLYAAFRLRTSRKAFFDVFRAAEKPTQVVFADGRTEAIPDITPLLKPS TVGDFVTYFFASMGGLFLGGELGFLGGAASGSRTLTGDPERKKRIENAFRSFRADTLR KEADELEKGKSVTDEMF ANIA_09162 MISALLPHFLMVDFNLSLSEQRTRSAARAFAAAHLAPAKETYAT LPTQEDRFRSLKPIYEAAVGAGLIKGQIPAAVGGGSKSLVEAAILVEEFYAVEASASL TIFGTGLGLTPLALAYRPEIKEFLDPFLSGTGAPLASLVFSEPGGVANWLEPGAPGLQ TTAYRDGDEWVLNGEKMWATSSAGWDYKGPDLSCVVCRCVNEDIVKRAFSPRDVIMVL LVTRNDIERSGPESFRVVKDIETAGHKAVSGPHIKYTNIRVPAKNVLCTPGTGAEIIT HTFEISETAMLVGAMGVGIQRAVFDAALAFSRHTRGGTVPIGQRQSVGDLLINIKTRT ETSRFLTWKAAHCLISGPGEHSERREHALLAKVHCAEAAVQSCLDAINAVGVSAYDSG LPFPDLLSTALVLPIFDGGNVGMRRRALQELIMADGYQPWMASFGAESGQQ ANIA_09161 MPIPVAEKQFRHESGKLKIVVVGAGLAGVGATIACLLAGHDVHL LESAKEIGEIGAGIQILPNSSRVLQHWGLEKALMKHATVPRFCNFLGWKGNLISSLDF KASEAQYPGTWYRDFHRADLHRCLVERAVELDAVLSCNTRIINVIPSEDGTTSTAIAA DGRQFTGDLVIGCDGVFSKLTESLLQRPEPPLKTGDLAYRLLLDTDEMLKDPELRSFV TDPQVNYWLGPDKHAVNYVLRGGKLFNMVLLVPDDIPEDSLATTVEGNVEEMCSLFEG WDPNTIVNSCSAGMAFEDGAVLGEVLSRLPNDPFISKTSPEYLAEKRHALAVFEKCRK ERTQMVVKRGNTQQYLYHLHDGPEQEDRDRKMQMVPTPEGECLAWRDPGLAPKLLGYD HIADVSEKMIHCHGENILTGAGEPQLERSYPDSS ANIA_11172 MSKFSLKGRTALVTGGGRGCGLAFARGLAEAGADVAVFDIIDPR PEFHAIEKDYNVRTAYYKVDVSSQDSLKDGFAQFQQDFDGKLDICVPCAGINRHTCFL GFTYEEHHDLLSINVLGLYFTAQYAAKQMIANGTHHGSIILVASMASYVAVRDQNCSA YCGTKGAVRAMCPAIAKELTEYGIRVNSISPGYVNTEMTAAFSHLVEGWKAETINKRI AEPEDIMGACVFLASDASSYMTGQDIVVDGGVTRIS ANIA_11187 MKRTASQAGLLAGESETRLPNTMARSADHDQGFANIDTDAAPAG ETTVVIVGAGPSGLMLAVNLVRLGTPIVLLDDRPDKTSTGKADGIQPKTIETLKQLRL ADKLLRDGARIYDISFWDSTESHPLRRKGRQTHYPDHLVGASDPYILLVHQGMLEDVL IDDLAERGVTVTRNSSFLSCSRNPSKKLDVVYEDQSTGTKKVIQTEYLVGCDGARSSV REFIPDAQLEGEMTNASWGVLDGVIETDFPDLWSKVAVRTHTVGSLLWIPRERGMTRL YVELSATAGERIDKAKATPQYVMERAKEAMKPFSLEWKSIEWFGNYVVGQRVARHFSD PDYQIFIAGDARPLPSDLFLSLSNRFFRPVTATLRSPPKVQTPLNLVARGLASPSLLE TYETERRKIANDLIAFDAEHCAAFEAGEAALARNFDENIRFISGVGAEYDASILTQTK VSDAGKGSRRLKPGALLIPAKATRYIDANPVDIQLDVPLLGQFRLYFLIPNVSAAKEK GFLEVVCQILSSPTSILAISAEKAKESYTSRSRGWSATDAYQVPERYTTVSEIITLSL ISGSKREVFEIADLPLALQKSRWTVYLDDVEGCIEKWVGELPETQAGIVLVRPDGNYP RTPNA ANIA_09159 MPDPLPRIACFHGSGSSAAVFEIQCSFLATLLAKQFTLEYFDGP FPRSAGPGVLPAFADYGPFKSWFEPESDNPKADGSGYDASGRDGIERVLGLMEQRARE KQFGNDKWVGVLGFSQGTRIASGLLLDQQRWERAENEGKGKRTVGEGIRLKFGVMCNG GGRPMESEVGYKLDEPDMIVRIPTLHVHGLKDEFLAFGREQFEKYFDKDTATLYEINY HHAMPWVKAQSEELARRVTELYNKTRHFLRESYNAVYHNWNRYSLFTISQATRDIKGH PQIL ANIA_09158 MANYGKNAVIIGGTHGIGLATAQLLAGTGAQVLLTGRSQPPIDS AKDQLGDKAHVVQCDITSLSNIEKLVEESKFVFADQIDFLFINAGYACLEPVAAVTEE SFHRTINTNVFGAFFVAQKFIPLIRDGGAIVFTSSVSTKHGFPGLAAYSASKAAVSSL VQTLAAELVDRQIRVNAVCPGFIKTPTMGVSGVTPADLVAFGEEGEKLTPLARNGTPE EVAKAAVFLAFDATFTTGTDLAVDGGLIYMHKH ANIA_09157 MTEALAEATAKLVLDEETGEMVSKNELKKRTQKRAKKAAAAAAK ANQAQKPATPKPKTEVKPAEETPLDPDAMFKQGFLANVFRERPMKPVLTRFPPEPNGY LHLGHCKAIAVNFGFARYHGGETILRFDDTNPEAEEEKYFTAIEDIIQWLGFKPARIT HSSDHFQKLYEHAEKLIQLGKAYVCHCSDTEIKLQRGGENHGARYRCKDAEQDVETNL KKFRDMKDGKYEPQTAFLRMRQDIDNPNPQMWDLAAYRVLKKEHHKAPGWVIFPTYDF AHCLCDSFEGITHSLCTTEFVLSRESYEWLNSTLGVYEPMQREYGRLNVSGTIMSKRG LKKLVDGGYVRAWDDPRLYTVIALRRRGVPPEAILSFVNELGVTTANSVINIARFEQS IRTYLESRVPRLMLVLDPLPVVIEDFDTLSAEQLNLDIPFSPKDPAMGSHQVGFTKTV YIDRSDFREEDAKGYFRLAPGKSVGLWKAPYPIKATTFTKDADGKITEVRAVLDKDGG KPKTYIHWVPEGSRKLEVRIHDQLFKSDEPAAAEGGFLADINPNSETIYADAMIESGF DEVRRRAPWPEAAGETSEGTPRPETVRFQGMRVAYFAMDSDSTDSHVVLNRIVSLKQD TGKA ANIA_09156 MKIFATVLSLALPAAAVTISEINGNAFLSPFNGESVSGVEGLVT AIGGEGFFLRSTNPDSDDATSESIYVYGNSSVSKVSVGDIITLSGKVSEYRSSDDYLY LTEITSPSSIVVKSSGNEVTPVVIGKDRSPPTEEYSSLDTGDVLAVPNNVSQISVDNP VLQPDKYGMDFWESLSGELVSLTGVTLITKPNQYGDVFVRGDWAVTGLNGHGGLTQTE KDSNPEAIKIGTPLDGTSNSDSSKVGDTVEDVTGVVQWNYGQYMVLPLTALKVTGSND TTASPSALTGDGTCEALAIGSYNVENLTPTSDNIEAIADHIANYLNGPAIMCLQEIQD NTGATDDGVVDANVTLSTLAELISAAGGPDYDFTEIAPIDGEDGGEPGGNIRVAYLYD PTIVQLHNPNPGTSTDANEVQSGPELKYNPGLIDPTNEAWEASRKPLVAAWETVDGKN TFYTINVHFTSKGGGSYLQGDERPPVNGGVEQRTAQAEVVASFITSILEEDASAKILT TGDFNEFTFAAPLKTFVSASGLQDLDEVVGVDPLERYTYIYDSNHEQLDHMFVSEALA EGARMEHVHVNTWVNYDDAPSDHDPSVAVLNVCE ANIA_11643 MLVEFLVNSLKNIQHLLPCQPLESWSLTSGTSTGTNNGLEPQTC FCVDHSVKEQ ANIA_11171 MATTTTTASATSTCAPKLYEIPTHDAACAMPNSNSSYADLMSSC CGTASVISYSNCDYYCLAQNQTVGELAECLIKGSRAGEVWCNTNASATATGTATVTTT SSTSENGSHNASETGETADPTETSAGAVKMVASFDAHVSGEQRDIDLNIDTIKLTTKY S ANIA_11186 MSPATSFPAVSCILNPIEMKNRLATAAPNLSFRVPSSSSPLISA SIHSVTFAIADPSSGEWYIVPLQVHYRQWATWPYVQVFYWPVIL ANIA_09154 MAQYFHGPDDVYTSAVDEEIVRELPVNPYACEYEYENGPVSARA RTWENTATSTARHSRRQQETTRSTHIKRNWNHDRRRDHISNSDSVMTEKTMTGIRTTS NASPICTINHTPATRNVAYNRDLDSEDAGPESQAMQTYSNRQVSTTMNGVGEIKSHQE ISTQQFGQDHLHENNSGLKLKLELNLDVEVELKAKIHGDLTLALLRFFVRSMIVLSRS TLNKVHERSGSIPERQEGDPWILEIVERIWPALDAVPYTLAACPPYRSPHAVSLDDER HLSQPSASPDPSASEAWARSRRPPKLRRRQPQDSGEPSLPPDNAMPLAQPARNNLSKQ SPEGRDSHAHVNDAGLDDERDGHRHEHGRSSNSHSTAPSSELAPSSEAEDTSSLGVEP TPAHPRARNMQQQIILDRSQDGEGRESQRLMQINNLENPAGEMVRDTQTKTVKRVNGV KDGVIGSITRHDHERGLQERPGKTNDNEQLRLRLDLNLDLEVQLKAKIRGDLTLQLM ANIA_11170 MDDPTNEYQHEEWIEQGLLACGRKYFAILLLSVPVHTRAQGHQQ HSLQIFTKQATIDLESQKQIHAHIQKHSASSREINASVPSGDRAATFPLEQFDEEVNV SASGGAVASTPVEVGKARETVRGLHSELDKETAADKIDVNDSYKYNEHGFMKVPGGKS DQRDSTQQFPRSLSSLHGLAVSEGGNVLDNDGRAVGKVVEGDPNDLVGQIVNGYGEIL DEDGDLIGCVDPLNEGAASEGGRDYRVWGDDPSVYALGREEASLHIDMKKHYPTPVIV AETREASEKEMEADVELPLPASTENLIQAGQEGIDADDRLPDISSLDGLTCNTLGGIV TSNGITVGELIDGDAMRICIDDLYLDNQGQFKDGRGIVIGRARPLPSSQGQVRSDASV LEEAMPEPILDNEESGTHGAGSGELDDFFVGNDGVVYDSSGRAAERLAGRDRDKRDGL ASRPLNVGSTTEKDDILGRTDSEELSLPRNDETGWFSFDIEIRDSEPEPEPDPRAKHA RFDMQNLTHIIVDDNGYVVNNGHLVDKMCAIVRQTEDDVDPLCRQITLDIEEANLKPK NRLAAERLVEDIRALITTAGNILQDCNNTLRSLNPEGQIVSTMKSNSQPYTVPDAMKS EYRLASLLKDLARTVIDTITTGRHRLSEMSKEMPYAGRKINPLWTLLSNRLFDIIATV GLLHAGVLGMLSSLLNGPWLAKVLRRLLVAIGLDSVLGRLGAEGLLAALLDGEAWVYR LLEEMSANVGDLLKAFLNLFGLHGVLEWMRVGMVSEALELER ANIA_11180 MHWLNSLLGLRHTQLEWHSLVIKRHGLHNLVDKSRRQLQLRANS TICHPSMAKKLAAQRIWGYESRKYILGHSRRSLHRVSDTGFNASNHPLSLVQKRIRVG ACNGHLRERQQQHLLTGLRRRDLFTWATSIPTILIPPAIFLGLLLSLWTWKCFWIVLF QDKLLYLSWLPPLSRSEKIEDYAREFRGVQWSETFIMSSDGTKLAVCEGRLPALFRRD RNVKENNKKRVRVVICYFQGNGGSTPLRLPLLSLVMKSIEESSSSSSFHASNRDEVGF EYEYIIAALSYRGYWKSSGRATQRGIEADAAAFLNWVYDAYRDEHDDLRVILWGHSLG AAVASSALARRLASTSSPGSPSATDTNIEIKSETPLTGAKEEKIAGLVLEASISSIKD MLVALYPQKWLPYRYLHPFSWNHWDVALNLEQLAQHKKPNHQQNQGQGSSPGNRHWSE RVPPILLLSAENDEVIPPWVADQLEHRGRDFGLDIVRVNVKGAMHIEAPLKGDGRAAL VEFIRRVVNRDKHLIGNRPVLRLYLYEVNGSTRPNGLDPSLRPKKVNYRAD ANIA_11642 MKSILPVILAVIGFAAHGYAVSCQNQGGSCHDGDRDCPAGTESV FWDTGCASAFWPWEEDDKCCV ANIA_09152 MSHSQSHNILLTGVSGYLGGTLLAHLHTQANSSPYKSLYALVRS EQQASAVREYGAKPIILNLNDPLSISKTLIEKEISVVFFLIDAFNVHVQKTMIEALGH VRQRTGRDVHFLHTTGAKAFSSHVGIDSVLAPEGKLLDTDPRLYEIQQTTTAPEEYGW FTQIARTNTVIIDTAEAHGVKSYIFVPCIVYGEGKGFGNKISIQDVAIVKAARAAGRV YKVDDGKPVWPVSHIYDTTTLYCQILQQILSGHEIGHGKEGFYLAASGCVAWDDIYSA FAVALHKRGLIQDATIEMANEEAVEKMAKGLDPGNGNVRIQLGGECNFTAVHGEKIGW KAVYPPEYILEIADEEVDLILKTLDS ANIA_09151 MPLTAYRARISGRATGPGFVKLGHSTSVARSCPAALSRLAARPV IARRYNSNETQSSVGDSLRKPQSIDDIRLQTEFEKNKDIRDYLRKWQEITPNTLDPVR NIELSESSQPWTGNMFTFGSDLDDAVDDKLRLTDEDMSGFAHIADEGEGVYDYLQPGD LVVFRMYAPFCSAWKPLTIGSSHEILRYGIYVRSINKQHQFYTHRGKWRIADTKDVDF VVRGFVSPKSVRRLHPYFPDTTAELLGEIQSTIEGGVPREAGARLLEKLNEFDAQVQA LYRANSIRFDNIYEVVAHPEKQLQMTLDELACEALEIEPDQINDVIRYVVHRSCRQFP FLIDNDRSSLFTFQYVIFPTSVATMLTRVTTWVREHQSFLVGSVTANSVKSHHPMVTF IQKAQRLIRQSRVIRSPTVMACVGPSAQRFALEGAGISQVVRKVSNEAFTSFNDNDKL IIRFLQLWCIPPKRMKSAALQSAGSHIMRATGMYSAMELNSGSAPLFLQELGVFAPWE NLRLLDQDLNLSPYPPTSDQKWSQFQGETQSSRRNCLGDAMAALRKDWGELPVYCVDA IDAQEIDDGISLERIPGSDSFWIHVHVANPSSYLNVGHRLIEYAAARLQTLYVPERTY PILPPSLTQEHFSLAPGRPCLTFSAKLNLQGQVLESNVTNGVVRNVKYITHDKLRSVF GVEADSSMKPLTVGGKMPERSRPDLQDTLSPEDENTFHTLRQLMLGFREYRRKNGAME WPNNINTPVSMTVGRRPLDPPSIEVTHGGYYIGDPIIQLCPQKIDPHEVLDLSKHDLV SLLMNLACWVSAKWLAERNIPAVYDGTNYHPEYPPLTRENMSEYGGQGFFELAAPRGV SSSRPIHHIPLGLDAYVKSTSPLRRYSDLLAHYQIEAALRFEHEHGRRLDASNPDDAK ALPFSHEDVEEHISRSRWKKNRLRAIDHGSKQFWACMLLFRAFYFAECALPETFECLV HRPFSQTAMVGTQYDNGFLGAITSLGVRCAIIVPPEVKDVDVLSVVEAKITSVNLARC LVTVQATRLVKPFKRVGEWA ANIA_11185 MWRNAMSASAAPLDPTVPSYTPYPYRQYSDLNASLPVPQSEGVK ARKIAIPRATAARTTFQRRRSARACEPCRQRKVKCDAARPVCQKCREHGLECSYMDIK RIRDQKQLGLLNEKVERYEKLLKQLETEVDPTTARIIRRTLSVSGQPSSDDGGGENDS DADSTTSQGSLEDIDLVKEDLNRSDKTVAVGFFGKNSEIAWMQKLEDVSDQREHGLSN GEKPTSKDIPINSMSNHLDDLSIPFPDTVNPYAVPGKELADKYFNAYMESVHPSFTVV RKRTFRAQYEQFYKKKHFRPPRKWLAVLNMILALGCRYCRLTSKVVAGERDTDDTVFL NRARILCLSGNVLFEHDDLQQIQVMLLVAVYLVALGQVNGGSKFSSMALRSAISLGIN LRFQDEKTPAASKEARTRLWWSIFQIEHIVTSITGRISGCSEGLSAALLPVPFNEESA DRNSGLSEIFRDRDLRCSRLQLTLFQNQEQAVSAAAWLRNCEPSPALLFHIIVDLNII AQAVINSIYSIQGLRQSAVQLEQRLHRHSESMDNWLRKIPHYYRFFISPEDDAFHLPP GANKAESNYTRERITLAVYYYSARITLCRPCLSHSHNTNTSQKSSDSSSRASFRAIMT HTCLRSSISLLSMLPETPDTAWLISVTPWWSILHSLMQAITALLVFLATESVENSSEN IPQSDKSTPVTKNTVISQTSKALRWLHHLGFSSLAAARAFKLCESFVRRMDPSLGFDL GDLASSKDFPSQGGDVDMFGAGDLESEGVLDGLAMVDDG ANIA_11169 MTESPTQHRQLAPGPSPRCEISPDDSPMEERRGSGDSGKKQQRA SMACIACKKSKRKCSGKQPCDTCRTLNRDCVFDETLDQRRRVAAKRTAEELDYYRGLY NDLLRAMREEDRSSAIELVDMIRRDATNEELRHRIGSILKVTGRKGDETEEPVSNLED IQCMINEDFMQSWRPQVMDIHYLCVDAPYRVPAQPWTNVTTDSDLVSHLVSLYFTWDY PFNTFLDRDVFLKHMIGGNINSEFCSPFLVNALLANACHFSDYSEAYARQGDIMSKGN DFLAEAERLREIEPPRLSLTHIQGILLLCERYSLCGKNDCGYKMLHLAIWTGEAKGLI GERKPALSSNEFPEDMEVSLRRTAWGLFQIDTHDQNTRWLPYPISGEPKESYLNEYFD ISCNLSEIARDMSQWLFASHGRVPSATELIRIKEVLFRRLREWTEGLPAHFKRDDEVP PYVLVMKMRYHTLIIILLLFHAEDEILGPPMEGLKTPESLTSPSPLLECNKCDTIESA ARAIATLVRIQRQNYGTAHAHHFTMYAINLALFVLVERQGKFDILDNAFLFLASVFAS IASRSQLGRNLFHIFRQSVRAKRQGSRIRHSTAVNDEMKTLFDEESTLPSVFDEFANG LEKLDADGRYRVLGHCPGGPQATTSSRADQLVASEWCRHNPLSDMLNCYEILSCGRLY R ANIA_09149 MASQNAESAELKQQGAIETAQAASQDPQSHIQPETVEKKLVEET RKAGLPAYQFNPDASPQEKAAEAESCVPPGFHRDREPKAIGVITDKDDGTPAPYDLPP PKSATTLLDEKSQEPAADKKEEEVDDEQRWARDRTGWAPRFVHEETQEEHDEATLLDH QTFLEAKLDEKFFGDWYHNAGVIVFACLSSWIVAVLGGGLAWVFIIMAACGTYYRTSI RRVRRNFRDDVNREMAKQRLETDTESLEWINSFLVKFWPIYAPVMCDTIINSVDQVLS TATPAFLDSLRLKTFILGSKPPRLEHVKTYPKTEVDTVIMDWKFSFTPNDTMDLTARQ VKDKINPKVVLEVRVGKGVVSKGLDVIVEDMACSGLMRVKVKLQIPFPHIERVDVCFL GRPELDYVCKPLGGDTLGFDINFIPGLESFIKEQIHANLGPMMYEPNVFPIEIAKMLA GNAVDQAIGVVAVTLHGARQLKNPDKFAGTPDPYAVVSLNNRTEVGRTKTIQDTDSPR WNETIYVIITSFTDTLTIQPYDWNEFRKDKELGTATFALDKLEQEPEHESVYLEVLAS GRSRGSIHADIRFFPVLEGRKLENGETEPPPELNTGIARFTVEQAKDLDGSRSLVGQL NPYGVLLLNGKEIHITNKLKRTNNPIFQNASKEFLVTDRKTARLGLVIKDDRDLVKDP ILGSYQIKMNDMLKMMEKGHQWFHLHGAKSGRAKLVLDWKPVAVGGIAGSAGYVDPIG VMRIHFKDAADLRNLEKMGKSDPYARVLLAGYMKGRTVTFRNNLNPDWDEVVYVPIHS AREKLTLEVMDEESVGSDRSLGSVELSAADYVHENEAGEYEIDDEKQLVSSSLRLGQR AKGTLNYTVAFYPTIPVVNPEDEEEEEEEAEAEAEAETEAAGLTRKSTDSRRKSYHSK SRSVDSKISDNKGATNGTADLQSNGRPSLESRSGTVKDSETASVRSIKEVPKTYISVD DLANHESGFIVFKLHEVNLSRSNVHVEIIMDDYMFPVWSSPKIRTKTAKIEDIGDAFV RELEFSKITLRIVEKVNADTDDDEHIVAKLTGDTFSTLQRILYTPTELVLRSTDGEVS RVTVSARYIPVTMKLDPSESINNMGTLRVDVLDAADLPSADRNGYSDPYCKFRLDGKE IFKTKVQKKTLHPAWNEFFETPIKSRIGANFRCDVYDWDFGDKADYLGGVPINLEMLE PFQAQEVSLTLDGKSGAIRLKLLFKPTYVMRSRQGSSTFSGTFATPGKIVGAPVKGVG FVGGNVIKGASFLGRGIMSKIRGDDSSSDEEQEQQKEVPSAILVDGETPPNSTPKSDL NHSRTRSVAASHYGDRLSIGGKGESGTARISIVSAGGYPPSANVRVLVRALGAKGAKE VLKTKAIKSGGGPVVFDSASESCRVHNTTADAQYQIRVVDHSTFGSDNVLGEAAFFVG DQGSVAGQEKTVNVGSGTVVVRSSFSAAGDSLRPGTAYSNAGDNASEVTDSPDSKKPR RSFLSKRSVSGA ANIA_09148 MATKDLPTHLSSNTGFGKHHGKTQSHMAFENASTSVAASQMRNA LNALAETVPDPNERKRFEAEMDNFFALFRRFLNDKAKGNVVNWDRIAPPQPSQVVNYD DIGKESSVEFLNKLAVVKLNGGLGTSMGCVGPKSVIEVREGMSFLDLSVRQIEHLNRT YNVNVPFVLMNSFNTDQDTQSIIKKYQGHNVDIITFNQSRYPRIIKDSLLPAPKSFDA PLQDWYPPGHGDVFESLYNSGTLDKLLERGVEYIFLSNADNLGAVVDTRILQHMIDTK AEYIMELTDKTKADVKGGTIIDYEGKVRLLEIAQVPKEHVNEFKSIKKFKYFNTNNIW MNLRAIKRVVEENELEMEIIANEKSIPADKKGEADQAIYQLETAVGAAIRHFKNAHGV NVPRRRFLPVKTCSDLLLVKSDLYRLEHGQLVMDPNRFGGVPVIKLGSDFKKVSDFQK RIPSIPRIVELDHLTITGAVNLGRNVTLKGTVIIVATEGSTIDIPPGSVLENCVVQGS LRILEH ANIA_09147 MEIDPRLQRGETSHESADSDSDYPEPPSRPSQQQLSSTAVNQYA HNQSAHPADPGDHELAYRAHISPQHELDPNDPNAELKKPRACESCRQLKVRCEPDPNP DKPCKRCAKAGRSCVVTVPNRKRQKKTDCRVTELERKIEVLTATLRATQKIDSLLPSK NTAQTSSSLSSRDEESGGRRWLVPGQSDPDRSNAVPARPGPLGTKRHHSGDVKDRNTV ATQSTPSQASNLSPATDNTESSGRQWPIPLASWSTPKSSNKEKARVPDIIDKGLVSYA VASDAFTRYVDDMCHHVPMVIFPPGTQMSDVRKNKPVLLHAIVAVAMGPFEPSSQGTL LRELYKTVTESVFINGEKSLELVQALLIAVNFYTPPENFEQMTFFQLSQLAVAIGMDI GMHRKRQPRGKQILTGKPCTAPVTADSARTSSALRRPNIVRWLPYTDECVEILENSPD ALPTDKKMVHWAKLGRILEEISSRFFSDDLGSLSFTDPKSLFTMKAFEKQLDQWGREA SGKFESPQAIVNIYLHENALDTMGEHKPAEADITDPTAAARVTALSSTLSSIHEALDT VTAISPKQLISVPSFTLARTVFAFVALIKLYSIVTAPDSYIGQVIDPRALRVESYMDK VIAHYAAAGSLAGGIAAGKFSTVMTMIRGTFKSLKDQDGSGAPQVVRGNTARAADQQA ETSESQAQNAPTPLRLLSEVATGGSKPNQSQRHQQSSHHSSRTAAGVNFSNTTSQVSS ELNSTITPNATTQPPFPSCISPPELSSESPATTSTDSNTNTNPNCNTSTVTGPGSWAR YDPHTHSLPQDPFYQSTQFDSTTTTNTSPTQPTQPPSTNTPSSYDFTDPNIAMTMGMP MDNNMPGSMSMSMSMGTGIGMGMYAPDLGLGLDDQFLSSLGLGLEFGNLGGEMPAWMP QGQWSL ANIA_09146 MDFDYNARWHEEPWSSTVSHRPQSKQHLGRPSTRQHRKWRDTFR RRPRRRTSFGLGLWWMILVILSVMSSASAALLEFENCLDESIVDSSPRQLQFVPLDVW VTLNMTNSLYPLDVIVYGNVTGTANRSAEYPAPDDPQWDNPNSTFGKIIDLDVDNNNY STLIASIQVASFTPYSEPSRFCDSVTQGDCPLGPVFYVNETDLSTLRAFSVHHDMVSS YHFSTLHTTFTIISGDAAADRLGCISVSITPDLGSTVKNVLAFVPLSILVLVGLATIS AAIYSPWGTTDPFRWTSNYGRDEDVLRLVTPGFGDCLQYIQFVVLTGALSLNYPGYYQ PVISQAAWSSLMFNQSFFGTERNPVVDGVYAVNGTRGLDRLERFVGMDGARDLWPGMM VWLCSIVGITILLIQFAFAFRWLYRELARIPEEDLRAKNMPFTVGNVIRIVYNFFLLP LISLSFFQLIVASESPAYCVALAGVVIFILICFSIWIIRLIITTRPRSYLFDDLPTVL LYGPLYNTFSDDAAAYAVIPIFISFARGVAIGAVQASGIAQIVLLAICEVVSVLTIIA FRPFPSPSSMNLYHICISIVRFLTILLSIVFVPSLGISQAARAWIGYVILLLHGLVLV FGFFLNALQTLVEVTARLLGAGGNEAGATRGGLVKLTKFQVFGMRQLSRRLPRRDVVT RQSMASDAAMLAHTDDRLSSQFEGSRPRSLSGSSALLLNRATLNESKGSAIYDAGERS HSRTNSAGLYTPTTLGGFQGSGYQTTGSNSPKIGPIFAMQPHDPYYRPPRPRKRTGFS EDSGRRRSSTLLRDADMDDDAIEGPSISRRGTPTPAYIPAPKDDLDYDEPRRSRKDYA VREVDFYYRVRGPPLSHTGTRKLKTGPADPTGPVSSATGFFRNLFSGKTKDKGKGFEV VRSTRAPPPGLIPEREEVHEPYTDEPGEQDTAHRDKSAPENDSVRHESDRRQDDEHES PQLSLPLIDAGGTIELPSRMGSRRDTQCPPLAPKTPSVSSERRASQGALDRNEDDQGD DSSLRPVQPSARLPFSANSSPSRDRNYSLASTSASISSGHERTAQSRIERPSSVGYVA QHRTRDNIHQGHAEEPSFTESAAELVNETPSEGNRSQPSRASSRYT ANIA_09145 MVLFRQIHRSQKTRPFDEVHEGPLATATAIAPVKSQTARGSGAP GPVNWNCSSDNCFGAKTAKGFHFVFGSASYESTSVSVLLQRKSTSKKFNAFYGSSQNY WAGQQIVDDMKASSAKELPMLYIDSAET ANIA_11181 MSADDPSSFVDAEPKPTPKRPHWREKLFSREKPKNTADQQVEAF LAPVRSKSVSHGGHAVSTTEREIPAPPRLDVSQRWPSAHETQTVSPVSSTSPPTDSKL SAASTLPPLKIRKNKGLRVKFADGAPVCIGEGGDESEEPTIEISLNRSRSHSQSSNQP NDVGQPQAGGPQLRLDTSFRDRDGLRPREGGSPAGRAPLLIKNTQDADFLMALNLGES GSRLSFRASPGADSLAQRVRAKMELEEGRALQQKYEDPTSPNERTPDSPSSLYETPPN TEPEPASPPSPLRTPPSPEKTRSMNPTDFTSSASLAPGGGMKSLSPPKPELVRNAASE ELRPSSRESRTKSASPQPPKYSLRSVANQFGEVAYVEFKEYAGRYQDVIKLSAESVKP LMETSLTEWVRAAVWWFMRGKKRLEAYARSRSRPDSRPSPEMAQQAVIDLAKALWINE AIVPQHQEVARYGAMGVDALIAVANTTGDKQLSDLLGVHQSVLSHLRSLAVSIKRNNI LSAIPPETESDTSVWVRYPFFAPDVSAVLSGATSRSMLLDNSGKGPTFVQMMPLGDSS RHFSYGSMFVEVSVSSSEDDSQQFSMPCALSIIRDRADWYVLAAITSQSDLVNVMIQP DKKKGPTWDDVNWQVRTHSMTVKLPRGFELDVMFKEDDFKMLWNIVNYTLKTEASLQP EAGESVIFECTLKLFQYMDPGTPKAFPAESIERCRVRLFERSVTVTEGTGTRRAHRGF RITVLTTPKVKTLSSVRHILGHGAPILFGLLRGEDGAPALVLKVNEDGRSRSMLMTFQ ETRERTNLHSLLLAMLPKQRELKVADVPLRAYTIEQPADRFNGQPAKQHLQFPAGTVC VIDQEHDYVEHGYGPTVLSEHLRAFIASEWGSVTDRFNLGPGELKISLDINNRTGLSL YRPAQQDLTVSAAENLIPPDMQDKLADFLQIAMAKPMIRKFDFMTVKGLHEFEKAVTG FTVLFDSVASSFMISRRRMVVPITKKWESSMARIQIVRQDKVVQLLAFLNDFSHGKCL NFVLKSTDTFEAFNRSGKYGVRIVDAKFALPKTDDDPSSAFLNLDMPEYPSEHDDITI AFDTEADRFNFQSAAPASVREPSRMASLRR ANIA_11168 MLPEGQDVGASTRSLHADDVLNVVTDVAPPIHVATTFRYSDDPA KLMPAADLNGRLTAQTLEEQSSYIYSRLTAPTTTRFEAILSSLLNGQTISYSSGLSAF HAALTLLNPRRISIGKGYHGCHGVIDIFNRLNGLQKLDLDCPAEQLEAGDVIHLETPV NPEGTSFNIEQYAKKAHSRGAYLIVDGTFAPPPLQDPFKFGADLVLHSGSKYFGGHSD VLCGVLATQNKDWAQQLLRDRVFLGGVMGNLEGWLGVRSLRTLEVRVQRLSQNATNLV LWLHNALQTPSPAPGSDEEATQKVLEQVFHSSLQKDDESWLLKQMPNGFGPVFSITMR EEDYARHLPSKLALFQHATSLGGVESLIEWRTMSDKTVDRRLLRVSIGLENWEDLKRD LVNAFRALV ANIA_09143 MSTFQIHYFASASTYTGRNTESLPAPLPLSSLFDTLEAKYPGIK EKVLSSCSISLGDEYVDLVSDGEKSGNEGLLIQGGDEVAIIPPVSSG ANIA_09142 MPAHGALGATFLIARIIQTCSLIAIIGLTANFIAEIVRYDAKPP GIFIGTITVTSISAIYTIITSILYIDDILPFLASGILDSLLLIAVIVVAVIIGKPLSY LECGEIGDILSGGNSDDGSSAYTFATHLSSYLGHLSGSVDYDSWVGASKGVCVEAKSI WGLSIAMCVLRLSMEAKKGCFGRW ANIA_09141 MRGCLTCRQRHLKCDKTGTECLRCQRSGRQCIPAPAKPEEVAFR HGQNPSMRSEGPPRYGESDLSFPEDQVWIDVPTQLCFEDETEQTASDYHVVSAEASPT VPRGMRKARLSTSTSTIPSIPSPTQAFLPPGGARRISNPRTLSLDSLMPPDTPRDNPK LADFNEAFLLRHFQRTLGPWLDSCDHERQFSMDVVERAPSYPLLLYACLATAARHLSR TTNLVPPNTADEYHEKCIAILLPGLANWESGIGLDILLASTVILRFFEQISSSQNPLR LELSAFDERLQMMWTQQESQTDRDWAHRAVWLLAGAINYCYGVHDPQGSAAERTFLKH RINAWEARKPDGFRPLHFSLADPRIGRPYPVIWFTKSLYVSKRRAAYLHGKGSDKGVR ASQFDDVAKYLGILFGIALSSDGDPSARVMACHALCACSSWVREPLARRCLLDLLRKT EAENGWPWAFMSERLTQEWRIAAS ANIA_09140 MSLPRVSYLESWENNPALVRRHQDRDQSPGRAVHRDDDEESYHD IPDSSVASSFPSTTDLPSSRHRLNFNPYGGLGWTQAVGAEDDTNVLSKASSIVSRQGQ ADRTAEAGRVRQSGDSQLETWGPLETTGAFEVSKAKRVVQVSFAVIYCFLAAGIVFGF AAIKPVFIREGVYHDFCFEGEDICYRQELSLNLMFTIAAVATNVSALPVGTILDTYGP RVCGIIGSTLLFTGALLLALAKRLPFDAYVPGYLLLALGGPFIFISSFQLSNTFPARS GLILSALTGAFDASSALFLIFRIINEKTNGVFSTQKFFLVYLVVPIFIMIAQLSVMPK TSYKTAGELVQQAEDHIIAEVTDRVDEQILDRDEGERQRNDRRMHRQSIVSKIQDLLA DEANASTINDLGLALNDGRDTNEANTTPARPGPSVPNKHMGGGVWGAMHGYSAVEQIR SPWFVLITVFTVLQMLRINYFVASIRQQYEYLFGSAEDARHINELFDFLLPLGGLCAV PFIGTILDNASTPFVLFVLAATATVIGALGCIPNSYLAAYANIILFVIYRPFYYTAVS DYAAKVFGFHTFGKVYGLIICVAGLGNFAQAGLDALTFTVFNRNPIPVNLILTSFTAV AGFALMLFVGRKAAVMSASNESETRADPEVASLIHNYDGVAARDWERERQPLLSPRSV PRPQPQSASYGSMRSP ANIA_09139 MVLPLALVFPAPAVLFLAFTIICNCYARCAYQPQKELGSRNDCD TCSPGMDAFGYQEQSRWFCCRSGQPSACHSRGTWTRFSRTSPTSLVFLPLMPHRVYRP TDEKNAIDVILGLMGVAGQGESCFSGLSRQVGVFVGTKTLRSREDLSFPFNSE ANIA_09138 MLPPFDYFTYRRVRTIKRQERAARFASLPPDYHAPFTSADKRIV SEPIEELVQTVQEGTLSPVDVLRTYGKVAVKAQEKTNCITELLLPEAETWAQTEVNLK GPLAGVPVSLKDSIQVKGFDISLGYSRLAGKPYAEDGPLVKLLKDAGRLTIAGAVPYA KTALPVTLLSFESANALWGPCLNPHVPQYSPGGSTGGEGALLALGGRIGIGSDVAGSV RVPAAWSGIYSLRCSTGRWPKNGVNTSMAGQEGVPSVFSPMARTLNDLTYFTRSIISM KPWKYDYTVHPITWRSEEESEAKSKSLRVGLMATDGVIPPTPAIQRAVTTTAAALSAA GHQVVEITPPATADPFTGLHLASQLLNSDGCETFNSHFYSFEPSDPGAAQLSLIANLP RPLRYLYYLYVRYIRRDEKWATLIQSFGSKSAAQQWKLVAKREAFRSTWHAWWDAESQ QYDFILCPVNATPALPHKAMKDAVSSCGYTFLWNLLDYTTGVLPVSHVDPKKDALTAP YKTVLKQLGANHALAQGAWKHYDASKMAGLPTAVQVVGRRWQEEKVLGYMAAVESALE KFHDPITGEGGKYPLIELD ANIA_09137 MALVRDPFFWQRFSQAVHLDEEIRSTTEEKEQSDNWLASQRRKR RKSALWGCIICLAVALLKRELETQLLYSNRLSGSYPFREDLPDWDLTVSFGVRASHDL DSA ANIA_11641 MHERHSQISKSVPAIEPITIPAMAPPEREPEQLQLVSEFDWRGE RWCEVDEDEDEATREEISEGSETGCGRGIGAWMFSFIEGYGY ANIA_09136 MAQSTKYRERKRKRSYAKNPVGVLTPSSRIHVMSSSELKFQTMQ TRQTDTCSQRIETKQPSTRDGRNP ANIA_11178 MPALYLEARPTMAFAYDHDAEFWGALINPDKSPSPLLEQLCLGI AQLMTTFDNLPIADLTPARLAQFYRKVGGNYDVLFLETKPSALSFIYQRLGCFHSIQP SSDPYKPPSIPALQPHGFVRWQTIQLLLDPDEHVSYLQNAVERWDIFSPNGTLFPKTI PREAFPGGPDPEMIQWHETVSQKFEFDYWKKNLMDASPKSDPYNSKCAQKETPTPNKE EEFSQNHHPRASSHRYAVPAEAQTTRRHQRRQSADVPKTSHKSQTNLLHRSAEFVSGY TSPRAPSPPAWPKHASKSRGRERKSYSRPASPEYAHGHSASDASSEDSGTATQEPISP RYGHHRNLSPPHAPHARRHSHEAYSRRPHRELSPDSQRRYAYREAFNFSSNRPYDSDS GRGPRQPRVYIDEVRQSRTPGPSPSYAEPVFRESATVPTSPVYGHAHPLPIHPIHPRF VNVASSGPNPAYMVHQQMPMQVPPELDANVMDDPRRNGYRGSQTPNPSGSGSGVTYRR PRFASVGDFQPPGWSSHAVHGKGRPVPPGVLEAEYGRRSSMYDR ANIA_11167 MSAHDEFEPSSPDFVPVHETVPLNRSTDLDASGAYKLNVNVLNR AIQEIGMGRYQWQLFGVVGFGWACDNLWPIVTSLILLPVTYEFDVSQPPILLLAQNIG LLLGALFWGFGCDIFGRRVAFNMTIGITAVFSLAAAAASSFAAVCILSALWSIGVGGN LPVDSAIFLEFLPGSHQYLLTVLSVNWALAQLLANLVAWPLVGGHTCSSADGCTRADN MGWRYFTAAMGGLALLMSITRYFLFTLLESPKFLMGKGEHYDAVAVVHEVAKRNGKTC NLTTDELHDCSDSGAGYRSGEYHRQGLSARDQLKLFLEPFSMTQIRSLFSTRRRGLAT TLMIIIWGLIGIGFPLYNAFLPYLQHERGIEFGDGSTYLTYRNSLIIAVASIPGSLVG GVMVEMPQLGRKGTLTLATIMTGVCLLASTSASTSSALLGWNSAYGFSSSLVYAVLYA YTPEIFESRNRGTGNALVSASNRLGGILAPVVALMTDLQSTLPVYVSGMLFLVAGALV VIVPYESRGRASV ANIA_09134 MYVSRLLLFLAPLLVKGQLSGSVGPLTSVSSKSQTKTCNVLDYG AVADKSTDIGPALSSAWDECADGGVVYIPPGDYAIETWVKLSGGKACAIQLDGIIYRT GTDGGNMIMIEHTSDFEFFSSTSKGAFQGYGYEFHAKGSSDGPRILRLYDVSDFSVHD VALVDSPLFHFSMDTCSNGEVYNMAIRGGNMGGLDGIDVWSTNVWIHDVIHAEHSPFD ARSDRLQSPSKNILVENIYCNWSGGCAMGSLGTDTDISDIVYRNVYTWKSNQMYMVKS NGGSGTVSNLVLENFIARADSKGHGNAYSLDIDSAWSSMSTIEGDGVELKNVTIRNWK GTEADGSQRGPIKVKCASGAPCTDVTVEDFAMWTESGDEQTYVCENAFGDGFCLADGD GTSTFTTTLTASAAPSGYSAPSMDADLETAFGTDSEIPIPTIPTSFYPGATPYSALAG ASVSSSQVPAASSSAEAKFVASPATSSPTATSTAISSVDPVSAATTTATSHGHGKSHH KHQCRAHRH ANIA_09133 MGTGMVSTLLNTLPYNARWLYWISIVIFALNVLLFLIACIISFL RYILYPEIFRAMISHPVQSMFIGTLPMGLATIVNMFCFVCVPAWGEWATSFAWTIWII DAVISVVSALSLPFLLMSGTDEIQLSSMTAVWLVPIVGCVVTASSGAVVADILPNPQH ALWTIIVSYVLWGIGLPLALMVMVIYLQRLTLHKIPPKAMIVSVFLPIGPFGSGGYGA MKLGKAAQTIFPQTHTLGDLAGSAFYVMGSLVALILWAFGLVWLFFASASIVRCKTFP FNIGWWGFTFPIGAYAMCTYQIGIELPSAFFRILGTIIALCVVALWIVVSVGTLKGVV SGQMFQAPCLADMRPKGDNKDAIVKAA ANIA_09132 MMDYGGHQYHQQQQPHPHPQSQQNAHLQQHHVQSPAIGAAAARQ SANANANANANANAFASNSSLYRSQSQADMTGLSPSVRNMTTSSQSLLGLPAGTSYGS FSGQFQPTSTSNLLSRSSDSLGQLRSSYDSLQGVQRNMNPVTNYRHTSLNSQTLSPHA QGLSTSPQQSLDRMQQLQRQSPHTQSTSAPSNASPMLSHSQNQQYTQYQQSSPYQTQS AQYQQPVSQYQQPQKTQPTQYQQPQQYRQPQQAQYTPQTQSSPYLPQTQYPQYPSSQQ PPYQQLQNYQQQQKSAQVPQSSQQSYPQQTQIPQTSQQNYQQASAQRAPQTSPVQQGY QQNSTSAQQAPQLSQQSYAPQAQKSAQGPQASPVKQSYQQPGQKPSHAGQQSYQHTAQ SSAQQLPQSSQQSFLLSSAQVPQSSQQKGYQQASTQQVPQSSQPSYPQQVNKPAQLPQ LSQQQSYLPGPTQVSQSSQQKSYQQAGQQGLQSSQQSYPQQAQTSAQAQSSPHVQQYQ QHAQKSHHVLHPQAQQVQQNQKAARPSQPSQAQAKPSPLQSQQAQQVQVQANHTSASN AHPAQANPQPQRASQQVQAQQPAQSQKTSQQAQNQQTLPNQAYQQFYSQHAQQAQRSP YQTHMQNPQYPYTYQPQFAQQYMQSPQLRTSQATQQQYQSQQSQTSQSQSPQQSVMQK QPTTQLQQEQQPRSQAQAQPQKPPAQTNQLASKPPAKEPKKKKASKKEAKQKPAASQA VSQTASQPAPQARASQTAASQAPPQAKGSQVTAQSSASQPYASQTYGSQAPAYQFHAQ ASQPYAPQAHTQQNTFQTTTSQAHAAPTNSFQTNTSQSHGQAHSFQVPVSQPHASQMN TFQATPQANSLGAQGSQPTQIPSQPSTQPKVESLSQQSQPSQQVQPTTNGNGQASGTF ITENPTQKKTKPGDPNHTPRKRGRPRKQPGEATKPRKPKRPRNPDGTVDLSAALPPNL AAIPGVGIPFSIAPNPPPAPPASTASSAPPAPVIGLDGNPIPQKRKRGRPRKSEADGT PRKPRPPRDPNRPKGTGRPRGRPRKVDVLARKKLEEEQAAAAAAAAHAADQNSQPGAG QPAATQAPPSQAQHGHMQAGHVQVKVNQTSQGQPSKGQGQIHQWQVNQANQSHVSQAQ ANQAPTNRAQVTHQTSQGQATQGQAQWQISQGKSGSGREQVGQGQFGQSVQAPSGQTN QYAQGTHPGHAHPSHGHPSQAPVQPQSQNQAARPQGQQQMQPQQHARHTHAQQAQPMQ NQLRGSPMQSMQASPMQSMQASPLQNQIGQRQPVQRPPVQTSSQRPQSHLQAQVNAKP QMQVQPQKAQPQMQNHMQAKPVVHAQTTKTQGQTQQVQQAQQAQVQQAPAQGQPQKAQ VQQLAQPLQMQRQAPSPMQTPAQRPHQPHLLGHGQSQLHHAQAQQGQIQGQAQTRSPT SQAQAQTHNLGKAHPHAQPTQQAHSSYPTHSTHSSHSTHSSHSAQSAQSASYPHSHPY QPQFQEQIPQLHMHSQLHHLNQQHPVYSQFSQRQQQPSMTLNPQTGQKRPSSVLDDDP RKRAYIMPHQL ANIA_09131 MRLYHESKPEPETGLRKENENENEHKNEDEIEIEPQVHIVEVSP RDGLQNIPEFIPTEIKVALIRRLAGTGLQTIEIASVVSPKVVPQLADWRAVLGHRVVR RLSQSGGGGPASASESDSESESESSQQSESSFESETEFELELEPREGFCLPILLPNLK GLSLLLSHSPRPPIRSICVFISATAPFSHRNINCSVDDGLLRAREVTVAAKKAGIPRV RGYISCIFTDPITREPTDPSAVLHCTRFLLESGADEIALSDTDGSGSPGLTSSLLRYL LENGVPVDRLACHFHDTRGRGLENVWAAYEVGVRVFDGSVAGLGGCPFAPGARGNVDT VSLVKMFEENGVRTGVDLGLLDEVGKWVKGRLRGYRECGRNGDGNGTKLGIGAGKDER EERRTLQLGLFTATGY ANIA_09130 MHGLVAALLCGLAVAAPSCPAPAPSLGTLQTLKYNYLSAQNNGT SAVLVHDQLSNAAAQTRCAAIGESLYPFASAPAANRTELAHQFDYLVYAQDLRRDQDV WVAGADAGKGGKGGDCQAYSPSQREVVSVPCDRRLPALCTANVPPTRDIDRTVVPSSK VTVSTAGYTLTGYRDARSFRFLGIPFADPPVGELRLAPPREYSGPKRIDATRLGASCI QSVSGFGASRDISEDCLYLNVFTPIVPERPGIVRKPVAVYFYGGAFTSGTASIIDYDG GNFASRNDLVVVTVNYRLGALGWLATGNLTTGSYGTRDQILALRWVQANIAAFGGDPS HVTIFGQSAGGQSVVALLSSTAARGLFSGALIQSAPVDLPWYTRQVYSELVVPHVAQA VGCGNATTESESALLRCLRSLPATSFLDNSTAFEAATSAIATDVADSYLHVSQLLASI EPFMPMVDDSDSASGVIDNQFHRLVSENTLPNRVPTFFTTTPDEAALYVNRLVPELGS AQSGLNTLLGLAYPPPLASALINATAFPTDTKQPDSVRIEGASALTHSEWSCPLAHLL RVAVPGTFPTLYSAQITDGHAQSNGSTPDICKPNAIYNATCHSNDVLPAWGTLNSKTI DVLPYYGLADLKHSQFLNDIFGSFFRSYDPNPDLDMLRLRGSAYEHTLNVFGAGYKID EYTPAEKTVPLLGRLPGRTANPGVTEQCDVFEAYGYTFENAVFTEA ANIA_09129 MSTAHSRFSSERNADLFQQFGFGPRTQVPFATVHEAFIYHALRA PMDVALLDLSGHSSREVTYGELLRQSQFIAAQLKHEGVMPGNRVLLLGRRSTEMVAGI LGILMAGAQYIPMDGGVVPDLTVQRAVEQSAATVAVCLQQYKERLASLGGLSPILLLE DLLCGDMYTRFVVDEDHLLREGDVQQETPKAWTLRTRTSPTSSAKNRGIWGYRAARKL VISSQLASIWIDILICTPSILARYTPDDFPNIKRVATADRWAASATFYNCCGPTETTI INTMHEHTTGMQLTIGKPTPNNNVYILDGNKRPCRIGEVGTIWAGGLGVSRGYIGKPE KTTERYHYDVFVDDGRSVMYNTGDLARWFPNGSLETLGRNDDQVKAKGFRVELDGVSA CLASSPNVHQATALLVNGELTGFLTPRNCAIQALHQSLKAKLPYYAIPTRWQTMDEFP LTPNGKVDKRSLIQQLTAGDKIYPVLAEREGSSSSISTPSTTITTTSSSSSPQNEPIP LPDKKHGRQWRGLRYRIFIVYRRLFSLVFMANLAMLICFLTFSINRRHITTVSFVNLT IAVLVRQDMAINMLYAVCCSVPTSWPLAIRKRCAKIYHLGGMHSSAAVMAVAWYTGAI CYNINSFVNQPEDPLRPSVLTITLSLLACSIFITMIGLAYPTLRKKHHDLFERVHRFG GWVALVIIWVQTISSIREQRVSSRSLGHAIESEPAFWMLLVITLSIASSWLFLHSVPV DAEVLSNHAVRLHFTYTVPVNGTFIRLSRRPLLEWHSFAAVPAPEAVDGRERGYSLVV SRAGDWTGKQIEKPPTRIWVRGIPTSGVMRISPLFTRLVLLGTGSGIGPLLGHIQSPG LRHRCPVRIIWSTPSPVSTFGQEIVNAVYAADAGAVVHDTKVLGRPELVKICWDAVQE FKAEGIVVISNEKVTKKVVYGMESRGVAAYGAIWDS ANIA_09128 MTQAQTQSHLQGNDLILAWSPCPGVRVLTLNRPAKKNALSQGLI DELLLQLKISTGDDDIHAIIVTGSDTVFSAGADINEISKLDAEGAKEIRYLEELCDVI RGVRKPVIVAVEGMALGGGFELALMSDFIVATTASEFRLPELTIGLIPGAGGTQRLTS ALGKYRAMKLIVLGEPLSGTEAHSLGLVCSLTEPGQALQSALGLAMKLGSRSQSAIML AKEAICRADELGQDERFERALYYTAFGTHDKREGVSAFLEKRAPIWGVQSNEK ANIA_09127 MTAAPETVTSKLTWLITGCSSGLGLSLTRVAQAAGHRVIATSRN PSRTPELVDEIENEGGKWMRLDVDNPDSGNVIDELERSGEQIDVLVNNAGYAIYAPIE TATEEEVRAQMETMYFGPLRLIRAVLPYMRRRKSGVIVNISSGASLDGIPTMGVYAGA KAGLDASTRILAKEVAPFNVRTLTVVLGTFNTNMLSSVVTGKTPLPDDYSATITEQVQ GLLASGKIRPNGDKDRAMNALYQVIVGKEFGNGRETEKLLPLGSDMAPRFKGVQDYLG HALEVFGAVTCSVDVDKE ANIA_09126 MAHGQEDCASVLEQFVHDVANLPLEINHLMEEIQAKDKIIQECR AIINSRDASIQKFIKLNGSLTPNPKEEQYSKIVLQNLDKSSQLQDEKIQLSEKACILL DRQIKKLDIKIRDLQNDGVLSNDPPIPSLFNNKDQYRDPPKIFFPDSSNDSASYTSPL AASSGNANPTPRLSLSLNRPHSAAALSQTARSSAPATPAATAHFQQRQRESSAGAIDS KRRRLNATLGSLPAASSNLRQSSLGPGTPKAGTPASSRAGSAGPRSAGVTKKALTKKV APHQQLKKLKSLNGKHTKRSSSTSRVKSHKKSPSGGDDDDDESMLSSAELSESENGDM HIDEEEEDEGNEDTKVYCTCRTVSHGDMVACDNDDCKFEWFHWKCVGLTREPVGKWYC PDCLAAKGGE ANIA_09125 MASAGSSGGSVTELASRLYDACLSNFPIDHLFYQQDLLGLNIIP KNDLPLLLQCTQSLVDQKLLRLLQGKNDRLAWKLIPREDAEKLQNLSPDESLVYNVIH STGRSGIWVRAIQNRTNLHKSILDRCLKSLEGKNYIKSVHNVKFPSRKMYMLAGLAPS EDVTGGAWFTDGVLDENFIGVVSGFIEFSVSKKSWYEVPASDKSRHKRLKTADGSAAT TVKKEGSQKEYLPYPPGYTGYPTIAMLTAAVNQSGITPVRLGEESVTQLLEMLCYDNK LVALNNGEYYKSLKNPEEVKARQARKPDEELAAADDRLVRNGMTEVPCGNCPVFNLCV PGGAVSPENCEYFETWIHELGPLSF ANIA_09124 MADALKAEGNKAFAAKDYPTAVEKFTQAIELDSNNHVLYSNRSA VYAAQQEYEKALADAEKAVEIKPDWSKGHQRKGAAYRGIGDLLAAHDAYEEALKLEPG NTQAQSGLDAVKRAINAEAQADGVTGDPMGGLSNIFNDPQLFQKLASNPKTSALLADG DFMNKLKKLQQNPNSIGEEIKDPRFLQVMSVLLGIDMNFGAPPQGGPSGAAAEAEEDV PMPDARPSPPKKEPEPEPEPEDEETIAKKKAQEAGDAEKKIGNDFYKKKQFDEAIEHY TKAWELNKDVTYLNNIGAAKFEKGDLQGAIETCKNAIEEGREHRADFKLIAKAFTRIG TAYEKLGDLDKAIENYNKSLTEHRTPDALTKLRNAEKARDKAKKEAYIDPVEAEKARE LGQKKFQEADWPGAVDAFTEMTKRAPDDPRGYSNRAAALIKLMAFPQAVQDCDEAIRC DPKFFRAYIRKSQALAAMKEYSRAIDACSDAAEHDDGSHAREIEQQQQKVLEAQYSSR AGETEQETMERIQKDPEIMSILQDPVMQSILQQAKGDPAALQEHMKNAQVRLKIQKLM AAGVIRLGR ANIA_09123 MSHLSWAKVPGSADKASFNFHAYSQAVRVGNTIHLSGQGGWDTQ TQAISSSVPRQTDQAFANIDAILHAAGGKGWSQVYKVRSYHLALDAEAQDSMARNFDK WIPEHKPLWTCVQVGRLAGDGMKVEIEVEAHVPVGVSELDA ANIA_09122 MTPLTVPSPPPPLTTSYKQINSSTITADIYLPPSQPSQSSNEPI HYPVLINIHGGAFMLGHSRMVSLAQISDCLARNWIVAVPNHRLCPGVDVLSGPMADIR DFLAWIYADQDGLNAFLEKQDRDGNGNGKGKYRVAKDRVMAFGTSSGGFLALSLGYDV PRPPRAILNFYGAVHFTHPSWTQPLAHVKSMLPPFEPEFLAKVYEEFPIPTTSGISLE GQSGASNKPDFSRPRDAFALTQIANGNVLRVIFPPECGDIRSIDPVVRVQKGEGFPPT FIVHGDRDRMVSIEVSRELYQALKEKGIECGIVEVEGEDHTFALGMEVGSRTWERQRE GFNWIERVIQ ANIA_09121 MSAVQLKFNLRTSSNVKTVHLLGSWDNYSRQIPLSRDSSKPGSW VGKFRFQTSMLKLGGRYWYYYILDGYHVSHDPAADYTVEPTTNRKLNILDVPGGKESS SSARRPRRGSSDIATGRALSPSKIHHPKPSKPYASRQIREADFAPTHAMDELSRRFGS SRLSDDGYSDLSNSPPSSVGSSLSSRSSGSTSPSSLSSMSDPPSVCHCERYGITRKGD RVKLDCGGSRCGYLSETSGDSCSEDSDSDEEYRRARRGVRRQGIVVRR ANIA_09120 MADYGDAYEEEYYDDMDEGITSEDCWTVISSFFDTKGLVSQQLD SFDEFISSTLQELVEEQGQVTLDQTIPPSEDEVDPVVVRRYELKFGTVMLSRPMAMEG DGAATIMLPHEARLRNMTYASPLYLGIKKKIMEGRERMVGDRDDDDMGNEDRKATGTY LQWEEMALPPDQAKEETVHIGKMPIMLKSKYCLLRDLGEQALYDWNECPYDSGGYFII NGSEKVLIAQERSAGNTVQVFKKAPPSPTPYVAEIRSAVEKGSRLLSQLSLKLFGKGD SAKGGFGPTIRSTLPYIKADIPIVIVFRALGVVSDEDILNHICYDRNDTPMLEMLKPC IEEGFVIQDREVALDFIAKRGSSQSSMNHERRVRYAREIMQKELLPHISQSEGSETRK AFFLGYMVHRLLQCALGRRDVDDRDHFGKKRLDLAGPLLANLFRVLFTRVTRDLQRYV QRCVESDREIYLNVGLKASTVTQGLRYALATGNWGEQKKAASAKAGVSQVLSRYTYAS TLSHLRRTNTPIGRDGKIAKPRQLHNTHWGLVCPAETPEGQACGLVKNLALMCYITVG TPSEPIIDFMIQRNMEVLEEFEPQVTPNATKVFVNGVWVGVHRQPSHLVETMQALRRR NMISHEVSLIRDIREREFKIFTDAGRVCRPLFVVDNDPKSENCGNLVLNKEHIRKLEE DKELPPDMDPEDRRERYFGWDGLVKSGVVEYVDAEEEETIMIVMTPEDLEISKQLQAG YALPEEQDPNKRVRSILSQRAHTWTHCEIHPSMILGVCASIIPFPDHNQSPRNTYQSA MGKQAMGVFLTNFDQRMETMANILYYPQKPLATTRSMEFLRFRELPAGQNAIVAIACY SGYNQEDSVIMNQSSIDRGLFRSLFYRTYSDSEKMVGLTVVERFEKPMRSDTIGMRKG TYDKLDEDGIVNPGVRVSGEDIIIGKTAPLAPDAEELGQRTKNHTKLDVSTPLRSTEN GIVDQVLVTTTPDSLRSVKVRMRMTKVPQIGDKFASRHGQKGTIGITYRQEDMPFTRE GVTPDLIINPHAIPSRMTIAHLIECQLSKVSALRGFEGDATPFTDVTVDSISRLLREH GYQSRGFEVMYNGHTGRKLVAQVFLGPTYYQRLRHMVDDKIHARARGPTQILTRQPVE GRARDGGLRFGEMERDCMIAHGASAFLKERLFDVSDPFRVHICDDCGLMTPIAKLKKG LFECRLCNNKHRISQVHIPYAAKLLFQELAAMNISARMFTNRSGVSVR ANIA_09119 MTSVDELFKKPLAAASAKRKLDITKDPNELYKAAKLEANGDVKS KGKAPMVEDEEENDDYAGPELPPDFDAEDVADDEEGRFFGGGMERETAQAMDYIEEQD KGELTNEKYDVAWLRRFALNFEKKISKNAELRGKFENDPQKFMASEADLDAEIKSLSI LSEHTDLYPEFAKLGCVGSLVSLLSHENADIAIDAIQIISELTDEDVEAEQEHWDSLV NAMLDCDLIELLAQNLSRLNEEEEADRAGVYYVLGVFENLSSQVHIAEKIGEDSNIMP WLLARIQKKERPVSQNEQYSAEILAILLQSSTKTRERFISLDGVDVLLQLLSQYRKRD PEKDSDEEEYAENLFDCLVCLVDDDAGKEKFLEGEGIELVQIMLKEGKFSKLRAIRTL DHALGGLEGVAACERLVEVAGLRTIFGMFMRKQEHQIIEHLLGIFCSLLRLLPGGSAP RIRTLAKFMEKDYEKTEKLVKLRRDYASRVSPVEQIIEKERKQFTEEEQKAMEAEWLS RRFDAGLFSLQLIDVILAWLVAEDDGAKMKIVSILSDRDEDLSLIRSTLQEQIEGLSE DEPGQKDHKEMLQTLLQFL ANIA_09118 MAPKHSEKSFFSAAYEQITSPEHKTIVRSILVFGAGVAFLHSSL SELLLPP ANIA_09117 MLNAKRKQSEAGLTDDGPARRRSSNILGYNAQTPWLHESHDSRF SAIRRSIGTRGHAASLPLANMVSTVQDLIDPDFDPLIAILDEEPRFLKPLPSRISAED LEFLRFRGALAIPESGLRIELLRCYIKWVHSFLPVLNLQEFLRCVALNDPEGNISLLL FQAVMFVATAFVDLKHLQAAGYTTRKSARNAFYTRLRIQLLYSLDCEEDRLVIVQTLL LMTYWSDHMNNPQRDIWDWIGICSTNAHSIGLNRDPSSSDLDIRTKRLRTRLWWCLFS RDRLIAMGMRRPTQVNEGSSNLPMLRLDDFDFEPFHPAVIEQFQCRQLEDVSHQKRLA TMFIEKAKLCQCIGRVLFAQYTPSQCQFGLTNRTTINLVPRHASESELARCSQRLESW LSALPRDAQFVPASKTIFNDGEDVLLLHGAMIRMLYHATVSALHRPWAYGSTKDQTKS RLELAAAARTKMQDAAIGITQIIQGLNQLDLTRYLPQSGVTVILPAAVAHLANSMSND PTLRENSISNFQRCIRVLQGLKEIYPAADMEVANIEAAVKAQSNTSALLRIMQFNGSL PSRPGSPHRTSLVSNPARIPSPLDEPNHWTPPADEQDTAHPILHQLGHASPDNKDKRS SPLKPNHEHSAQSNPPRQDQPTPPTDIPDPVTISPTTPQQNQNQPPVNPPKSSIFTDL LDFDLDTYTSTFTPSNPNPAAGPDLDLDWTSELLRWADANPEYYSAPNTNNDQRDIFS FPVGPGPGHNPTDLGLGNDGGSRGHGNLSSEITGDLDRDLGFTGDGEELF ANIA_09116 MGEHSLLPDGVFSLLDTDLYKLTMQCAILKYFPDVQVTYGFTNR TPHMKLTRGAYKWMLAQMDKLANIRVTEDEIAFLKRRCPYFNTAYLDFLTNFRLKPSE QIDINFTPVNDTGSDSDFGDIDYIVKGAWVDTILYEIPLLALTSQAYFMFSDKDWNYE CQEGKAYRKGYVLLENGCTFSEFGTRRRRSYHTQDLVMQGLCRAAREGKAKGLPGVFT GSSNVHFAMKYDVDPVGTVAHEWYMTIAAITDDYENANEMALKYWLGCFGEGVLGIAL TDTFGTPAFLDAFRKPIPDYTSAGTGAVSTTASGPSTTAESNIQSEAETKAPITAPLS PDHPPPAVKTYAQVYAGVRQDSGDPSYFVKMARDFYDREGITGTKTVVFSDSLDIEHC LEYKVLAEEAGFKPVFGVGTFFTNDFINTTTNEKSQPLNIVIKISSANGRAAVKLSDN MGKNTGDKDTVQAVKKRLGYVEHEWEEGDERNRWARK ANIA_09115 MVVISRFRSLWGVEPGPQQSEWKQKFVEWKAHGYGTVLSGIEID FAGMSPEELQLLRSICDEYSSYAASNRSSLFSSWPKYIGRRPPGLTTDDHAEFFRSQL RLASILKPVRVNAQSGADHFSWDDSVAFYKKALQVEKEEGFDGRVCHETHRNRSLFNP YAADYILQKVPELTITADISHWVVVCERLLDRNEEDQDILKRVIPHVGHIHTRMGTTQ ASQCPEPLNQAFAEERAFFEKFWLDIVKHKQQTDSNGRLTFVPEYGPFPYHPIGTAQS HGDLADSEGARLEKLFKSAVGQ ANIA_09114 MAPRTMKALNYVGPFNVKVQEVEMPCLEHPDDIIVKITSAAICG SDLHMYEGRTAAEAGITFGHENLGIVEELGDGVTLLKKGDRVVMPFNVADGRCRNCED GKTAFCTGVNPGFAGGAYGYVAMGPYRGGQAQYLRVPYADFNALKLPPGTEHEADFIL LADIFPTGWHGIEISGFQPGDSVAVFGAGPVGLMAAYSAQIRGASRVYVVDRVPERLR AAEKIGAVPIDFTAGDAVDQIIAANGGEMVDRSVDAVGYQAVSGTKDNATEVPNIVLE NMIRVTRACGGLGIPGLYVPSDPGAGDTATAKGMISLSFGKLFEKGLTLGTGQCNVKA YNRQLRDLIIAGKARPSFVVSHEISLDEAEIAYDKFDKRIEGYTKVIIHPNGGFQDKR PPVVNSPL ANIA_09113 MGSRGEAALLQRQGQNLYGQGNWDDAISAFTEALNCPDVDVLSV LDNRAATYTKLVRYDLALKDARHMIKCDKQDERGYLRCAKALLLDGKPEKALEVYAYA LKSIPKDKPGRQVVEQMHTKLKEKLVSRCFDPFSVFPLEIARMVVDYFDFRQIVAILR VSKGWDRFLSSMRDLFMRIDFTGARCKIHWSSVLAYIRRSKAMLTHAILTNLTKASIH RSLEYISRCPNLQHLEILSPSSPEAIYNLFKGSKNLKILLVSAQTTIPQATITKLLSS LPNLQRIEIHSASKSRIADTNWPSSLPHLQSITLGTTESGVLDNHVPALYIPRLVDPS IPLPISNLSELRLHSNPQVFVPYPPSFNPANFARLKKLDISGLYIGGDFGLPPSLEFL RIRGGAALEALPFATANASEETGQIQHLDLPNLTTLIFSDVPWVTHLTLHAFVTEAKA PLDVLHVDSCFRITAASLFPILRAGSQLHTLNVAHIMGIEDSTVAVLVEGLRTLKVLN LSYTEITGVTIKALVDTQTAEDKASVECVYVKGCERISSDAIEYGRSKGLVVVT ANIA_11166 MEQQGDLQAASNYINNVLLARGLVKSGRPIDFANPENEEGGVAT TMARIINLVNDLVLRRDREAEHRENLATTIRTLRAEESHKAVEMEKLQTKKSELSRSL ALAEAQERALKTSMSSAEATIRGLKDQVQRMKTTVQQVRSQCANDIRKRDLELQKLKA HLADRQRGKRDGLGVTTININPAASQSSRRYLSGGEGVHDPGYSLKQETNEFLTQLLQ NLSDENDSLISLARNTVFTLKELQGLSSTEEPAVDNGYLQGSASTAQRSTYGGAAVTS LPASCEELSGEMDQVLEHLRTLLTNPSFVPLEEVEVRDEEIKRLREGWEKMESRWRQA VTMMDGWHKRIAHGGGSVRAEELRMGLKLNDHVDPRYSQVRDNDVAMPSPIFEDQEDE EDEYFGVEATETEPNDDAEPAPDEPQETSSRALKERTDNTASAKHPPRKEVSFTEKDR KSPSKKQQEDDTMPIKAHQSDAVTRRPSRKRVEPKGPRPAPTRMSVPQKLAAAESEAR AAEKARQEQESRKRSRGSKVARKKTGDRRRSTLTSDELGELLGMK ANIA_11177 MPDTFTGWVAHGPKSPLTYGAFTPKPFEETDIEVQITHCGICGS DIHTIRSGWAPADYPCVVGHEIIGTATRIGRAVNNKQNIQPGDRVGIGAQCSSCLRPD CEACADGEESYCPKMVGTYNSRFPDGSKAYGGYANRWRGPGHFVFRIPEQLSSAEAAP LLCGGVTVFAPLRRFGAGPGKRVGIVGIGGLGHMGLLFARALGSDAVVAISRSSSKKS DAIGPLPNKLGADAFIATGEDKNWAKTHARSLDIIICTVSGENMPLSGYLRLLKRNGV FVQVGAPEEPLPPLRAFSLIQKGVKVTGSSIGSPEDIRQMLNLAAEKGVRPWVQTRPM EEVNLALQEMHEGKARYRFVLENGAKAGKL ANIA_09111 MSGGFEGWKAYLYDPSTAAAAIFIVLYGIVTGIHSYYLFRTRTR FFIPMVLGGYFEFIGYIGRAISSAQTPDWTLGPYIMQSVLLLVAPALFAASIYMYLGR IIALVRGEKFSFIRVSWMTKIFVAGDVLSFLMQSSGASMNPCCLYELMVVGAGILVTN SQQMGENIIVGGLFVQIIFFGFFVTCSFIFQRRISSNANALGNASTTPWLKHLYALYG SSILILIRSIFRVVEYLQGWDGYLLRNEAFIYVFDALLMWLVLVIFVVIHPSEVNCLL GRGRVMITKGGLSISEVAV ANIA_11165 MAQRRSHQKSRHGCLECKRRRVKCDESRPVCANCARRQTDCEYD SSGPLRWMTDEPSRSPRSLSDRQTAPPSPDFSLLRQLRSNSTNSYDSALPALNICDLE LMLQWINETYCIFTRGQQTEEIWRKHVPEQALSYPFLMHGILALSALHIACTRTMRAP GDAKSDYLHVAISHQDQALALFREQLADINTSNGKAMFAFASITVLYAFGFPRTPEPG SSAVGDLVQAFVLTRGVQDILNQSMNTILEDRTWAPLQHVNDYDPVLSVQAQAAIERL HKANEACTRQDPILHDSSLYQAAIEHLADLMAGIQSGLGFALACRWVIKLLPAFLERL RDRRPLALTILGHFCAILFRLHDVWFGADWGTRVLREVWFTLDDNWRPLIHGCMEEVF GEQYYGTGR ANIA_11176 MVANMTSSETKSLGGSTVISQDPPSRGSDSAGWQGVDLAHSRLY HIYHTPIRYDYRVSDADKNHLYHVYNSQLTPHKADLTVHTGEDSNAPVAGVCKFLHFS RHCKIGLGDPQQAGTIVWEDLHCQNLTMTKYRWPMSVPLADGRTERRWFLWKRTHSVG ADGDSPSTFSSRNYKLEDELTGQIVAVFTSSSYKSMRKNGKLQVAAGYGPDFDLMVLI TSLAMYEKTRRSRGKAGGGGGGGGGG ANIA_09109 MSFPTFNFGGNNGLATPGKSRNIFGNGEPPSPSNSFTPQGPPPS TIYGGSQMSARSPANSNPIFNRSELLNDSIFGSSVDSPDFASRTKQTAAAKRFTASES LFDVSRGPSFDESTNWGASNGFQPHMSGGLGADDGKSMEEDEDEDEAEQTGKTRGSGL NFLDSHMSSTGPSSIPAQRKPIYANPGNAKRPKLDEKWANQSPLRNAKLSPKKNSTIP AIVRNFAARTRKATVDEPFDFIIRTEDEISRMYEETRQTQYNNEYLQETIGKICADLS TVWYNSSESKASGTVIGPGDNAANSTKAGFLGALLLQLHHPPATKSTGFPNTFGLAAP RYTFGGRSASAPIPKVLLDWLNVNKPLSDEITALKQVEPDPTASPSFWEIVIAAVLRG QLSEASQILRSADFNYARSALEDGLPQAGYRGVQLQNIQRCINKALQVLEACPSVHND NWDARDAEWSLYRKRVHTAISDLEEFAEGEEQPAPAPATGNTFQAINFGLGSNPFQGP SFTESARMAESRVPWTIYQNLRSVYRIILGDPAAIMNYAESWVEATIGLTVWWDGEDD EEASPPPFLRRGQSPSNDPYLRRLSLAFKHATSTEKDEKGFRYNSLSGIEVGLAAVFE GDVAGVLELLQTWSLCVAAAVAEISSVGGWLETKSNAKPPGLSDNDLMVLSYNQNDAP AGVSRDDVLSAYATGLSERPSIGNEHEGREGWELALEVLSRLDDSQKMQKSVSELLDK LPLDTAEQMDKVVLLCSELGLNKEGRRVSERYGDLTVSNSEEYGLALVCYARAHNRRK VKSVVDLLISYSLVQSRAYPASSDLDEQLRTLIREPKTCLSAIAHADEEAASILQFYF SGYATLRRFYETRDEAVNLPAGQKPRYKPLARRRAAAQALVAVISSAADSIYGGLYDP DRDSAIQVDGLLALLGEALPFVHQSSSILNPSQQFAILSAIEDLETVTPRVYAQCEEC FRSTLLEYYSKQAGKASDSYVLPPSPRALLKKSVSSLTASSTFSIIGSDMLGARTRSS SGSAEGSGVLVPRDCEKTPLVREWDWRAGLPEDTKGEDVLRMLRLGLANGLTLEALGR AY ANIA_09108 MSPATVISSSPTTLESFPHIHDDPSTIPHSLDPFTITTSTGFLP YLTSPTTLPDAFKPLMSLLDRLPVVKHDGSPGLLATYELGPAVEQELPDLTDEVDKLV TADGKLDLYTIMAVFRDYSFLASSYLLEPCWKNWRTNPENGYGLGRDVLPKAVARPLY RCGQILDIPPFMSYAASYALFNYTLDDPSKGLVYSNLRLVRAFERGLDPRSSEAGFIL THIDMVKDTPGLISAFLFVMDEYGAHRWRSDMWANSKPSDYLSFRVFIFGITSQSMFP NGVIYDGVEDNKPLYFRGESGANDSIIQIPLLDHLLQIPMPQTPLTEILHEFRSYRPL PHRTFLAHIRNKAEELDVRDFAVEDTETAILFLRTLNHVRSFRWRHWLFAREYIIRRT PHPTATGGSPIVTWLPNQLSAVMDLMISIYESVLAPLKAQGTQGYNGSCQKLVEPMME LVRDQKEKLTKEVEKWCKERGV ANIA_11175 MSRASKLTFAGTSLVTAGIVWFVHWSQEQEKASMHKGVERDMEK QRIQLERKAEFEMQKKLEEEYLKLQKVSPSTDGPLGEGQANLNPGS ANIA_09107 MPRERLKRLFSKRIRDAKAEAQKEIEEYRKQKEEEFRKFEAEHS SGFKKAEDDANKEAEFKLEEIKKDGKEKGPKVVEKLIHALVDVKPEPSAKIVTKA ANIA_09106 MIASCGSASALQSSCAISCCPSFRYSLLGRTIRQFSSRSGRASP DPRLEDFGKIIRDEYALVREHYDTPKHPIILAHGLLGFSELRLAGPLLPGIHYWRGIK EAFSLKGVEVIAASVPPSASIEMRAKVLAETIASAARGRESTDIACGLDARYMITHLK PENFKVLSLTTIATPHRGSAVADYLLQQIGDDRLAQLYYLLEKIRIESGAFSQLTCEY MEKTFNPQTPNIEDIRYYSYGAVMEPRFWSVFRLSHRLLQQLEGYNDGLVSVASSKWG AYKGTLMGVSHLDLINWSNRLKWLAGEITGQRPNFNAVAFYLDIAEKPYGVYLGYAHV REEAGQHSDMHTFSDSPFLGCLTVVTIRQQAVSSQVDWTNRGRQGWRCIWIMAMGTPG VA ANIA_09105 MSVAIHLDRPHKHLTNLDYLTGKVILSLQSETSVAGIQVKLEAE SRTRLAGPKYPHNEHSDKKRTELEVHKLLYKVTDLFPDPALVSTQSSPTTAWTFAPGR YEYPFQFKFPFNNSCNLHNSMLTNLNISGLKVEMAKQANRHVKRTLPPSLSGFPGMAE IKYYVKATVVRPQFYKENLRAITNLTFLPIEPPRTGNPREEAYARRQHQFASGPTPSP KSLFHRSSKASLRDLEATSLRVSAELRLPNPSILTCNEPIPMRILVKKLSESFETVFL QMLQIELLSYTYIQAQDLKRTETGSWVIMSRSNMAMSLGRGGDPAGTEWSVDSSLWNR LPLPPSVAPSFQTCNISRSYELEVRVGLAHGTVGNLKSQLIILPLRVPVRVYSGVAPP AALLEAMATNTQDKPKRPILTPSSPWTEDTERPPIPPRPTAALAPINSDEFQEEAPPS YEDAMAETLSPLEGPRREYYPPDASSCSSSFRSTVEPGADAKSPVGGAPRTSGGIYGN PGANSSSESFDMLPSSPPESRSGSPLGPPVARQQSVLKIHKAPLPVEDSPPQYRLVAE NPQPAQGQDSQRQSRRMNLGVPSRKPVPSPSRANTQANTQAKP ANIA_09104 MRLHLTIQRHGLPVTRILWTTSPPSLFGHNHPNPSSISPASSSA VTSSRMPNALYGNGGYTIAQLLEDVNEVIPLETEPRLFEDETSGQWGLEDYVVEVGGS ECLHFMEIEGILRDGDEVLIRALQIFDLKARQISGRHQISADGRHLIDGVPFGKPFLK RLTSSRPAIAIPPRKKRRTALALWGNGSAYDEEDSDWAPSVPQHAGTGKELSLLKPES EAGKTDRDMDEYEDAYQDDYEDYHEPNEDGDGTVIRHDMDKTSKGIESEDDDDVSSPE IGDLSEEIQDLKRDMELSGLLPESRISDVKAHCSYSLRSRPSNSQTEPRKSSLSRRSF GRPADGDVSRRESKRVSFDKSKQELGTAKSQSLVPRAGSTSEEPDDSVSSDSDSDSSV SGSSASESSEEDSSSDDAESESESESESTSATTSDSSLDSESDSSSESSASESESEPE DVGKSKPVLKPTHEAKPPGTGSERTRKCNRRAKMRRRLTKLKQMGFLDENANFDALRE WDSKNRDSDFSFTKPDLESRKAQEQEEFEAKRRKLLRDLESGGVDVSFVSEKENLTPN SIGPDTTELPEDQEEHYETANDATPANAELSKRRSLDVASTRRLLFGSLGVKNPRSKE EEEATRKRLVGKVRESILHPTERQQEEQGFAETHEGEPHVDWHEKLVLGATECVYDDI ELSAPPFPFEQRWDNEAGDEIRRRRGRNKKRKRRQQLQVYDEGAYGNEDYYDGEDQQL NYDDSEQPEIESENKEGMDEMTTRTTAEPEDDLPDLPDDPSSLPDLVINDLKPGTVVA FKQLDVSKATNWQPTVSDYRVAVVSEVFDDNILNMQLAKPYRRQPRDADAEEGPFSYS GFEMPGMEDDEGVDDGFREVPFDDLIGPKVLRAAPSTDGREKDTANIRLELTRPSFPA DEVPPAQEPSQSANDAPTAINGHENQLVHTSSQREISYPSGKRVSTPDPAPLEDSFAR SPRFEGFDDSMETTNGTDTVNSSYIRDENPGEHY ANIA_09103 MAQKYKLKDISSLTGINNFDKVEAEVEGVQDGKVLLVKYEDKVH AISPKCTHYGAPLKNGVVSPEGRITCPWHGACFNVKSGDIEDAPAPAALNTFELFEEN GSVYIRGEESAIKSGQRISEHKCSSSGPGGLVIIGGGSGTLGVILAIRELGYNGAITI ITREPSLIIDRTKLSKALIPDPEKIQWRSPQWYKDVGIETVSDEVSAVDFSQKIVVTR SGKTFPYTKLVLATGGVPRTLPLEGFQLLENVFKLRTVTDVQRILNAIGDGKNKKVVI IGSSFIGMEVGNALSKDNEVTIVGQESAPMERVMGTEVGHIFQRNLEKAGVKFKLSAG VAKATPSNEEARKVGAVHLQDGTVLPADVVILGVGVRPATDFLQGNPAITLEKDGSIK VDEHFSVPGLNNDVFAIGDIATFPYHGPGTDPKKGTYTRIEHWNVAQNAGRSVASSIL HMLHNTTSSLQKVKPKVFIPIFWSALGSQLRYCGNTIMGWDDLVLKGEPENAKFAAYY CKGETVVAVATMGMDPIMVKCAELMRRNNMPSKKEIQDGVDVLSIDVPKGVRI ANIA_09102 MAVDAMEIDSTPVSAAQAGSEKDRKRKHKHKDETSSPSSKKRKH EKSDKKDKKDTKKKDKSSSKSSSSSKKDKSSRPDTTTAATPAPVPESPYTLTTATLYL PLSPISISPTHALASLLAEHLSPLLLTYYPPFQGIILAYSNASISSEPPSPSSPTSTT SPNPQPLTLATTAGEYGVMYVYLTATFLVFRPQRGQTLEGWVNVQSEGFLGAVVLNLF SVGIERKRLPSTWKWIPPGEEDENENGTTTNPNSDEDDDSTPSTPFDPEKEHFNPVPL ASDSNPFSYDQGQVADSTTGAIGQLEGEEGTTDQDSLEGHFQSVSGHRVRGTIKFRVV DIDVIPGTERDRGFLSIEGTMLSEDEESRVVEDERNGVMAAVPSSVRKVTVPMSSGGI IVPQREDVELEESPSKKARKSKK ANIA_09101 MSILSLIGWYTLPGYATNAVLYVYYGITIRAGDPKPQPGSPRYA RDRRRAFVAVVTIYLLYNLFEVYQQIRTEGSFYDVLDVSPLSDERTIKARFRRLAAQH HPDKLGVGSSSDFFVYLKQAQDTLTNPVKRYAYDMWGPKILQWGNIDTVHGYFLSGLM KTVPTYLMSFLGLLLLNYTWWSDWGRYWRFFAFAALFTLNLALISHQGPVPITSSLAL LPWQIMKMAETISLSLHIFISQIAPPGAKDAGSPGLLRQQTLQRLAQLLHLSRATDTE ATRLLQLGFAPFKGDKESVATLRKAMKEGLVLSSVRNSPGVQQAVKEVADRKEQEKKA S ANIA_09100 MPAAASTSRANGRSSGSGNPKLLVVLKLPSSLLKDFVPIIDSKD KRRKSSGTAASNKRNESNADFLTKKEEVSPSPTSSGVEPPPASSVDNASDAASTPVPG TAADADANRKSLPGLKAGAKRSLNATSDNSLKPRGKPGPKKRPRLKADMAMISEDGTS ENVRLSTHRLGPKANTGAINAGLRALDRSGTPCRRWERKPLQLKSFTGVQWQLPSWRA PRTQTQEPNGETKEGVLETGDSDSRANQSASGAPSEKSNSGDGDSTPAHPSMVDASSP AIAMAA ANIA_11164 MTDTPFSPSGGAPRAPLTTTYSAPSISSIPRRSSYASVLSGTAA LSPQASTPFSQLNSTSSYPPPFHPEGRPSRHSAAVDAEMQTNSPWMLPPQDTLPPYSR KFASFPAYDPFFQNLSNFAETPSSFTPSYLRNSRYISRLEAARRAKPGSQRDAASVSS GQGNLPRIAPSYRGMTYDIIDREPVGDDDDHIMPLPSRWSDSDKYPGLELLNDGLEIR YNGPVNKQDHEAASVRADHPMPPQCGIYYFEITIHSKPKEGMIGIGFSNNKASVERLP GWEQESWAYHGDDGKSFFGENQGQGRQYGPTFGVNDTVGCGVNFSTGCAFFTKNGVFL GNAFKELRNLKVYPSVGVKKNPPVHISANFGQQPFMFDIDGMVKKQKDTIHAEISKTS IANIQPPLDETAFLQELVAQFLAHDGYVETARAFAEEVAAEAAALENGRQAQLKKYEV EEDIEAINRQKIRAAILEGDIDRALKYTNAYYANVLQQYPHIHFKLRCRKFLEMMRRC TELSSSAKKGKTTNGFSDSAVFDEEMELDEQMQDRDRWDADGMDMEETETATKSNELL TEAVQYGQQLRIDYPSDENGGDKKLLDDIFSLVAYPDPKRSVHGHYLDPAGRVAVAEE LNSAILVSLGKSSAAALERLYQQTEVLVNEISEEGGAGAFINVRNDFLQ ANIA_11174 MESKPGTSHPEVYIPTHSSATSRADLHCCCGQKDCVFLQHNHDA LEGLEKDLETAARLGQALLHRHESYMAEAEEDRRRLLANIETLEREKRQVEEENARIV EVNRNLLDELEGLNKALADSDSYAKTLALKLERNEAELRTLAASAARAADVEAQLAIL EAEQSKLQDSLLTAQEDSKSAMDRWKKAECMIRDLNDQVDRMEKEASEERERHAEVVQ RMERRRMVERELDGAAGRLKGAAAAQNLTRAGGSSVVSRFVKDILQDNANLQMGIVEL REMLENSNQEVQELRDQILLHQPLAPPAEGDRPTTTLSQELEARESRRVSQEFHIHHH YHTPPVHKKEKGTLFRRSKKRRSLGNPPMHSSSDTQPSRKAKHRSQPSASSASTILSQ TSVSIPPPASHRWSLQSPVPPDSMASSPQSAYRSSMSIFDRVDRGFDTSQPTSPDSAT FTSPLRNGRFKGGGPSDAYRTLDGTDDRPMSDCEFNEFQLQSVIPEETEDTTSISRPE SPAAGDDIFSTSTPYRRVRRATSHESLFSVAGMDIHTPSRRPSRMSDFRLGALPLRVQ RRILSTDLASTPPTTSTITVTAGRDSTKASPFHQSPQSLLASVAGSQQVHDQEADSHM AQTSMDDSALTPTRILSIGSRVGGWVRGRWGTAPTSSARRGLRLLSETNETSASPEQS CACSTHSAEQQTPSSTPQPQKRPPLRFRHPGVNQKGPIMGLRPPPPPPISIHAERIDE GLLRESLAE ANIA_09098 MDRIALLTAQYFQQVDPPSLSLPDGPALVSSTIQTAIYEKMFNE DTAWPLPPASYQTRVLKTIIAQIEKSISNTEEDEIIDSLMEKWTSLLSTPKPSALQQA QKLTYIKYSAPVSLIPVSESSSECDGTIDPRTIITSENRSLILSGGTTGFRTWEAALH LGTFLSTTRAGKSLVANKRVLELGAGTGFLSFLCAKHLGAESVIVTDREPALIEQIDD CIDKNGLERTQIQAGIWEWGMPLQVPSLLEKNDRAGFDIALGADLIYDSDIVTLLVFT IKDLFDNYSLKEFIIAATVRNEDTFRTFLDACESPLWLVRLFGISSISWRQDKAQRAR MRLDAFTSSGYGYNRDRN ANIA_09097 MADAPVTLRTRKFIRNPLLARKQMVVDVLHPNRANVSKDELREK LADLYKSNKDQVSVFGFRTQYGGGKSTGFALIYDSTEALKKFEPRYRLIRIGAAEKIE KPSRQQRKQRKNRSKKFRGVAKVKGPKKSKD ANIA_09096 MFPSSLMDEVMAQTFYPADDEVSFDAHSKRDALSMSQPLQSDSQ QDIVDRNLGCINIGSEIEAKTRGATRRRIPVAAKVPPGPGWPYPASDMASRIYVPSAT PSKMGGFPINHSNHRVSSSSRASDYGVTPDTQNPYGRQPFGIDPTINYDEESSSPYNV QTSSAYILPNSPQVFMPDYCQLGWNSKNWAAVLPGSRAPTETMFSENDAENSLNHAYS YMNPGQGQTNGASSLGITHGSLASSAQGTERMLPNPTSRSMHSGSNSGPITTTDGLPS VHTYKSGHRWASKCEPRTPITPGLNMPFNSGTVDRAKLIPTSGNDMSFGFLPVAPGSA TSPLSPSSGSFAGLEITPCATEAGDEFRENADSRYRAFSRDSRRLVSHTDYRPDTYGY TRPAYRNRSEADDPGSESTLINGLPYTRSTHSVSLPQTDEKSVLHTIAAHPNYAGLCS Q ANIA_09095 MSVLLETSLGDIVIDLLVDESPKACENFLKLCKVKYYNFSPFYS VQKNFSFQTGDPIGPDSPDSNGGSSIWGLLEGPSKQAVPLALPPKLKHDEKGTVSMAA VPSPHDPDLRLVTSQFIVTLGDNLDYLDGKAVIFGKVVEGFDVLEKVNEAFIDDRGRP LKDIRIRHTVILDDPFDDPPGLVEPPESPLPTKAQLATVRIADDEDLGDDMDEASMEK LRREREARAQALTLEMVGDLPFAEVKPPENVLFVCKLNPVTQDEDLELIFSRFGKILS CEVIRDKRTGDSLQYAFIEFESQKDCEQAYFKMQGVLIDDHRIHVDFSQSVSKLSESW RDATVKKRSAQRGGFGGVAGLEKKRQYRASENARERANYNMVFDKNDNRRSAPRERSY SRSPQRNNYRDRRDSRSPRRDSYRSRYGDRSNSRSPPLRDRDRIRTDYYDNDRRRGYR DDDRYRDRRRR ANIA_09094 MAAAIKSLVDKFQGHSEPALRQPSAEEVRELKQKYEQAGQSQVF AFYDQLSQKEQAQLFHQLSAFDPARINELADRALNPPKSETGPISLEPLPEVATASIL DSDPKDIQSYYNEGIKLVADNQVAVVLLAGGQGTRLGSSQPKGCFDIGLPSHKSLFQL QAERIGKLQLLAKKTSGKDAVIPWYVMTSGPTRKPTEEFFQQHNYFGLDKSNVFIFEQ GVLPCISNEGKIMLESKSKVAVAPDGNGGIYQALLAAGVREDMRKRGIKHIHAYCVDN CLVKVADPVFIGFAASKKVDLATKVVRKRNATESVGLILQKNGKPDVVEYSEIDKETA EAKDSKNPDLLKFRAANIVNHYYSFDFFNSIETWVHKLPHHIARKKIPSVNIESGEVV KPEKPNGIKLEQFIFDVFPMLPLEKFASIEVRREDEFSPLKNARGTGEDDPDTSKRDI MNQGQRWIESAGGVVVTEGDAVGVEVSPLISYGGEGLEFLKGRELKAPAVIEKEE ANIA_09093 MMLSRRACYKCGNIGHYAEVCSSSERLCYNCKQPGHESSSCPRP RTTETKQCYNCQGLGHVQADCPTLRLNGANGRCYNCSQPGHLARNCPAPASGAPRGTG APRGGFGGGFRGGYGGYPRAATCYKCGGPNHFARDCQAQAMKCYACGKLGHISRDCTA PNGGPLSSAGKVCYKCSQAGHISRDCPNNEATTESAIPAAATAPVAAPVAAAAAAVET DAGPVAAAAPTTAVA ANIA_09092 MPGSEASDEFGDDDYIVDIDCIQAHGIGAADITKLKANGFFTIA SIHGATRKTLLKIKGFSEIKVEKIKEAINKCLPSASGFITAMELSHQRKRVVRISTGS KQFDSILGGGFQSMSISEVFGEFRCGKTQLSHTMSVVAQLPKDMGGAEGKVAYIDTEG TFRPERIGQIAERFGVDPDSAKENIAYARALNSEHQLELLNTLSKEFVGGEYRLLIID SIMNCFRVDFCGRGELADRQQKLNQFLMKLAHMAEEFNVCVLMTNQVQSDPGASALFS GADGRKPVGGHVLAHASTTRVLLRKGRGEERVAKIQDSPDCPEREATYLITNGGIDDP DKLIVDVVDHSKKSKMKKNIEIAGYIALPLNLPSTGAFSTTATHYLYLRPHEPRIPDA DTPRSLFLVNIPIDTTETHIRHLFGTQLSAGRVERVEFEAARTGKKHGAAQLALVQGT NVAKSKKRKRVTADELENRLDNISLPSTWDRQLQRSGSHAVVVFVDKASMDASMKAAK KAARKSTTITWGEGIADRIPSLGLQRYVYHQRACYPPRAELLRAVNEYMTVFAEVSEA RKREAARRAAEPDEDGFITVTSGPRLTSAAGEEEAKRLIEKQKKQTEGFGDFYRFQSR EKRKERQIDLLKKFDEDKKRLEELKMRKGKIRPE ANIA_09091 MTTTNGVSPAGGGFMQSSALSPAPSASTVTPSVLPKQRTHPIRP GSIKEATVINYVDKTILAINRRHAKKFSSVLEQQPTQLNGIDTNTKSASNREREVGGE KERGYESFKEVVKDIESVVDVLWVSGTHYPFSKKATFRLLRKLDSFFASLILGEDAET GQLLSGFEGRRNVVSMTEKVRIKSIAETARVLVVEKGDSSDPGAGGNDDSEDIDDVED EDEVDLDFGLEADRPEKWEMETARVYEKTIQLLGDELGTEVEFCDEDMARGEEENSMS ASP ANIA_09090 MSFQNFDSFQNQHPAADAAATAPGAPATADTTMTGQADPSTAPF QGPAPGEGAPAVAQQGNEGKTTLWMGELEPWIDENFVRNLWFQMGEQVNVKMIRDKFS GRSNAGYCFVDFASPAAAAKALSLNGTPMPNTNRLFKLNWATGGGLADRSRDDRGPEY SIFVGDLGPEVNEYVLVSLFQSRFPSCKSAKIMTDPISGMSRGYGFVRFSDENDQQRA LSEMQGVYCGNRPMRISTATPKNKGPGVVPGGMGMPGPAGMYPPMGAPPMGFYGAPQP MNQFTDPNNTTVFVGGLSGYVTEDELRSFFQGFGEITYVKIPPGKGCGFVQFVQRHAA EMAINQMQGYPIGNSRVRLSWGRSQNNSGPAGSPYRPAPPPPPMYLPPQHQYGGFAPM K ANIA_09089 MFCDPGPRDVPLLLPDCIYTDITSDFLESPYLHNPAFFGSAAYY QPQGNLGYLPVLTTFIPSLPADSPFRVSIHSWEPPHSLRGWTVRRSSSSCRGSVFPPR TNWPHVIDLSSHVDKNGNQDTLRFPPFHQEILEQRHWDAGDLHGRIRVVVAEGFARPH RNPPFERVKEIIAFAFQHAPLNVLEYSSIAWPNTSMWSKEPRLFKYNAGSGVSDPKEA EDSHAHSPAARHDETRPPVAPITGQIGNPPALNFWRNRSYQGPVPQWQGNYREPRWAP PETVFADPFIDPYVLDPAARHRGARQSWEDISMPDYVSNSSGSRAISSMTGISYEHSK HPSLVAPIGDDAYSQLIQALSPTKQPTCSTQPPTTASATAAIPMGTKLSAAAEARSAS HRKSGNSRASANILEDMSCSNSRDVSGSTTAASNTMPPDPSAEQGAAAKLHASPSGPI KSRKEGISLENKENEAEKACRDSDKARQTPSKLNVLTNSGVETPTDGRKRRSVNSSRD DCLIINKKEPVLLSPTLLSPTQDLSRIKDSVHKDGFDRFLDSQSTLVSPTAEVDEVE ANIA_09088 MYTNLEKNAKVPVCKEALKKLKVEFPNWVVPEGPRDSLVSSGWD WVKLCRYCVHQSLPEPLYTKYVHHKGYRHEVEVGGGAYFAPLKYYAAELQSEQSAAHV ALYDLLVREDYGSADTEGPSSLKKSNEAQRGSVDCHSPGPSSKRSFEALLDESAQDYL CSSIGKRAPARKLEDYKHLGRARGHGGKNQSPPTSSQAKPAPGDANLQPLKNCSTRTA VHEACAWNVCNYLIDLVKEDMMLEDNAAKEHETITRWGDTARK ANIA_09087 MNPGVGGPVGGVQMVNNGSMVPRNDGSMNNSPEVMIGNLNTYIY DYFLKRGYHDCARALVKDESIKLNTEPPIKTSPGQRREGEVNGVDGDAVMTDSKDGDK LKIPDDLPRPNLNSESQSSSFLLDWFSLFWEFFWSQRKKGNSADVRQYLQHTQNMMRI REQQQSQMFRNQQQMMPGQMGRLNNMRGNGMVPPNLQKAVLQNNTGGFSQQQYAQLQQ KSQQMQMMQMQREHSDMDMNGHRPPSPSSAENAPSPSKRPRLEGGPMNGQQLAPNGRG QGQAMPGQQNPMLIQNGLTPRAMNPNQFGAFQQSGPAAQPKPIQVYAQNLALHHSRSA MNNQGMPNGLMNPGVMPNQADLVPMSDGQGMYQMNNGEFYPNGQMAQVRPGAQTPGGQ HGNHALQDYQMQLMLLEQQNKRRLMMARQEQDSMTRGPDGQPLPGQQGQQQPGQMPPG TSPQGSRTGASPIPNDQMKRNTPKLNQSGLPNSPNAPDAMMQGRGSPASMNFTGQLPP EMAGQFYIKTMQEGMQGANGMRPPSSNPAFSGPQMGQPVQAGTANRMPNGGWQQQPGG QPVVPQQSPIIQPPTTGTPQSVNSMPPPQPPTANAGRQPPPSPQTGNAAPPTPQPASK AAPKKKETKDRAKRPNKKTAAAAAAAAANNTTATPSSEAEQPPTPTPATPITPQHHQS FNKSGGNATAGAPPQPTSAPAQQTLVQPPPPDQTQSFNDLTMPDPSAFSLDFSALENP DILENFDFDTFLNTDTDTTGFGFDPSISYTDGVETGTESGL ANIA_09086 MSSTKVSRIGEENKIDKVNAELVTLTYGTIVAQLCQDYDGNYPE VNKQLEKMGYNIGMRLIEDFLAKSNAQRCANFRETADMISKVGFKIFLNITPTVTNWA SDNNQFSLIFDENPLADFVELPDDGRAQDELWFSNILCGILRGALEMVQMQIEAHFVS DILRGDDTTEMRVSLVRYIEDEVPPESD ANIA_09085 MSGEKRPAQAAFGSSSQLVVKRKKSDGGLDNSAAVVKSSGQDGT LVQAVPRTSGLNAPIMELTGHSGEVFAVRFDPTAQHIASGSMDRSILLWNTYGQCENY GILSGHKGAILDLQWSRDSKTIFSASADMTLASWDIESGQRIRRYIGHEEVINSVEIS KRGQEMLVSASDDGTMGIWDPRQKEAIDYLETELPITAVAQSEAGNEVFSGGIDNAIH VWDIRKKAIVYSMAGHMDTITSLEVSPDSQTLLSNSFDSTVRTWDIRPFAPTNRHIRT YDGAPVGLEKNLIRASWNPAGDKIAAGSGDRSVVVWDFKTGKILYKLPGHKGTVNDVR FTPNNEPIIVSASSDRNLMLGELGK ANIA_11182 MAIRSLPFIPIPPMPLQTTLTLPTSQTFAPTGACSSHHEGRRSV IPGRKPSVRACTSIIRDPTIHWKLFNLVLSTVLFLINLAIFITFVVLRAIQGPWIYII LTFILLTIGVVWCHALCRLVAAVYQFPNYAADCTLPIEMTETASYVRPNHPISVTLAG DEERSTGSHSTGHAVKVTTPPPAYGLWRDSVLLIYIQRLDPCLLHWQCLENQPAALQH TERRNENPNREPQGHRPPSYMSDNSVEGAMGQEHWLNKAPTIRCNRANVNNVSWGGGE SR ANIA_11163 MNRGGNLSLEIRTETEQMFYHGFENYLEHAFPEDELRPLSCEPL VRDRQNPGHAELNDVLGNYSLTLIDSLSTLAILASSPDDGPKAWQYFQDGIKDFVRLY GDGSDSVTGQGERSRGFDIDSKVQVFETVIRGLGGLLSSHLFAVGDLPITRYSPPEKE AAYAKAWDKTSFAADSRGIAWDNGFVYDGQLLRLAVDLANRILPAFYTHTGLPYPRVN LRHGVHQQPFYANSPLNADTVRVCDDKNGNVCDASSAEVTETCSAGAGSLVLEFTLLS RLTGDGRYEELAKRAFWAVWYRRSDIGLIGAGIDAESGKWVAPFTGIGAGVDSFFEYA FKSYVLLSSGERPEHNRSSPWHALDDYFLPLSEYEHSPEAFLQVWEESHSSIKRHLYR GEGYQHPHLIQGDIFTGATRAFWIDSLSAFYPGLLSLAGDLDEAIGIHLLTTAVWNRF SGLPERWNVATGNVEGNLGWYGGRPEFIESTYYLYQATKDPWYLHVGEMVLRDLKRRC WTRCGWAGLQDVRNGELSDRMESFFLGETAKYMFLLYYPEHPLNHLDQPFVFTTEAHP LLIPKSIYSTQRSSRRKKKEHGEKHSAAPTCQAAPLPPAFGLSSTAARPDVFHAANLA RLDLMPSRGPPEGPLEKPAKDRPGVTIADLTSPTNYTFFPWTLPPELVPFNATSSLMA IRPTLDISFPAVPGMAHGPGSLERVQDGILVKSIGGLRMSMIKDALSYSAEGSEFRVQ MINGVPLGKDEKVYLLWEITFDVLDPGDPNFTRVRDPAMIDLIIDIIPENLGRRNDSD DGREPAASGTGAHQRIINANAPVDDDKAAAPVDASTSSMRNVLSSLVNSVASMLQDEV NQIYTPAPSVSKPRPTRLPIPAAVSTGLGSGPLPDVDDSPLVSINGGTSQVRLPWSDI YMADDLCNQRILRSVAQTYQVLVIKRRGCTFSQKLRNIAAHPPSKNALKLVIVVSYDE EEHIDGQNLGLGYAARLAEPYLVRPQLDERQVTAGGIPRRHLLSMVLVGGGDETVHPN HAGSASPRSINIGTKDHTLEFAIVGLHSLFSTLSIFRPFVPLSISSGTHPSSRISFNW HIKNQDGLIPQTIPTTATTAINPSSAQHTPPDTAPKNAPKHLYFAYGSNLSPTQMRMR CVHNPTLSGHPVALAALDSWRWLICQPGYANVVPPAGLRVGPQITEGDNVPESISKSI PRGGVYGVLYEMSAEDETVLDRYEGVHCLAGESTEEQKVPLEIRPKEQEAGDYNKWYV DARVVKWLDEGYRERKGLQGVGGKVRVLVYVDELRVKVGKPKEEYIPRMNRAIGEAVQ LGFPRDWAEEVMRPSIPLE ANIA_09083 MSQHTASTSPDASSTTQVAGHPSSATSRAAELASLKVKLRGALR QFPDFPSPGILFEDILPIFSDHSTHEALVRCLELHILETLADEKPDVIVGLEARGFLM GPSLALKLGAGFVPVRKRGKLPGPCETQGYEKEYGQDFFQMQSDAIKPGQKVIVIDDI IATGGSAYAAGELIKKMGGNVLGFVFLLELEFLHGRDKLSAPVHTLLTGQETKA ANIA_09082 MSPAPHAADSQPDRQLKILARAFEALLLTTQQLSFKQKLFQQRL EYAHDEYLKLAGRTPQGIDPHTKIVAEKILARHIDLDNPSDQSLSSVDVVKDLSKSGN VGDSSLAVITEGVGIYKSFLSPDNDGSTGVVATRAGSLERDFTTKGTRGSLRCPFSKP HNLSSENGVNGIETALPSQNGDTCGFQQLDPIKEEQMERQSSQAPSASVRSSNRCPAS RCPIRFLDQHSPEEIADYVERHKHEIPRSHAICVKRYSNSSRQLDAKYGDLTNMIRGL SEKHQAFLPGRRSSQTASSSAERVEKWAEEVKPQPPADRDEVIENDEERKGHFDRPLR EVRVGESPSRPWGIHVPIPPPAMEPTTHAPATMERSTDKPLDNVATSAAKGRCPFGHG GGVPPPNHPAIETDTAPKEPITTPDATSTNEDKATEVKNPPNLSTASIVFNGPVFFGF SPEQTAEFMQELATWGHNKS ANIA_09081 MVVYLPEKDYEFPNIDLLTLLFESPIPHSTESTVLHAEAADPSN ATTKAQTRLLTRQIAYILRNHFGVGSQGAGKDVIVGVSEGQVLLPSVFYGVIAAGGVW SAASSTATPPELERQIRQGNSRLLITGPGCKDVVLKAAKAAGVPQSRVLILRSAGHER VFENSATGQNYLDGLKPSEVLDWERITDPKKLEDSLICLLYSSGTTGVPKGVNISHTN MVTEALIPLYYDLEYIAKRRATDPTYEHPYRTLAHLPTAHVAGCQGYFANPAVAGGTV FWMPKFDFQKFLGYNKKLEITSFFSVPPIYLLIAQSPDVTDQFKSLRRAYSGAAPMGA DLQTKAQKKLGCLINQTWGLSETTGSTTGSVSRLWPNMRLRIVDEDGKDVEEGKEGEF LVKGPVVTKGYYGNPQATKEAFTDDGWFKSGDIGVRRDGLFYIVDRKKELIKYKGLQV APAELEAHLISHPLIYDAAVIGVPAPDGSGNEVPRAYIVADKAKISEDQVKDFVKSHL AHYKQLRGGVVYLPAIPKSPSGKILRRELRELVKKEAGGSKL ANIA_09080 MLRASFRLVTVSHSLLSPSIRPRISPRVRLPFQTAAMSDLTNIF TPNACPPVGPYSQAVKANGQIFLSGQIPADKNGNLVEGDIRTKTQACCDNIKAILDAA GSSVDKIIRVNVFLDDMSNFAEMNAQYEKFFTHKPARSCIAAKQLPKGVPVEIECIAL A ANIA_09079 MSTASTQSRGVNRRVNSIQNEARHSRNLSLSRRRTVSSSTAYSY ALRTAYLAHLLHPRARRVQNAPAPQPRPKRASTSFQDLMSDFSLIRDSKSSRFPHGFI SELEKRLTGVLMGKERRKEYQDPLVVRTFAAFLNALKDHTFKKRMEKDRRAEDLVLIF YSNATKELSKGKEPDDDSWRFMVDRHVALFVRLFALILKSNDWAKERPELASRLATLE AKLLSQDQDLMQASGPSAVEVVAPLSYDVKDMPLVQHVARVFNVGLSQVQADIDSHRG VWTEQAALRDLKTYQTHLSLKTGKSLSVDDFEDEEAYEAWKKSEGPDLSQMMLAIMQS NPGLAKNTSGASLPQFNAGAVDQHGEPASTGRPISYVIDQPVDISSLSLNDDTEESDT YTYIPPDPRSVYGTIVAQALSYDLKDHELEATQATSDVPSMKLLSKQSTEMLNEICLR WRIPACSRIVLFLDVVRSKFVDNEIDLDTLDSAFTFVKEMPSSEGKKRNSFVASVLYD RHKWTVNDRLMMRQILSSLHEAILRELYDVMMDCYEAKPRPIGPVMFVLENHIQLDPD YSEDAGDIERFQSYVREGLAEKATEKYQNILGQEVPVEPEEWELDHVIRLCDAITKHS EKIRKRYRKNPEIMGVNPYEILLANTLVIFAEDIHQLLVRIIESEKTRQEELDMNDAF HLYKQLCAVRQLFMNALPDASFPFHIENVLEDFVWRWIRLTDQKVMEWVEQALRQDSF TVPEGNSTSPESRHSVSVIDIFRSFNQVIEQMIQLEWADEFQYAKFMTALSKSIGAAV ARYCEAVEKMFAKEMDRLSPDQEAALNQTTQEKLMQFAKEAWSNKEKIEPFQFFPESL VKLNNIEYALTQLDRLEREVNVDGCAEAIARHSPPTLQKVRKSTTYVFTIKVVEAEDL KACDMNGGSDPYVVLNDEFQKRIGKTRIIYNNLNPRWDDTVEITTHGPLNVIATIWDW DAVGDHDYVGRTSIKLDPVHFSDFLPREYWLDLDTQGRLLLRVSMEGERDDIQFYFGK AFRTLKRTERDMTRKITEKLTSYINHCLSRRTLKSLLSRGIGSTVSNFLNRSRAQQST SAPSSADVENALTPLFDYFNDNFAIMAETLTPEAMKMVMARLWKEVLATIESLLVPPL SDKPSHQKPLTMQEVEIVSRWLVLLLNFFHAPDEETGEAAGVPMDILKSPKYHDIQSL HFFYFEPTENLIRTSERMASATISRQQANKNRASAPPGLGSHASVLAVPGGRRAKSIM HSRNLGTMKKAKAEKWREAQADPNDDMILRILRMRPEAAGYLRDRSRQKERLAAAAAA DAIVKQSLMANVGGRMAGTLGRR ANIA_09078 MLAQRLLSRRLPQVALRSTVPRASFSQVRALKAAENDDPLMNGG YQNPPRIKRQFRDPNADWWDKQERRNFGEPVHEENEILGVFSPEQYTHVTARKGLLQL GAFVVTFLGLCGAVSLFYPDKPSAPRTFPDGLEKELGGPNALPVGYDPRN ANIA_09077 MQNGTTIGIPPENERVTERAEPVPSDLLPNNSPVNSPTIDPTLS EIKDVAADHNEEPPSKRRKVAGSTPSRRSHSRAASPPWKKAGADGPTSKIVDGKRRST RVSNVGPVEQPPSDAKPTRSSQKQYVSKAVSSQRNAAVSSPLPMSPSRSGINRRSLAG VAVNGSPSTTAKGSIGRRRRESPSPVSKRASTRTRPDNMDAYHPSNGVTPRSNSTKTR STRSFQLASSDFREETADDIGNDGQDEHGQRIQRLRIKVKKPALSIQHPSHVLPTRKY GSFKEWLENEGTGPGRMLTMTDALEEAQKRRQVTEAMEPGGLLSSEVCSAFLPEPQEE LPQQFSHQDHLVAHALYFKKLLDKEHRAHRQAAKSLAAACAEVWRKRNKDPEDILREQ QEEMRGKRKQLAKDLKKMFELARAEIDRVRLARWEEEQKAKDQRALDRAIKQSTMLFE KRRLEILGETGSDAPETTTDDEEVETDNGSENDDEEGESNMSTETEEEDGDDRDDDVG LTAEELRLKYANLPDTNPHPDQSPYSDEDSEDSDDIAADNTPGDTSGGVNRSPPPDSS GQVELDEVDPVLIDDSDESTDMDDDMGDSDDDGYSEAESDDEDGGEPGLLGFFSAKDL SLSNLHQTNSGEGDTHQTGADGDRNEDSSFDESEFGSEDPDEVTLVPTGPTNKDLSTP ATVTASAELEPAAMTPSIDQTSTEEPIAIGTETPIETVAEDAAALADTEPVDVDVLDT STNDSVPPVMSPATNLLKQIERQQHEPYHSRAASSEASPGTVATKPSEPESVSSIEAP AEKHAQPSESPGPGLKTPIPHLLRGTLREYQHFGLDWLAGLYSNHINGILADEMGLGK TIQTIALLAHLAVEHGVWGPHLVVVPTSVILNWEMEFKKWCPGFKIMTYYGNQEERRQ KRRGWMDDNSWNVLITSYQLVLQDQQVLKRRSWHYMILDEAHNIKNFRSQRWQALLTF RTRARLLLTGTPLQNNLTELWSLLFFLMPTDGDEAGIEGFADLRNFSEWFRRPVEQIL EHGRETMDDEAKQVVTKLHTVLRPYILRRLKADVEKQMPGKYEHVVYCRLSKRQRYLY DGFMSRAQTKETLASGNYLSIINCLMQLRKVCNHPDLFETRPISTSFAMPRSVATEFE TSEALVRRRLLYQHPLEKLDLDFLNLVPISREDISRRLADDSARIMAYAPFNTLRERQ YHRTNWEMKFNGSTVQSTLEALENDCRKRRMAELERSLYFESKRHGRRPVYGSSLIEF LTADSKQRPTAHGPLRKRSYADWLSSQSSVLASMMMSLEERSQAMDGYIQRFACVTPA AVAAGVTEAALTPISTRHLTNKERFPPHDPFHEAQMRLSIAFPDKRLLQYDCGKLQRL DKLLRDLKAGGHRALIFTQMTKMLDVLEQFLNIHGHRYLRLDGTTKVEQRQILTDRFN NDNRILAFILSSRSGGLGINLTGADTVIFYDLDWNPAMDKQCQDRCHRIGQTRDVHIY RFVSEYTIESNILRKANQKRMLDDVVIQEGEFTTDYFTKLDVRDMIGNDEALKDEASA AMDRVLENRVTNTSRVFEQAEDKEDIDAAKNAQKELEHADDGDFDDRANANASGVTAA SASASGAGQTPTQAGTPLPDEAQQSLNANNAEVAEDTADSDPSVGHIDDYLLRFMEWN MKDEPLVLPVDKSMKKSKKGKEHRLRKRRR ANIA_09076 MKSSVPISSDVWEEKKALIAALYKDEEWPLKQVIKKIRSENFNP SETQLRSRLKKWRVTKPSRQTRERTKESVRSPKTTSCSNNNGSPNAQTRSRLPPTTAE VPATQSEWTMPNRVDGRHSLPTMPLPFGQQDISTVWAPASSHSSPLSSPGKSRVDSLA SMAISTSSYEPSRTSSLVDSALLDSTPAMTPTFANSPYALENDSCLQTAVPTTTAVPP VQWAMPQWYLVPMETGSQAPSMPFYSTGPLTPPIDPMMLPMAPQTPEFHDCIKSWKRT LSSPYDPDLVSAKLQQPPKSLERKVSHSSTISTSQYPSGMVTPTSPYCHGQYPSVCSP GYPYSGSESLVHRSSIDL ANIA_09075 MRAIQVKEYVKGPLDLTVSTLPTPSPSPSAYLIEIHSAGTNFFD LLQIRGKYQHQPPLPWISGSEFAGTIAAVPTTSGPWKYKVGDRVFGATQGAYATHVLA PESALLPVPQGWSFEDAAGLFVTAPTSYGGLVHRANVQPGEWVLVHAAAGGVGLAAVQ IAKARGATVIATAGTERKREVAKAFGADYVIDYRDKAWPEKVKALCAEHRSGNGKAGV DVVYDPVGMIDLSLKCVAWNARLLVIGFAAGSIEKVALNRVLLKNVSIVGLHWGQYAK FETETVGAVWKGIFDLIAQGKFRGTAFRDESFVGLESVPRALQALGGRETWGKVVVRI IDDKGSKL ANIA_09074 MKIALLSAITVLLSTTVTSFTVPTSDITIRHGNLIRSASEPVDL EGRDLEKRRGGGGRGGSGGGSSGGGSGGRGGGGGSSSSSSNHPGTRPGSTSTTSNTGG TSRGGSGTRPTYGRGGGYYGGGATVPYQSGIRSPLGIVPFFLPLAALVAFFPGPWLYG AHIYPYGHPYHYINDTTNKNESMPVICLCAEYSTCGCDDNNNSTYYESLFNGTQPKNG SIVRVTDVNGTQTIAINGTLQNGTTADEDTVDSAAALTW ANIA_09073 MQLLKTILLFSAAFTPAFAAVANGGSGETESFTSTRTTTVTVTV KTSTTATPTPTYTPTSTSTPVIVTPSSTATPTPSPCSSFVITKIHSSTIAPSYAPSSA STGGFASGSSTVSASPSQTPFTGAAVPGAKFSGAGVVGAGLAAMAFLL ANIA_09072 MVRHRGHSNASSASTLPDRNQELESMYDYLAKVILLGPSGAGKL SRAGIASSLIHLAPVGRVLSSQTIGVEFSSRIVKLGTGPRRTRIKLQLWDTAGTERFR SVSRSYYRGAAGAILIYDVASYASFNSLPTFLMDARALTSPYLTVILAGNKTDLTQDD YHEDGMRRPITPSSTSSPQSSLPYDSTAGSFRSSNFGTATRMTATYASHGREVSMEEA SQWAARSNIPAVVEVSALTGDGVEELFQRLARIILTKIELGEIDPDDPQSGIQYGDGS PYGHGTSDASSIKSQMTIEDNAVQLHRRNTRRRGGSNWRASMNEWEDVFRAVADAPAY FSLYSDILAVTRPASVSRFHTPYF ANIA_09071 MPALIESNSENKRPRRPSFTQQLQRMFHIDKPVDARNDSYEHSR SSNPSVYEGNGTSFASVNHGLSEFKSTSNSRHSHSSANSNATDLHTSQSKHSSLRSFH LEPYPDALNQDNQRFKSTNHIVVQKKDRRATKRLEAERLELEKRLLKLEEAERTGDTS ILRRESRKLSKKQPLKSSSRSSSVSDDESRSRPSSRLSSILSSSRRRSRSRCSSVEGV DNHPNGHNESNALPVLSPTLPERLSTAISKELATRKNALLVSPEESSQSLETTTESTS SQPTIRNGEERALAAPSDIQSDLSETSRRKDSHQQADLDRALFTASLTPKNGRPLSGH AVRGQIAYRQLEQPQGDNGQLQMNSRSRSMSRTPLSRSPTDGIVQRQQKTFKSSPLAE SQTIDVDEVPSKRATTLTSHDIPDAARPQTLTVAEKATSPENHKVSTLQSSSGISENI TINPSLMEARKAQNPMSRMPTSKPTSQSAPSVLLAKPRFYNSLNKVTGAGGGKPKATV TMPPPPRERDSFPTVPPKSPKRTSRAMSQSPDMITNNRPTSSLSNDRSQESESDYNTA DEIGSTVSKTSDDCDLQAPVGSRVLKHKSTGSEGAVGISNGKGDPKKMTKKRNLGQLV PKLFVICCRCKFWHDMPSEVYASLTVSDPLSAALDQELAAWERNSLVDRLLQAHSSHE SSTEPPSSEAQHRSSRIRVTTEPLPGPVKCCWCEHQISKGCCQGWSTLVQLRQRHH ANIA_09070 MADKIYPPPPGPPPGYTEGPPPPYHNWQEAVPDTTEYPPPPISG NYYSNAGNASADDAERAHNFCNSTPLYRPVQPSTVVYNSVQNYNISPVLPAEFRGNIS GHHGRWKGSTRNRNSDCVLLTNLPIYFALVDSPFVTERKKTIYYEVKLLGLRTGPTPN DASGLSIGFAAQPYPTWRSPGWERGSLAVFSDDGCRFTNDSFGGKDFTDPFRVGETVG LGMTFELETPVSQPTQKLSVNIFFTRNGQHAGGWDLHEEVDEEAGSVEGLGGEVDLYG AIGLFGGVDFEICYHPGGWLYRPQ ANIA_09069 MHITYMRAFIALFVYRYLRLVVNLISFYTFKPIPPPEDSRLTSS DVTVIIPTLDGCGEELERTIETILTNKPHELLLVTIEANKKKAEEMLSRMPAAKSRIR LFTVTHPNKRRQMTRAIPEVTTTITVFADDDVIWPPKVLTWMLAPFEKDDRYGGVVTC QRLKRATSPTLSQRVWGFLGALYLERRNFDCAATTHIDGGLPCMSGRTVAYKTSILQD DAFTYAFTNEGWWFGKYQLNADDDNFITRWMVSHGWETFMQYHPEAEVQTTLEDNPTF LKQCTRWSRSNWRSNLTSMFDEGHIWHRQPWSAYAVHMTTLSPPALLGDLGLIFLCHK ATASWDEHAHMLAMSFLAAWMFVIDWVFLPVSILFGYFHGAIKMYAVMTLSATTWGSR EGADDFDEERMKRRPDADPLKKPYYHKFRLNANDFHHRLVPSVTSADIS ANIA_09068 MSAQRTDRKNISKAQIKPLLKRVSRDGSSSTSIDLSRHSFEQEG LGIYTDDKGTELYTNFPTRRKLSDLHRRSTSGTSQLSTASSSSMGKPGSQYVHPMRRA PRAYTPPLLTQSQTSYFEGHPHQEDSEYANDSVSSGPKPRLSLHFPDTTFTPGASQTN VTGRASFGYSRENASTLDTTSPTSRSSLDFVFRSRTRTSMDPVSRAATIQAARQAFEE KEAAKNRKFEEQRIKAEERQTKRKERQSHRTSIKDDIREKSWGLDPDDNHGPRRTTEQ STPGSWKSQSKSTWMLFLTWLRTRIFKFRRKPHRKI ANIA_09067 MGVSFQKLCLLLFVIVSLSGGAHAFGAGNIASISKIEGQNWRHG DIEDALLGLFLARAAGGRKFNKLDVQRVYFGNWLRDYSQAVDVGTVKYVSAEAIRILL WVLGFLSFGYGTKEFEVTTERLGCYQPTEHIDNPLGYAEGEDARDYDRRLRGPVDEER ELAVDPKTGLKNYIANERINIATSAALVRRLFGRCVQLGRRYARSRNDEDLHEALRLL GTGLHCLEDYAAHSNYVELSLIELGESEVFPHVGRDTKVEIEGVSDPVYPIVTGTFGG VDFFHSVLGEISDKATQSEIQELEGAINESQNGSPSDSFLQNLLDKIPEGLIGNSDEK VSRMDELKAESEDAKKQNRHVSPRQPEEWTKYLDDVQKKIYPVLEWHDELLKSINEAI EKIPVLPELIEQVQEQITIAVFSILAPYVLPILQQVKAELQTGSSEVIQSSRDQQHVV FNDDSCSNPTHSMLSKDHFSNILNEPAGRVASQIVKWTVPQLMELWDDEEADIEPTLD RIVSGVFHHPASRRGGRDGAREIRRIMFETVQKWWEGKSEREIRSLREQLSREGVREG RNHKESVHDSGHGCGKPLSLHKGPQNSSQRRKPEVGRIEKIASEAAGGGALGGLVGGL VSGVSSMLLNESGGKSGEEHSYQKHGSLDEERNEEHHYSTRRRRDSNEKDGHQHRKDH GREQFGSPKDRKHSDDEHKRHKHHRRRSSKHRSHERSRSNERSSDSENERSEHEYQYT RREHQYDDGQRQYVHQTSEKYTERGGYRAEYTESSSGYNTVTRTGHFQDSMPRQEFSS GYVGSQREYGGPASEYGLRSGYGSGSSHGARDDHGARDEYGSRGYGEAHDQYGASGDG YGSRGGYGSGRSHGARDEYGSRGYGESHGQYGASSDGYGSRGDYGSVGHVRHDEYGYT SKGEYEPQGYERQGSQLQGGYGSRDDYGSRNEYHSQDGYRRQNYGKYSQGEHGQRGDE DRSYGY ANIA_09066 MVRLTSMRLFRPILRVSQQPSRTHLLLQRRNIQTSRQYHYADEK PQPFKNQLYESTQQRLRRERAEQERYAQYQTQSQGGRYAAMVFALIFFTTGAYWLGSM RPAELPKTSTTKLYELEPPRHDVSPSNLQAAWADFVEIIGKENVSTEHADLTSHAGSD WSSYKTKEGEKPFLVLYPSSTEEVSRIMKVCHQRLIPVTPYSGGTSLEGHFAPTRGGV CIDFGRMNRILALHKSDLDVVVQPALGWEELNEELAGEGLFFPPDPGPGAMIGGMVGT GCSGTNAYKYGTMRDWVLSMTVVLADGTIIKTKQRPRKSSAGYDLTRLFIGSEGTLGL ITEATLKLTVKPKSQSVAVASFPSVHSAALCVTRVVEEGIPVAGVEILDDVQMKCINA SGTTSRQWKEAPTIFFKFAGTPNGVKEQIGLVQKLASSSQAKSFEFARGDEEMRSLWS ARKEALWSVMAMRRGPEDHVWTTDVAVPMSRLPDIIEATKQDMTQSGLLAGICGHVGD GNFHAIILWNDAERQTAEGVVHRMVKRAVEMEGTVTGEHGVGLIKRDYLPHELGESTV DAMRRLKLALDPLRLLNCDKVIRVEQPKPGQVKEW ANIA_09065 MAAEFTCGRCLQALRRGILSSRSPLRAPYAVSSKQVHGSSAALT RGLESRRSSRYLLAPKLASLPTAAPIIQKATSATSNGPNSRPLLKPNNLFHDFTQSPS PEIRQRAAFIKQNAFCPHPSHQQTRVPVSPHDPESRKSLTNASLPPAHSHFECPDCGI PIYCSEGHWMDDFEAHLEVCETLRQINEDDHDLRSGRFFPEFVMPGLQDENFVINMTN WDTFLYTREFDAINDDRSMRQVTRMLTYPLTIGSVLHELSPYSIRKNQRLTTEGLKSV SALRYSLHPPRTGEGVDIQGLRVKAPPVRIFILGARAESSLPRDVWLQLSHIFPRSLI HLIFIGPESMANRDEEFPLPERTPENPFGGIVEDRLGGQMKITTYVDYFHTMYKAQYF QPFDPYLDCIVLFHPGLGHPASSHEWEETLPLLLETKVPIITTGYTQWDMERDINWVR EKCAGEFDILLEPGENIFRSLRWDLNDLDPHDISCGNWGLWAFRGKRYEATFKA ANIA_09064 MSSQTPTAQNLSFVLEGIHRVKFEDRPIPKLKSPHDVIVNVKYT GICGSDVHYWDHGAIGQFVVKEPMVLGHESSGIVTQIGSAVTSLKVGDHVAMEPGIPC RRCEPCKAGKYNLCEKMAFAATPPYDGTLAKYYTLPEDFCYKLPESISLPEGALMEPL GVAVHIVRQANVTPGQTVVVFGAGPVGLLCCAVAKAFGAIRIIAVDIQKPRLDFAKKF AATATFEPSKAPATENATRMIAENDLGRGADVAIDASGVEPSVHTGIHVLRPGGTYVQ GGMGRSEMNFPIMAACTKELNIKGSFRYGSGDYKLAVQLVASGQINVKELITGIVKFE DAEQAFKDVKTGKGIKTLIAGPGAA ANIA_09063 MSEKVEGHKRSKSALALAILHRDKSKDSHEEVGSRENGSPDSAP SSPVKVNNNSSPSVSAISTHRSPSLRRSRQETSPPAMSADSSSDPAALGREGDVIEKL PSLTPVDVTLNQSVRTFRLFEILRSGDTTAISKAIKESRDPDGVNGLSGTTILHLAVQ CAEPQVVEYVLSAGNDIDINARDREGNTPLHLAAQLGRGPVVRELLNRPEINDSIVNY RGQTALEASRAPEIFQQLQLARSLFIDSKTQEIQSLIAKGEYDKLEKLLEEPRVEGIL DVNALDLVTDPTTLHSGGTLLHEGARKKDTRLIQILLMHGADPFRRDKKGKLPQDVTK DDRTRAIVKKSPAAVIAQRGIQEKAILGTSSGQGVSGRPGAGEASFAGKDSREMKGYL KKWTNYTSGYKLRWFVLEDGVLSYYKHQDDTGSACRGAINMKIARLNMDSQDKTRFEI YGKSSVKYHLKANHVVEAKRWFWTLNNAIQYAKDEAKEEEKRQTKHAEALRQAKLDQA EGRPSENPSESPSFRSRGPLSLGVPSTSNTKLSTYTSRTTLDGVPADDDGSMYGSLEQ GPSQSDINRVASHVTTAPDLEGDDDDYGDYASSRETPPTDKDAMNITAQSVKLQLDIL ASVASSLQKTDQSTALSDQAVAQALTAYEEAVSSLKDLVQNLLKISRDRDSYWQYRLN REAHLRKMWEESMARIAQEHEELQSKMGESEEKRRRTKRALKEALENTPNSISRTAVK AASVEAGLDGEEDLSPQPPQIVEVASHIEEQKSRQPQLHRKNSALSNISSLYDSESDN DDEDEFFDAIDAGEIEVVDRTAPEVHEDEETIPDEDKLRAVRRSEIAPSFKGYEEPIR ERLKMDYDNRPKISLWGILKSMIGKDMTKMTLPVSFNEPTSLLQRVAEDLEYTDLLDV AADRTDSMERLVYVAAYAASEYASTIGRVAKPFNPLLGETFEYVRPDKGYRFFVEQVS HHPPIGVALAESPKWDYWGESSLKSKFYGKSFDINLLGTWFLKLRPVSGGEELYTWKK VTSSVIGIITGNPTVDNYGLMEIKNWTTGEICYLDFKPRGWKASSAYQVTGKVVDKEG SPRWSIGGRWNDKIYARHTPGFEATVSGPEQKAQLVWQAHPRPTGIPFNLTPFVITLN ALTDSLRPQLPPTDTRLRPDQRAMEEGEYDFAATEKHRVEEKQRAKRREREANGEEYK PKWFSKAKCPITGEEYWAHTGDYWGCRARQDWSKCEDIF ANIA_09062 MVKMLATIFRTSAGRPQQLSTAVQYNFASLRAFQSSTLNAAIAH PVTAHGPPPKAPSPSPRFEKCTTQQSNTESEPQHRPRKRPTVLKKRFWKDVDVKQKDG GDYQVLLDKRPVRTPSKSVLSIPSTKQHLAQAIALEWDVMNAAQQALKNHTIPLTSLT ARAADIAQEDAAGAGAGAGVERVIRTQIVKTAMRYLETDTLLCWVPEQDDAVKDEETQ QQETLREAQMRVAKDVIAFLSTKVWPGIDIVPVLDGNSIFPASQSQATKDIIRQWVEG LEAYDLAGLERGILASKSLLVAVRLVTEWSENFCQLQQLSRKRFGIEEAAEASSLEVR WQTDMWGEVEDTHDVDKEDLKRQLGSVIVLVAGVQ ANIA_09061 MQQEARNTEGRNLWRSNVQLRHQMVHFVSAGSLEPVSEPRLDSL VEAIPAKEESTDEMVSGSVTSKVYDDRLDSNPASQTIKTTSANAPILLRPCTTGDSSE DEIVFKGRRRKPVLDSCNNPLNTLDEKIPLGEREEAYTMRCSSASTIYEERELTSWIR VGGTAAKAHPEPSDEDEILADYIAHMADDSDDDGTTEEDEPTANHSQGSTCDSEEVDS NESSSEELEDDTIYVLANPSQSARRKPEKTIFASATAFADALEQDPYYGLDVMDFSRS SLKRNKNKNKNKNFIDHPVFDGLDSELEDGLINTWNNDRLKKKMKKREREELRVQGLL GRRRNDPDLKIKYADGINIEELKSEIRLFLLSPKNSLSLPPMTKHRRILVHDMAHALH LSSQSRGKGSSRFPVLSKTSRTPKYTNKTISQLDRVFSKERFSSRALKAWDKASGRSG KNKRGKGNVSYMDGDVVGASAPEIGAENKGRAMLEKMGWSTGTALGAANNKGILLPVA HVVKNSKAGLGGDRV ANIA_09060 MGQGHSTAEAGTGVSSERKDAKKDYYELLDVEQNASPEENFGNV EAATNLFAEIQIAYEVLSDPHERSWYDSHRDAFLGGDAGGEAADYSHNARMTSSADIL NLFSKFSPRMDFTDAPCGFYGGLRETFAQLAQEEEMACRWEGVDSIDYPSFGTRHDGS QVVRDFYAVWGSFSTRKSFAWKDVYRYSEAPDRRVRRLMEKENKRLREAAIREFNEAV RSLVAFAKKRDPRYKIYKDSLSQKREALRQSAASQAAKSRAANQATLREHVLQDWARS EEGPEEEEADSEEVETEHIECIVCRKTFKSQNQFHAHERSKKHIKAVKQLRREMRMED DELCLGNAVVDGREAQTAEDAPVAVTDFYEEENEAVQHSVSPAASLPDQASHNSMYGL QNMISHEDEADYVPSNVLEQRLAQEDLQAQISELSEKLLATDLDKTNLSLKKMGKAKQ KRAKKAKVATQSSQLHECTICNASFASRNKLFSHIKSHSQR ANIA_09059 MSQTGRIEKFRSIVSFGYDAKDTLLRNISIDSSAEDYLARRYYA RALLTSLHRTIAIPAWASLRDGNEISLANALGAFDLFIPESGFGNFDEISARLNQILS GCLLEFPDIQNLSPRRKASAIAAYLRSHNMTGIGPGREYHCLEHNFLGIALNDPAHNS LPLISAAIYCHVAQMLGLDARPCGFPFHVHVIVIPPSGFDIDGNPITTQGEPIYMDPF RSDQETPASDLRTQLNFLGASPIEQVAFMGESETSEIVLRCSKNILNSIQRRNQSSSI DAVCARYAALWSSLLLSNHLRPAELQHHLLWLMELVATDFPCDIHLVERYIASLFRGT PEVEHILESLHVIRAVDMIPKQVKRRSFEHKSVKYHIGQVFRHRRYNYTAIITGWDAE CGAGEQWMRRMGIDHLQSGRHQSFYHVIVEDKSVRYVAEENIQLISPTVSELSPTLVA VAGKYFKRWDSSSREFVSNIKDEYPDD ANIA_11173 MLQLASVISEIAALQAALVECWSLCNTLATLSSIHRERASFLVG NQDDAWKSCWRLCQQLYCQLNDNDTSQINPTLDLCRDFCQTLFEARTRDNEISDSVLR VSFELNNHLYNTHDRNLPDAFRERTLDFYITLCHRLMKQRNRLPETDSLLSACWSLAE MLFSIRQSKKEGRSLDEELLGSAVQACWELSDIFREGWTQRSLRKSDRGTPRPSQATF VAAEAQRSDTVDIATTRREPETPTTIFEDVGTTSPEEGPVQNIFVLGQRRNIAPYANW SSITSTTSERSQGSRTSTNTVITLSNDLKVNSLRALIAKAALNNGFQRNGAQGFMSFV KSISSDAFGSAPWQISLLNHYKALVAYEPVFQTIGPSTRVSAMDIARAVKLMAQSGQY LWLYDLYRHVFGFHVEEGLNRDSIVLHT ANIA_11162 MSTKDNSSPVRPTKRSRASGAGLDNVKSKGDRVKRRRTSTDNET GKTLKDSNALEISQQFIDATEAPSEAPTWTLSRPIAGHFTNTDPVLTPDEQYLFLGVE TSVHVYSVATSRLLRVLEVGSGDSVAGYRLSSTNYDRLHIITLSGSVSEWDWPSNKQV AHWNTAPRIIAVDIVYDSSSGTLFSLRKRKDGKRELAVTPLNNEKPQSTVILETNAKI DKFRVSDDFLVVYGGASVFFGTSCSTQGSESHKFVWKEVKLASTVTCVDIWGTGPEFD LALGGADGSVLIYHIQGSTIKNPPRRLHWHRDPVTAVRWSKDGNYVLSGGHESVMVLW QLDTSRKQFLPHLSSPICSIVVSESGNSYVVRLADNRVVVLSARELQPISTITSLQVA RLANTCKTVAAVHPQHPEQLLLTVPASHQLTQEGITSASASVLQTYDIRSGVHISRQA LARTNATTLNIGPDGSHILTPDIKHLSISEDGKWMATIDSWSPNPEDVEALDITTNQM HEVYLKFWRWDEPSLLWQLVTRIDSPHQPTGEPATVLNIASRPDSHEFATTGSDGLLR LWRHVSLPKKPAETWKCRNTLDLKGYLPADCLLRSASVCFSEDGSVLAVSLRPTSTNP SLTLLVDVRSCSVRCSKVGLYTGDICAAAFLGCHLIVATSRSLFIWDTVNDAVRTIES PDMQHSRQLLAVNSRTRTFATASRTQKKTTSRKSRKSGFMVQIFDIDTVRLLSRQKLA KEPVALLSNSHSANYIIVDAGAHVQQIGCTSKALEVNRMDSLIEIADFGWEGLFGRQL SGKPQHSEVNAPNSGSIGLASVFGDTPPFVLPPSRVVFCDLVKALSV ANIA_11183 MIIPVRCFSCGKVVGDLWERYLQLLDDGIPDGDAMDQLGCKRYC CRRMVMTHVDLIEKLLRYNPTERDRAKAQV ANIA_09057 MVYDWDGKREICYQMYIKDRKALEEIMEYMRNVYQFSPSKRAFQ TQFKRWGFPSKQNPAHKNLQLVTRVKQLWETNTSQRDMLRILNEEGFQIKERELMRVR AKNRWLLRVPNGTKAQQVALSSPQTEDDSLLALQEYQPDPQDVADSSEAALKRKERLD RLQAESAERWAARKRRRRTRGWAGLPADPPGPPRFPSETTIDESKKYLKLDNAGYRQI RDQFQSICEKAGFIKKTIAGPEKWQEAKNTLIQNSEHLQRVFWDDPDQLEAKSLALDV VCTDVTKRMRTLERRMTIAEAKNVLGINPEESRQIRNAFYNTLRNDHFTSKLEAGDEH WKELKEQWVQGSELLQRVLAPGSADPKHATKLRALEVLCRDVMKRLRDDQTKRDPSRR RLAANPNIRVAERASTDLTGPFDCDISNGISSLASEALASAPITSSDLGDMQIDPSLL QAANNTSFTPTVHHDPGSAFGYVDSILDSTIMPMTVYLSISPESELHADSKPWVDKLS TKSATELRQLVSARFPDSIVVKIEAFDGDTNENNATYSINDDDELYGYMAHLQGRKAV FAFWLSRG ANIA_11640 MIPANLTCSTQPTKPDPTRPNLPRNGLVYNT ANIA_03470 MNATDIERCRKRIIQYIWDPEPKNDEEPGSPIWCLGTRYPPQCV EETADESRNPDHGQQQNTNTSAPGWPEAFLLDFESKIWMTYRSNFPPIPKDAGQEGSL SLTLGVRLRSQLIDAQGFTSDTGWGCMIRSGQSLLANSMAILLLGRDWRRGERLEEEG KLLSLFADSPHAPFSIHSFVKHGADFCGKHPGEWFGPTATARCIQGLAARYDQSNLQV YIADDNSDVHQDKFMSVSRDEKGTVRPTLILLGLRLGIDRITAVYWNGLKAVLQLPQS VGIAGGRPSASHYFVAVQGSHFFYLDPHNTRPALRYSESGTYTEDEVNTYHTRRLRRL NIQDMDPSMLIGFLIRDEDDWEDWKARIMSLEGKPIITILSESDAASWKGRREALDEV EAFDDLDVAL ANIA_03469 MPPKAAEKKPSTGGKAPAGKAPAEKKEAGKKTAAAASGEKKKRG KTRKETYSSYIYKVLKQVHPDTGISTRAMSILNSFVNDIFERVATEASKLAAYNKKST ISSREIQTSVRLILPGELAKHAVSEGTKAVTKYSSSAK ANIA_03468 MTGGKSGGKASGSKNAQSRSSKAGLAFPVGRVHRLLRKGNYAQR VGAGAPVYLAAVLEYLAAEILELAGNAARDNKKTRIIPRHLQLAIRNDEELNKLLGHV TIAQGGVLPNIHQNLLPKKTPKAGKGSQEL ANIA_03467 MSVNAVTSLYRRSLKLALDWAVHRHIWRGQAVYIRSLFEANKNI RDPRQQKVLLRETEKLLETWKHPDPYRAPTAPGGSKYERNLPARQLPYASGGAGDH ANIA_03466 MASRLSLRQFSAQRLRSVPRVPRAICCRTFTTSKGSENARSASG LIQGLQKGPALRNGFSRVNVIPISNYQVRTYADTVVKVPQMAESITEGTLKQFSKQVG DYVERDEEIATIETDKIDVSVNAPESGVIKELLVNEEDTVTVGQDLVKLEAGGTPEKK SEEATEKPKEPASTGSEAEKPKEPESAPSSSAPEKSTSSTKAPQAETSKPTQEVASKS RPTEEAKPALGNREERRVKMNRMRLRIAERLKQSQNTAASLTTFNEVDMSSLMEFRKL YKDEILKKTGVKLGFMSAFSRACVLAMKDVPAVNASIEGPNGGDTIVYRDYVDISVAV ATEKGLVTPVVRNAETMDLVGIEKSIADLGKKARDNKLTIEDMAGGTFTISNGGVFGS LMGTPIINLPQTAVLGLHAIKDKPVAIGGKVEIRPMMYLALTYDHRLLDGREAVTFLV KVKEYIEDPRRMLLG ANIA_03465 MDGTSMDETRTDEDTNDEVNVLIFDYIICLAIHAAMDVAQGNTG EWDMSWLEDTLRALRSVLPPIKELPVDLQIKAQVFEIARVLSKASYPGPAELAEMAST FVSTCNAKKEDMLALHAMEVASHIRNESSQTAVVNSLLSVMQLLAPPILIQLERGRLE GLNRNETQQLKRRIGMV ANIA_03464 MAWYCSGSTNSELIENLCREGLIKNERVKQAMMAVDRGHYAPAR PYSDSPQPIGHGATISAPHMHGHACEYLINYLRPGAHVLDIGSGSGYLTHVFANLVVD TSSSDGPKGQVIGVDHIQELVNLAHDNMMKSEDGRKLLENGGVKFVKADGRRGWLDGA PYDAIHVGAAAQELHPLLIEQLRAPGRMFIPVNAEDDQGTLFSTAFGGGQYIWVVDKK KDGTIHKEKVFQVSYVPLTDPPKH ANIA_03463 MLLPLCAITAFLACVPGGFGAGLESPISPNTPMSSLIASAKAHL SSGSPRDALLYLDAAISRDPTNYLTVFQRGAAYLSLGRRAQAQDDFDRVLQLKPNFEG ALLQRARLRVNTADWSGALNDLEKAGKKNTPEYEEFQNARDATIRALDAEKKGAWEAC VSEATTAIAKASASLTLRRSRAHCRFEKGELEEGISDLTHTLQISPGLIDPHLQISSM LFYTLGDVERGLLQIRKCLHSDPDSKPCNKLYRREKQLDKRLRKLQDTLAARKFNNAL NFLVGADGQPGLVDDVRGDVGQAKEAGYIFSDSQGVLYASLVEKTCEAYKEAHMPKRA STFCSEALALDPHSLPALLFNAQHALDEDRFEDAIRYLSTAKEHHPQSKEVQTLLQKA MILQKRSKQKDYYKVLGVSKDADEKAIKRAYRQLVKQHHPDKAGSQGITKEEAEKRMA GINEAYEVLSDPELRAQYDSGVDPNDPESQRQNFHGSPFGGGHQFFFQQGSPQFKFSS GQFNFPGGFPF ANIA_03462 MLSTLGVCSRCLVRPARLVCNQRLLYQRRGLQTDKLLSPALLTR ARNLAAEHANLSNQLTTSFDPKIARRAGELGPVAKAWAEWSNANESMSELHSMLEDPD TEAELRSIAVEELQTTEAKLTAISDNLKRALVPRHPFADLSCLLEIRPGAGGDEASIF AFELLQMYVAFCAHQGLRSTLMKLERGDNRADALTEAVLEVEAEGAYDLLRTESGVHR VQRVPTTEAKGRTHTSAVSVMVLPSFPDTGGGDGAFNFDDPNSDYYIDPQEVRTEKMR ASGAGGQHVNKTESAIRLTHMPTGIVVSMQDSRSQHANRKKAWQILRAKLAEARQEAR EQELVELRRGAMGGIGRMGRGDKVRTYNYGQSRCTDHRSGFTVHNLDNVLEGGETLVK VMDSVRSWLIDREILALTSEIIKKQQ ANIA_03461 MTYQSQIVSEARIQTRSSTSRSSQQTVFNRHISHGPSSLRSILA GSTAGAIEIVAKTRSQLNRRLPDSKKLPWPPFGKQWYAGCTTLIIGNSLKAGIRFVAF DTFKSMLQDQDGKISGPRTVIAGFGAGFTESLLAVTPFESIKTQLIDDRKSANPRMRG FFHGSGVIFRERGIHGFFQGFVPTTARQAANSATRFSSYTMLKQMAEGYVAPGEKLGT ASTFALGGMAGLITVYVTQPLDTVKTRMQSLEASKNYKNSFVCAARIFKDEGILTFWS GAVPRLARLIMSGGIVFTMYEKSMDILDSIDPEGRYI ANIA_10417 MADSVATQLNTNPKWKEQLKLPAKDGRPQTEDVTATKGLEFEDF YIKRELMMGIFEAGFEKPSPIQEETIPVALTGRDILARAKNGTGKTAAFVIPTLERIN PKSTKTQALILVPTRELALQTSHVCKTLGKHLGINVMVTTGGTGLMDDIIRLNDAVHI LVGTPGRVLDLASKGVADLSECPTFVMDEADKLLSPEFTPVIEQLLSFHPKDRQVMLF SATFPMIVKSFKDRHMRNPYEINLMDELTLRGITQYYAFVEEKQKVHCLNTLFSKLQI NQSIIFCNSTNRVELLAKKITELGYSCFYSHARMLQQHRNRVFHDFRNGVCRNLVCSD LLTRGIDIQAVNVVINFDFPKNAETYLHRIGRSGRFGHLGLAINLINWDDRFNLYKIE QELGTEIQPIPQNIDKKLYVYDSPDTIPRPISNPPQQSQGATAASNPSDRRHNHHTNG GHYQSKGRGPYRGGRGQGQRRNMQNDGTKFNAAQGQTGGKAQPPAQVS ANIA_10415 MSNQKRSQESQDFSDTDHEDTSSDRSSLSLQTRKRPRVSDFAEF HRSDHSLAFSDIGGDTARATTSSTVDLEQDEEELELLATQIIQEKYSFGSDEPNAPSE HGILERVECYNFMCHDHFQVELGPLINFIVGKNGSGKSAVLTAITLCLGGKASTTNRG QSLKSFIKEGKESATIIVRIKNQGDGAYLPDDLGKSIIVERHFSKSGASSFKIKADNG RIFSTKRTELDAIIDHFTLQFENPMNVLSQDMARQFLSSSSPAEKYKFFVKGVQLEQL DQDYRLIEEYGDQIEEKIKSKQQDVSVLKNRRDAAERKLEMSDQQENLRERQRKLRRQ AAWAQVEEQERIRDSLIAEISSLDSKISEVEAEVARCDAAIREVEAEAITAAQYCREA SAKVDNAQNERNDIEARWNEALNERHELQAEQRRIREHVREANARIQQLQHQVDEETR RLADLHGGGYSRKLDELERAKQDAMEVRKQIDELEQNASQLSDDIRAAESQEKAAYQP VAQARRDLEEANSLLHNLNREGSGRNSGFPERMSALLKAIQQNRSFTETPVGPIGNFV TLLKPEWSSILESSFGATLNGFIVTSKRDQSILSEIMHRVNCPTPIFIGSGGSINTSQ HEPDQQFNTVLRVLQFDNELVRRQLVINHGIEQNLLIENLEEASSVLFDGERPRNAKR CFCINKSDRRRGILLSYSRNGEPSQAPVSVYSGSPRMKSDRDSQIRVQREAVANLRQV LNAREEELRSAQSHLTRCRQAFERNERKKNFLVIESQRKDDRIEELEESLQKEGSQDG DLEILQASLREAQEEKLTHEGSLDDAANAMTEMMQNLKRIKKELATKDAEIARLKDEL RVAESEQYLIADKRRKRIGEKNAAVELVDDTNRRRARMKQKRDSADADVLEYISKASV ISERVEIDEGETPATLDRKLERVTRDMVTYSRELGGSREEIRAEADTAIKAHQQALKQ VEEFGMLLEVLKASLNHRKERWRAFRSHISSRAKAQFTYLLSERSFRGRLLADHENKT LDLQVEPDITKDSSEGRGARTLSGGEKSFSQVCLLLALWEAMGSPIRCLDEFDVYMDH INRKMAIDMLMYAARRSVGRQFILITPGSRAEISLAPDVMVKELAEPERGQAILSFRH ANIA_03459 MPLTLRSITTSFTKHQLSLSLSLSYLGGNRLSQYTDTRPKIPQL LSRRSFYGHISDHKMAPQLEPFFKQVDDLSGSFIDRLRKAVAIPSVSAQDENRKDVFK MAEFLASELKALGAEVHQRPLGKQPGKEHLDLPPVVIARYGNDKNKKTILVYGHYDVQ PALKEDGWATEPFELTVDDKGRMFGRGSTDDKGPVLGWLNVIDAHKKAGVELPVNLLC CFEGMEEYGSEGLEEFIHSESKGFFKDADAVCISDNYWLGTEKPCLTYGLRGCNYYSV SVSGPAQDLHSGVFGGSAHEPMTDLISVLSKLVDSQGNILIPGIMDLVEPVTEEEKSL YTNISYTMENLHESLGSETGIHPTKERTLMARWRFPSLSIHGIEGAYSAPGAKTVIPA KVIGKFSIRTVPNMESADVNRLVFDYIKSEFSKLNSKNTLDVWLQHDGKWWVASPKHW NFAAASKAVKQVFGVEPDMTREGGSIPITLTFEEATGKNVLLLPMGSSTDAAHSVNEK LDKRNYIEGIKLLGAYLHYVAEEPMGA ANIA_03458 MATFVSVSRRPIMGLPFFLSPCEPVSLELRRNQSSYRRTKQRLR VKPDASFGFPSDQTQSHIIYNPPSSSPSVYHTPTKFLPANDARRTLRAETPANTSTVE ELPSVFKFEAEKKYHLTPSDITEIRKLRLNDPMTWSRGKLAKRFDCSPLFIAQVCQAS PQKKEIQKQVLQAVQSRWGAKRRMAREDRKLRKETWGRDE ANIA_03457 MSENKETGSDKTQAEQTQSMATSEQTLAGNVAPSGGDTEHTKPL RLISRRCSCVRGENAETDRETAMRMTYDAHSTMTAGATRLKPLSLSPSNSSFSSPRGR TPQQTPPLPGRAAERSPLQANPPASLIFERSVQEDILLPQTSPSIPSHIRTENHIPPV LEASSAAITDNGLDPDSVEIVTHNMHHSATNGVHAEHSLHPLSSDNTMDIDDFEEIPS ASQGLEASDVRRLSFISFADVVNAENAETGEFPPSNEFHHRGSGSPNSLATAYRNMSP SPLYSPASSHGFGTSPPTSISTSVKGLELSPNRCVRGAESPLLSVYRPVSPSFGGDLN IETMRQALRRTGSGDLGAPPNQLSNTIDSED ANIA_03456 MTHRSDEALIKFQYDWPAAFMLIAEIAAHFLICRHNSSQNSGLQ GQFSPSSYTLSHIAGAAGQFDLETRVLSPKIMLQEPGGPVPPNTDHAVSVSLPTWEAN VAYEEGELWLVNKMQCGYPRFFVHPIIQELAQEIVARFGNPEYEATTLFPSPNTARVC HTFILSRISREEAHKIRVLDFVPTKELETELSTIQSLLSCVIYPKEHAKIAKQAWQHT GDGVSSRRGEFCLGALKDGLLTERKNVTGDATRQIIHKGPRRYQGKGSINGIGKVSSH NAVSANKPHEGRESVQFIEERFGRNLSTSLASKAKIAVRRRIAGVLTADVELNEALEK ASQEGRVAGLSESDVFLFPSGMSSIFNSHRLLLEARGSMKSVCFGFPYIDTLKILEKW GPGCVFYGRGSSEDLNDLEARLAGGERFLALFTEFPGNPLLKTPDLKRIRALANKYEF AVVVDETVGNFLNINVLSHADIVVSSLTKIFSGDSNVMGGSAVLNPHGRYYPLLKDTF NRGYEDNLWVEDAVFLERNSRDFVSRIEKINHTTEVITAMLQESPIVKNVYYPKFSPT LPFYEAFRTPNGGYGGLFSVTFHSTAEAIAFFDNLEVHKGPSLGTNFTLSSPYTLLAH YSELDWASSFGVEFDLVRISVGLEDVPLLRAKVQQALDAVAKISK ANIA_03455 MFSHTIKRRRLSESPGGSSRTGTSAVSEQDSSANRPVKAAASSS LKHEGSSKPPRHTRSGAIKEMALANGLYKSSFFKLQLDELITESRPSYDKQLSTIKDT LHELKDLIESMPERSAKPALEAEKEMRQLHGVTVPYPEPRPGKDTKYTVMFARPANIN VVGSFALRTGLKTTAPYVVDMSVTMPSSIFQEKDYTNFRYFHKRAYYIACIAAGIKDK KSTALDIKYEFQDGDALRPTILLQPAATKSGHGRSKFQIRIITAVEDTLFPISRTLPM KNNIRRGEEGESKSVPTPFYNSCLRSEATVALYHKLLSSASQSCESFKDACLLGRVWL KQRGFGSSSHKGGFGGFEWSVLMSFLLESGGPNGKPVLLPSYNSYQLFKATIQFLAGK DLTEPLLLSASDVSFVSKDPVIYDGKRGLNVLYKMTQWSYFFLRREAGTTLRMLNESR DDNFEKVFILNIDEPLLRFDRLVTLPAIGNDGLALFHKEREIYEVLLRALGDRVDLIY ISTSPTSAWSVEIKGQRKSMARSFYVGLVLNSENATRVVDHGPSAEEKEAAASFRAFW GEKAELRRFKDGSIRESLVWSENSSSIVHQILLHILKRHFNYGEGSIGYVGDEFDGQL LKNGDGVISYSNSAFQIISDAFNSLEKSIQTMEGVPLTVRHLAPASSLLRYTALRVDR NHGAVPVNVVLQFESSARWPDDLVAIQMTKVAFLAKIGDALTDFGDFSSARVGLENEQ SKILNNAFLDVTHASGIVFRLRIHHDREQTLLERQVKEQGKSPQGKQEIAYALSAYKR LFIHSPRLSQAVRTLCTRLPLLSPTIRLLKYWFSSHLFDAHINEELIELMSVRTFTQP HPWETPSSVMTGFLRTLHLISRWDWQQEPLIVDLGGELDQATIELIRTRFVAWRNIDP AMNSVSMFTASDVDPEGVTWTQYEMPPKVVAARICTLAKAVMKLVREQGNRLDISQLF LPALEPYDFVIHLHPKLLHERSSSFIKFKNLSAAGDSAQLQKQAAVRAFVCDLQACFS PNILFFWGAEQPNIVAGLWNPQTLKKNWSLGLTYSTMPEGMSSPASDCSVSINRTAMI NEISRLGSDMVSRIEVHEK ANIA_03454 MSDTPRLRSAFPSTPQTTQKTRDYNRSPSRPIPRNAPRSKVVSQ APSADQDASSSLVPSSIIDPPTQRLYVAAAYVALNAWRFYEAWTASDDLDSTWLFLKW ASIDGVFLFGLQALRIPWLEWAFPTTLALLLVHVAFNIFLMFRIPIPVGIWLSGMMRL AYDRELSISGQSIKPGDIINNASLILGKQIINILPEGSAVLNPELAPLCLDAQKTAVE LPIRVNQTDPILIELLRLDFNNGDSEIVTIQSKQLKQLKRQSDKRRSQLSSELHRDLL LPIRKTGIYRLQRVVDESKLEVRVRASDSIVTACPRALIKNSHTHKCRGELSNLVLAV EGTPPLKIKYSRQVNDHDRGFSFQNIQPDHLRTPLLGHRSLGRLFDGREPDITWAKSQ IIEIPLNESLNIGGDWLYMIEEVHDGSGNVANYSMVLEDLDRQSVKSLAQWHHFSVHE IPKLSLSGCNDQQFLEVARGESHPLPVKFHSTDHGYENDGPFSLIYSFGTDGQGSVDD SARTVRQLSLKSVDQKPMIKEPGWYSLKYVSSQFCSGEILEPSSCYLHNPPEPEISVR SEKIFDKCANNPVGLLVDLDLTGSPPFRLRYTIETSKGVETKHQIIDGLRAQLDFTPL EAGHYRYRFLDISDSVYGPRPLENKVAVLEQDVKPPASANFVGTPEVRKACFGEPISV DVAFHGEAPWTLQYELVHNGKKTRHVLESETARSTIITDNLVSGGGYNLVLTSVKDRS KCKRNLNEILKVDARSKPPHVSFGLVEKSRKYSALQGSKVDIPIRLSGERPWTLQYKN LDADASISTKTFWHENSFLTVSQEGRYELLGVTDSSCPGSVDDAAKVFEISWIPRPQI TAVDNTPVGPDGLVAKNDICQGQGDSLELRLTGNPPYTIQCEQQRKGSRGSTAVRIRS LKTALHSLSMELETSEPGDYTYRFKEVGDNLYNNDPRGNQVVVTQKVNPLPSARFDAP GRIYGFCREDDSGDELIPLTMEGVPPFFLEISIKHYAKAKPEIVSIPNIKSNRHNLPI PRRHLDLGQHVVSIHKVRDARGCEKTYHTDPASVRVAVSDVPTIVPLESKTDYCVGER LSFSLSGHSPFEVFYTFNGVARRASSQTNHFRRIAEKPGVFTITAVSDGASGKCKAHK DIVKTIHPMPSVRISNGQVSIVDIHEGGEAKLEFEFWGTPPFEFTYIRSTNARKGKKA EIIDIKHDISYEHHKIIKTSDEGTYEVVAIKDKYCSFSTQPSMEKNEKLLTNS ANIA_03453 MSDRLKRRKLNGSAERRDGRIDSYRAASKDEKESWNGFCELESE PALFNVMLREFGVRGVKVQEIVSLDDELLAFLNKPVYGIIFLFRWQEDDPEKQEASCP EGLWFANQTANNACASVALLNIVNNIEGADLGENLRSFKDFTMPFTPALRGDAINNFE FVKRIHNSFARRMDMLNSDLQLKYEAAFKRNRSKKSSHEEHEADAGFHFIAFVPALGK VWKFDGLERQPQALGVFEPESDWLDLVKPNIEARMDKYGIEFSILSLVRDPLPDLVNR LAVNIKQLQAIERAINPQKPELGCDESSASEPLDENTLLGPDESYGVTEEAFNKAAMP VGEAEKYSGFTADELSKHRTELSRAQLDLRTAIREEQQSQRADEEYAEGRRYDYGPAI RTWLRFLARKRIIEDLIPISQQRW ANIA_03452 MSSTLTPDLPEKTPDESSKLKTFLSILRKFVGVSDIASVRFSLP AQLLEPTPNLDRRPSIGTSEEPLGRMLEVLRFWFTKDLKYIKGKPCKPYNSTLGEFFR CSWEVDSTLPELPLASKEGPVNGTSIAKGVNGKPARVCFLTEQTSHHPPVSAFYIDCP DTGVSARGFDQISAKFTGTSIRVAPGQHNLGIFINISKRDDEEYQLTHPAAHLGGLLR GALSISVADTCYIVCPKTRIKVILQYLEDGWISRAQNKVEGVIFQYDPEKDTITRIKD VQEGDILAKISGSWHGEMYYTLAGTSEPRLLIDIGPLFPVAKTLPPVDTQLSNESRKF WSGVTEAILDKRYSQATKLKMEIEDRQRQKAAERQEKNEEWKPRFFTGSVTPLGKPAL SEEGVKALEGIRTQQYHLDESEIKGA ANIA_03451 MEERIRFDINESLKYYLSDPNSVPTPEADTELLGFETEPEQLPS TVIDNVLNSIVDAVAENPEALARASSFDSLQFLLKYSNFLPTKSLSKLLDLIVSGLSV EADIIHGDLESDEQDSIQPHKELLEMYGFILQWALSAVELKAAEKPAEALPARRGTGK SGRPRTTKDSNWDGTAQIQVAMETMCKVMKLKLSKIFLTTSDRDTFINLFTRSSYLIL ESEQRVKSMAIRMHAFKVLCIAVKHHGHGFGAQTSIVQSLTYFEHLSEPMAEFLHILA EQYDYPQLSDEILKELGNKEFNSNDTRGPKSVSAFIIKLSELAPRLIIKQMTLLAKQL DSESYTLRCAVIEVCGNLIADLSKQEERTDNYKSQINAFFDVLEERFLDINPYCRCRA IQVYMRICDLEQKFPKRRQAAAELAARSLEDKSSNVRRNAIKLIAKLVSTHPFSVMHG GQLSLKEWTERLDAVDAELNALRPPETPGFDSGDASHIDSELLDDATQLPDDSPSKAP RMSEEEKTIAMKKAAEQAATSELLTRLQLTRKYYNEAIRFIEVLHAASNIVTQLLSSK NKSEAIEAMDFFVMLDAYKVETARTGIRRMLRLIWTKGNSDEGKGVQTHLIDCYKGLF FEAPDTFSPNDAANYIARNMLSLTFGATPAELTCLEQLLSTMMRAGHISDAVIAKLWQ VYGVQKKEISRTQRRGAIIVLGMIALADPEIVIKEIEIMLRIGLGSLGRSDLILAKYT CIALRRMVPGRQAKSKESGISKLTNDHPVLTKLAAMVETVSDSKEWYGVAEQAISAIY ALSKHPDVLCSDIVKRKTRSVFQPQTRPPSSRSPDNEDGQRPGTASTEKSVSGQKTSS AALSQLLFIVGHIAIKQIVHLELCELDFKRRKAEQEKNKPLNMAVQKNDEPGENDELD LIGGTTEDDFTEAIAHIRERELLYGANSLLSNFGPLVAEICANNNTYSDRNLQAAATI CMAKLMCVSAEYCEKNLPLLITIMERSEDPIVRSNAVIALGDMAVCFNHLIDENTDFL YRRLNDDDASVKRTCLMTLTFLILAGQVKVKGQLGEMAKCLEDDDKRIADLSRMFFTE LAGKDNAVYNHFVDMFSLLSAERNLEEGALRRIVKFLIGFIEKEKHARQLAEKLAARL PRCETERQWNDVAYTLSLLPHKNEEIAKIVAGGFNKVINMATA ANIA_03450 MSMLDAPSTSMPDLQRTQTVSQLSKYDRKSRTAANYGQLLEKPD QEHDHEEDDQEEEVDEVVLEDMKKLEDNFPGISDRFRLVNRIGEGTFSTVYKAEDLLY DHYRNDWDVFQDTPRDESTNSPSKGRRVEDENGNTIPIRRTKPRYVALKKIYVTSSPL RIQNELELLHDLRGCRSVCPLITAFRHHDQVVAVLPFFPHTDFRLQYRTFMVADMRHY FRSLFTALHSVHKHNILHRDIKPTNFLYNPDLREGVLVDFGLAEREGSEYTGTCLCTS TSHIRRARYTQSYHYTHCASSGLAIGYPKSDSRPSRRANRAGTRGFRAPEVLFKCTSQ TTKIDMWSAGVILLTLLGRRFPFFNSADDVDALIEMASIFGTRRMKNAAAMHGQIFET NIPTIGEKGYSWEKLVKWSSCVEELTESEKQATRLLAGLMELDPSKRLNAKEAMQHEF FTNPIDHDVEWGGPEDSADSGREDEGDKGGDDDGDDDEVDMV ANIA_03449 MRIRIRGPSGQFAITLAEDATVGDLRNTIIEKTGLTAYDAKYGY PPKPISLEHAETDQKLVELGIQLDREQLIISAKDGPPGPSGKKEDTSSYAGQSSPKLS LSRKQNPVAEDTPKVPSPEHGGLFVLRVMPDDNSCLFRAISTALLPGEDTMVELRSAV AETIQNNPDEYSSAVLEQPPDDYCRWIKNETSWGGAIEISILSKHFDVEICSIDLGNL RVDRFNEGQSRRCFLVYSGIHYDTITLSPGENVPPEFDTTVFNASDSLALEKALAVCK LLQERNYYTDVAAFRLHCNDCGAILVGQQGAKGHTTQTGHRDFSQVS ANIA_03448 MEKASEPSQAQPTPEEPVQSIEDDGKQNGVTSTVTEEQWKHMMD VVLAIYEVREADGHDPSRLFHRSVNKRNVPDYYDIIKEPMALSILKQKINKREYKSVS EFVRDCALIPHNAQTYNRPNSQAYEDSLVIKDAFVTELQKLVQAGIITAEEAELPDLG EIPEPDPLPEEDDDEDEDDEDDDDDEDSDDEGRRRKKRGPRPGFKRDGAKDDASKSAD PEQRKKRGRPPRVDTPMEARIKAVLKGIRKLKGPGNQLKVRHFERLPDKGVYPDYYVE IKEPIAIDLIKRKSKRKKYNSVDHFMKDMDLMFNNAKAYNQPESQIYKDAVDLQMEAR RLADLEKKKPDSDYLMEDGRLPLPDGILHKGELWKVGDWVHIQNPNDVTKPIVAQIYR TWQDSEGEKWINACWYYRPEQTVHHFEKHFYPNEVVKTGQYRDHRINEIVDRCFVMFF TRYNRGRPRDFPLDKEIYVCEARYNEEKHKLNKIKTWASCLPDEVREKDYEMDLYDVP RRIKKIPSPIKHLLKSDAKETDDLPKPSWGAENAPPVVGAVHCRPRDENESPPPEPTP SPPPPAIPPSALPSVSRQASMSQAPTQPVINSPAALLAAASPVPVRSPAAHVGPAQHS PAPAPPIPYHQPQVPYQTAIQQRRSSGFVNQNIIPGTYQGPTPPLPYAVPQGPHYTPY QLSRAQGAPTMYNSNAPRPVEVFHLSDTANAAIPADIREQFHCDDQGHVLFFSCAPLD IGSAVQQKLGHSLKYLAAKEERRKLVEAKKRKEISEREERERANKRQCADKQRALAVQ VEAVAEKAVEIMTNEIVKGTDKIYEALYQDQAESAREADTKAREERILRARAIQTKTA QIQGKSTKPTFVSLKGSGMYLDEIDPAA ANIA_03447 MQMSASRDLPTQPSTDHTTRSRNRLLTDMKGRAPLPVPSGPQHL QNGHSRNPSGYDMAARSPPNQSNTKHVPCKFFRQGACQAGPACPFLHSTDAAIEYAPC KYFTKGNCKFGAKCALAHILPDGRRVNRPSGGGMGMGMGSSHLNLGGRVNPQAYVNQD SALTNSVLSQQRMNGHDPRYVSQLPSQEEFAALHPQQQPQPYDTIPSIDTGLASDAGS KYGSPVEELRFPMSPNHNQYLTALDAPLPASFDSQGISHAARYGPVAASVPSRFGLDL ASPPAQRTNPPRTFRDPWGVDVKKVSSPFIGSSPPGTLEDGIGPRFLHSQRPLKPRML SASVPRPNVMDDWEESNFPMEEDYLPMNLHDDVLTPQERLRRLSRTDNELSSSHRDLG GLGMSTSFSKVSSPLASSPSRFGALFAKQRQQKKEEEAHHGSLTHIGSPLRESSLQFG GSPSLGPIGSPPRTSSASMISQQLSGLSLHPPSTRHTPSISASSRLDRTVPSPVSTSK IEEEQEQGEGDLVFSMEEEDSSKRNGNEDTTPTGKSSSLQV ANIA_03446 MAQKKGKTDKTAKDASLEGSALILDYLTLRELHQKKEIECRVAG KQTVYHALQEETNETGHDAIAAMDEEIKSLQEQLSSLKENEKRVQGELNSLNAMPLLS ELRTEITELEKEKESLAARLIKVCGDASAEVSPQEKEKVRKGWKIWQNQESVRAKICR DLWRKCSETLPEGQKFEGLRLLCLYTPGSARNSSVGIWESAAGSKSQLYLALHSRSKQ RRMMSPDEHLGGVISGKIHCS ANIA_03445 MNRPPQGRGQGGLGATWMPGGDDQYFIPEVISPSPQSRVMPEVP ENMQDNIAQLEHQARSPNRMQYGQAQYERSRFPERSSSATVVQGQPLHSGYEAAHYEQ SAAVYDVMDAPNFSPFPVLRNPPPNVPPTDEQREANLEKARLPVLSSNDPEMQLAWAQ DVLAHVEVAMQNEARLSVIQPPRPQTPSVERQLRNDGLNIVNFLAEQYHPKAEFIKGM WLEFGKFGYRVDKKEAFRCYSRAAEKGYARAEYRIGMQFESSGEPEKAIRHYEKGVAM KDSASYYHGQRQDYRLGLEYISLAAQSCDENAPQGAYVYGMLLARELPQVNVPESYLP LDLNAARINIEKAAYHGFAKAQVKMGAAYELGQLGCDFNPALSLHYNALAARQGEPEA EMAISKWFLCGHEGVFEKNDELAFTYAQRAAQSGLPTAEFALGYFYEVGIYVPVDIKE AKSWYAKAAASGNKDATGRIDSISRSKTLSRRDHENVAIARIKSTRYGNHQRGASNTS AMQPVTENLEMPDPSRMSLSDPSTAPAAPYPDRQGPHGHPGYPSDARPSSAFGINPNI RPNVAQYNRAASYGPGPMGYRPPGSATPPGGSAPPMSTAPKLDIGYSAPIEPQRQDMR RRPQRLDNVPDRKPARTPVPGAMPSPRPSPSSANFPPRADSVQSPSATPKPGSAPPSA APAAPATQKPATPGRLPGKGPKTFEEMGVPQAPKESDCIVM ANIA_10414 MNKDTLSSMEPSKPQTFNHPYTPYDIQVRFMQSLYECLEEGKVA IFESPTGSGPRLQIIELSCTDWTRNRDDDEPEWMVEFAKREASRAVTEKRIEFESRLA RIKREEEQQRAALESSEGSRKRQRVSVVSRDQDTEDDDQFALDDYDSENDEPSSIPRG SATATGLSSSTLELLERLRKYGSKIKPEEDDENDIKIFYCSRTHSQLMQFASELRRVT MPSTLPESLRQGLTDEEEQGERIKHISLGSRKNLCINSRVAALGNPTAINERCLELQQ PNTPAPLRCSYLPTEEDEAKTLSFRDHALATVKDIEDLGKLGKKLGLCPYYASRGVVS HSERSARDALNLSIKGHVVIIDEAHNLMDAISNIHSVTVTLSQLRTSIFQLTTYARKF KTRLKGKNRNYIAQVIRLISSIADHLQSLIDNKQASEGSVLSSDLMAGKGADQINPYK LCRYLNESKLARKVDGYIDFSQSKANAQAEPKSTIPVLFHIQSFLLPLMNLSSEGRLF FTKTPGDIQLHYMLLDPTNHFREIVEDARAVILAGGTMSPMSDYLNHLFSYVPKDRLN TFSYGHVIPSENLTAHTLARGVTGCEFDFTYAGRDAEKMILDLGRTFTQLCRAIPDGI VAFFPSYEYLSRVLNIWKNAVVRENKQTLYETVEKEKQILYESRETELTTDDLLNNYA NTINKGRGALLLSVVGGKLSEGINFSDKLGRGVLIVGLPFPNIRSPVWQAKIKYIEQK AYRNVGSGSEESRRLSAKAAGRDFYENACMRAVNQCIGRAIRHRNDYAAIVLIDKRYG KTSIEAKLPGWIKQSLVKDSALLPAATTLDGLACFFRSKNHCG ANIA_10416 MPTRLSKTRKHRGHVSAGYGRIGKHRKHPGGRGMAGGQHHHRTN LDKYHPGYFGKVGMRYFHKTQQQFWKPTINVDKLWSLVPAEQRDAYISGQKTDTAPVI DLLSLGYSKVLGKGRLPEVPIVVRARYVSRDAEQKIKEAGGVVELVA ANIA_10418 MRRRIRPTSTHYYREPVQVHMKMSESEPRYRETNISRKVFSIAG IQTTVFGLDELPSQASEIGCLWLLHPRLATLERMVPIATAAINDWNKRIQEGRAGPKS VKGLIAVAFDQRNHGTRLVDPLCNESWKKGNPRHAQDMFSVFQGTARDTSLLIDYLPA FVFPKTDRRITENLVLGVSLGGHAAWSCILHEPRISAGVVIIGCPDYANLMADRARLS KLPSWKDTTPPGAKFLGSEDFPFTLLDAVRKWDPAGLFLSHLDLSPDMEPIRSAPIPE PTESQKAVLRPLLTRCLAGKKILNLSGGIDKLVPYSKGEGFLAWLKQAIRPDGWFADG AVTLEDIIDQNAGHEVTPKMVDEAVRFIGDALSCGKDEDKKASVRDSKI ANIA_10413 MADSPANAPEVKDSEHTSERFYTKRPQPLPITQTPKLSSPFPSP TGSKHATEEQASNGGHIRDEENSYNSKGKLRAGFISGTSESWDTENHATLSVRRPNES VESTNRQSLNQQKTPNSVPASIASPPRASVQFSRQGSEIEPPVETSQSRPPSVAGDDN DPARRQSLFTKLKALATAPPFSSHTRSVSNATIPDARFASNGPSTPASERGEFRFPNT LEEEGSDIDADAEESAGEQRPREPRKKRRFRRGQENDSAPQTEPNTPKTSCPSFHLYG SFAPFDNYRPSFLQRRESANDIHQQREGVSEDEGRDRLSRDAAWRRRSAWLINSRGLT YGGRQSDNQANQEDKRPSNLRRLTGIGGPSEGGEGLPAPWRRHRADRGSSLSAQKWKQ IKAGLKLIGQRRKPDSTVDHAKSAELLAELASGIPAALLLASMFQRDEHGSKRIPILL EQLKVRVTDSKMDSHSGDRHLVFRIELEYGSGMTRMKWIIHRTLRDFANLHLKYKLHF GTQKYIQLRTPESGQNLPRFPRSAFPYLRGVRGLESEGEDEEDEAGYETAADATSGNE RAGRRQLPAYGRRRSSVGITRRLSSLTGAEGETGAGTAGEGGPPTKRDTYPERQRKKL EAYLQKLIRFLIFKPDSNRLCKFLELSALGVRLAAEGSYHGKEGYLMIQSSKGLDFRR AFNPGMMKRRHSPKWFLVRHSYVVCVDSPEEMNIYDVFLVDPFFKLQTQKVSLRHQKA KELAKSATESARHPQHHTLRLENSERKLRLLARNERQLHQFEDSIRFMVSNTPWSKPN RFDSFAPVRQHCFAQWLVDGRDHMWVVSRAINQAKDVIYIHDWWLSPELYMRRPAAIS QKWRLDRLLQRKAREGVKVFVIMYRNINSAIPIDSEYSKFSLLDLHPNIFVQRSPNQF RQNTFFWAHHEKLCIIDHTLAFVGGIDLCFGRWDTPQHLLTDDKPTGFETPGGPKDTD NCQLWPGKDYSNPRIQDFYDLDKPYEEMYDRNVVPRMPWHDISMHVVGQPARDLTRHF VQRWNYILRQRKPTRPTPFLLPPPDFEPADLEALGLDGTCEVQILRSSSVWSTGTPEV TEHSIMNAYVKLIEESEHFVYIENQFFVSTCEIDGRKIENLIGDALVERITRAAKNKE AWRAVIIIPLIPGFQNTVDSEGGTSVRLIMMCQYRSICRGETSIFGRLRALGIDPEDY IQFFSLRSWGKIGPQKQLVTEQLYIHAKCMIVDDRAAIIGSANINERSMLGSRDSEVA SVVRDTDMIWSTMNGRPYLVGRFPHTLRMRLMREHLGIDVDELMEHSLATEEELRKIQ IDEEEAKPSRTAHVDSESLMLEKQDEREMIERRHRIQDEFLSRSEDLHSFNHDVDWEQ GNNPNLKSNRKLTADARVTSNQEHKKDVDGFGADNFQVAEQHGLGNSRDSEILDNRCE VLVSPVASEGKGTVLQPKAASRKNSQPNTSLDSQEPKTTSSALTSEDGEASQLVEGMP IAHNPSLALSQDGSLLSAITRDKIDAPTLAIPGGQELKHIFVDKDCMRDPVVDVFYLD TWLAVAEKNTKIFRNVFRCMPDSEVRSWKEYKEYTAYAERFSEMQSHHTAKAFDPPHQ RQTGPPGAGTTWATQLKPNISLPLHRSGSHCSERKNQTPIDEKSERPNSNGRPQSGQA NQETSQELSLPANEKSSLKPTDIPGTGYERHSRYEDAVSSGDDPDKQRSEAPQVDYSE ALNRNATNRSRHRRRRATTLGSKRDFTTDEVMDKQTAEDLLNQVQGHLILWPYDWYVV YHHCSIQKCTYGEYRLEKEEQGGNWLYTLDQISPLEI ANIA_11396 MVRTLRANWHRTYLFILGFVRRRNDTLNDLSAALRPSKS ANIA_03442 MRFRLGRCVSAAFPLIRHRSFHHVPRLADVPTIYENSLQKTLEE HRALNRSRLIRKVYVQSDSDQSDGPYYSAEKPVDSDLSQSPKSQGQPWRTEEQPPTVL HGYGPVTKRRTTKDKPRPKGLMQTLSPPVDWKATADKPRQYPWLDGLNPPTPFSDGLA QLSAEVEALEKYLTPSAQEREVADRIASDVTDLLSSDKLDQSIHIARTGFTMSHSALD LMVSFVDQDKSTGSNREPYEGYVNKKRRQKELLELARRALRQSSTYELLLNKRQRKLM VLHKPTGLLLRIICAPDKPATLEYLRDFHAEYPTLSSLYMVIRLILEVRGLFASTQNS MDNTELQLLIAAFLKMNHGRFRRDALRGESLLAFLYTFGMQVDLTTTGVAVDPPGFFT AESVASACTMYDPGDIPAFLRGQSSLIKTKTNAMKWGNEHVGLKLLIQDPENYMRHAP GTCSRTIELQPVFLEAYLRLKFALDSWVPRHVRPFNSILNSALRANFHDFEGRRAAIL GSSGKI ANIA_03441 MSLQQDPSKPDGRPGEVRFDSFTDASAPNVSSNFNNASKTASAL SEADKGTQSGSDLLHRLSLKEILTMRAPDFQKQYQGLNLSGRIISAAFCIPHKLYFRA GRDWELKPRPGSSALFDSFAYLASEKSGWSHTLVGWTGEVEPLNEGSNPLQALNSNAN TNLPAALDNTSRSLSKGSAPVVPDISLLQSNSSVAETSTVGPDDRKRLEDQLSSSRHG KVVPVWLSDESDVPEDTIILGDQSRWRRYAEKELYALLHYKQNGPTDGRFERDSWTDY VRMNQLFAERILQEYKEGDIVWIHDYHLFLLPSILRQHVPNIYIGFYLHSPFPSSEYM RCLAKRKEILTGVLGANMIGFQTFSYSRHFSSCCTRVLGFESDSAGVDAYGAHVAVDV FPIGIDAEAIQKIAFENADTEKAVEGLRQLYAGKKIIVGRDRLDSARGVAQKLQAFET FLERFPEWRDKVVLIQVTSPTSVEEEKEEQKIASRISNLVSTINGRFGSLSFSPVKYY PQYLSPHEYFALLRVADVGLITTVRDGMNTTSLEYILCQQENHSPLILSEFSGTAGAL SSAIHINPWDTIGVSEAINKALTESVADKKEQHLKLYKHVTTNTVSAWSNQFISRLLA NLSSFDQSMATPALDRTKLLKQYRKSRKRLFMFDYDGTLTPIVKDPQSAIPSDRVLRT LKTLAADPRNAVWIISGRDQAFLDEWMGHIPELGLSAEHGCFIRMPRSDNWQNLAETT DMGWQKEVMEIYQHFTERTQGSFIERKKVALTWHYRRADPEYGAFQARECRKQLEEHV SKTWDVEVMAGKANLEVRPRFVNKGFIATRLVQAYEDGKVPEFILCSGDDFTDEDMFR ALKKFELPGDHVYTVTVGASSKQTEASWHLLEPSDVIETITMLNNSASGQDY ANIA_10410 MGADEPNACQTSYPYMNEKPQTAGLVGEGSSEDVSSLRDSPGDE ESGVGHGQGKDLTKTATNVSHVGAFAVRALSIVRTRESGRDLGPPPDGGFLAWSQTYY TETLGQSPSAISWVGSIQIFLLFFIGTFSGRATDAGYFKLTLTTGAVLELFCIFMTSL CTEYWQLFLAQGVGQGIGCGLMFCPTIALTTTYFSKNRSIAIGIVASGSATGGLVFPA VVMRLLPRVGYGWTMRTLGFISLATITPCLFFLKQRLPPRKSGPLVEWAAFKEASYSF FAIGMFLNFWGLYIGFFYIGSFTREVIGASTTTSINVLLLMNGIGLLGRLGPNLTADR YTGPLNMLIPFSFATGLVAFCWAGVRNLGGVYGFAAFYGLAAAGIQSLFPATLSTLTT DLKKMGARMGMVMSVVGVAALIGSPIAGALVQRGDGDYLYAQMFMGSVIIAGTLNLIA ARAAKIGFVWQRA ANIA_10411 MAPRGRGGKFSKPSRGGGKHFSRDIQTVDKDGNPVSMWRDPADD PETSEEEEASTGDSDDESENEAGPSTITPNFPQDTVSENRSELSREERRALAKAKKAA AALRKAQGPAQPGDLPPSDSESEIEAPLTNGNKSKGKVSAPVADSEESDLDLPSNPNY TSKSKKTEEGEGEEAHLSRREREAIEAQQARERYMKLHAEGKTEEARADLARLAIVRE RREQERLRKEAEKEEKAELARQRAEEREKKLAKVGKKKGGKK ANIA_03439 MNPHISVPRQHGSSPNFGGLPANRGSSTIRMPRFFKRMFKFPQM DFEMAIWEMTSLMIAPKKVFKSIYYHKQTKNTWHRPDPSFAYLLSFFLLLTALAWGLA YAPSFGSIMRLFFRFVVVHFIGSSLLVSTIGYFAIGRLFGPNGAAASITGLRIRGRRR GAAQGLFTQPGEKDQLEFGYCFDVSNRAFFPLYLHLYVVQFLLLPLLTRSPSDFLTTF LGNTLYLSAFTYYTYITFLGYNALPFLHNTELLLLPILLFAILWLVSLIVGWGVVMQG HSVKGLFWGV ANIA_03438 MSRSTQSGVFKTTSQHPDPDTVSPPRTPPSTSSYKVKRGRQPRP SVRETFLDDFNDNANDSYDSGDERDPHDLSLSPGHAARTSIVDNMLLSLDQFSSGNAL DDYRLFSSAFESPKYSRASQDSIAQRRYRGHTFSSSLSSDVEYGYDEGASRYASQLAR GRRSNSSSNYNTGIRRIESTRSRDGSGSHVDYRTSATAPATRAGRKSSKGSASSNLDF GSSFSRRQADPTSERRSASFDMGARKPFINSQGFSPDFDSMGYDGMDAAPTPSIPAGP RKNHAASQEFNKTPKAQSSRTPVASRRDSLKSSRINQSRNPRPENLGTAAMRARDHDF ALLNDADLEPPPNIAASLDPPAPSPTISFNKPTFPIPEPTPSKERPGFFRRVFGSSRA PGNMPFDSLSDYPLSKEPESKNMSGASASPRTRTQPQKTGTAGTHAPREGAQVVNKKS SFFRRRKKSVTENVPPPLILPQNLGPKAGGILKPEPSPVSSLRKVMNPYLADATPNHS TPAVDYSTESSDWSTAANDPDNKKPDDVLRRKEKGNSLNVHNGPKAKYSLYPASAASH AHDTSFLGTSSGDEEPSLQSNKLEDAPPVPPRSSRRRTRDGPEAEPSEAISVEMKQAE TSRDKLMILENLRPTSLSPVAERSSIQSANLPAIETPENDSTLTRAKDLKDRDIYDAT IWNDDTDSPSKLPSPVIDKESCQFSASETSNYQTASNTPIVPQVSEWKHAEDNADPPA DVPSELPSSKEEQLARRLFDSQDEYIGNEPAAAWLGSPDRAKIREAYMALFDWSNMNI LTALRGLCLRLLLKGETQQVDRVLDAFSKRWCQCNPQHGFKAADVVHTICYSLLLLNT DLHLADIEQKMTKSQFVRNTMPTIQRVAAEAAPDDIDNKCTTSNLNSGLASSRSATFS PEESELSNATTDKTDKPATTPAKLVNRLSRTDLGAKMANDAEDEVGPLVNVPFYGTTK AWEQQVEAVLRGFYSSIHKQRLPLLGAQTDRDMPRTASSAMLNPNSGPHGLRRSPSTV SKSGSDIFPRGRSADSRFGTARWSSKPRSRARLYPPSTLGSSRTSLDDQSSLWSPSGS STWSKYSLGKLTSASVDSFASGYPHADYQQSIGFANALSQAIIREDSAHSIASNEEFE RTGQLLEDETLELAGAPWAKEGNLKHKHHLDSVDKRAKDRNWNDCFAVIQQGWMRLFS FNASKTIRQKSKQRSPGGVVVGGGNWTENAEEIWKFLLRQSIASALPPPGYSKSRPHV WALSLPTGAVHLFQAGTPEIVREFVSSANYWSARLSKEPLIGGISNIEYGWSDAIINS ALINPESRSPPSSSGPGPRPSLQSSIRSSIDQQVVRPKLPADRVHISDWTPPQQSMMA ANLNEADQLKSLQTYVKNVEDELQRHNELRPAMVLAFSPRHPNAAKSMANWERKSSYL LREIVKFRTYIDSLQSAIDAKNKILAEREREDEAKPAES ANIA_03437 MTLKEQSSTIDRLSKENFDLKMRIHFLNEALNRRSEEGIKEMIS ENVELKSDKLKLQKDNQGLKRKIRDLEKQLKDQQSDKESMLNHDPEGGSDEEDRDHAQ DEELLFLRERVETYELEIERLRSESIARESEKRRLAEMLKSLNDGRPTGSDSGAREER DMWKDMLDAETAAREQADEENKRLREELMRVRSEATYAIAPAAPLRSGHRNRGDSLVS HSAVSDRELYRNTAAGSSSSSTLVMEFELLKQENAELRREVSAQTSMLTSRNREKERL YQEIEELKLGQRRDRSIAGDSILDRSASRAQGRPSSSISDRTGQSPIDDAEREDWELR NGQLRDQVSALKLENQAIRQELEELDKAYSADVEQAEEDIQTLQQERDQAMQVAEERD AAFQELRAEAQEELDALGDELDQKIEECQRLTEDLRTQDDNLRALQAEMRSASEGIIR LEEDAQNNLQRYKAVQQELEQCNEEMESLEKSLYEANSKVQRLTVQIESSQNEIAFLR EEQDGDKIKIGDLESELKTYRMSLQSEKDKTKELEGRLAEERYQREVVGSKEKQEVQR IMNELNREVSAAKEECRKLKKNLSAQEIETNTWKERLTDLENNLRETLGDLTGSRSSL IANIMKLQKELESTALELESTRSTLDEKETLLRNRDALLESHGLESRKLSELLERERQ ARRADKQSFEQALKSHHQASRTITQNNSRILELENARNQDRKRFTSLEQQFREQLNER NSMLLTIWKRLSGMCGPDWAHSNSLINGNLPSQEVIGNILFWPGFSRNLLLAVKTLEN VISSFKTRVKDVERNLTKQYQTLEHAFSLRIKKLDRLEETTMNMRAQLQTRNQTGLSP ELSKLRGENRLLKAELNLLQNHPRSRSTTAGVAGSPQSSTIDLAERGSLVRANTAAES PARSSIPQPAQMTNLAEPTGAVTRPRQFKPSDNHGNQEVWIKRLHELERRLKAEREAR LLDRNGARRRLEERDAENKRLRAQLDRQRLRQGVSTETSTDDGGHGPPSEPTTGDEGY REREEEHSSSEGEGITVDIEV ANIA_03435 MANRDINDFGGFDDRQLPAIRSPDYIESNEESHIAHMATYTSSV PVGDYAYTMHNSMLAYDTANEGNSVSVSLGSESAFAYDVSTYPSSTTALNYDLNQFAS LHSHAPGSDNASTYSSAQRHYWTQPHTSGGMVSSTQTLLSHPAQPSRAAEPSAAQKIP PGQLQDINPFPQASVSATSHRPIQPKSLAGKGARSEASRSSTPYPNVYSRSGYDMMGI LAEVVSRDNPRIDLGPVDLSCAFVLCDLTMEDSPIVYVSHAFERLTGYNEKEIVGRNC RFLQSPDAKVEKGEPRKFVDSHTVSRLRSAVDRRSEIQVSIINYRKGGQPFLNLVTMI PVRWNAKDYYVGFQVDLVERPEAVTRRNSDGTYMIDYHRSQLPAYVVPAADMYRDGHV PTAMLSPRQVSVILNDFVKGQSSVAVNLFHHMLVENTDDLIFVLSFEGEFLYLSPSCQ TVLEYKPNDLCGKTLSAICHPSDIGPVTRDMRTCTTGDPISILYRIRRKESGYTWFEN HGGWHITQRGRQFMVLVGRLIPMYSPIQLANVESGGLAENDIWAKLSLSGIILFMSSK SRAVLGQPSDDLIGKRLQDFLVTDNFHSEPAVQQALETSRHNQQATFTHRIRHRKGHI ISAQITLYPGDIVYGASKPAFLIAHLRFPRELQLQASTTEDQSNSQSQSQTSSDTGPG DYKTPQNQQQTAESHQKPHPNFPQPPTSSATLAVPSSASPNSAEPPTELGMHLFEELN PTRGSNWHFELRELEKQNRHLTDEAQRLLARRRKRKRKQSAAAMEKSCAMCGTRTTPE WRRGPSGNRDLCNSCGLRWAKQVRSAAAVHSQAKSGGEG ANIA_03434 MGDAPNKISITICGDGGCGKSSITLRLVRSEWVHEYDPTIEDSY SVSRTIDGEQYFLSITDTAGQEEYRGLWAASNLKSDAFLLVYDITSKSSLSALDYFMD MIEMEADQRAEDNLRLMRELGDSARGVEFGMAAPVKIVAGNKCDLKDSRVISAKDGLE YARKHNSGFMETSAREMVNIEETFARADPSRFSKCAI ANIA_03433 MTARQSTPSSDNSHSDSGVRKRVCKACDRCRLKKSKCDGAKPCG RCRADNTLCVFGERKKAHDKVYPKGYVEMLEQQQTWLVNGLQELYRRLLEGDGWPGEP LKCEANGQPLTHDLLTQLGALDTSKHERFEEHAEVMQQELWKRNAGHMQRQDSSDTSS ESPQSPVMPSQFSDPFSVRTVPQTPTTISPNTTLRIDVPQSATKSEPQMTSPNSIYTT AVSMPRVVDPSELQSAQIANPQWPSPGFGGYDEMDLMSGQYNGLPYEDAISSPMFNRP MPMGCLIPGSYGNLDNKNDFEDINQFLNTQLEITS ANIA_03432 MGDHTSVKFSNLAAIIQEFNVDGQNIVQGFTKKEYYEKYNKPWF GATIGRVANRIKNGVINNLNGREYKLEINNPPNALHGGSRGFGRQEFEGPTVIKRDGK DALLYTYLSPDGDNGYPGTVELRVYYVVSEEVEGGLPRSILNIEYEAELVGDEVEETV INITNHSYFNLSGAASAAEGVTAKLTTRDYLPLENGIPLGQISPHSIDTTQPFEFGPD KATFDDCFVVDRDLSGVSLDTRNRPLKLLAEFRHADTRMNLQVHSTDPAFQFYTGAGI DVQKADEENPARGPWAGFCIEPSRYVNAINEEKWRHTVVLKKGEKYGSRIMYKAWRG ANIA_03431 MASAHGDLRHLLPATYKRLISDWLEEDCPSFDYGGFVVGESEGE AKLLGKSEGIIAGVPFFDEVFSQLGCSVEWHHHEGSSLPANQKTHVATVRGPIRKILL GERVALNILARCSGIATKTSSLLQILRSHGWKGTLAGTRKTTPGFRVVEKYGILVGGA DPHRHDLSSMTMLKDNHVWACANNASSSTATTAANGEQDIAAAIPKAVQAAKAVGGFA TKVEVEVRSLDEANAAIEAGADVVMLDNFTSEGVRQAAKQLKEEWTAKGKSRGSFLIE VSGGLNEVNALQYVCDDVDIFSTSSIHQGVGIVDFSLKVSLRG ANIA_03430 MASISTPRAHISHLGRKLPNSAITPFSQLTHRHRFQHHVRSSHS GKSHNRPSISAKPNEKRITPVSSAPAVSLSDDVNPPPSTRPADLETPAPLAPSASVGE KAQRLIAYGRAYLAFYKTGLKNVYQNYRNSLPIRRSLGIPSYLPTSPPPKFFIKSSSG HSKHVKPKTSRSTFQLLNRSAYDVRRMIPFALVLIICGEFTPLLVIAFGNAITPFTCR IPKQIDKYRRQRADRKSAALSAYTEALNGSVRTPKPGSKEELDLLSAFASKKFAKEAS AQDVLTACAVFGLIKSHNRPSLLVEPVYRKRLAKHTEYLAVDDNLIKSCGGVTKMESS EVKIAVEERGGYGLMTGASAREVEKAERRWLEMWLQTSQPDK ANIA_03429 MPSLTFTRPTVLLLIDNQKAFDCDPNTPCHWGTQRSNPLLEQNL SRLITAFRAAKSRSETKLEIVHVFHSSTSLTSPLHPSASNGTGIQPLACVQPAQDGSE PVMWKHVNSAFIGTGLESYLKEHGIRQLVVAGITTDHCVSTSVRMAANLGVADRYPEG KPVLNGDGSQEKAVPVVEGRIVLVGDATATWAKGGFDAETVHAVTLASLDGEFADVMA TEQVIASLEALN ANIA_10407 MSAPTVNPVGPGTLITVKVTYEDHTRRFKIPLRDLGARTLPQNL RQLLGTPADTNVIFERYSDSAGSYVQLDSNNPAVFKQLYRAAKAKLKLRIKATVVQPT SQPAVSESISEPRYSYLETVLSHPIPEKAVDTNDSSTTLATTLPVSAERTTLFGSQDP FCSATEMEGSKSVSHREFILGPDSPSAPVISHTSTAGVFCIDCDHCGRPIPNEHYHCN TCSDGDYDLCPQCIDSGVNCQGEDHWLVRRFVKDGIITNSTTKTLASHKIQTRDEHKP RSEPVLESAPGLAENIAINRERVCNNCLKEFDEGKMVSCADCDDFDLCITCILGHKHG HHPSHTFVLLGDHDAGLKNLVLSRCKPGRGYHHAAICDGCESHITGVRHKCLTCPDWD YCSECHLSASRTHPGHRFAPLYTAISEPLQSHEVHFGVFCDGPLCKDKPRSGYITGVR YKCSVCHDTDFCAKCEVHPLNTHNRTHPMVMLKTPVRSVTVNTVHENTFSQETTSVGD HIQSSTSTQASARNDPDTEMETVAEKKVQVSEDLPREAKSINLEKTSSPVMDSEYQAF FLEDTISDGTAMQPNQVFQQTWKLYNPGPLAWPAGSNVRFVGGDSMFNVDTNHPSSLE SVTAAMESNKLPQPLEAGQSAEFTVNLKAPRRLGSAISYWRMKLADGTPFGHRLWCDI QVREDLVPAADDRKDDNNIISSEKTPEPAAVNNSDISESHMVFPKLDKESPAASTHEA VNVPATAPSVTDASERDVFDDMASLTLGEETSTETGFLTDEEYDILDASDQEFLDANS SR ANIA_10409 MAPHRRNIGASRRKRREDEGEDEGSLDGEMEDDSLSEGSIISQQ DEDDADGEGSDESDDENIPVDRPARHEINGRVPEGPQRRHSMSPNKISLAKTMSDTDA MMNGLKVAGDAKGVPEINFDEMERELGQIGRAPSAPPAEASTETFTEKRLPEAEKLTR GKCEDPTVVPTRGSFFLHDKRSTESGANNHRPSGKSKSRPYGLIVDGNVRRKQDITTE GPWTHDLHDTVAGDDPPATRRPTASAAGSSFSHKQLPTTVPTAPNTSTPNRTFSTTQI TGTMPVVVFLPGMDKPISFQADKKHYTRLPEHRPPLRRDKPVRISVPGQAPRYIFPAT ERSFVFIPRAQRPNQQAYRGRARGGFYQGRRPSFYASSTYTPSVAMSRRSSFGKPPSH DGYPSPAGSVISRHTVVTTENGKPIVRLPPPRVPGANPPPATASIPPIYSQQPQHTVW RENRPAPIPMHQPRPQKAVSLADIETPASFANSPRAQQEQPFHYQVPVPNSGPVYGPD ASTNYALSAHTTATPLSQIPERAVHAPPFHAYGFQQPQTYYSNPYPPAPVYYSVSGTE YAPYNGVGPAPAAPFPAGQNVPYIVPTPSEQTSQAGTVAHESGGTVYYLDASQMYPGP TFGGSPAGGAANMGGIMTPGTTYYYPQPQGVYFAPQ ANIA_03427 MTGIGEGNHKKRKLLDGAELEIDVSAPEPPSKKALRKAKKKATV ADAKADTHPKSENGGTETAPQKRSDYGIWIGNLAFSVTKEDIRRFLTTNCSFTDATIT RVHLPKPSDKSSRAQNKGFAYVDFSTPKALEEALGLSEQLVSGRRVLIKDAKNYKGRP EKSQDDGNNAPTSSGRPPSKRLFVGNLSFDTTKEFLEEHFSQCGTVTNVHVATFQDSG KCKGYAWVEFEDLEAAKTAERGYKYITEDNEDEDDSAQKPQRRKIWLNQVLGRRMRLE FAEDATTRYNKRFGKNGEGKKGATGNDGDAEPGDFEEVAAEKPQQKKAKNAKPDYTRY DESTVQKLSGAIVEGQGRKTTFD ANIA_10408 MVRLFYSTRMSQERDETRSVAGSVPDADAPTKQSHRSFKRKFAK LKIQFELKMKESESLIREQLRIEDLSKRIQEQNDQLLEVLLEFNDALHIPPKLRYDLH VPGDEVILPPPDGELSAAYNDHALATSVLRNAKDDLAAGNITLESYQQLEGGLKRGRA FAPLLRYTDLLKVPHSAPTTESQDPVNPTDDSSLNQNLGFLTPEHETEYCLATDARLG DMAAAVQLSRVPEKPSLLEREREYALRSPVSVHHWLRKNHPNIFLQDNENASEKSASR PSNLRASKRSAPLSRKDEDTHDEDSVMADSGPATGGSKAKRKRDEEATPRSKAVTGRS NRKKKEESTSNNAKRPAKRTSGGGA ANIA_10406 MAITILAPVADDVDATYAESDFDDMSVDSDGGVELTPAKSSRPT KRPRLIEGVDIGTGIITPGEVVTNDPQWMRLTSSLSSRGHGTYTNPLSTSIIATVAGT VQKTNKLLSVQPLRARYTPEIGDLVVGRIVEVQSRRWKVDVAAPLLAQLPLSAINLPG GILRRRTSADELQIRTFFSEGDLLVAEVQTVHSDGAASLHTRSLKYGKLRNGVFLAVA GTGGSGASSSSTKGGLGSGSATGVVRSRRQMFTITTSNGGGDVDLVLGVNGYIWISKH VEGTAAASSATEHVSITRMEEMVSNSVYSSQNDEISPATRREIARLTQCIRVLVQGGL RVDEETVTAAYDASLQVDLEQGDDYDEDGRQREGREYLEGTQAKRIIQLVTAQQSR ANIA_03425 MPDLRRQVLESGKTMSRKAASKEGSRRTSRASSAQNSHQSSRNA SRQASDDEDAGNLSDDTAVSLGSFDDFENVDVEKDNSNWAQELLDVVDDILDRKRSSV LSREENYAAYCRLSKFHYVEESIRRRVPDLLAAFARSIKSETSVRETTLAMRALELLA ITATDNTIFEHTEPLLTRTIRDSSSDSIKVAAIHCLGTCTMFGGGGDEAIEDQMTFFL DIVASDGQSIDAQEGAATCVTAALQEWGFMATEIDDLESESEEYIQIFMDQLSSGDPS VQIAAGENIALLYERSYTPQEHWTDEGEEDSNGEDSLDSESEEQVYHTGPKRVKRYSP YHDTPELERQLQSLATVHSKRINKRDKRDLHKNFASIRATVADPRCGPMHSDHGSKLT VKIGRQGIMHIDRWWKWIRLNSLRRILQGGFAVHYFEGNRAVLDNLPVMMVRRQTGDR RTSPTGRKEDKIRQNQRRAKLEFADDDYY ANIA_03424 MGLKQIRWVGRCEADKIVQGTYDVPPNEGGNYALVFDNTFSKQI SKTVTLVLLTYPTALPPQSVPTTHAASQPGGDTESSSSRRRGNSIMAKMPPQIQVQEG DGTTIHTGLLLKRRRKRHQGWARRFFSLDFSTSTLSYFHDANSATLRGSIPLSLAAVA CNETSREISIDSGTEVWHLRARNESEFTSWKRVLEKASSSKGAGDEGHSPRHLHPSTA LQFPPQRHVTNEAEEREWARVAELVGRVSGSRDAVRRLAKDTDPKYMTSGPSRGRPGR SPSPHPGETNGDERRPFWKRKASNAAPNKLSAPSDTSLDRKPAVLASSEQTNGVHDSL MALLRDLDYVVSEFSTLISESQQRRQPPQLTAQSRMSVESDRSEEFFDAVDATDSQLL TIKGDSDEDTVADAQADVVADDAPSDSDDDSTEAGGTGAAHDQHSPLFPARPKTLTPL PLDTVPRRNNIPAPTVMPPSLIGFLRKNVGKDLSQISMPVSSNEPLSLLQRAAEIMEY SALLDKAAQISDANERHLYVTAFALSSLSSSRVRERAIRKPFNPMLGETFELVREDMG FRFVAEKVSHRPVQLAYQADSKDWSLAQCPRPSQKFWGKSAEIVTEGKLRITLHSTGE HFSLTPATSFLRNIIAGEKYVEPVGEMTVLNETTGSRSISTFKAGGMFSGRSEEVTTK LLDSTGREMPVSLSGTWTSSLSLSGGSSGTSTIWTAGALVPNAPKHYGLPAFAAALNE ITPIEKGKIPATDSRLRPDQRALEDGDVDRAEEVKVQLEEAQRARRRDMEAAGESWKP RWFTKVDETADGEIVWRLKGGKDGYWEERINNCKSRETLRQHAPE ANIA_03423 MSARDFVEGEAMLDEEENEEELVDDYGDGEERLETGGNHYDSSE EDEDEDDDEDAVRAVREGFIVDEDEEEEERAERRRERRKRRREEREREDEHLDEEDLE LIGELNPGLQYAAAADSKFKRLKRGHKDRDSRQPSQAINDFFNSDEEDEPAPDYGRHR RHPGDEMDDFIEEDVFSDDELQREREDLEVARPRKTIGFGATDTTGLDENALEDMRAA FGDGNEYDFALAMEEEEEQQEEDVEKHLDLKDVFEPSQLAEKMLTEEDNQIRLIDEPE RHQIARKPYRNVVLSEDQFREEAAWIANLMLLKKRLEPELREPFQRSVAKVLEFLVTD DWEVPFIFQHRKDYMIHTVKVPVNGASADDSSSQYTIKAEKLLNMTDLWDIFDYDLKF KALVEKRNTIQKTYDNIRSVFSVEDPIVEEMLPIATTMEELQDIQDYLHFQYASQIRD LTLTNGDTNGEVQRRKALTRNFFERVRNSKAYGLVRAFGITADAFAQNALKEGRRQYT EDASERPEDMADGLVDNDFNNSSQVLKAAKGMFAEEIVMSPKMRKVIRQAYYMNGAVD CFRTEKGLRRIDEQHPYYEFKYLRDQQLSDIARSPELFLRMLKAEEEGLIEVKVRFEN FENFRKRLYPNIESDNYSELADSWNRLRREAVDLALGKLERVINRSVKENIRQECENH VAKECREAFSQRLDQAPYKPKGMILGTVPRVLALSTGTGIIGRAPIHWAYVEEDGRVL ENGKFTDLSLGDKDRGIADGKDLEALVELVNRRRPDVIGVSGMSPETRRLYKLLTEIV DAKDLRGALYTDDRDEEVSDRLEVVIVNDEVARLYQNSDRAKKDHPSFAPLTHYCVGL AKYLQSPLKEYASLGRDIVSIQFKPGQQLVAQELLLKQLETALVDMVNLVGVDINEAV SDPATANLLPYVCGLGPRKAAHLLKIVNMTGGVVNSRFSLLGVGVQYPAMGVKVWNNS ASFLYIDYESADADSDPLDNTRVHPEDYDIARKMAADALELDEEDIKAETDENGPGAI VRKLFREDAQDRVNDLILEEYAEQLEKNLNQRKRATLETIRAELQQPYEELRKHFVFL STDDIFTMLTGETAQTLAEGMVVPISIKSIRDDHIEGKLDCGVDALVGESEMTDRYDI PVRAIYSLHQTVPAKVMFLNRKTFTCNVSLREEQVSRPSRPAADRAHAGEWDYRQEEQ DREALEAKTQDGGRTMRVIKHPLFRPFNSTQAVEFLGSQSRGDVVIRPSSKGPDHLAV TWKVADGIFQHIDVLELDKENEFSVGRTLKVGGRFTYSDLDDLIFNHVKAMAKKVDEM MLHEKYQEGSKDSTYQWLETYTKANPRRSAYAFCIDPKHAGYFFLCFKAGEHAQVHSW PVKVIPQGYELQRNPYPDMRALCNGFKLLFTNMQSGKRR ANIA_03422 MADQFKARTLKRKNVKGLALNAAPKSTSTLSNGDSQVPGAAGNG ESNRTDTLEIGLEFRLDLRSEDLITLKELGAGNGGTVSKVMHASTKVVMARKIIRVDA KENVRKQILRELQVGHDCNSPNIVTFYGAFQNEARDIVLCMEYMDCGSLDRISKDFGP IRVDVLGKITESILAGLVYLYEAHRIMHRDIKPSNVLVNSRGNIKLCDFGVATETVNS IADTFVGTSTYMAPERIQGGAYTVRSDVWSVGLTVMELAVGRFPFDTTDSAAGDRASA GPMGILDLLQQIVHEPAPKLPRSDAFPPVLHEFVAKCLLKKSEERPTPLELYEKDAFL AAAKRTPVDLQEWAISMMERHNRKSYLAPPAPKSLKETRESPSPAQAPSPVQKHGTSR PSRGTTGEIPLNVARDSSSHQRQHAPQSQSHFSSNPSHYSSNSSHYSSRSSRSSPPIS LEHLSLESKQDEHRPTRRPSRTPLGDSSSSLDQSLRPSIGSRSASSHNTNSRMPLQST ALPFRVAPTPGGYPTPNSTSWQRRGDHMGAL ANIA_03421 MRLILTGATGVVGSAALNHILSLPAGEISALYIISRSPVPAAEN KPNVTVIQHTNYNEYPQELLDKLKGADGCIWAQGISQTLVTKEEYIKITLDYPLAAAK AFSTLSDSFNFVYVSGEGATQTPGMFTPLFGRVKGQAETALLNLSTKYPSLKPFCVRP AYVDTANDPETLKARLRRPDQQSFKAKSIHAIFGPLMRNVLTKSDSPTEHLGKFLTDL AKGDGKPLSGEGVAGGGRIIPNVVFRRVAGI ANIA_03420 MLRIRHVKCDEVKPFCNQCQKSGRKCDGYDNASQTQLRARIAER QKPLNRDTLGADHRLVLRQGTRTERRYIDFFYTRTSHAFAGFYDSKLWSYLIPQFAEH EPSVRHAMTAIGALHERFQLSAVAGSAVLTVNGSAPTEQFVLEEYNKSIQTLVKSLTA HSQGGSINLALTTCCLFICLEMLRENRKEALDHIEAGLRIIHKHEQLTSIGSGCTSEL YQQLRDLFLRLNLQASFMGRLLVPINVDSPGTRNGHIFTDLTEARSHLDRLMTKALRF IRSVGILREERPPVVQAQFEAERDQICEELLSWRWSHDKLLDRHGLEIQQSDLRASLL LRIYYQAALLWVCVALDRDENGHDNFTADFESLISNAEEILRLSSPTRGAEKARVKDA ESAFSLEGGIIAPMYYAAVRCRNPFLRRRALNILFQHSKREGMWNARLCAQVTKVVVE VEESQCPEPPTSEKDIGPLARVYEVVQLKEIKANPTQAVLYMKPEGVNGPWKTRSIMV AW ANIA_03419 MAAPAKRPEIIEIARGLDHIPMCEDYEKMISGMMYNPLLPTLAA ARHRCRGVASDYNNLDTKAVSWEEIGERRFELLKNVVGRVGEGTFVEPPFWPDYGCNI VIGKECFVNFNFTALDTSLIVIGDRVQLGPNVSIYTAGHDTSILSRRKFVEFGHPVFI GDDCWIGGNVIILPGVRIGEGCTIGAGSVVTKDIPPFSVAVGSPCKAIKTIQSAEEEL NDPKNPYRDLPEKSG ANIA_03418 MALLLSLSLLATTISAQQIGTPEIRPRLTTYHCTSANGCTEQNT SVVLDAATHPIHDASNPSVSCTTSNGLNPALCPDKQTCADNCVIDGITDYAAHGVETH GSRLTLTQYRNVNGALSSVSPRVYLVDESDPDEQEYRALSLLAQEFTFTVNVSALPCG MNGALYLSEMSPSGGRSALNPAGASYGTGYCDAQCYVNPWINGEGNINGYGACCNEMD IWEANSRSTGFTPHACLYEPEETEGRGVYECASEDECDSAGENDGICDKWGCGFNPYA LGNTEYYGRGQGFEVDTKEPFTVVTQFLTDDGTSTGALTEIRRLYIQNGQVIENAVVS SGADSLTDSLCASTASWFDSYGGMEGMGRALGRGMVLAMSIWNDAGGYMQWLDGGDAG PCNATEGAPEFIEEHTPWTRVVFEDLKWGDIGSTFQAS ANIA_03417 MHQRTLISASRGLISRRASAASFNCTSCPTFFGFAVRRSLATLP NLPLFRALKNHDPARLAVVHNPSFRSFTYGNLVADVVKAQDQLWNSSGRGRDGLRGER IAFLAENSYDYVVILLSILASDAIAVPLSTGFPIHELKYIMNNSQAGMLVATERYADM AEKIMEGELDRQPILDMRGKIRTGSSDVGAVELEGLDGNSGGMMLYTSGTTNRPVRLL ADLADGVVIPQSALASQAASLLEAWKYSPGDRLLHLLPLHHIHGTVNAIITPVLSGSS IEFMFPFNPTAVWNRLAEPFLPEGTKDKITFLNAVPTIYNRLLSTFPTLSPQVQSASK VAISPEHLRLNISGSAALPTPTKKAWQDLSNGNVLLERYGMTEVGMALSCGLDFTDRV DGSVGWPLPSVEVRLVDTDTNKVIQPGEEIDGSGRAREGEIQLRGPTIFREYWGNEKA TQETFAPDADGKGPWFKTGDVATRRSVETAGLGKSGEWAKGPMYFIQGRRSVDIIKVG GEKVSALEVERELLSLYVHQVLPSSLQELIEVTRPQIAEAAVVGLPSEQWGQKVVAIV VLNSEVAARTGRNGKSWGVLDMRWALKDRLAAYKLPSEMKVLEGAIPRNAMGKGTVLL LTSFTSLVPC ANIA_03415 MPSTGSIYGVAVVDALGGPVEFQPRGSFEPVTDYQHNFNFDVPP GTWSDDTSMTLCLAKSLIDSGGVFDSQAAIRNYINWHDHGYLSATDECFDIGNATSKA LKIWQRYFDRFSITTIDDLAGREGGQPEIDKALKHENYCGNGSLMRVSPIGLVYFNNM KDALYQAAASSDVTHPYPTCAECCMIYTKLIMCAMNGASKEDLAAEVAATRFKDRKLE QRLGRYAGLQDWQAKAERDIDSSGYVISTLEAALWSFFSTSTLEGGALKAVNLGWDAD TVGAVYGGLAGAFYGLEAIPTRWIDGLQKREVIEEIVDRLAKLGETTCLNMTS ANIA_03414 MVKTLPFADIHVPSPGFGAMGLSFGLGSNLSLEEAEPVLLKAIE LGCTFWDTALTPTPVASKCGFDVFGKGGVTNSATHIKEYIEGTIKRLDFTPDLYYLHR IDPNTPLEESIPALDEIRKAGKTKYIGLSECSAATLRKANSSMHPSNVPPWLLGADWF VIVARIDAIQAEYSTFETIHETDGLIDTAKELGIAYIAYSPLGHGWLVDNFDYKTPDD FAPDDFRRRSPKFQGENFYKNRAIVEETKKLALKKGVSISQIALAWVAAQGMIAIPGT TKAKRLEENWASREIEFSGEEWKEMRRIIDAAKPAGNRYGPEHQALVGH ANIA_03413 MADAAPRGRGGFGSRGDRGGDRRGRGRGRGRRGGKTEEKEWQPV TKLGRLVKAGKITSMEQIYLHSLPVKEYQIVDFFLPKLKDEVMKIKPVQKQTRAGQRT RFKAVVVIGDSEGHIGLGIKTSKEVATAIRAAIIIAKLAVLPVRRGYWGTNLGEPHSL PVKQSAKCGSVSVRLIPAPRGTGLVASPAVKRLLQLAGVQDAYTSSSGSTKTLENTLK ATFLAVVNTYGFLTPNLWTETKLTRSPLEEFSDVLRQGKKY ANIA_03412 MLRWYQAKLAKQPILTASVTSALTPCPFKVLFGAGDALAQQAVE RRGLEKHDFARTGRMTFYGGANADQAVFGPVATLWFRFLQRNIALNNPKATIIARVAA DQCLFAPAHLTFFLSSMAIMEGTDPVAKWKQSFVPGYKANLAVWPLVQGINFAFVPLE LRVLVVNVISLGTYRSSPSHPTLLTCPSGWNCVLSVINSGGK ANIA_03411 MAAVAENAPPVTNENTAPPAVAVEEKTEKANGDIVTVFHDPENF NVKHPLMHEWTLWFTKPPSGKGDNWNDLLKEVVTFNSVEEFWGIYNNITPTSELGLKA DYHLFKKGVRPEWEDPQNKHGGKWSFSFKDKRSVPIDDLWLHAQLAAIGETLENDGDN EVMGVVVNVRKGFYRVGLWTRTVGKTLPGDKSGRTPAQGKEVLESIGRRFKEVLRLGP NDSVEFSGHTDSAHSGSTRAKAKYTV ANIA_03410 MVQQKVHQDIPQLLRKGLVGVGCWNSMTLLRESEMASTLVFRRP EVRAHDQSLHTRFPFNIGPEQAQTFRAPSVVTTVVNPEEAVEAVPQRRSRRFSMSDAL NQSANSTTARSGSTLYPPSRVLELGLSRRSRSRSRSNIRARSSSVTADVRRSSSMIRS TFNRLSGRRKTKDEEKEDIDEIETELDELDLLPNEPRKAIPVFTPDQATPPNPLMEFR GGALWGALLKDRRTLGLDVFWSVPSEQPTQTDTNVLEEKHKTGPPAEGENKDDHEEPS VLPIDEMAPLCTFRNLRILKITGMMQSYQMYIFQAAWLNTDLEELEIGMALQPRLRRG YKWPYIKGGWRLNKTTYAEPVYHGTGYGTLLRTVGVAEYLDKMCIEKAKIRAMASGST RNRLSIRTLILTGVVVDADPFLHWFDPKRLKCINFKDNCVDAGFYLSHCMKNVSVLYP KKIREPILTGRRVDLCRELKVVRIKGERKVKKIEYRDVETLRDAEEDEDDRRNG ANIA_03409 MSAHWYFGTTHGSGVSVESSGRRTIITLPNHMTIVARGSVSFTS TSNRNPPGRRGPAHADAEYHRHGQRRHRSGRGRPWPGRGRGRGRRERYNRTGRHDGQQ RGELSTNAPGLEDRITLPNGHASALAITNANTTNKYATPYEDTITTNHDHYATFPSTN AESMTVGQIGSAAYENANTSGITAGLHAISISKATVADRARATTTDGLSHDPAHIICP KNEVDDALMSWDETSVNETTVGTIRSGSTMTIRELVTSNDPGAVNGFVDGDREVHRPL IADNASDTSTL ANIA_03408 MAAVEHGIEATLPALATDTPVTTGPVAGQDKVVGQSEKALTDTP DGEEPNDHENKTLRHVAENLPLSAWLVAIVELCERFTYYGMSGLFQNYVQRPYNGGAQ PPGALGMGHQGATGLVTFFQFWCYVTPIIGAIIADQYLGKYKTIVLFCGVYLVGLLIL VCTSIPTALEHGAGLGGFIVAILIIGLGTGGIKSNVAPLIADQYKRKKMAVSTTKKGE KVIIDPALTIQRIYMIFYGCINIGSLSLLATPYMEKYTGFWSAYLLCLCMFAVGTAVI VLGRKYYVVRPPQGSVITDAFKALWIMVVNRNMDAPKPTWQAANGGGRTNLPWDDHFV DELKRALVACRVFAFYPIYWVVYGQFSSNFVSQAAQMQGHGIPNDLMQNFDPISIIVF IPVLESLVYPVLRRMRIRFRPISRISLGFVVASLAMMYAAIVQHLIYSAGPCYEQPLC DASIGSDGSTSGNNVHIAIQTPAYFFIGISEIFASVSGLEYAYTKAPPSMKSFVQSMY LLTNAFGSALAEALTPAAFDPAIMWMFVGLAIASFVTGILFYIIYHPLNAQEDAMNAL DADDPDVPVPRISDEKKKEEN ANIA_03407 MPLLFNGNHRSSLSENEIPVWDLRTSSSEVPDDVQYLKYVNGSP SQLEQDNRNSVNRRDILPGGKYRGIAKLFLRFENSEADTWVVATGFCVRDDLVATAGH SVYNWEYELGELTEAKVYLGYTGKQNIDNPEVEFRFGKRVATTPEWMEKGGRREANLA FIKLNKPFREVTPYDYRDTPESDMMNIGVVGFAGDLKKNGEPGATLYECFQDTKWSLD KSRWQMLEYQIDPYGGMSGAPVLDEDMNCIGVHTYGGERNCATVVGHHGHSFEPYFDA LDSRTERRRGGRQPQRRGRGGIDEEQDLVCQILRLIRDFPDPIPRDILSPDTRLSLGQ LGVRAGAVANIALSAAANAVTEDVDTSDKNFEFERDQAFDNITQRAILAEAALAAVQQ MSTRLRQSEHVFNTITQVVIDLLPTIQQAGSTVINSAQEPILRLALDEIKNGGKRSGR SESIPSLRQSRGAASRRGLSGRKAQFVDALSEGARDERTERFVSNIASDGLSDDAEIK WDNLNISKHLQSTSSIIEAGKKQPSVVGPLLVSKGQKRQHGHGVHPQHQINLHNLHEL MEMDLQDDEFPLSIECLPLRAVVAEAALQAIIRIQQRDLEDEGLFDTMREVIRKHGPT IVKIAPAVIRKVAPVFTAIATEGEEYAVEKGRGEYGYSGKYATKYGARREGSPQRYSL TTKAKGLAKSKLAGTAAGISSAERQFLQAF ANIA_03406 MTALAIMAGPGNYNKLVRLVLLNGVGGRGVANERLVAVRMEAVR NSQSTDFGKDGARPREDRLDWKLVGGLTLSGLIEYRSPLSGKSHDSKRLQAFALELDS SAREIKTRLKLALTIGKASPSGCKRRLEEKKETSRARSLFFVKVFYIFLLWELWIRPN EPQDDTAS ANIA_03405 MEIKRKPVPAPPPPVEYGVRRPLTPNPPPYSPYLAYSPYSSASP HSPHFPPPPPRFTPSPSPSPSPSPTCSLQPPHSLPRYRSQPNLRVTTPLSPQPTNPPS LPRAETTVPTGSEKSSFYADARHFLGGLIQHPSESTKHYSILRHSHGIVFYRGPTTSV TVSIFADTPLPADRSLWLQCKGWTGKTGMRAKALFRMHDDWVNATPTVAVRADQVDPN KERAWQRDIAKFYKKASKRVQTHRLRETVVARIPPDAEDGYFQLILCHGEKKVLCRSP VFRILSASMDPSSLRGASLTTMPLELGALVAGTYAQVAASRVVAPVTAVAETVTARYR PGWLGETAIQTAYEALKPDHGNAPDPAAPPSIENGPQPPYPLDFVARPSQSHDPCRIP VKVPSDIQDKLRGYFFGWARTSPDIWQMIILSVRLWDGSQQAGPVSLSQTTRKVSVLR LLHEPMPNPPSTLPVRILGFLHPDIPPPSPRTENDLAAARQAAADAAALAEQYDTEYV QALLDHPLWGPEAADRRGWFDRTKDGAGNVLARGQKMIERVGVRTGVEREGMGGYYIV RG ANIA_03404 MHSSTLLLGLAILTGSVTFAADQVVSMYIPGNDDGQPLAGKIVG SDSNRTSYSVTCADSVTTTCNVDSGVTIIQAPSTVTVLVTETGHTGSVLCTHNAKTGT CSLGMDGEFFATSTEPVLSYEVTITETETGSPSTSKSPVSSALATPTTLGSCDATEDA DATSGTDAQETDGPDNGAISGQPFGAAAVAMVIGVSAAMFCL ANIA_03403 MPIRRSFLLAAALATLSPVHADTLSKPALTDNLDYLLAGNVANL PSNGGPYWGKWEDGLMPADCKSIAESQGLNPTDFEVWDIFFDDCQDGWSFCRHKDSAD SFETLVDTFGRIPVRMRSWVRHVLTIPGDNWAFNSNGNICFSGTTSQNLDVALHETGH SLDLLGAYGSGALSSSQEWLDAYNADSNVPDNYARSNQVENVAQNTVVAIYDKNVPGG FPGVQAEYAKIQNQYTLVKDKAGDQIVPGGTCDRHLENSETVPMSGSSAKRDIKIKGR TMGTRAVTHDWKFKGTYSNIVTDFEPFNTKDFHH ANIA_03402 MRLLALTSALALLGKAVHGLDADGWRSQSIYFLLTDRFARTDGS TTAACDLAQRRYCGGSWQGIINQLDYIQDMGFTAIWITPITEQIPDVTAVGTGFHGYW QKNIYGVDTNLGTADDIRALSEALHDRGMYLMLDVVANHMSYGGPGGSTDFSIFTPFD SASYFHSYCAINNYDNQWQVENCFLGDDTVSLTDLNTQSSEVRDIWYDWIEDIVANYS VDGLRIDTVKHVEKDFWPGYIDAAGVYSVGEIFHGDPAYTCPYQDYMDGVMNYPIYYP LLNAFKSSSGSMSDLYNMINTVASNCRDPTLLGNFIENHDNPRFPNYTPDMSRAKNVL AFLFLTDGIPIVYAGQEQHYSGSNDPYNREPVWWSSYSTSSELYKFIATTNKIRKLAI SKDSSYLTSRNTPFYSDSNYIAMRKGSGGSQVLTLLNNIGTSIGSYTFDLYDHGYNSG ANLVELYTCSSVQVGSNGAISIPMTSGLPRVLVPAAWVSGSGLCGLTNPTSKTTTATT TSTTTCASATATAITVVFQERVQTAYGENVFLAGSISQLGNWDTTEAVALSAAQYTAT DPLWTVAIELPVGTSFEFKFLKKRQDGSIVWESNPNRSAKVNEGCARTTQTISTSWR ANIA_03401 MTPKIAQSLFVEQQQFSMSQSQLSVADYEDATIAKNNAQIAISH LKPRRHQYDGYNHLIAVERPQNMLKSRRWQIYFFGRLILRDVHQTCNPALREKSATSG QNDLPWGLWPLQRLYHDAKKLANRVLDSQAIKTITQGWSSGSSGTRKVHRS ANIA_03400 MPITVKSLQGKVAIVSGSSSGIGAAIVRELSSRGANTVVNYPFS NLHDEAATLVSSLPSPAIAVEADMSRVDAPQKLVDAAVTQWGRIDIVVNSVALAVNKP FEEQSLDDWDLLINVNGRGTFLLTQASLKHLTRGTGRIVNIASISARGPPPNQTIYAG TKGMVDSFTKCWAKELPPKYGCTVNAVSPGPTATEGFAAASEEQMKILQPIIDQTPVA PRMAQPDEIAYAVAFLYINPVITN ANIA_03399 MQIVWRGSADPAVYEEARVGRVFNNRRPDRYPIAVVKASCTADI VAAVKLAKERNCRVAVRSGGHSWAGWSVRDESILVDLGNYKYLGVDAERCIASASPSM TGKEINGRLIHEYGLMFPGGHCPDVGLGGFLLQGGMGWNCRGWGWACERVKAIDVVTA EGELLHCDESQNEELYWAARGSGPGFPGIVTRFHFEILPYPKHGFRSSGYVYPISKYH EAFSWVLAITPDFDRDTEITVVSMYPEGSEQICLFILLVTLKHTPSEAEAALAPAQQS RPPGAIEEWFCREDSLENQYTNQAKANPKGHRYCAENAYLQNEADVPSVLEEAFTTLP HRKAFALWYAMNPCSRRQLPDMALSMQSDHYFALYTVWEEEEDDARCMAWVKNVMKRV QRHSVGAYLGDSDFQERQTRYWAESNGRRLMDIRRRWDPTGRICGYLDHGDASGPRGP SLDISDRASMN ANIA_03398 MADTNMKTSPEEEMVEHVESSRCAKPFHLHSLGHVRLRHEHTNE IILIPAPSLDPNDPLRWNVTATETPLRSTPYKIYIATLVSLAMVMCNFMAAGPTVAMV QIATEFREGGGTTLNDWVSRASYFFNNSALMQGVSTLFWVPLLNKYGRRPIYIGSFIL YFFMILGAGLAKTYAGEITTRTVLGIGAGAGECLAPVTISDVFYLHQRGYGMAIYNAA LSAGVAFGIIIAGLVTINHDWRAIYFVGCALVGALTLVTFLFFPETAYRRVGNPLVEQ SLDLQKQSDPHSASLECASVPRIPPKKTYLHNLRLWSGETYTEESFWRMFIRPFGLIL VPPVFWATIVMSVTIGFLVAVVSNFATAFSTTYGFEAWQSGLCFISGMLGCFLGTFAG GPFSDWVADYFTRRNGGIREPEMRLPAIIPSVIAAPLSLVLYGCGIANAWHWMVPTVG LGLLSFAITQGTNVSFVYCIDSFRPVAGEVTVTQLAFKACFGFLLSFYTNPWINESGY EAAFGAMAGISGGCLLFFIPLFFWGKSIRQAATKWPFLQFVFWKDDREVGE ANIA_03397 MTVQPLLLLALSSLAIGVVSIVDPCDFADIAADAGDNAIMADYI TDNGGPMYLDYNITGPYYYADADVQLVHETLSVDANDTSVLVATDSANVNLSHVEVIK TGYCTWLTQASFFGVNAAINIANGSTAYIEDSNITVHNGAANIFAYGTGTVVYVSNTD LYSSGPVAHGLYAAGNGTIYASNVRHYSGGNRCSSFSGDTPAGYVYVDDAVAHTAGVG SAIFYALGEVYGTDVVGLAENAPVLFSDGAQKAVFKNVDFTAGLLAGTVMFSSAERQS GASISFEDSRLTTLKEDMAALWFGNVVASARLVATNISSASGILVLANASQVTQAFNH FAGVEENPSIKPAEVAVSVAESALEGDIVAYNKSSISWNLTEHSSWTGSAYSATGAAR FDVSLDETSTWTLTRNVSLHNFANVVPDHGNIRSRGFSIHYNASAAGSKWLKSKTVAL PGGGHLRPYPQ ANIA_11394 MSDKDTILTVWDSTVTNSLDTEECRSNSYAPNEMIIEPIISVTV EEINRERLAAKVSKLKSI ANIA_03396 MVGSVVEAHRQSVGCLRNLSQLLAWASNTSGGLIFYSREDDVLT STRISYAELLADAGEKARLIGQITGLSSESIILLHFDTQREVIEWFWAATLAGYLPAI STPFVDDTARRKAHLLHLHAQLNQPVVLTSKRLVPEFLGLEELRLHDVESLLSSAAKD GLIQYLGVQKLAEDVAVLMLTSGSTGSAKAVPLRHGQLLTAIQGKSTHHGTLPGDVFY NWVGLDHVASLTEIHLHALILGSDQVHTAASELLRNSLQFVRLLDTHKVAYTFAPNFF LTKVLDSLRENPTFTADLSSLKALISGGESNVVVTCDKLTRELRRRGVQAEVIRPGFG MTETCAGSIYSRACPSYDIRQSLEFASLGSCIPGMHMRIMSITEPGKLAAPGESGELQ VAGPVVFDHYYNDETATRNAFTPDGWFITGDLGWIDDAGNLNLAGRTKDTIIVNGVKW SSTELEAAIEEEAVSGLVRSFTVVVPTRPPGSATEEIAVVYSPAYAPEDYHARYETAQ VISKTVSLLTGTKPARLIPLPQSLLEKSSLGKISHSKVRAALESGEYASIERADQLIL AQYRQFKWRPAKSDSERAVQKALVEFLQVPAEGINMDDSIYDLGVSSLNLILLRSTLQ RMLDPKIDIPLSIILNNPTPGAIARSIDSSRSSLAGYNAIVPLQQHRHGGTPLFCIHP GSGEVLVFVALAAHFPTRPVYALRTRGYGSNEQLFGSIEETVETYATQIRQVQPHGPY AIAGYSLGSTLAFEVAKVLEAQGEEVKFLASIDYPPHIAHYVRDLNWTDVLLHIAFFL ELIDEKTMVEVTPYLHTLDRQTALTHILNIGDAERARALAIDTKHLGLISDIAENFRV NVKTYKPQGKVQHLDVFVADPPTYAARDRKDWRENKLGRWVDFCETKVEFHDCPGIHA KMLNREHIAGFAKVFKAAMRRRGV ANIA_03395 MANMPTEDEIAWMQAHINDSTVPDIIACCSICGAASVIILTLRI WSRLQTRRQLVLSDNLVISSVLNILNPILYGVATAFVKWSILALYIAIFPQKNFQYWV YFLCVINCLNAVAIVLVSCLQCRPLEALWNQAVGGTCIDFSIFSLFNTSFNLVLDVAI LVSPIKLVMNLNLSQRKKILLALNFGLGGGACVVAAIRLPFARRVGGTSNPSWDMIPG GLCCVVEVAVALLCASLPVYRPLFARMVSSHSTTGNSQQPGRSRDASDYIHSGGQVST RITASKRHSSHRGGINITENISMKTHAYVNGRWAPMPDDDDEAYLVPGTKGSRSTTPS NSHV ANIA_03394 MTYFLLILTPDSTKMAIASLILWGIGTLICYLIYQHSTRSAQRL PLPPGPKPLPIVGNLKDFPPDGKPEYQHWIHHKDRYGGISSVTLLGMTLVIIHDKKAA HELLEQTSGRTSGRPTMVMANKLCGYESIVVCQDYNARFRRCRKFLHQELGTKVSASQ FSAAQELEVKRQLVRALNEPEKWLEHFKTTAGATVLKMAYDYAVDYHKPDPLVDLIDR MMTEFSLAAVPMAWAVDIIPALQYLPGAPFKKTARKWRKSIQAAAYIPYRFVQSQMAA LTYKPSYVSKLVQLLKGEQSELDHEDEQAIIWSAASLYGAAADTTVITLTTFTLAMIL FPDVQRKAQEEIDRVVGNRLPGFKDREKLPYINALVLEALRWWPIAPMGFPHTATEGF EYNGLYIPKGAYLLPAVWWFLHDPEVYDNPEIFDPDRFLEPRNEPTPMTEAFGYGRRI CPGRFFADSSLFLNIAQSLAVFNFKKAVSSDGKEIEIDVKPKPGLLTYPTKFDFRIEP RSERHIQMIRELERQDPLAAGDAEHLESIDNFQPL ANIA_11393 MAAFLFSRPQQANPLPDHGQLPDTPDRDYLPGRYACYDSQDADP SFSESEEDSLSLIIVWDPKPLQY ANIA_03393 MKFIAPAALLAVLQAASASPVDIRTSTTGLQVTLSQVDNTRIKA VVQNTGSQEVTFMHLNFFKDASPVKKVSLFRDSMLHPILSSCNDEVKFEGIKYRVQSS NLSDKVLTSLAPGASFEDEFDIATTADLSSGGPITIRSQGTVPLVKDRSIKGRIPYTS NELTIEVDGAEASKIGTVGSKVKRTELSGCSGDRGAALETALQNAVSLANKAASAASE GGEAFTTFFKDDSSSTRETVAARLKAVAEEAGSTSGGSTTYYCTDTLGYCEQNVLAYT LPSQDLIANCDIYYSELPALADTCYDQDQATTTLHEFTHAPGVYSPGTDDLGYGYDAA TALDTDDALNNADSYALYANAINLGC ANIA_03392 MAVPNETSITRETATVPPLRILVVGGGIGGLTAAIALRKQGHHI QAQIFEQSRLAVETGAALHLAPNANGILKRLGIDAQQFGANLMERLVEYTSLGKVERA IDLAEPNKRWQHQWLLAHRVDLHNQLKQIAMSPDGVYEAIPFRTGSRVVQVDPATATL TLEDGSQFHGDILVGADGVHSVTRRAVPGGNVKAACCGRSAFRFLVPKQAALDDPETA ALVERPGELCIWYGTDRRIVMYPTSHNNVLNFVLIHPSVESISAEEADEGWGHSGNLQ RMLQIFSSFDLTVLKLLAKADPKTVRVWKLLDMEEIPRWYEARLALLGDAAHPFLPHQ GQGAGVAIEDAASLAVVLPLGTPVEEIPERLRLYDEIRHERATRIQQFSRLAGQDRTD GQSTADMYGFTNYNFGHDEWDNSTQRLREWSWNRIPNPYWRMPIAFGPMPGPRQNHLG LPRNGTKSTFTTASIKFKTSRTVLQNLFPPGRRGWRFSAPDTVAYASFSKTTLNKMEW LGGSGYSHIGLYVHGVEYVKQDGTVIRGSYLPILFESLTDPIVSGREELGAPKLYTSV DIYRRASSYRIRTGWQGALWGHFLLEDLIEVDPASTPGGFSGEADEGILAYKYIPKTG AANKNQAAEEYAVFDPFSEAMPVPRPHRVWTSSKASFQIDPLNWEQLPTLHHVISRLA ELPVYEIVSARVVEGEGVPDVSGMTPIE ANIA_03391 MDTPQSVSESSPTHRNLYQCGTCSQSHFIETPKKSPISVPCVAS GSAESDLSIPVHTEYSDLLRRHSALHSSPGNKQALRRRHHGGKPHIAPRASQACSACA DDHLKCDEDKPCARCRRRNIECVAPPKSTQNCAQLLPPNADEQAARGASNCVSESERP VEAGEEGAGETHSLVTPPVDMPTSQAWPQEVSEFHPMEPEEAPSRIHPDFISQELRDE LAAASLFTEPPSGVRTPRGLITFALPTDLDLNMVDLGFLESYNSHIPFEFDGQAPSVP LAESPFEARAREAEEGTRGGTRSIQHLRWRFVPAPQDHGYCEHENLLLPTDARTSTMT PQEIDEANSSPCATEPSLDLSSRDKILGIVLSQMKHPISAVLSSFPSVQLLDSLIRYY LTAPFSSAHSWIHRGTFNPQKLCPELLLAMAAAGAVLTPDPALRKLGFAMQEVVRLQL PSVFEGNNTTIRDLHLHQAYLLYLEIGLWSGNSRKIEISEAFRQPLVTMVRRGGMFHH SAYAPLPTQLDEAGHSLSEAWHAWAYKEAYKRLVYRLFRLEAQVSMALLTAPLITYAE MSLPLPAPSSLWDARSALQWKEACNALSASASSSANARIPTLGECVADFEFLESSRRI ADIRLTCGAVIHSIWGLVWEFRQLSSLLAAGSRYWDGDLLMASRHSQLRRILDCFRMA HGAEAPVQLHLVLMHMYVSVEEVEVLATSEDPSRALALDQPASALREWVGSEQARHAI WHAGQVVRAIRMLPLQTLRDLMAIALYHASLTLWAYGIVYLRVICPDRQLAVAPALGI NIWLDGLETEDVHRYIALQRGVPVLQSPGDAREAVRIEDPTAVLTMMIEIMQHNHYHE VFTQTPPLVANLIHLLRRLRDVSK ANIA_03390 MRVQSYLSLFSLVGAALCAPREHFKRTARTSAPAGCLTVGGSGT YSTIGAAFAALGSSSSEACIYISAGTYKEQLTFQYAGPLTLYGETTDTSSYKKNTVTI THTISSPEAGSLVASATVNAAMDNFTMYNINVVNGYGKGAQAVALAASGERQGYYGCQ FLGYQDTLYARVGVQYYSNCYIEGAVDYIFGDASAWFGECDIVSNGAGYITAMSRETA SDPAWYCFDHCNIYGKSGLDLTGDVYLGRPWRVLARVIYQNSELSDIINAAGWTTMAE GATPLYYEIGNTGDGADTSKRLYLSEISAAVTKATVLGSDWTDWLDWSY ANIA_03389 MTFGKAAFLSFSLFGASWAGPSRTLQARAVCTPKAGGSSSIDDV PAIVKSISACGDGGTIVFPEDSTYYLNSVLDLAGCSGCELQVEGLLKFASDTDYWNGR TAMINVKNIDGLTIRSLTGSGVIDGNGQNAYDRFAEDSSYDRPTPLYITGGGDIKVSN FRLKNAPNVFVSVKGGTTNAVFSDMRLDATSKSENLPKNTDGFDIGESTYVTISGTTV SNNDDCVAFKPGCNYLTVTDITCTGSHGLSVGSLGKSSDDIVQNVRVEGATMISSTKA AGIKTYPSGGDHGLSTVTNVTWKDITIQNCDYAIQIQSCYGEDEEYCETNPGDAVFSG IAFEGFSGTTSSKYDPVTGNLNCGEDGKCDVSVVDYSVKAPSGGAAENLTVTTYKNRC LCQARSV ANIA_03388 MKLASTLAGLLLPLISTVSAADVDAWKSRNIYFALTDRVARGSD DTGGDACDDLSTYCGGTFKGLEGKLDYIKGMGFDAIWITPVVANHDGGYHGYWAKDLY SINENYGTADDLKSLVSAAHEKGIYIMADVVANHMGSPISDNQPESLSQESAYHSACT IDYSSQESIETCRIADDLPDVNTESEEIRTLFKEWITWLVKEYEFDGLRIDTVKHVEK DFWSDFSSAAGVYTIGEVFDGDPDYLAGYANTMDGLLNYAVYYPVNNFYQQAGSAQDI VDMHDKIDSSFPDPSALGTFIDNHDNARWLSNKDDKSLLKNALAYVILARGIPIVYYG TEQGYAGGNDPENREDLWRSNFDTDADLYKAISLLSAARSAAGGLGDNDHVHLHVAES AYAWSRAEGKLVVVTSNSGSGSENEICFDSKTPNGSWENIFGEGTISADDSGQICVSI TNGEPAVLVAQS ANIA_03387 MAALYRAILLLRSDHGLDGEHHLVPRQSHTVETLDGSTKAGFIA MGICGLVSFIATLSLLLFLTYRFIFWKRYYKRPLAHNQYVVLIYQLLLVDLQQATAFL LCLHWVTKGAVYYPSAACILQGWWIQTADPGSGLFVIAIAMHTGAVVLRGRQLSFRAF VACVIGLWAFILVLGFITVGLYGSKTFVISEAAWCWLSPEHENERLWGHYLWIFLAEF GTVVLYGIMFFYLRRRMVHAAKLRPNHQDSLKRLNRVVIYMVIYPFAYILLSLPLAAG RMSSARHVIPSRQYFAAAGSLMALSGLADAAVYTLTRRQLLLDTDLSQSDGPYNRYAY SGSHTYHTQVTSTTGGRERKRGRFRKGMQTLNETIQDDRDDSTEEIVKGGRNDSGDVE MVNYTGHGVYQETTIEITHEVADPREFPQRERHSG ANIA_03386 MVTPAASQDPPAIPARQNASATAAMAVNAKDTVEQERNVVLLFG CQWLTFTASDFRQLRKAVLDNPELHWMLDVLSELPGYYRAAAGTSCVPSLRAIRGEED LRELERWFRCDDLSTAKFPLCYTQLAPLLMMTHFVQYSQWLKMQPNGRNPVVEIVGFC IGLLSSIAVSATRMGSLKMYGSVAMRLAMLLGAMGDLQQAGEEYTSLAIGWKRPELED ELPAERALTEQSYITVQYDENRATIMAPRRSVAALQQTLQSAGFSANAVEYNGRYHWP GHEKSLTPLIHLCNTHSGLQLPDASELLHPPRANSTAEPVRSGCLHELVLRAVLAQQC LWHKTFSAVYREHLTTPSSIVVEFGPERCVPPTLFRRLPQRIVHFADVELPATISRDH ELATRPPAETDIAIVGMACRVAGADDLDEFWDLLCSGQSQHREMPRERYANYETPWRP EASHRSWLGNFVRDIDAFDHKFFRKSPREAMSQDPQQRLMLQVAYQALESAGYFSQPS PGKDIGCFIATCTVDYEHNVNCHPASAYAATGLLRSFLAGKLSHHFGWRGPSLCVDTA CSGSAVALHHACRAILSGDCTAALVGGANAITSPLAYDNLAGASFLSPTGPCKPFDAK ADGYCRGEGFAAIYIKKLSHAIADGDQVLATIASTAVEQNDNCTPIVVPDTASLAGLF KKVTQRAHLHSRDISIVEAHGTGTQAGDPAEYESVRDVLGGPRRVGNLALGSVKGLVG HTEGVSGIIALCKVVLMILNGQIPPQPGFHSLNPHIRAMPDDHIEIGTRVKPWEVGFR AALINNYGACGSNASMVITQGPQKDEVQERGIHAENVALPFRVCGLDKARLQAYAARL RRFLSRSERGISFANIAFNLTRKSNPALECQCVFQTRSESELKDILTGLEEGDNKYII QVKKPKRPLVLCFGGQVGRSIGLDRTFYNAFPLFKHHLDSCDDILKANGDSSIYPGIF ATAPVLDIVQLHTQLFALQYACARSWMDCGVEVTAVIGHSFGELTALCISGALSLPDA LTLIVRRAVLIRDKWGADPGAMLAVEGDRSTLEKHLESSSANIACFNGPRSFTVAGPT AVIDFLQEELGADSAFRLKRLEVTNAFHSTLVDPLLPALASAIDGLALNTATIPIERA TEHQAADTIPLSIVADHLRQPVYFNNAVQRLAARHGPAIWLEAGSNSTITSLARRALG LGVSGNTFHSVNVTSTSALMNLTDVTVGLWSDNVPCTFWGYHARQTREYAPLLLPPYQ FERTRHWMENKPLPLKYNQAQAVMEVSGHTAAKTAPIAPATLLLDYAIELLRSLPNNQ RKIPRVFDVGSDAPLLLDSNREVWIEVSAEDDKRTWALRFQSQTKGGQSDSRLLHCTA HISMHDVRCSRLQTEFTQYARLVSHARCADLLTDPEVDDILQGRNVYRSFAEIVEYSE QYQGVKRLVGKGRESAGRVVKSYSGKTWADPFLCDSFSQCAGFWVNCMTDRAEDEVYV ASGIEQWMRTPLYADMATARPDTWHVWARHQQSEGLYTSDVFVFTPDGELVEMFLGLR YSRVAKSLFTRLLRGSTLKVDCRTKDTANQENNSIKDLVSRVKAVVAEICAVKPSEIQ DDSHLADAGVDSLMAMELARELEVAFKCTIALEALVEAETFHDLVQAVQSALGETYED SSVCSGNQCSTTDEATEFPSTSWSITSVSDTADLVLPLDGVLDALDETKGLTDQFLAD NKCSGRLLNFTPLMVEMCIVLTLEALEELGSNIRSARANDRLPRIEFDTQHGPLVEYL YGRLLEAGLIKLDGSTVIRTEICAPTESSSTLLHKIEREYPEYGGASKLTFYTGSRLA SVLRGEQDGLQLIFGTAEGQRLVSWMYGDEPHNVAGYKLMGEFIRRLVDKLPPAAARE GMTLRILEMGAGTGGGTKWMLPLLAALPVPVEYTFSDISPAFLAQARRKFRDYQFVRY CVHDIEKPPSEDLGKYHIIMASNAVHATSNLQVSTGNMRQALRPDGVLMLLEMTRPVF AIDLVFGLFRGWWVFNDGRTHAITNEQRWKDDLQAVGYGHVDWTDGESNEVGVQRVIF ATAGGEQYHPVSPQEDAARLRTVVEYVYQHTAGFTMPALPPRIRAPANHACILVTGAT GSLGSHLVARLVQLSNVQAVICLNRVSRMGPRVRQKEAVAARGLSLESKEETKLMVIE TDTANDRMGLSVEQCRYLQENVTHIIHNAWPMNGAAPLSKFEGQFRALRNLIDLARCI ATAQRHPVRFQFISSIGTVNGGGALEERTRIEQVMSNGYNEAKFVCERMIHETLQRYP AVFQATIVRPGQISGSEETGYWNTAEHFPAMVKSSQSLGAFPSLAGRLGWTPVDVAAR IIAELLLDEGIPEEIYHVDHPTGQNWTTVVDVLAEELEATEVPFKDWIQRVRNRGGSR ENPAGFMADWLETNFERMSCQGPLDTRVARRHSKTLREMGGGGGDEHVRRVVRSWKEC GFLTQAQTRQGIP ANIA_03385 MKTETPPVTVTSRQRPGSACEECRRRKVRCDRQRPQCQVCYEGG LDCKISTTRLPRGPRKGQLRTLRTRIGRLLNVKSSKTLAERVAALERCLADRHPEIDR QMHSLLEGAGVEYDSEDSSRGQNLSRDGTESPRDRREGTKSQLHDFPRSELVTELMRA DLDQLYFDRVHPFAPILQRWRYHVWSQQQQKSDGQACLQHAMWTVAASLSAQFRSLRD PLYQETRRMLDMLDSQNSYSRPDASGGIEHAQAWVLVCIYEYMQLSQLQAWMSAGRCC RLVLGMRLYELDDPNSPVMMAKDQETSLIDWTGLEERRRTFWMAYSLDRFISFHNGLP FTLNEQLIATRLPGPEEDFQAGNPVVTQYLPEAMTRTSNDPIQPISSFSECIILATIC GRALAHRQKVAVEQLSITGDVATFEGFWSRHQWLHEMLNSRIRMLSACAQVDPMLMFA RIVAQTMVLFLHSVLESITWKTGDHLLGIIEYERLCVMAAQEVVNLVKLQGQLGYFKV P ANIA_03384 MSFQDLLGQLPLLKSYTHILLVFPLVNSQRQPVLEALQCSIRRL LATFPFLSGAVVHQVPHPGHSGTFSVVLPEGDSSDRVQQILHVKDLSSILPDYATLNA AKAPPAMLPGPLVAPSRPAFPRVYEESSAPVLEIQASLINDGLLLTLAAQHNIVDATG IFYIAHLLSRFMYDPTASIPDAEVAMGNIDRRNLIPLLPENQVLPEEMGIFTMDRPPP LTREILDEYKWTLVHFSPAAVREMHDEAMSQPNDFIDGVSSVSVNDALTAFCWRRLSI IRAEHSHTRGEGATTQLTRAADLRRAMGLNPGYAYMGHMVRTSNLRLPLSTLVCPRTS LSHISSLLRKCLREHTEPQAISAYATLLFRTEDKSRILYAAEFNPLTDLSVSSVAHVD VPSFGTVLGKPRFVRRPTFGPLPGGMYLGPSMGSEGEEASGDGGLDAVICLRGWEVEG LAKDPEWKKKVVVWE ANIA_03383 MAIPEESDEREDFLSGLRNTEPQAPTASHSSSSKNNPQEKDRQN EGVRDVEDQSNDGDSAPDYQAFKLTATGRLIFCALAVLTLMVALDGTSISVALPEMAS SLHGTAMQAFWSGTSFLLCSTVFQPTTATLSDIFGRRPIILISLLFFLIGSLVAGIAN DFTQILVGRCLQGVGGGGIAVLSEVVVTDLVPLRLRGNYYGILSAMYSLGSVLGPILG GGFSENYTWRWIFYINLPFIGVSAFLILFFFRLERPSGSLRAKLRRIDYIGTTLFISS LSSFLIPLTWGGIMYSWTSWHTLAPLCLGVAGLAVFTVYSIKATDPMIPRSVFGNRSA VIAFITSGLQGLILWGALYYLPLYYQAVREFGPILTGVALFPQTFTVAPSAIVCGVLV TITGRYRWGLWVGWALSILGLGLLTLLDRHTSTVAWIFLNIPSGLGLGFLTAAIVCTV QASATNKNLTVAVAMVVFFRAFGQAVGIAVGGVIFQNRMRHELLKYSEWRTTAEELSR DAAALVTVIQGMHSEEEASRKNDLQMAYTDSLRIIWAFMAGIAGVGLILSLWVKKYDL NRALRTEQGVKER ANIA_03382 MSASTPTVNGTNEPISIAIIGAGIIGTVLALGLTRRKDAFPLPV NVRVYEQSATLRAPGAGIAFTANARKCLALIDPVLEECATAVGTANGEDPERPNNYMQ FVDGYTHRQEDVEVGQDLVGKKVYRLHAGRRGFEGCHRQEFLRGVLEHLNDDVVVLGK RLEEYSVLEQEKGKKGKLQMMFSDGSSAEADIVIGTDGLKSRVRQLLFGVDNPVSYPH YTHKIAYRALIPMPLAISRLGKSLALNQHMYGGPNAHLLTFPVANQKLMNVVGFVSDT NEWPLERSMTQPARKDEIVDAFRCWGPTVREIIDLLGEVDSEWLDKWAVFDHFDHPAP YYASVVSGKDKGKGLVCVAGDAAHASSPHHGAGAGIGVEDALALITVIEKAIRDIHSE QRTKDDALTAALKAYSDVRYERSQWLVRSSREVCGTYEWSNPEIGGDLEKGFEDVKER SHRIWDFDIDGMLTDLGSIYQSC ANIA_03381 MALEEVPSVSRDLDHSALRALSSASPSSLPSSCSRSTTSLLFQS KGIEFRLSIPDTFLSLVEPHRNAFLASYSTQGNTQSPLELALSFLYFLLDQKVSPLVL SSVLRAFNLEFLGNRSEIHSLIADLTPIPKQRQRWLGIYYRFLEASDDKRAEIPLSSI FQHARTNEFQLMAVFGGQGECSRTCLNEFAELYSSYEPMLRRLVGVIGPCLYNLSTSD EYSSYYRNQPLDLKAWITDENHVPDLGFVASAPVSVPVIGALSLARYCVTCHITGCNP GLMRSMLRTATGHSQGLLAAIVVAVSHSWDSFYQATEEVIELLFRLGWECHHAAPCSM VPAANYADVDGANGPSYMLSLRGLKRQETEATIDHVNASLPEDKRLYLALINAYDQFV VAGPVASLLRLESHLVEITSKDIDQSRIPFRDRKPYIQHSFLPVSTPFHTPYLTRAAA RVKKQFAARPIPTRRLAIPVYHTHTGLDLRKQGGCALSIAIDAIASEPCNWPCAVASY HASHILTFDRGGLAPLIKRVREGCGVRVVQVADLDTRDSEMATMRDLFATKLLPTSTK LQSWGQQFRPGLASGPKIQLETRLNRVLGAPPIMVAGMTPTTVHPDFVAAIMNAGYHA ELAGGGYHNASAMEAAIYDLVSSIPKERGITCNLIYANPRSISWQIELLRRLSNGNVR IDGLTIGAGVPSLTVASEYIETLGLRHISFKPGSVAAIRKVVEIAREHPDFPVILQWT GGRGGGHHSFEDFHAPIIATYGIIRQEPNVYLVAGSGFGDSDSVYPYLTGSWSVAMGH PAMPFDGILLGSRMMVAKEAHTSPAVRRIIAATPGVSDSEWEKTYSGPAGGVITVTSE MGEPIHKIATRGVCLWADLDKTVFSLSRRDRLTYLAQHRRSIIQRLNADFAKPWFGCN SDGEAVDLEDMTYLEVLKRLTALMFVPNKQWIDASYIEFTMTIAQRWLQRLQFDSEAA ASLTISLLRKAPDRFLAIFADVCPTAEGDLLNPEDISFFLMQCKTPGRKPVNFIPALD DDFEFYFKKDSLWQAEDVDAVLDQDAERVCILHGPIAARYSKSDSEPAGYILDSILNG VVARLRETSTAEMLLPKLERGHTTPASWSTLSLTERDTSEETSDTSITSLSELIENHS FSSGGVDSVPRPSHPLWMRALLEDDVVLQGTLRQKNPFRDLIQSSPNTVVNYNQDSSE LMVTAQEPYHISSFMRAVCHDGVMDKRNERIKSFYSLLWFGHDCDTSQSLNGVFYGPD ITLTEDLLDEYNATIGPAYSDHRQMVPSTDVLPISMGIIIAWDVISRPLILRQIGGDL LRLVHRSNTFEYYSDTRLRLGDSVSSRSEVQAVYDDDGGRVVIVEAQILRSRVPVMTV TSTFLFRGSKGTTVPAFRRAREQKWTYDVTSEFEESILLSRNWFRPCDPSLTLVGKSM IFDLNSLVKYHDDGNMELHVQGTAMSQTNGQQQKLAIVDFRNTCTGNPVLDFLQRRGK LAEPRTEFKIPGWAGKSTMDIQMPPSNEPYAQLSKDFNPIHTSPIFSSLAGVPGTLCH GMCTSAIAERVLEHLGLGGDRERLRRFEARFTDMVMPLEKLVVEIKHTGMVDGRMCFS ILAKRKETDERVLEGDAEVEQPRTAYLFTGQGSQSKGMGMDLYKTSTGQFLLTNKGGL FWTSCKTTQSPLPIRQKYLDITTEVVLPNGKRVQKPVFPGLTPTSTSYTFRHPRGLLY STQFAQPAILLFEAAAFAELRAKGYVSHGAVYAGHSLGEFGALSALSRSVPTGALVEL AFYRGSVMQASVASDNDGGTTYGMVAMNPKRVGTFFTQTTLDRLVSQIAAQSQELLEI VNFNIEGEQYVCSGTIDRPISGGTWPSLSG ANIA_03380 MAISSTADLAPSRKARGSESNDRALKLFIELLSVETQQQLFRGE PCIQRFVEIGPRTILSTMAKRSASIQKDQRSSASCYSPEFLSYHDNQPEILYQYQNDQ AIYPLSQPTQPQFEPTSPSHLTKRSPSPSKALPMSAIPSAELTLQAGHVILAMTAQKL RRRFDQVPVEKTIRDLSGGKSTLQNELTGDLVAEFGRVPEGVEDQPLSSLAESFQPEF SGIPGKAMSTLISRFISGKMPAGFNQSAIQEYLNSRWGLTKSHATIPLCFAPTMEPAR RLANADEARAYLDDLVEKHAAFQGISLVPSNQVADGHESLAPVVMNVADVDEMNKRTK LYRAQFDSLASYLGVDYFASEKAMSESESRIAELEETIRLLNTELDEQFIKGIKPSFN IKQVRKYDSWWNWSREELIRLLNEICQDSSSACPPDMENRLQNLLNKWDANCSEIVRA HLIGLQSRSSAPMNKLQLILEEIFTLGNQTLSIDPLFVHNLPPMGPQTIITDAGCLEY HELPRQISHYPEAMAYGPPWPQGHTSAPFIHIKTREDGQDWMYDSKATSIYHAMLDVG VTTGLTFTHKAVLVTGAGPSSIAASVIQGLLSGGARIIVTTSRSISQSADFYQQMYRQ YGAKGSSLSLFPFNQASKQDCEQLVQHIYGPDSPTDGDLDYILPFAAIPQVGEPDAFG GRQELALRAMLVNILRLIGFVRQEKERLRIENRPTMIVLPMSCNEGTFGGDGLYSEAK IGLKALFNRFYSENWSKYLTICGAVIGWTRGTAIMQTSNAVAEEVEKLGVITFTQAEM AFNILALMTPALTALAEDTPIYADLTGGLGSMWNIKQEISAARKRISERQILQIAIAE EDAREQAMICSASTDVESGLPTTRHARLGLQFPPLPDVNEGYPNIEGMIDLTRIPVIV GYSELGPWGNARTRWEIEHRGDFSLEGYIEMAWIMGLIKHVDGHAKGRPYVGWVDADT ETPIQDYEVPHKYHKHIMAHAGLRLIKPTKLDSYDPSRKELLHEVAVEEDLAPFETSK STAEAFKLRHGDCVTLLPIADSDNCRVYIKKGAVLMIPKAVPFDQVVAGRIPEGWDPA RYGIPEEIVQQVDVTTLYALCCVSEAFLSAGIKDPYEIYQYIHVSELANCLGSGGGPM KVIQNMYRDRFLDRQIRGDIILEHFVNTMGAWVNMLLLSATGPLKTPVGACATAIESL DIGCEAIQNGRCKVAVVGGCDDYGEELAFEFANIKATANSTEELSKGRTPADISRPTA SSRSGFAESAGCGVQILMSAALAIEMGLPIYGVVAYTHMASDQIGRSIPAPGKGILTA ARENGQAKESPLLDLNFRRAVFDAEVALINKSHPKQATTLKPDHSETSNAASLRIRDA QNRWANNIRLSDPSISPIRASLATWGLTVDDIKVVSMHGTSTKANEVNEGNVINTQMR HLGRQMGNPLLAVCQKSLTGHPKAGAGAWQLNGCLQMMQENIVPGNRNADNIDKQLRE FEHIVYPMESLRVPEIKATLLTSFGFGQKGAINIMVSPRYLFASLSNSDYEDYRSRTT KRQRSATPTFVSRIMKNNLVQVKTRPPWNDPEAMQNFFLDPNSRVVDGQITRAPRTAY KHQDISVPQSAAVSVNEALHAMLATTDHSSPAASASVGVDVEEISSINVDNPIFISRN FTLLERDYCLSAPDPRASFAGRWVAKEAAFKSLQTTSTGAGTAMDQIEILEVGGIPKV VRLTSQLHGHAHEVAFAQGITNIQITISHCNNTAIAVALALRKND ANIA_03379 MSVTVTASMDSDSPSPNPSISPSTDLSFSSSVDHDHDLSETDID IDVDIDIDVEIDTDDATLAKIQAKIAHIKALYDSGKPLFPRALLACLDAQIDRASANT NARIAKEITEEKRNTVTIPDLDGTPHTYSLKVPAWCVDFATTYRIGYSSIQNLTCIHP SFPEISLRDSSPVSICYTSSPDYGGKVSFEQVEERFKESVRRWEGSQTCADLRVGLED LIRSRKLTKKVRKIVCFGLGSLSLIEEEFCVGRAHAQHAAVGTMVAVFKQQTKAGNGM KEMSRDRTKQEGDKKLMTTDIETDIAVAEEGEEKEDEEIRCYAQDPAYSEADWSLLRS IGIQPLDDPKGFLEIDEETLVFSVSPNVPVKQIVADVQWPGAMIWNTVAEEEREARWE KKMRDGEEFWVVPFTTDPDSQRVRNMVQSYTSMPLRDSNEYFGDLTVYVR ANIA_03378 MKILLLDGGLGTTLESYPFNITFTSETPLWSSHLLISSPSTLQS AHRAFYDAGADILLTATYQSSIEGFARTDASHTINDAGDYMRSAIPLVRGAIPSSARQ RPQQCRVALSLGPYGATMSPVAAEYTGAYPPEMDGEDALRQWHAGRLNVFVDDRESWD QVDFVAFETLIRADEVCAVRGAMKDVCVGPEIHRRRKPWWICGVFPAEEVDRTQVRQW VDAAVGQRPGLPRPWGIGLNCTRIENVAKIVAIMRDELHCLLSRGKEDGFVDEWDAAS GKPWLVLYPDGTKGEKYDPVTKTWVARETVVRCPWDESLWDVVQGQSEGDWEGIIVGG CCRAGPADIAALRRRIDSSLH ANIA_11392 MEAPQSVVSLQCGWNVSFLRAKPKSVDPIHPT ANIA_03377 MKLSLTSSLLTTTTLLGSALSLPQTSNLVSRIQARSLNRQSHPL TRQDGGHSGSQTGFRMASTAAVAYSNNWAGVVREEAPPGGPYTAVSATFTVPKPTAAP NTAGLQAGSAWVGIDGDTYSGAILQTGVDFYIDNGRVYNDAWFEWFPDYAYDFNLAIN TGDVIVAKVEALSPSNGVAIIENKNTGQTATQTISAPKADATLKGVNADWIVEDFQSG DKVVALADFEQVTFTGCEAKAQNGDSLGLDGSTIIELKQNNKVLTEVTVKGNNELTVA SKM ANIA_10401 MDQQSQSESLPNMEPLSPADFRIYNRLAEQMENIHNGFRATWAE LKTACDPPPSQSQFPTPSALAAGNPDSTDSEIILLGLSFCSGLSSHHSIEERYIFPLL AERMPEFAAGGVLTEQHEVIHDGLTRLGAYLRSCERKLDDDEDGWRLDRGVLRRLLEG EDGKFESVIWEHLDQEVELLRPESLRRMWGVEDVRRFAI ANIA_10396 MGLGNIIYFAFHPSELRSIIQWKVWHNPVHERNEKNETETQKAC FKFLDLTSRSFSAVIKELHPELLLPVCVFYLALRGLDTIEDDTSIPLETKEPLLRNFK DFLEQDGWTFDGNRPEEKDRELLVQFHNVVTEFRNMKPAYQVIIKDITDKMGNGMADY ARKATFEDASVKTVEEYDLYCYYVAGLVGEGLTRLFVEAEFGNPALLKRTRLHKSMGL FLQKTNIIRDIREDEDDGRRFWPKSIWSKHVDNFEDLFKPENREAALNCNSEMVLNAL EHVEDCLFYLAGLREQSVFNFCAIPQAMAIATLELCFRNYTMFERNIKITKGDACQLM TESTQNLRVLCDVFRRYVRRIHKKNTPKDPNFLKISIVCGKIEKFIETIFPSQDADAA KRRVEGTLSEAEAEKARQDAETRKDVLFMMGLMGVMALIVTAIMIAVAWAMGARFDLA WKEIREGNFSPKKAVQHGEL ANIA_03375 MVVDTSYYDALGVPPTATELEIKKAYRKLAVVTHPDKNPGDETA HERFQAIGEAYQVLSDAELRKRYDTHGKEGAVPDQGFEDPNEFFGMIFGGDAFYDLIG EISLLQDLTTRMEITTEEAEEDLAASTEEKLNINEQEGTSVGETTSSGAGSRASAASP SPAASGTSTPRPRLGQQAIMDKSDEEIRMQAAGVTEEERELRKKEKKKGGLTREQAER LQAFELERQKAREERVDMLATKLIDKISVWTETDKGADVTRAFEEKIKLEVENLKIQS FGIEILHAIGATYVSKATSFLKSQKFLGISGFFSRLKDKGTLAKEAWTTISTVIDAQL TMEEMAKLEEKGGENWTDEMRAEYSVKVTGKLLAAAWRGSKLEIQSVLRDVCDKVLGD KKIKLEKRIERAHAMIIAGNIYSKAERDPDDEGDYMAFEQLMADATAKKAKDEKKKKK KHGHSELEASSPKAAS ANIA_03374 MTYDKQHNGHLAKPFTPTLSAAFSRANNKTPLTPKLANPSAVRA PKRVAPSDHSATTPARHGPEPSYLNANITPRSGSRNSRRDGSILSPGSTPVHSPQASV QPSPANGNTRAYRTERSPVRMGGNHEPPRTARAKTLTADLYSTSRPHSYTEFSPASPM FFHASDARSVSSSSEADTRSKVSGKVSSPATFVYANGQEENQPPVEESSRRRSSGLSR PAVVARPTASPRLKSPQPSAESPRFSDGISSLGAPHLDDSPEIHSPVHSPTFSTVRTV PIISHTKSSSLDTARGTPVRETCGEALRPSPIIVSTSDPQVEVNYNSDSVPLRPRIFS NKSTNTVDSHNDGLQSPVKSEHGVSSGPVLSARVERKILDLEISNSSLLAINRTLERE MRKQNAELRRFRRLSRSGRLSMAPSRSVSDTLSIPSEINEGGTDLSSGQSQDELSELS DEESLQDESELGPDSVADDDSKHRNKDEKRFLIDLAKHQELLADSQKMNQSLKRCLGW TEELIKEAQKALEYNVLVNDIQLGGRVLSPDELNDDGETGRGLLATVSSESEIPNMDP PGEDSLST ANIA_03373 MEEERISKGEQPIIKGYESPSVDESLKYQLLGPSLIKAGQDSVD QRKVSEIIYNASKGSKFFNHEQIRDNVLTEKIQRILKEKTRLESLNLSVDLRLADQVF AEIESTRDLSQYVVHVDCDAFFAAVEELDRPELKTVPMAVGKGVLTTCNYEARKFGCR SGMASFVAKKLCPQLICLPQNYEKYTAKAQEIRAILAQYDPQFESASIDEAYLNITAY CREKQLDPDEAVSRMRAEILEKTKISVSAGIAANAKIAKICSNRNKPNGQFRVPNEKN AIMEFMRDLPMRKINGVGRVFERELDSIGIKTCGDIFPQRAYLAKLFGEKALHFLAQC YLGVGRTKIQPAESYERKSVGTERTFHEISKREEFREKLWSCAQELEKDLSRTQFMGR TLVLKVKLATFEVLTRQYQPARAVSTAKDLFTSALPMLEKLEKEIPNMKLRLLGIRCT NLVSTKKFDMNFFGAAARRQPVPDTAIESPVEQEINAEEAFEKAAREELQSDMQALER LSQETPEAGGDQIEISPTPTTAGKLLAQPEYWDCPICSMPQIADDRKFNDHVDYCLSK QTIKEAIQDDSPQLQPQAQPAPHSTRKRKTASRDSVDPRQKRLFFT ANIA_03372 MLSIKWEPKVLSFSFSSKESNKNALARFRDRLEGLDIKTIEEYL VNVTTHVVQTKRNTAKGLQALVNGTYMVDYSFIDALDYASTPSDLENMESLSPLEADF DAAWPNAKEFLPPPGKEVFDGSDEAFAPNPNRANVFEGYTFVFCDKSQHQLLTDPITN GHGKALCLDVKEGVTTPEEVVQFMKNAAGERGIGSERNYDGGVVLVRFKSKTGNQDWP VQLCNEVALMTDQRVIQQNEFLNAILNNDASILCRPLRHEIVQEPSPELASIQPPSNV QVVGESQQPEEASQPPKKVKSSRVHKYVTKTKVFDDGFDINSIPVYTPEVDEPTEDLQ PMSIDAASGEPSQQPDSFQEEEDLVSSLLPGATAMKRRRGETSQRNLEESSSHAKREE GPRPKRQKLDVLEAARQHREAEEDAARQRLQQEEESLQNSLQDVDVEKLRGLAIVEEL EIPAKPADHDNDRWDERWNGRKNFKKFIRKGERRRDPQRHRIPLQTVIVPLEEVPRED FGSGDNYWANTSRSSNRSPQESQRDREVSQAPDSEPWQPQTVAQSEFEKSTTTTTTTT RKSQKRAREEQDSDSSDDELKFRFRRRR ANIA_03371 MTADLLPSSSPFIISTIPPPRPVSVNAFARATSISNTVSCSKSN RSTSAGISSHEGSLTVPVGPDSPGSPQSPVDTEMEDVQSAEPLSVCESKVRFEHLPVE IHETILDFLFGERTATITGASGKSSAQSWHKSLRHPRRKALSNLALISPVWRILVQDR IYRHIKLKGTADELEESARWFRAHPHLATYVRHVEIWIPVWGQRAIKNASRQLPRRLN DENAGLTDMAALQATMAWDDPHSNPVADYKYYYASHNATLEEMFLHVQTVFRGARMLT LEGGHCKKPPMVRHFKTDPTGRTGLQRLPILPDIQTFVMRGAWNIMRDHQHWITLSQA LPKVREWHCSYAKPKIEGYETIASIFRRLPSSLLHVNISLEGFYSKDNSQNRWLGDGV NPPHLCRLLGEVAPRLESLTFTGKVCACLFESTKNSMAIRPDKSQKSKLKSLDLVVKT CCRDRKLYPALPFLDDFSGITNLNFIRAFEELVVASINGLQIHQKLEYMRIRFIDLDS ACPPLNPYFQLIGTKATGLWNEPILEALHEARPEAQFVKLTDGISPQYGNNNQIVGAI YPRTRPLSIHASTYRIIADVPKH ANIA_03370 MESLFKRPFMVYGLAAGLRTVLLFYGAWQDAHSAVKYTDIDYMV FTDASRYVSQGDSPYARDTYRYTPLLAWMLLPTTWAIPGFFSFGKALFALSDVVAGWL VAKSLTLTHGMSAERALKYASFWLLNPMVANISTRGSSEGLLGVLVVALLWAVLNRRI YLGGVLLGIGVHFKIYPFIYGMSILWWLDEKEFTTNKAQSESREVKPKFKDTPVGIFI SQILSFITPCRIRLTLISLLTFVALNAAMYLHYGTPFLQHTYLHHLTRIDHRHNFSPY STLLYLTAASSAGAVGHDAGGPSGSFESLAFIPQLLISVVVIPLVLGKKDLPGTMLAQ TFAFVTFNKVCTSQYFLWYLIFLPFYLPTSSLLRNPRLGIAVAALWILGQALWLQQGY LLEFLGISSFLPGLFLASLGFFAVNAWILGVIVADVGGLNLESGNEKRRVK ANIA_03369 MFHTFEGFENPAASAPARAGRERQSSIGRRVTTLRACTSCRHRK IKCDGEKPCEACRWYKKADLCHYSDPRPSRRHVEKLSTTLDEYRTVLEKLFPGASPEA LANLPREKLLETLAQPQAQPPSTGARQISPATSTSHEAHVSPISTEDGNLESLQAMPE ESNDPRNLSSEITNTISDDVNALSLSTRGPSSYLGVSSINAVLKTIVWLDPGSAAYFA STPPSAPRRGSVADLSSSEGQSWAAHDQPGTPPQVHLQVPESQLLDAYFTYVQPLTPI LDEQSFRETYAAGHRKDDRWYCLLNIVLAMGSICASTCDDISHKIYYSRCRAYLDLES LASLHLETVQTLGILGGWYLHYVAQPNLAYSLMGAALRMAATMGLHREFADQSDTSNK QKMAQLDLRRRVWWTLFLMDCWGGVTLGRPTMGRFSPAITVKLPHYRESGNVLDILPL LENVRFCKISNQIQDALAVSPLTKYSEILHFDTQLVEWYNSLPYILKDHEPCPEGIAN TREVTKWRYHVQRILLYRPTLLSYAMRRVPYIALRSEERTAIERCREIAETAIRDISA TAKTPQMSGWNAVWFLFQSTMVPLLGLFINDNTVTDPRATTEACQAQVQDALLVFARL QQASPTARKTLDAVSRIFEASKRGPGIAAETNSINSANLSPTSREAGVLNTPGILPSH NDGRGFAVTHDSSFTDPFAPSTMDDPNGQYLWDFLSWSDTNIVPMADVENINDGGLLG SDDKHFKYTNTSFFGNQLTEPPFFTNSSIPYYS ANIA_03368 MGAFTQHVLSEGWSFKDSGDQSPDAWLSVPTVPSVVHQDLQANG KLDDPFIGLNELSARWVNEKSWTYRNVFQKPTVPAGSSIFLVFDGLDTFAKVKLDGQV ILESDNMFLAHRVDITKALDVEGEHTLEIDFDCALLRARELRKQHPDHKWVGFNGDTA RLSVRKAQYHWGWDWGPVLMTAGIWKEVRLEVYSAKISDLWTEVHLAEDHSKARITAA AEVETQGTGNSYKATFTLSLQGQQIGKEVATLDGNVAKTTFDVQEPSLWWPNGYGDQT LYEISVSLEKEEEQAHQVSKKFGIRTAEVIQRPDKHGKSFFFRINGVDIFCGGACWIP ADSLLTNITPDRYRKWIELMAVGHQVMIRVWGGGIYEDESFYQACDEVGVMVWQDFMF GCGNYPTWPEILESIEKEAEYNLRRLRHHPSIVIWVGNNEDYQVQEQQGLTYNYADKD PESWLKTDFPARYIYEHLLPKAVQKIIPSAYYHPGSPWGDGKITSDPTVGDMHQWNVW HGTQEKYQIFDTLGGRFNSEFGMEAFPHMSTIDHFVTNEADKYPQSHVLDFHNKADGH ERRIATYLVENLRTATDLEVIVQAETMMFGYRGWRRQWGDERHCGGALLWQLNDCWPT ISWAIVDYFLRPKPAFYAVSRVLKPLAIGVRREHHDWSVSHAQPPKTSKYELWVVSSL LKEVIGKVELRFISIKTGLAIHESIVRENVTIVPNGTTNILDGVIDHAVDEPHVLAAR LWVDGELVARDVDWPQPFKYLDLSDRGLEITRISKTESEQVLELSARKPVKCLVFEER DNVRVSDSAIDIVPGDEQFVTIKGLKRSDAPLKYKFLGQ ANIA_03367 MENKTDNSSAGTKRSWEGLPLHNDGKSQRFPSTTMVESASFDVL SMFEGFRDELDQHHDRRERLIKISRDITALSKKMQTLQSPNHSHSRVRTAGAPIPPSI LKDVQSRFTQINDLFLSAVPETQGLNNYRYLRNLSGGIQEFIEALSFKHYLETQTLIT REQVTSHLPPDILVTEDDYVMGLFDLTGELMRFAVTSLSAGNHTENDENGDGLPRLPP AQAGVVRDLREIRAEFEGVTIPRRHDYQIMRDWGKKTEIMCSSVEKVERAAYGILVRG SERPKGWKPDLSGPVEMDVY ANIA_03366 MSTSFETPSNGTPAIDTTSLATSPLERRDSLEKHLLTRPDPKDL KDRHILLDTNVAPSIQAMRQKLDRQQLSDNLKKSLEHRPEREELVERHILPADEQAPV NQ ANIA_10400 MSAARKVFHCAVDETALTTNISEIKKWATNGAITLIVPLYTLER LHALKKAGSQVAINAREAVRFLDRATSDKGNAASERVILQGPMEQFEDWSEAEKFFLP EFEEEPEAAGRLGSADEPTLQDRREEKDSDRRKSNGATDDLSRMLLSKLNFKKDPDAA SATSTGTHSGPASRPATDTAAPPLGVLSPLCRPSYGLCLSALLWKLHKSPDASNAAKA PILVTNDPYYANLGTKIWYCCQEHPSSTIEIPTQPIDPNSFSRSLGVPSKQHATVDLS TQAGASRGFAGASRNNGNNRRGTSRGQTRGGSRGRGKLWVP ANIA_10395 MAFAAVRSSFLSPTRFIRPTMPVSQQIRTQAQTRQVSFSSYLVT PKELSDALKKNPSTKISTSPRVIPLCAAWFMPNDPEGRTGIDVFRKHRVPQARFFDLD AIKDTESPYPHMLPTAETFAQAMSELGIRRDDEVVVYDTEELGIFSAPRVGWTLRVFG HPRVHILNNYRLWVRDGYPTETGEPRQPERTNYPVPSYDSKLVIPFRELKEIAKEHRK EGAKEVEILDARSQGRWAGTDPEPRPGLSSGHIPGSMSLPFQELLDPETKTYLPPDQL RKIFESRDIDETKSIISSCGTGVTATIVETALGLAEYGDPSIRRVYDGSWTEWAQRVR PTDGLIKKAT ANIA_10394 MKVTLKEWNAVATWRWDMPEDEVCGICRVQFDGTCPTCKFPGDD CSLLLGKCGHSFHMHCLMTWIQQESSKGLCPMCRQSISTLQLQLPSANSWLEFEWKQN DE ANIA_10405 MSLPKPSISPSISSSPSNQSLRRPLSRPHSIDQAAHPQTQPQSI DHYEQASLNTGATVDSHLAEHNDQIYHETAPSFASQMLSQPFFTLIEDTHTSEYYHPT VHYIFSDDDTDIVTEAALRSLESEQDALPNPSIKGKSKAVHRHPSRVGEHESETPYED DNPARKESLLPDPIPGVRDNYIILDIDHVPSRQENEYPAGMSPGTHPQDQPQGPTPDI ANPLITEQQLPPQGQFRITSAHSLSPSWQILNTQLLPAPTFENSSKKPLNGGLMLQIQ GTSGLPFGILDRDKEASGQRLEDMMDQFARRMEELRMVIENGERGMAVGREGPVQETS LLGEPSARDIADVAPVVESEEVQKTEAVPGDQ ANIA_03363 MAGPQRATSGLPTRRTTTRQPTRRAGSAIPERQTSTASPAVSTK TAAISRTRTLKSPGEPASVLAKRKERDIEREINEDTSIHVVVRCRGRNEREVKENSGV VLQTEGVKGKTVELSMGPNAVSNKTYTFDKVFSAAADQITVYEDVVLPIVTEMLAGYN CTIFAYGQTGTGKTYTMSGDMTDTLGILSDNAGIIPRVLYSLFAKLADTESTVKCSFI ELYNEELRDLLSAEENPKLKIYDNEQKKGHMSTLVQGMEETYIDSATAGIKLLQQGSH KRQVAATKCNDLSSRSHTVFTITVNIKRTTESGEEYVCPGKLNLVDLAGSENIGRSGA ENKRATEAGLINKSLLTLGRVINALVDKSQHIPYRESKLTRLLQDSLGGRTKTCIIAT ISPARSNLEETISTLDYAFRAKNIRNKPQINSTMPKMTLLREFTAEIEKLKAELIATR HRNGVYMSVESYEEMKMENESRRIISEEQRAKIESMESSLRHKVQELLTLTSKFNDLK KDNDDTLAALCSTNDVLQQTDIVLQNTRAQLEEEEMLRCAHEETEHQLQDVGKGLIST LGQTVEDINSLQSKLDRKAELDATNAELWRASSTEVSDVTKRIDQRVEAFQTRHAKLL ETTSVKVNEFIATEISNIERTRSDLSEYNRSLDAACNNAKAETSSAHEDMNNVLEEIK DLREEVKSKVGEGLNGLSAAAARISEEVIGEFTQLHSQLHTSFNNLGKDLKSIFETMA THLSEQKNEINRLRAELQSSNRQNIETTHKASAHLAQAIEEEHVAAEAEREILMSQIK ALVEESRQKQFARLRAKIDGVRTEISASGDMLEQATTQHDRQIDEWVFKSEQFAKDVN ASKDEIRTKLQNDWEAFDQRNSTIRKATESVHKETVRIVDVQVDDMGRQMEALDDFVA KARSQNGRYRDAHIATLDTIATGVRDSYSSIEGRVENLTGRMNQFQQEATHHHATLEE SIAPLSNDVRKPLTDLSSSFQNRSLEEYVATGVTPKKRKYDYISVLPSTESHEVLKSR LRTTKEMEVLPFNSDDQLSGPSSSPGGSPSKGFVYNDVEDEVGTHAPTVTNVNPSNTG LREVDANVAARPLVYSTGEKSTDQDGSPVVSPDSATEAEGMNGPPSKRRRSNSVVADT KLPNKMLARRMAGMMEGRENVPPPGISNGRRLRGRPSP ANIA_10404 MSASKAPAQAQIYPGKGLGSITLGASLHNVLSRLKAHPQTYPAI DIAYSASDPLRQPVTLQLPSNGLRFRFDGPDQRLRLIEVLDFSKTPLVYKNQEVWKGV AKPQEHSASPQGPGFRHVYNRLFGPSYPGEYIPPTSSSAYGTYVLSYPGVAFSFPLQH SAWSEQCDFVALLSSSAALPATSMSIFQGPSWPEVRDKLFTQQPQYPRSPALAGKSKE FLPDEIEEFVILGAGKLEVTRRSTPSTYIRLSQTTPQDLIAEFGPPDAIYRKNDRRIS IHRAAGGHGRDEMIHMSPASGRGIELHDTDQSSNNSVSDDSDEGISQTSALDPSSLPS ECFFNYFHHGFDAFISHPTTPGPAFPGSGLDDPTPPSPSSQLVVTKIVLHGNVPGSYP FNRYRRGRWKIELNPSDNPSVSVVTSETPYDLISQQLKSVWKGSYATAAEERVLERPM VLNRGWGDSPESSVEFLGGWEESTGKGQKTVHGGHDGGLGNTELFGFPGLLFEVMKNG AVSCLTIY ANIA_10393 MSELPRTLARSWSSTLKLPKSTFPARVTPTDQTKYLKRCTDDLY AWQRRERPADRLFVLHDGPPYANGDLHVGHALNKILKDIICRVQLGAGKRVRYVPGWD CHGLPIELKALEARKDAREEGGIVDAAVTRKVARNLAEKTVKKQMNGFRSFVVMADWE NHWKTMDKDFEKRQLGIFREMVEKGLIYRRFKPVYWSPSTGTALAEAELEYKDDHVST AAFVKFPLVTVPSHLKRDPLLQGKDISAVIWTTTPWTLPANAAIAIHPLFQYTIVESA AHGYLLVAQSRLEYLEFVLKEDLSVIVPSILGSELVDNTTYQPLFKAANSEPQPLIAA DFVTADSGTGLVHCAPGHGMEDYEACLSRGILAFAPVDDHGKFTDLAMPDDPKRLSGK SVLADGNVAVLEYIESQGQLLFQHRYGHRYPYDWRSKQPIIIRATEQWFADVADIQSS ALKALDDVQFVPAAGRQRLENFVKNRSEWCISRQRAWGVPIPALYHQGTGEAVLTKDS VSHIMSVIDERGINAWWTDDANDPSWIPPALRETSSSGYRRGTDTMDVWFDSGTSWAE VETPYGEHGRPADVYLEGSDQHRGWFQSGLLTYVAHQLASGQTDAPRAPFKTLITHGF TLDEEGRKMSKSIGNVMEPQDIMAGTLLPPLKQKKGKKQGGDGKPVYDALGPDALRLW AASSDYTRDVVMGKQVLQTVHTSLHKYRVTFKLLLGALSDFRPENKVQYDQLLLVDRI ALLHLSEMTLAARTACEKFEFYKAVSAVNRWANLEFSAFYMEAIKDRLYTYAENSPSR RAAQTTLFHIYQHLQEVLGPITPLLVEETWEHTPEALKAAFEHPLRRTVSKPDPQWQD PSLSGDYQEIVTVHSAIKSMLEKARGNKQTGSSLQSFVHIQLPNTATQSVLQRKLEEL PDIFVVSSVTLTGSEEALPDTIASADWQYSEEFELPIGGKGRVYVYSPQASKCPRCWR YVIPQAEAAADKACNRCEEVVQGLNGSA ANIA_03361 MRPAPGPVASATHGLVEEPSLSPTSKQIGIANMIEDALKKTVTV TQTLTETVTKAVPSHDPTSSWTTTTSVAPIPTVIPDHPTFQAVDTAAKRTLWVVTVLM ALSSLVFYILSNRVQLPKRVIHYLVATATTVSFIIYLALATGQGMDWKYDTYNHKHKH VPDTEYGIVRQVLWLRYVNWFLTGPLILASLTLLSGLPGASLFAAIVADWVMLGTGLF GTYAPNTSRKWIWFALSAIAFITLIYHIGIKGTRAANNRDSHTRRLFSAIASVALLAK ALYPITLAAGPLSLKLGLTGETILFAIHDIVIQGILGYWLVIANDAATGTNLYVDGFW SSGLGNEGAIRINEEEGA ANIA_03360 MKWTTACLAPLLGLAAAGSKAQIGQHVIFSYPGETPPEHLFDLI RAGEVGGVIIFGENVTEDLPETIERFLSTYRESPAYNGTPLLIMTDQEGGQIRRLPGA PEQTAREVGDSSDPFAAAGEMGAGAAETLTSYNMNANLAPVLAVYREEGDFTDRYGRS YSNNATVVSGCAAQFVENQQGANVLATAKHFPGLGAAATEENTDERPVTIDISLDEIR TVDEVPYHDVIQAGVAMIMPSWAIYPALDAERPAGMSSRWIQEELRGRLGYDGVIITD AIEAGSLGAFGDDNNRALLAKKAGVDLLLASGRNVTQGESIVAALDAALESGELSQDE LDASKSRQAWQLDHLAVVLIIREGIQVDMSSMQSNCFFTVSLAQRLEEKASNSVHLYS DPPPALECPSTRLQEPECQGYYRAQFVWEEPGHFRLVRVDRTAGGIEDPPVAHYTRRS CSVFTYSLRRCGD ANIA_03359 MSYHGFRYVSRSLGFAMGYLYWYSLGILVPYEIVAASLVIDYWP NGVPLAAWITIMLVVIVVLNYMPVGIYGETEFWFAGIKIITLIGLLLLSFILFWGGGP NRQRLGFHYWKDPGAMNTYLVTSNENTGRFIGLLQCIVKSAIAFIFAPELIIVTAGEM ESPRRNVPKAGRRYIYRLVIFYLLGALAIGVICSSQDQALLTGDTNNASASPFVAAIQ NAGIPVLRHIVNAAILTSAWSAGNSFLYMSSRSLYGLAVSGNAPSIFKSCNRFGVPWL AVTVSALFSLLSYLAVSSGSYTVFNWLINFTNTSGFISWICCCIVYFRFNAAIKAQGV EKPYSSRLQPYGIWVGLSFAIFLLLINGFTCFFPQNWSAANFFTAYIGIPAFALLYVG HRIWFWSDPWAWKSTEVDLQTGLQEILAAEKPARPRDTIGRKLMALVE ANIA_11391 MGCGSNPVLQKERKVTLGPPDLQDLTPAILREFSSSTETLSTRQ DDIEWCTARTIEAQDQDKFYRCFNKRAGRGVPV ANIA_11390 MASPREHRSYRWEYYISAIVVISDDSTSVADEVLQQAVDTGTAK VNGNVA ANIA_03358 MKFSQALLSLASLALAAALPHASTPVYTPSTTPSPTPTPSASGS FATTSGIQFVIDGEAGYFPGSNAYWIGFLKNNSDVDLVFDHMASSGLRILRVWGFNDV NTAPTDGSVYFQLHQDGKSTINTGKDGLQRLDYVVHSAEKHGIKLIINFVNYWDDYGG MNAYMRAYGGGDKADWFENEGIQAAYQAYVEAVVKRYINSTAVFAWELANEPRCTGCE PSVLHNWIEKTSAFIKGLDEKHLVCIGDGSDGSYPFQYTEGSDFAAALTIDTIDFGTF HLYPDSWGTNNDWGKLWITSHAAACAAAGKPCLFEEYGVTSNHCAIEKQWQNAALNAT GIAADLYWQYGDTLSSGPSPDDGNTFYYGSEEFECLVTNHVETIERSAK ANIA_03357 MGVESSSYHGESITPAPAPQPQQYSSQESIGSTKPEPGVDTPIP RITLRATIMGLFVSMGGLLFGYDTGQISGFQEMSDFLERYGELQSDGSYSFSHVRSGL IVSLLSIGTLIGALCGAPLADKLGRKWSITVWCIILMVGIIVQISAPSGNWVQIVMGR WTTGLGVGGCSLVVPMYQGESAPKHVRGAMISSYQLFVTLGIFLAYCINLGTESLDGS AQWRITLGLTFLFALILGLGMAMFPESPRFNYRHGKIDTARTTMARLYGVPENHVVIV RELAEIQSQLDAEKEQSQKWNEFITAPRMLYRILLGIVLQALQQLTGANYFFYYGTTI FQGAGISNSFITQVILGAINFGTTFGGLYVVENFGRRKSLIAGASLMFICFMIFASIG HFMLDVEHPENTPGPGKGMVVVASFFVLFYATTWGPIVWSIVAELFPSKYRAKGMALA TASNWLWNFLIGCLSFFTPFITGAIDFAYGYVFAGCLLVAVFVVYFFVIEGKDRTLEE LDWMYVNHVKPWESSKYEIPRITYHDDARGARKENTEHAEVA ANIA_03356 MAAPRRNGLLSSCEPCRKSKLRCDHSLPICQRCTARRRAPECTY HPCPLTKTGPKKYRARSYNGKNRTNTRYDDTSSHTELFDWVHKTPSVIARRSEAKPTE TGDRFFTHLGFLGPTSHSTVFNDHGLAIESQSGALCDVNPGAVDSTMVEIGAQILSLL DQLPFYTEVLEKRFELFEGWIFGPQLVRKTLHRLQVLYHNLVGDSRADDRHARLLEWS RTIFRNTAATTETYSAMTLSEFVSKITPKWETVGLIFASIGAATYQIAPDEAVFKRDA VPGKDKQELREIAIAVSDMCLQFCNKAGAISDPLCWAAIQHTVLMMEMHGSNDYRTWQ RQGDVVSLVFALGLHQREMDERIPFFLSEIRSRTMVAAYSMDKELATFLGRPPRICRQ YCDIQLPLDISWEDLVADDSTRDAAVQQLDPDGWNVQGDPEKGARPRVALLASILREM VLEFSLSREVENLWYRVKSTVAKRTGETPDSLIETSQQILSLLLDLVSKQIRTGRVNH LTIFDFSYIGLPAAAVLSKSLLRRSQFSADQSTSEKPFPQSEIIQRLSVFAAHIETFF SSRESDYDTCMKGLSYIRQVLDFVLSPRPGDTSEQVTDETREGEGGLLGDTEMDFMTL FEDLNWEQELRPLFT ANIA_03355 MSVFSLNINSSNPVTLETLDRVADSLGITVAEHEKEDYLRLLAV YHESAEALMGLPDYVPMVDEERFPRHNIHFPTSEENPLNAWAWRCEIQDQTRSATSGL LAGRTIVLKDNIAVKGVPMLMGTDMVSGYIPDTDATVVTRVLEAGAVVKGKAVCENLC HSATSSSAATGSVHNPFARGYSSGGSSSGCGSLVANGDVDLAIGADQGGSVRVPAGWC GLYGLKPTFGLMPYTGCGSNEPTNDHLGPMTRTLLDNALLLQATAGNDNIDDRSFAAP LPSQIPEYYSILTSLPNPKFLSGVKIGVISEGMNMPGIDPRVHKTVSKAISLFTELGA TVSDVSVPFHSRGAAIWTPISKSGNFHSRMNRAFGRRGHALTDLNTLFHPLTQEKWDR AYTSTKNIYLNGAYAETQFPGILSKAMNLSRRLRDEYDAALREYDVLVLPNLPYIANS HVDPATATPLEMIAKQVGLTANTAPFNQSGHPVLAMPVGMLEILEGPLAEKGVKLPGI CSSLFERWDRKVGFLCSVISTVDITVHKTWKTAGVLLSVLYLRQRDLRPFINHHWRHP WPSELGAQLDPLRHLSESGFHHSSGGIVYNCVFLSSELTILYHVDLNPTTEASVITGC TPLLSASIPATVATKTNNNLRRVADSLPLSVRLIAAIELCERFAYFGILRPMQNYIQD ANIA_03354 MPVIEEKYNIPRDFEGFGEEGFNPQWPNNARIAVSFVLNYVPFF PILSSPQQDEDLDTRDFEYGSRSASWRILRLFKEFNWNFTTYAVAVALQKNPKFAKAL VREGHEIAAHGYRWLDIWEYTPEQEREYINKTLKVLKEVSGEMPVGAYFGRGTPQTHA KFPEIWEELGEEFLWSSEVYNDDVPYWLDLPWEEKLPESERKGMLLIPYNYDCNDGKF HMSPGFGSSVAESYEQYLKNTFDMLYREGGKLMNIPLHTRIIGKPGRCEALRKFMLYI AEKEGVWVTTRRDIAKHMRANFPYRPNGRWIEGNDASS ANIA_03353 MITAISNERQGCAISGATSPPGVSARTASLRAGSEGYNDIDRLP GPRQLPCAYSNTCIRDIGTRVKAAWPMTADEQRPFAYFQQHPIVSLTALFELPLWQRH ALQMCHADQAIFHAVTMLSAAHQSSELKHMQRVQGTSVHRAYCFSLQQSSRAMRLLSQ RLASQDPELRHVVLLCSLLFGLSDVLLDRHESAWTHFLSGLRILKEIEHHPYLISKAE PSLVAVYRRISLQAALYSTKISWADVPPSPVPTYVPPPPPDDALKASATGHQDPVDRN GPGPLWLRLRRHYNSLYIAQSRLLCTFAQFVQKFIFFCESHSPTLPKNQQSTLDLLHL LILGHILSLKIAGGPLPLSVLPEV ANIA_03352 MTTGVYRKMDRLSISSRLAKAKKYVQTREAPEKGAAYFNEDLLP TPPDHRTWTALHFFTYYLTTTFSPSSYNLGATLISLGLRWWHCILAAIIGSFILSIIV VLNSRGATRYHVGYPVYVRASSGVGGSRLFVTVRASVAIIYFATQSYYGGMITSVCLR AIFGASWVNLPNRLPESAGITSRDLLAFFIFWILQFGVMFLHPTVLRHLFVIKAVYTT VALLGVLGWAVHMNGGSLGDFAFDTQVTLHGSALVWPMIQAINSVMGALCPILVNQPD VARYATRPSQATWSQSLGILISKVLVMFVSCATTSATTHFLGQSFWNVWDLYNEILDQ YWGSQARAGMFFAGLGMVLAIIATNAGTNSLPVGADLSGLLPRYINIVRGQVLCAVLA PLCVPWKIISSAQSFLTFLGSYTVFLMPTCGVMIVDYWIIRRGNFHVPSLYTKEPGTV YSYFHGWNLRAVAAWAGGVAFTVHGIAGSLGDGGAVAQGSKNMYKLGFLLSFGMGCLL YYVLTLFWPVRILERGFSDNGGKGMGFEEMARCEGFLEGESVDAIRAGIRGSVDEQTE KGVRIEVGVREV ANIA_03351 MSPRLKSLVAIFALVSLPYALSVSSSPCTELASLLPGKVFLPNS ATYNSSGSSYFARQEQEIHPACIVAPSSAEDVSTAVQHLANLPNSNFAIRSGGHSSNP GAANAPDGVTFDLAQLNTITVHPDTATVAVGSGLSWQEVYDVLDPYGLVVLGGRTGIV GVGGLLTGGGLSTFSPELGFACDSIVNMQVVLASGEIVDANETHNAPLFSALKGGQNN FGVVTRFDLATFPQDEFWGGAIQYPASANEAQLDAFWKFKNSTVDPYAEVEQSFLYNA SAPSANPEEKYYSSNNLFYTRPVASVEDTVLRVFTSSNIHEPQGYNSVRVANMSDFAR ELSLFQPVDQYSIYATTTFRLSPTILQQVHALWRSFTSMQPQSSVPGLVSSLTFQTLP PVIRSSRNSLGFPPNSHPEENLVLVLVSNYWPGGAYSRALRDGTRALFEEIDALARSE GLDERFRYMNYAAEWQDVVSGYGEESVEELWRVSRRARNNCKVQASLLQVDVGAVFVL CEEGQAQNVREGAFFGTSFGTQITSSELPQLGGVLQNKDHASYVGGCGYEVKGLFWEW VADWTRRKSCWEKRL ANIA_10399 MASRKTVLITGCSDDGIGSGLALTFQQRDYHVFATARNIQKMSK LHGLPNVTLLTLDVCNKDHITAAVEAVTKHTGGKLDYLVNNAGRNHFMPILDEDIEVT KKLYETNVWGPVALTQAFAPLLIAARGTIAFITSIAGYLNVPYMGTYAGSKRSIELIA ETLRLELAPFHVRVLSVVTGAVKTMGQTYFGDFKLPEDSLYKPIEQTIASRAQGGDGN AREDLMTYSKKVVTEITNGSSSKFWCGSGAGMLRFSTSFLPGSFLDNAVSRNTDLDVL AANLNKKHS ANIA_10392 MASYVPYAVGVLGVWAAITFTQHPFYRHHDTCDNLASILDNRVF ASSSAEYKESVSSYFSFQEQNLQPACVVQPTTAQELSAAIVLLARDYHDHGQQFAIRS GGHMIPGGAANIHGGVTIDLRAMNDIDLSSDRSKVQIGTGATWGQVYKVLDPLNITVT GGRAASIGVGGYLTGGGLSALGPATGWGCDNVLEVEVVLASGEIVQASRTSYPDLFVA LRGGSNNFGVVTKFTMAAHPSNGIWGGFMAYPESEVPRQIRAYSDFMQSRSVDSLADP IQSYGWTSNRRVLFGTNILLYAKPHPHPPVLQSFINNTTTLHSTLRITTMADFAEEED RYQIPGFYYSTLYFTTTFAHDPSVYAPIVSEFNRSCHAVSAVENMNWYMSFQPSAALN GENSLGLDPRDERLNIIILVAFFPSPEDSTVVRNAANDLIRSIEEITRAAGVYRPFKY LNYADDSQDVIGGYGEQARAALQAASRKYDREGVFQSAVPGGYKLFA ANIA_03349 MDILDVASSELYTLVRSLVTSPVCQGVLFSLLTWRLWRFTISPT LQPEDPKEAPYWIPCINQLGNTREPFALTLAGKTMYIIADPKDAAELIRNSHSLSTLT TELYTRMGIPQAVIERLFTVYPDAPFNARSNARPVHATDAMIEMYRAHLSPGAQLDEF LERDVTKRILNAFAKIPGLFNKGIVGAYYGDLIFSLNPGFIAQFMVWEKVNWKLLFGL PSFLSGDMLAARKGLVDGFVAYFALPRAERGHENYWVKGVEDSLRWLNVSNEDIARIF MLQTWAILGNMYKMTFWLVAHILHDAALVNAITAEVRPAIEIDHHYLSEQCPKLDSLF SEVLRLTLTAPMARDVSETTTVGGRRLREGNRVLVLYRQLHLDRATWGPTPQTLQPDR FLIDSGLKSSIAYRPWGAGKHICPGRFLARSAVFTFVAYLLAGFEVRLRETGTGKSTR SAFPMADMSRPSPGIANIADGEDVLISVIRRDRSS ANIA_03348 MGRFANIDTRDPDVFVTTWFLVVVAVLSVLIRVATKCRVFRQLT SDDYLIIAALALCIAQSGAISAAVAHGYGDRFTTVASADFVQVMKCQYAASILYIASL CLSKLSLSTFIHNLTPVHRDHLLAAVLLAVIALLGVTGIIGTAFQCRLPHPWDYWWQK CFDLCAWAYFLSAANIATDVAIIVQALLLIFGIQAAWKKKLMFASIFLSRVFVIIPLI AEMVFTREARNPDSDDPTYASCLAQIASQIVQSMSILTACWGQVNPFLNQLKSNGLRI RGLEYQSSAGTGGKGQASASRSSGYSRGQQSRGNRVRGDDHYELVPVTVGQSETTVEA AASSHGWDADADGYADSRSCSSRTGIIRETRTWDVSDGSGLGSGSRA ANIA_03347 MASQELKHGAVAASVTVQDRDVSELARLGKKSVLKRTFGILSTL GFSCTILATWEGIFNTLLLPLSNGGPGGAVYAFIFAWTGTACCFAVLSELASMAPTSG GQYHWCAMLAPPSYMKFLSYMTGWVTVIGWQAAFASASFIAGTQIQGAVLLSHSNYDA QPWHGTLIMWAAVVLALGVNVAGGKLLPRLETVILVIHILGFFGILIPLTYMADHKSK HDVFLDFVNDGGFPTQGLSWFVGMTGCVFAFAGGDAAVHMAEEVRDASVAIPRAIMLS VLINGSLGFGMLIAVLFCLGNLEEALNTPTGYPYMEIFRQATDSVSGALGMTSIILII GICTIFGLLAATSRQFWSFARDRAVPGWRIWSKVSPINCLPTYSILLTMSVTCLLGLI NIGSSVALNAVVSMAVSGLYLSYLTVGSLLLWRRCTGAITHFQNGEDGVVNVPGAKLV WGPFHIPGIWGTIVNGYAVIFMVIVVFFSFWPSQMEVDKTTMNFSVVGTVGTIILAMA YYVLRARKVYQGPVMEVSL ANIA_03346 MSRYPLDSQAPAVIVLTRFLLVTLILGTLARLATKWWKFRTFFR DDYYSLGAMLASIGQAIAVSIAVNEGYGTHIKQLSEGQVAGILKDPPILSSLRTEKNE TDHNRLDSVPATLIAQCVLTHNTINSSDPTLATWSIAVCAQLALCLSVVTASTPQFVP VLRRLQSSGMRLDGMTRYNTSSNPQYSRSRSRSKYAHSARRTGNASTHELDNLPLAGT TKTTVTGAIGENRVSSWDEGSQSSQTGIIRETRTWVVTEEHVQI ANIA_03345 MSAREMKGLHADPRELNGSGREKTSDDVHLARLGKKQVLRRNFG MLSMLGFSCTILVTWEGFTCLFLQPLTNGGPAGAVYGFLFVWLGTACVFVVLSELASM APTSGGQYHWVSMLAPRSCVKFLSYISGWLTVIGWQATFATACYLTGTMIQGVSVLTH PDYTPAYWHPTMYYWAVVAFAVGINIVGRSVLPRLEGLILVVHILGFFAVIIPLVSLA EITSAKDVFTEFVNQGGWSSDGLSFFVGLIGCVFAFAGGDAAVHMSEEIENATVIVPR SILLSVLINGMLGFGMAIALLFCLGDLDTALASPTGYPFMEIFRSGTNSVGGAATMTS IIIVVCICSSTGMMAATSRQLWSFARDRGVPGWKIWSYVSPTTAIPTWSVVLTTTIAA LLALIPIGSAVAFNDLCAMSISGLYLSYILVACLLLWRRVTGCISKTAEADEIVNTIG ARLVWGQFYIPGIWGVIVNVFAIIYALIVVFFSFWPPYAEVELETMNFSVVGTVSVIV LSVFYYLVRARHVYRGPIIETSPL ANIA_03344 MLQRFQLRIATEDDFPEIYSKLWESFENPFQGVLRLFFPILNNN REASLQTCIASQLEEYRQLQPTVTWVKIVDTLDGDKLAAAAKWYFYKENPHTGPQVGQ LVADWYPEGIGREFATLAARQFERPREQMAQRPHAFLHIAFTLPQYRRQGLGRLFMDW GTRIADERGLEAWLDASEFGAPLYAQYGFRVVLVNRVKPVPERELSEEEVKEWKHYEN TLLPIDEIVMWRPPGGRYVEGETVKPWEVEV ANIA_03343 MVADDQLVLLNDWKLAMTSLAKALDLTVSSLQGRPRDLARGLAA RFVSLAKQDSPQQIPLMTAVAPPQPSRQMEQPNQPPTPEACEGPLKRQTSQPTTWASL TAPRAGQGNWQTIAPEHRTQAKQPAQRKLKQSNKTDHRIFLHLPASSSLRAIGPHGIW VTLAGKVPDGIAQVQVILTGYAITTTEQGKVFLLSEKALFSPPTRWTARPRTLTYVQR DLPAHSLPEPILPDITTIYTAGLTIINVYCPPNNLVAPAGAGSTPSILSTLLGYAPPE NTILAGDFNTQHPFWQPDTESYAVIPGATGLLDWLDAHELELRLEPGTPTRGPNTLDL VFSNLPLRALVEDHLKTPSDHATIGIILEQEEPPPIYKLGSTNWEKARALASLPDPTL LIDLLAKQLLRKAIVRAKAEYWKQRIEQATAPIDAFKLAKWIQHPDQLAASPLNIQGA QVTTPQGKADAFLNYLLEKGALLPNQTEEGPPNKPLGSLHLPTKEHCWAALCAPPLSA PREDRLATTAWRELWPVLGDIITQLYYRYVKGVFDAVIHQRLLSHLHLQGWYKGLLQL LKDWLTGCSVSVHIKEGTATAPIKGRLPQGSPLSPILFLLYAARIVSTLEGSFCYADD MGILLTGNTLEESLQQLVEAYKQITALGTETGLPFSIEKTEIQYFSRKQQQHLPTVTL PGVLLDTKLTFKAHINLVFSRGKRLAQHLKRLSNTQRGCPVASMWAAVIHSPGYYSAA YKTTPTAALLREADLPDPEALLNSILWRAAKPLQVRWIPGHSGIAGNELADKLAKLGS SIYSPDIPPSPAYLRREAKQWLRTETYTAYANKAPETYKALNIRPHTKESRSREHKLP RWVLGRLVAACTGHGDFTAYHQHFDHTDYLESCTCGKAKTPVHFFFCPYTRKRWKDRW RCIKDGPSKTIDWLLSTAAGAEEFSRIMQESSFFKDICPNWARRSA ANIA_03342 MSQTKNTAPASVQEIWENTIARFHQRTGQQLNGVSRTTEDLRRA LAAHYAAQVDDEDISRAKETGFKIIRCIQLLGGIAAEGASLVFGPAGLCFNALSFLLD IPKTVHEFHGEINAIFAEVGPALAQFRIYQRMEETMSVDEALRASIDQVMTSFVDICA NCINIHREGRWRSFKRSAKRILLDEGSVRDELDNFKKLTQNQLNVQATLTLEVALETN QGVAFIKSSVTEIDTTAKGIKTDVSGLVEAEHKRGLNDARKKSLKTIRENLAQKEEET ANVIDAREKMWKNSVKGSGKWLNNIDEYKQWLDRSSTSNSLLVLTGGPGTGKSFLVSA IAQDVKSRNSATKAERGLLGYYSFSISNKADSDRNRPETAIKSICTQMAEQDAVYARH VAGVCEESGKDKNYFKDATCQTLWTALGLGSPVRNAMHYILLDSVNILSEEELKRLFE MIEKRPALSDEDKSNPVRILISCERSVLQDEWLNSVSAMCIDITRYNAEDISTFIVED LKRADLFQGHDQDSQRRRKMVGDRLLKRSNKCYTTVQQDLGKIKAIVASSGTEEELNR VLQESSTDPKAVVRSELETLESVLNPREIEEVNELLIWVIAGNVYLSIDELASALYLR FKTVSLQPLAQKIAGKYSKIFDLSYGGNTVILRDHVEEYVVAERVRPRQSVDDPKISA TITITNGDRKAVQRFFWDLTHHSSFANGFEFRPESDLSQVPNRKFQVYMVDAHFEIVK RAFEYFLLPQGEEKEGGRLIGMYMLSSIPGHLEALYNAQGLDELPVADKQYIGSRVYD MFNECDLIERNWDLCKWTNWYSMDHEMEIFWKWLDNPVAIARLGARDKRWLSEIRKDK YPNRSLLTPIMTMVARNWLQKTEWNVQTPFEWLSGFLSLGANSALKQDDVAAEEAGTK TQPVEKTGEDDEIMISQTDSEVEKVVQAENWAKKALGISEVDCTWCIRLGETYKALWE REAAMEQYKKAVVILQNQDAVDKERLASIFQALGELEDSVDNLESAISYYKQAYEQNG PNKDILHALASDYALTGLKEEAVSIVQQAVTEKTPGTESSLLIAMLQTVVRKDWAHLE PLFTAIHWLIVSSPEYWTVILQELEMAIEQNRAENKGEDVASLQLMLGNAMYFLRQNF PEDLVKAVDYWHAGLAILHEEIGLEGRWDLDFMKQQTLTLLGRRRVEQALQEEQPDYQ CYTSELQELYETGEAYPSVKLVLASLYTLSGQRLKAQEILRSEMVTAFNILVDDDDGN DWEGFSAIRNSLMHTEDFENARKACLLLPERKFDLGVLKALLENEDPSLEAASTHLVE FYEKECQSDQTAMDRFNKVAEETERLRAAAEPNSTEAALWTGVSRILEGFHKLDDLPF PCKACWKRGVGLNFCKYCDRIDLCDACLADLQAGKASKPFVCSKLHDWIRFESWTLEN YVLAWKRLVPVTAADGSQQLISASKWLGNLCDDWKLDKSEWGFE ANIA_03341 MLVLTRSRLASSLRALNQTWRSHAGATSPARAEEPLFGRLIEVV QHTWYLGFTSFGGPPVHFQIFHARFVEKEKWVDEQTYQELFAICQGLPGPGSTKMLFC LALLHAGFIPALLAFLLWSLPGAIGMYALSLGVQRIDEALPAPVYALLSGLNASTVGI IALAAVQLAEKAIRDKISRILVIFGACAGLCYSALWYFPVLMVAGGVATALWDGLVHQ QILRAKSAWRNRNRQPEPEGDAPNPAGPSDTSGRNSARELGMEMLRMRKPDAGTLTQH PTNTRDSEGSEGPPSQDHVIRIRAGLVILIFFFAKVSPPPLALDLFANMYLAGTVIFG GGPVVIPLLRSYVVDPGWVSSRDFLIGLAIIQAFPGPNFNFAVFLGALALQTTSYPTI FGAFLGGFGIFFPGIALAIAVQSFWRVLRKQKYVIDFLRGVNATAVGLVFTAVYRLWE IGYLNPGDRDGQSLAKEPWWVVVAAVTYAESAWFSVPPAAAILLGAALGLCWYGVVAP AW ANIA_03340 MAADEYTIGWICALPIEKAAARAMLDEIHNTPPAILRSASDKNS YTLGRIGPHSIVVASLPSGVYGETPAATVAAQMLASFPSIRVGVLVGVGGGIPADTNG YNCSRFVRTGALNKPPLVLLNALAELEAEHEVDGSKVPLHIAEMLDRYPRMRSEYSYQ GASNDILYHSGYSHREDEDGNDPASSCSSNQVVKDSALRDRIGAEIGAICLEMEAAGL MDSFPCIVIRGVCDYADSHKNKRWQRTDPGQELRLRRHTIKHRVYLNVLPLAEGAAFD SYENEMSPHCHSETRRELLCQINDWSCDRNGKSIFWLNGMAGTGKSTLARTVARSLRA DGRLAASFFFKRGEASRGNASRFFTTIASQVASSIPAATEHIQEAVQSSPMIADKGLG IQFFELIVQPLRALKIQLAAAAPVILVVDALNECDDAVHIKTIIRLLSGECMKEINLR VFLTARPDTAVRIGFHQVASKAYDGMILHEVAQETIDHDIRVVLEQELRKIKQNYNSL ASSADALLAADWPGSVAIDRLVEIASPLFISAATICRVLSDSRFLPQHQLAMLLEFQS ASHASKLEVTYLPVLDQILVGDLTRREKEYLTGEFHRVVGSLIILAEPLSITHLARLL CISRARVETSLASLHAVLRIPADDGPVRLLHASFRDFLLDEHLLEKTPLAIHAPTVHN YLLQHCLLCMSRTTSLNSTSCSENICGLAALKGLDSSLEQDIVGRILPSDLRYSCLYW VYHLKQANRSIQVGDHVHSFLQTHFLDWVEALGILDRPSEILQAVQVLVSLVEKTSKG NVLSEFLHDARRFVHSHKPVIERAPGILHSSVLFLPLRSSIRQNFIYHCPGWICALPE VPQDWGQPTLHVDGFALNCLAFAEDSSKLASEFRVWNAISGDVLHAVARYKPKSTLGP KHDYQYGIKALAFKSAHELAIVTRGGQLQSFNLITGDRIERTLDSAVHTAVFSGDANR LAFIGCSNPFKVSQTQPTDPTVVVIDNVSGQVILRLPNMGYPLLSLSSDGSTLACCVL SNAESTETAHVKVFDLTKENLRSHVRAPKVISVPFMYVSMITLSRNGQKLAIFGEEAK APVVLVLDIGKYKYERFLPVLAKVSCMSFSPSAETLTIVLEKKEFHLYDLATGEAQMI RKHCRHDFVVFAPDGLRIATAPRKANGIEVWNSLINPESSLRPGQRPTSSPNHFSPLS AFSSDGSIAATAQQSQRNTLLLVDVYSKQILHKLQAYGVPEAVAFSADRRVVAAKTFL YDNNEADKRDKEGDGGKFTFEELFDDDIVVIEKDFAGPDNRHPCWLEVWKVGSNSTGT RPTPQFKKGFSMEYREAIFAVNATGTRVAFALSPVAGIARDADLRNAMIVEEWDVVNR ELLCTHTIDQSFRVSKLEYTIDGANIDLFNYIELWPQLPSCPSTTAINTTIRTRIRAW QTGQGPRVRHPKWRAEQQSFPRTGIWVTEDEAWIQYNGHNILYIPGYLRPMQHVYLGT SFDAVCVEHWGSEVAVVGWVTIEGLLTTFQIDLARLRLCLAGISQGQ ANIA_03339 MAAVNRALWQDEAGIAGVIRENAVPTTVADNEILVKVNAWAMNP ADAILQDAALPAVKYPLILGEDVAGTVEKVGSEATGKLKVGDRVLGLALGAAVFKTEQ GAFQEYVILDYTLACKIPDSLSFAEASVFPLCIATAAYGLFSKDYLGLPLPKINPTST GKSILIWGGSSGVGSNAIQLSKAAGFEVITTCSAHNFDYVKRLGADKVFDYKDPFVID KIVAELDNGECIGVLQAAGDTTPSCEVATKSKHKLRLAASNPVPEGMAPADIEVKMIF AGGTAIYYETSSATFAGYLPEALAKGLYQVAPTPQIVPTKGLEGIQEALNILKKGISA KKLVTLAN ANIA_03338 MYASFDVTRVAGGHGFDSNLFVFLKVEGRPDCGKPAGANFMLNQ VSRVEDVFETNWIVNVWRCLAFILIPGLDNFFELYFLAFCAYKISKVCRVIVLGCLRR RYSIRPILSTTMLYRGPVFYMPELDLA ANIA_03337 MYQPLLLLPLLLTSAFANPHDPHIHHSLEKRASFPIPSSKGSVT FSSPKTISGTFDGGMKTYGRGVKCTGQDEGGDEDAVFILKDGATLKNAIIGADQIEGV HCEGSCTIENVWWTDVCEDALSLKGSGSGTHKIIGGGARNADDKVIQHNSGGKVIIQD FTVQNFGKLYRACGNCKKQFKRTVKISGVKASSGKALVGINSNYGDTASIKGCATSVK EICVEYEGTNNNSKEPKKKSSGPSSYCKYSEPLSKC ANIA_03336 MFVSRTSFLSLLLSSLVAGLSYDNIDKAATPRAKQLLTYIQAQY GSKYIAGQQDLGSVQWLQQNVGVTPAILGTDLMYYSPSAVAHGSKSHAVEDSINFDRQ GGINALVWHWYAPTCLLDKNALSHSGNGTDYGLLIRDIDAIAAQLKRLADANVPVLFR PLHEPEGGWFWWGAHGPAPFKQLWDLIYDRITRVHDIHNLVWVCNTADPSWYPGNNKC DIATIDHYGEAGDHDVVKGKFQALQNVTRGERVLALAEVGSIPDPELQAKENVTWAYW MAWNDEFIKDGNHNSQKFLQNILNSSRIISLNGATRLGL ANIA_03335 MLFHSVPKLALFIPLLGTCLAELLTPFPDTASDLAIKFQPVLDF DTDSCYQTAVIGKDYLVNSDIDPNLAPPRPPGPGPIIRAATDDNQVVFAVNASNSDSE IGIRSGGPYAPSGCRDKIRLDRSQTGFSNAHKHDWELVNVWTLHDQVFFVSWSAHGDY TTHYWSTIRFKGSHPKIVYHLGSSGTHSLRKTEAKDDEIENDTGRWFRAPLVSLEKMP CKFNQELLNNNWGSAHSDLSRFGEKLDKWMPCDARNNEHFDPWEPKVPSWL ANIA_03334 MSRNLVITSIESLTGSQIAKTILASRSFAKGIKKITGLTLYPDS DACAELKEEHGVQIVEHKPGNLDAMVSTLQETGADTICLLPPGHKDAFNITTELITAT KKAGIPNVCFISSAGCDLAEREAQPLLRSVIDLEAMVMEAKGDASTETGHSPVVIRRG FYAEHLLLYSRQAQEEGKLPLPIGTSHKFAPMALSDVVEVVAHVLTGHGKHGFSDKHR GQLMVLTGPQLTAGDELATAASQALGKELKFEDISESEAKKVLKDDAGSSEGEIAYLL EYYALVREGKTNYISTTAFHDVTGKHPVEPTEFFKQYAENLRPKANKKRKTQ ANIA_11389 MAGDGSQVGATRSELRKNKVSIISIPLRSLKDIGEKKREFTSGY LGCGTMARVSRATPAGIARQFRKEMSLEEGVDVVLEFTQWATQFDLLP ANIA_03333 MTINAYLSTYRSNERTEHQGGPFKISITAFRSTWSIGVTLRQLV GFTRPSAANHTMSYALVQYCLSRLPIQHLEQLGNLKIPFEIHAAPFQFLQKHHSAFGF DWVERLVWRTHDLHKPYNYLRPELLLAQEIDSQRLVAILTIMPGEDYIRHYASMVEVA QHDGAIFSNHGPIHCVLYPHLTQSMMTWTGLTELSANIEPGDVVVLGFVAELLSRFAS LVPTSRMIWRQDSQYYGLVRLELHPGLVFSLVGAKYSYWGNLGGRVVTELAARRPRAI CYIAKQGTLLSPDDIHCRIYSPTRYCVFDKGKACWHGDDHPALPINPLSSRSPTFDRG LHVSTPTIVEQDVELRTQLGAHGAASIDNELAQMARALTDMHEENPSMSRIQLLPIMF CTDYLRRPEELGMSVPFDLTSRNQTVQRGKELFLARAAHLVLEAFDVIQRPKAIIVGT GYGVKTILPALQRRGVEIVGLCGGHNRAKTETVATKHKIPCIDLSLKELQACHGANLL FVASPHDKHAALVQEALDLGGFDIICEKPLALDMTTMRHLVDQSLRSSQLCLINHALR FYPPLIHLKVASKEPANILTIDIRYLTRRLAKLTHWNSCFSKSAGGGMMLAMATHFLD LIEWFTDYPLTHDSMETITTSNSIAPLPTEDAQITKTPNVESAFEISGYCRSSTKYSV ECDGAADTELFSVTIHLANENELRFIQQKGRPVMLEQRHSGREWLPLKVHLEQRVRDG SPWQVSFQYFVEELVEAICMGKRSAFADKSTGFDDYSRQVGVFGSRVGIY ANIA_03332 MSLYVLIRLVKPAHKTPAGTRSSPPIIGLVGGEDDVPLVNCHVI LQIMVCQDFWGHRGHRKYQGHCQAYLWVSVGIGAQDIKVGPQLLVKDLLGQGRSTC ANIA_03331 MSSPTSAGVLQTHQLIDNHSILIRDEIYGEELVQEPVLVELIQS PEVQRLQGICQHGVTGFLGLTPRVTRLEHSVGAFILVRRVGATIEEQVAALLHDISHT TLSHVIDHALSKPGEGSYHEVHKTRYLETTRLPDILARHGINQKVFEEELFPLVEMPS PQLCADRLDYALRDAVSFGKLAMEDARKVVSSLKAFPSATAARRLLVLDNPHVALVLS RAYINTDKDVWSSPAHIDMYQRTGQLIGELVEAGSVDNMVLWQLSDAEFWTLLRQAAN HEQLRAIERLEEEGIPDDNGLCLPQCAKIRTLDPDVWQGGERQPTPLSIVLPTWGSER QQYILSRSQH ANIA_03330 MFESVYTIVLHGNDGTGKSTLTLALRAAGEVVYARGDEDPALED TLVVRSFDRFTLQLADDDRVSLPESYTDRDGVHRRIVRIILDADLPVLQARLANRPST DKWESEKALFYFRARFLELAAFYGLPVVDTGKKGVNGTVSDIIALARNPKALAVFSML ALRTLTPNDIASLARPRAVIPGVDYAQRLEEIIAIECGETSIFTPEDVRAQCLRDPGL VHALVNHYDNLHDANVPLRLRLVVEGESKQIYKVETPLTRHFDNRILVFLKPTIYSHS KQATAEIAGLGAIRAAGSRLFLEMLHRAGISHTYDGLNVHGLIWARSTEITQIETVYK GLCAGTDRHSFFGMVTDPKVTLPTGQYKRGPYVRFDWRNPNHTYKGINPATHPFYHLM EASVGKDVFYDNYLTGRAKPLGDKCVPEELVHGVQDVEASVDWTMRIFFTIQYYLHQI GLEVQDGCVMLDPTGRTMWSEINQDCMRIKWREVTNANGQDAFDKDVWRAGGSSAEEA ILDKWTQLNSLLHAHLRAAHSTSTRWSPLLADKTLTLTPRYRALYERLAAHDRSRLRS ESASEATSERLLALMQEHIWQLTAAISPHNAHEEAKTMVRLTNTYARRVGLAPAQVCA LTDSDADAVLARPATPIGSKAIGVTANKYAGKTDVFALAELGVKLVRPEGRCLRVDYE IVDAAKFTKAFGEGMSVHFVPTRPKDMPGLLVQGMLDGAVTYSSVMDNFPTVARLVAS APDTDISLALIGRRGRQIDPRAWTADKPARIVAEHVRMVRTFFAGLGVPPDTYEIQRV LGSSESYLVNDPRETYLLCDAIIATGGTLQANDLDVWQVVKSKGDIVVGLYQRL ANIA_03329 MVEQQTDKDKRLGITWYNLIVKGIATDAAFHDNVGSQFNIPARV KGSRAKPLLRTIIDNSHGCVKPGEMLLVLGRPGAGCTSLLKILANRRLGYAQVTGEVR YGSMTADEAKPYRGQIVMNTEEELFFPTLTVQQTIDFATRMKVPHHLHSNSTKARFQQ FNRDFLLRSMGIEHTRDTKVGNEFVRGISGGERKRVSIIETMATRGSVFCWDNSTRGL DASTAMEYIRCMRAMTEVLGLSSIVTLYQAGNGIYDLFDKVLILDEGKQTFYGPLHQA KPFMEELGFLYSDGANIADYLTSVTVPTERRVKPDMESRYPRNAEELRSYYEATQLKR KMALEYNYPISAEAAEATKNFQEAVHSEKSPALSRRSPLTVSFSTQVKSAVIRQYQLL WGDKVTFLIPQGLNFVQALITGSLFYNAPKNSSGLPFKSGSLFFAILLNSLLSMSEVT NSFAARPVLAKHRGFALNHPAAFCFAQIAADVPLILTQVTLFALPVYWMTGLKATGEA FMIYWITTISVTMCMTALFRAIGAAFSSFDAASKVSGFLMSALIMYTGFLLPKPSMHP WFSWIFWINPLAYGYEAILSNEFHGQLIPCVNNNLVPNGPGYTNSEFQACTGIRGVPA GASVITGDQYLQGLSYSHAHVWRNFGIMWAWWVLFVILTVYFTSNWSQVSGNSGYLVI PREKAKKTKHLTMDEEAQPGLDLHDSSHRGGTSPIDDEKGSHTNSSSKVDAQLIRNTS IFTWKGLSYTVKTPSGDRVLLDNVQGWVKPGMLGALMGSSGAGKTTLLDVLAQRKTEG TIRGSILVDGRDLPVSFQRSAGYCEQLDVHEPLSTVREALEFSALLRQSRDTPVVQKL KYVDTIIDLLEMHDIENTLIGTTAAGLSVEQRKRLTIGVELVSKPSILIFLDEPSSGL DGQAAFNIVRFLRKLADVGQAVLVTIHQPSASLFAQFDTLLLLAKGGKTVYFGDIGHN GATVKEYFGRNGAPCPQNTNPAEHMIDVVSGSLSVGKDWNEVWLTSPEYTAMTQELDR IIMEAASKPPGTLDDGHEFATPIWTQLKLVTNRNNASLWRNTDYINNKFMLHVISGLL NGFSFWKLGNSVADLQMRLFTIFNFIFVAPGVMAQLQPLFLERRDIYEAREKKSKMYH WSAFATGLVVSELPYLVLCAVLYYVTWYYTVGFPSGSDKAGAVFFVMLMYEFIYTGIG QAIAVYAPNAVFAILVNPLVIGILVFFCGVYVPYSQIHEVWRYWLYYLNPFNYLMGSM LVFTTFDAPVHCERSELAVFNTPDGQTCGEYLADYMQGLGSRTNLLNPNDTQDCKVCQ YRTGSDYLYTINLKDYFYGWRDAAIVALFAVSSYACVYALM ANIA_03328 MALDESEATARTKRCLERIKSHDKSSAKVIFIFGKEGVGKSSLA EKITQVTGLAGNGAADVPPSGPGTEKCQIIDTEINGTTYFIVDTPGFYDEASQWHIFH DIADTFNQIRGHAVIAAIFFVTPINTFTRRLDELEQRLYAWLTELCGETFFPYLTFVT TFWEGQTKAYNERLEARKRAEWASFLLQGARTYQFGKRHVDGFEMEEVLSWDVDADEL SNHARKMVVRHCRNDSSSVEPLFLRELSSGVSLDATSAAKIFRPESSTSNTGTSQKPL TPDNAKPANSRRRGTGNKTEASSTSQPAEGFSLVQNIVRELGRGVGDALMSYVPVIAQ NMIAGQLGGGGGGGLVLRRNGGPGAMAAQGFDINSSVDTAKFLGLSSDFESRKRLYTE LGGPGIFTGSAANGDWLRDQFWRRAKD ANIA_03327 MSALKNNEGFEKRQSAGIEGPNGYPVPNSVSLLNPYICLKQSEL RRIQRSRLSAGRPTPLLLWREAQRFALARVLMQRANNLIFDEATSVQDADTEAHEPLL QINSTSLINFHQRKLPREYTNLCNLCPRSFLFAIVANVGPGGTTRTLVIAYHQGNDDI SGEQTFVSRDDYFVSDTLALIETFRTPQSQTQSQFQGAGEPHVNQRPSVPDTPQPRFL VPEGYSWGKQTEPQLVLPWRACAEFPFTATCLILELLCDYSDGEDYGASVRPKLKRNN HRTRPGDVQLQSLATYGIAAFLISYMDDAEYHAYDCDPVEDPPPERSRMLCAPAEASC AVVYSAVVGGVLELSPLEE ANIA_11387 MDELDRLIWFIQSTSIPNSNQWIQSLRDGSTDAVIALLLSCLPS LKALYLIYFKNRGLQRAVVGGNRHLK ANIA_03326 MHGKLWYGLMALATLGSPALANPLLHGLGHKNTSINLNHLMARN TDKFDPADLSYITRLAAIGDSYLAGIGAGDMLDSEAGKSLSFLILNRVFQQPWNEYCS CYNYSYPYLIHTNEQLDLMYYSLSAVAHGSKSHAVEDAINFDRQGGISTLVWYWYAPT CLLDSEEQPWYSGFYTEATCFNIANTLSHSRNRTDYGLLIQDIDVIAAQLKRLSDANI PFWWRAHGPAAFKQLWDLIYNHITCVHDIHNLVWVCNTADPSWYPGNNKCDITTVDHY AEAGDHGVLKGKFEGLKNITRGERVLALAEVGSIPDPELQAKENVPWAYWMTWNDEFI KDGKKTL ANIA_03325 MGILMFENNVANRYEQTGKMEDLEEAIQKAWKAVNATPEDHSMF AGRLNNLAINLSARYSLTGKISDLEDAIHKAQRVVNITSEDHPDFPDRLNILGVLLYD RYNYTGRIGELGEAIQMAQRVVDIAPEDHPDLADWLINLSISLSARYNQTGTMEDLEE AIQKARRAVNITPKDHPDLATRLSNLAMNLSVRYDRTRRMEDLEEAIQKAKKGIDTTP EGHPDLASRLYNLAIMFSCRHTETGKMDDLENAVRNAQRVIEITPEDHPDLADRLINL ANRLLERYNRIGRVEDLEGAIQRTQRAVEAIPEDNSDLAKYLNNLAINLSVRYNRTGN VEDLKDAIQTAERAVNITSEKHPDFADRLSNLANRLYDRYKRTGKVEDLGDAIQETRR AISAISQDHPHLAGQLNNLAAMLSARYRRTGNVNDLGEAIQKAETAVNITTEDHPQLA GQLDNLAAMFAARYNRTGNVDDLREAIQKAEKAVNITPEDHPEFTRRLNNLGSRLSDR YKRTGKLEDLEEAIQMAERKVNITPDGHPNLTGLLSNLALMLSDRYKETGKIEDLEDA IQKAERAVNITPEDHPDLAGRLNNLAIILSDGYDRTGKMQYLEGAVQKARKAVGIIPQ DHPDLAALLNTLANKLSAQYDRMGRIGDMEDAINMAQEAVNITPEDHPDLAIWLSNLA NRLSARYQRTGNVDDLGEAIQKARIAVAVTPVGHSDFAGRLINLANKLSARYHRTGKL TDLEEAIYETRRAIALTPEDHLDLANWLKNLANNLSNRYMRTGKIHDLQEAIETARQA VDITPEDHPQLAGRLNTLSVNLLAWYTKTGSIKDLDEAVQKAERVVGITPDDHLDLAW WLNNLGNSLAVRYDRKGRIEELEEAIQNLQRAVDITPENHPDLAGRLRNLADRLSARY YLLNEQQDRLVAIKNYVRSYNCLNAIPSHRMGSVCRAIELLANGHDYQTAGSLAEKAL HLLPLVCGRSLNRDDQQHAITQTTGLAAVACSLLLKTAGDPASGVEYLEQGRGLIIGY LIDSRSDISDLAERYPDEAKEFDRLRYKASAPISVDCPPELKMQLSQERDEAVSDLEK YLQHIRTYLPGFERFLLSPSSNDLKLCAADGPIVIVNVTSISSDALIISISDIKHIPL PNFPADKVRQYRPWRLTKSSPRDIEAEMEGCLGQDAEFQQFLRFLWSNCVRVILDDLG FLHCPSDLELPRIWWIGTGSASALPFHAAGDHQKGSTENTFSCAVSSYTPSIKTLRYT REKAAVEHNAQSLLLVTMPETPGQPPLPGVRAEAEAIQEIVEKPHVMQLVDRPNGETV LQALKTCTIAHFACHGSSDLRDPSNSYLALQGPGSAPDQLTVQKISDSHLGQAWLAYL SACSTAENQVPDLADEVLHLASGFQVAGFRHTVASMWPSNDDICVQVASVFYQELLMK GRIQEGSRGVAVALHSAVAHVRAQALEQPYLWAQYIHLGA ANIA_03324 MSRNLVTTSIESLTGSQIAKTILASRSFSKGIKQITGLTLYPDS DACAELKDEHGVQIVEHKPGDLDAMPATKKAGIPNVCLISSAGCDLAEREAQPLLRSV IYLEAMVMEAKGDASTETGHSPVVIR ANIA_03323 MALAAEWSCYAILRRGLRVLWNPKAAQRRSYSLSLPYCYAILLM TLSTILHWLISQSIFLGAVASACHLNYDLNLEYEVRQVDSHPPEEDMMYSPVKWGAIA VDGEVGHSRVRIDE ANIA_11386 MQRLALERLIYLFPCNLVIIFKDLVLYFAPKPLERPPVENNTAY ARYINPIMGTGISRTFLQLSVTSQLMPDSW ANIA_03322 MKSSANIDGRRIVPFAIHSTTNDSFHDVHPILNPANSEALGSLP LLSHQGQHIRNEEKAGKGVLDIKKRLNCERANCGSRTKTTGKPCKVLLKEDKIAAADA VIESLRPLTQSSPNLEDQLFELANIVHCHQHASKVLKQQRVNDWFMTFPTGDDKTIPV MSVAKKIENILWDKVSNCCIGKNKKGNRCQRKIGGQKVQNYQRTIKEIVKPDTYLDDS ELDYFLQVLQHNTFCFYHVSDQGAKQVKEWKDTITNIRRKSGIPAADSNISQSGKGDS QQASTPNVHMDTSSSNILRRRSKSLSPAQFWPEEHDNTPLKIVTKPIDTADTIPYLLP ETDQTKGFVYAYEVESNKGLVKIGYTSKTVGERLSEWTFDCNRVVLPIYPIDSRAAVA VPNAPFVEALCHAELRQRNVWINCDACLKRHVEWFRVSPTEAIALIRKWSNWAWMQPL PYHPSLDLALDACAEAKMEDNNAFDAKWPVEEIQVQLQAV ANIA_03321 MGQLACYVSLWTTVLLSASAASERYLPVVKRDDPAVVSARLHAP PAPAIPALQARDTISAPALKDSKFGQGGYWLNVSIGTPPQPVALLVDAQDTGIVVMYP GSQNVDCSDYRYCDFYGQFAPQNSSSFASYDEDWQQELPTSFSGFDTLRVGDSKPINI SLGLVAVDNVSSYSSIGIGPSNTSFPYQLVDRGLINTPSFSAWRDAVQDADPDLEQHP NHSPGSILFGGINAAKFIGPLHAFSFRDSLPSMTLPVHGVQIHVDPAAGLPSTANFSS TEVLINSTFKETLFDLQTRYVSTYVPLETAMIIYNALNLTTNRRDDGYYTAPEIPCSR KTENHTLTFLIGSAAFDIPWTAFLHPSNIPSQGICYFYIQPPYEEDPLFAGTLGSTLL SQLYLAVDYNSMMIGIAPINRNSPQDEILEIGTEAPQFPGGVGDFPETVTAYTPAPTE TIATETSDGWAAMRTAAPCVLPAVAGAVLIGLI ANIA_10398 MGLCTQPILRGRRGSATRKATEDGRQFKPSINPCSGNGNKFHIP LDLSAVPAIEEFVGREEELNCLWDYLQPASSQTRKVAVLHGLGGIGKTQLAIHFARKH KNEFTAIFWLNGKDQSALVSSLSSCLSQIQGQPIEDQAVNEGEAVQRANQVLQWLARP GNTRWLIIFDNIDQYSLIQGRGHCGYDVYKFFPKADHGSIMITSRLQGLTELGKSFPV QNLYTKMLHSYYLINLSSLLDGLSLAIVIAGAFVRQTGTTFREYLELYRTSWFDLQSQ SAPTRQYRQGNIVQTWIITYKEIQERDPTAAKLLLLLAFFDNQDIWYELIQKGNSKLQ I ANIA_10397 MLVNQPNWDYSLVNKKSKERPTPLHEAAKNGHEKIISILLDRGA KVDERDEKRRVPLHEAAAGGHTGIVSLLLHKGAKVDEMDEAGRVPLHEAAAGGRNTVI EILLAGINIKDKEGRTPLHHAAKEGHLPSVSVLLSHGAWADVPDNDERTPLYLAAIHG RLEAVQKLLSEDADFRKTDIEGRGISAVLKEISDENFSEEVKEQLSQIKKLFEGLSRS PELYTESICGNEAVDSQFSCAIIKFASGIFQRQRKNSEPSIDKVISGQEEIFGPRMEE NDVRFRWLHLPVNNVSSTGSHDTDITNVSES ANIA_10391 MANRSYYDQKMSTLRDLGTSWESCRRVLSTVTLAQRPLRLAELC VLSGLPQETQAKDIVALCDSFLTINEKDDSVDVDLPTKDYLINEARDEIYPSGVTGAH LSLFSRSLEAMSSTLRRNIYSLHHPGVSVDEDMLLIPEPDPLATIRYSCVHWISHFCD AYESDACHKHQIDPDNAKSVDNFLRSTTLYWLEVLSLIQETASALRSMWRLVLLLRRK SSDSDLLDLVRDSYRFFLQNISAIKCAPLQVYASALVFSPADSLIRKLFGKEEPNWIE TKPDVANHWSPCLQALEGHEAAVLSVSYSHDSRLLASASDDRTVKIWDTETGSLQHTL EGHSDLVRSVIFSHDSRLLASASDSTVKIWDTGTGSLQHTLEGHRDWVRSVIFSHDSQ LLASASDDSTVKIWDTGTGSLQHTLEGHRDWVRSVIFSHDSQLLASASDDSTVKIWDT GTGSLQHTLEGHRDWVRSVIFSHDSRLLASASDDRTVRIWDTEKGSHKHTLEGHSSLV TSVSFSHDSRLLASASNDQTVRIWDIEARSLQHTFDLDATIEAMRFDKATSLWIQVTV KASIRSAMGMA ANIA_11385 MVVDLGIVNFENDWATLCMGTGETYEVVGSYAVRDSSASHHIAV LLMDGTGVASAVKTAAE ANIA_11384 MAWDPADLKGLPWPDILATQRVAPPGFGAAKNIQNPMDN ANIA_03319 MMFMLLSTGGRVPEPDGLVLGGGRHCLPVSYNEAMTITDNYPME GARLYGSSLEFLLADRYGSGDPSYSYWRIGTGKAPVRVTWELRDWGHVSQGQVVASWL ARDNMGPRTPA ANIA_03318 MAPRQNPVALGGRPPVEPGDTTLEDGRNSAFDSLSIFEDTQEDA LIELSSVDSNEWVAPRRTLQHFPEDSTGPRVQRYQQGYSLLDVDDIVMVPPNFHDSRE ATTSNGAPMQRSTSPLLSLFTETSELEGYGDAGSSNLGPSTYPEPEERCYCGSLPASD MRCYFCYPCGHVFCDRCWGRSPPHVRKRVQGGIPHEKTDPRLAKIIEQTLEVELDEKQ QSWMHLKDENSSWFGTMRDDDDDMVFHDHGRFADLMAEMSARKRQVRYPGLVSFVGQT GAGKSTVVKLLIEVRETFRPCLSADNPSTQLQSISHPETRVPVVGSATNQELPTSGDV HLYSDPVSWATETPILYADCEGLDGGEREPLGVKIRKVGRRIANHAVPDHFEIKARHN TTTRDLLWATTEKTKSREYIVRNLYPRLLYTFSDTIVFVTKNPRVVENVIDQLIDWAT AALEKSSNQPVLPHAIIVLNAAENSTDPRLWDIDESTDHLMESMNEAIMRNHNLRARV RFWVPRQRRITSMRELLLSYYSDIRVVRVPERGRPKLINQQIERLYEEVKIACRKSRE AKHKLRMLLNSDELQPYLQYAFDHFSRDLEMPFDFVQASFANSPIPSDFGGNILKLAI NILDVWSDCLDGPAIFRELSYIIASATMLDSARRKTLGPAESVFREYLDHFDDALDDF CDRHWPCEYLRSGRVIAIADYESSFSADGFRTTFRSMIFANLDALLRKLGDATFNAAN RELEEAAAIHRDLVLMQFFHHLGGPSKFISHTVCYTCLVEPPEHLLPCGHVLCTPCIK AFGVNRVKNVYEMVACPMHRDRTRQLSHDPVTLRKGQFPEFWSISIKPHGAGTRILSL DGGGVRGIVELTILQQIEKALGQGLYIQDFFDLIVGTSTGGIIALGLGAHGLSVQDSI YNFRRLCKKAFTRRKGAGIPVLERIITASNHSKFETKPLEDALRSIYGDTKLFGGSRG NMEEPLCLRRLTKVAVTTTTTAASVVVLANYNRHGSTENQSYRFYRSEKPESEMKVWE SARATSAAPRIFKPFFHNASGQEYQDGAIYHNNPIDVAYREQKLIWPDMADSHPDIVL SIGTGYNPNSQTRDTHLSRPGSRGMISYVMGLAKIAMDHIHSSLDSERTWRVFLERTH PPSRFLDRYVRANLALDNDPPSLDNVEMIDTLSEMTRSRFTKDISFIQSISDRLVASS FYFEQSSSAMGADNGDGTFTINGHILSRFPPGSSQIHSLGEAFHRRSRNIFNRGSGGH QPYFVVRERRREREGKLLVISEDVIQSMITHGKFSMRKIQLRVAERMAETEICLCLDG DRAQPTLYPISGFPKCMLEADMTSPSIKAKFSQRLSRSRSSQSRTREQWHGLLSRGQT HPLNPIDHYTDPSYQYPGDETYHGLQSLSERFSTARTDFDPFEELVLEGVPGSFPEGT PVSRSAASLSAPPIFSSLTTQPYIPPPNLFDQPLVYRQASARGQEPVRRSLHRQSQPD LSRPVEPPIPEQPGPILRPSSEGPSPDPLQVDMGSRNEPEPGSRPPNPVQASSGPPDP AGSADLFGNLRALLREELQGHARDAAERQNVFETAIRQDFERFQREIQRQMHNQNQHH IEHRQQDVHMSGGNDCPPYEQENDEFYVREIKRHRFSRDQRQPSVRGGSNGFRQPSER QRMPPPLDDN ANIA_03317 MAWNKLEGGLRVHIPDPAPRTIIAEFLDQLDAKYGIWKDMTRDR GHIGQIKRATKRPASPISKHGPPGRLLLDLYIHMHRTKLPRDLSATKLALNDIPAKQF YKRGKESLLPTVNMVSEARQKCDEFEM ANIA_03316 MEPRYKLLENLPLSVWLYLKDFLQPTDLENILVTGSHLWKHIFK DTKWLEFAQTFDQYHAMKVLGPADMTTPNANPREVWDQPASDRESIESGCILKTWHMQ KFEKLQIVPRRANGNARHRWPVMVNFETGEHYEHVLEDWDEFFERSTRQDPSFRDLFN RIMNIRR ANIA_03315 MAQQPVARFTRVIDLDEHDDKEIKRAILPRTSRKYDRALRIFDR FLELHPAACFPPDIKSYKGFLEFYARNTTGRIEEQPITETIENFRRDFETALARARGI QVPKSTSITMKEYIISDLKTKLGLPDVQMSRDGLSPNDLTILLTQLWCRDFKEYRGKF PDRNRVQLTASILLYCFSSARTGEVHESTARRSIARQKDGDNSNDANLRAYSMAACYK HFILTIELVEGIPMLVLTYAREFVKGYWRLRKWEPPVHAFYEVYKEDVPLFFNLILFM LPLFSADRAFRYYGSYTEILDQLDSIKLSDLASQDNHVISRIHFRKDILDTPVFRPSS ELDIQSSTECYCPCMSSMGTHGNWQTARMKHASHTEPRTFGRSYAHPVCEVDGPATYL NIASRHEHIQNRRSMGIHHNPNLWQSLPAKAEFEFQEREDIMALDEELKSLTAKLVDA RADGPEAERKIQLERRHDSHVGSVYKQTLFCYYRRAMPERDRLAQLLPSKSSMRSPAG RDAMIDLETICREHSPVAYRTNLQPINGNLNLSPIQRMEQYLDMMPQSEDELSRWKNQ HTND ANIA_03314 MLYPVVRRRLFSVLANNLKMPTYTGSCTCHKIEYNLTLNSPDDA RTSLCHCKNCKKAFGTNYGPTAKVPKDALQLAKGSCERTVSVCGRRLLGRPGGIVAQG GVLLPDSGELDARDTYGIKSA ANIA_11383 MANFYIAMAFLALISGFPYWEWIRTNLFDR ANIA_03313 MGKADRKKSARASASPYQKPSKGDGRSAAAKAGSAIFKFNTDLG QHILKNGAIADNIVDKANVQPSQTVLEVGPGPGILTTRILEKAKKVVAVEFDPRMAAE LTKRVQATPMEKKLQIVLGDFIKTDLSKLPPFQVCISNTPYQISSPLIFKLLSMPNPP KMCVLMVQREFALRLLARPGDALYSRLSVNVQFFSKVSHIMKVGRNNFRPPPQVESSV VRIEPKLGKPEISWDEWDGMLRICFVRKNKTLRAGFMATKIRQMIERNWITWAAMHPE KVSQGDVDLLSGKTPFPEGKFANSKDDGDVDMDVDDAGPVVDEDDVGDIFMNGVEGGE KGAAVAQSGPVITVAGQQVPRTSVTRLIQFKIERILDTAGLANHRANKCDENDFLRLL HACNTEGIHFS ANIA_03312 MVLSQPPNQHVTKAFDLTGKVAAVTGGARGIGLEVSRGLAEAGA NVAIIYNSSPTAIETAAEIAAANNVTARAYRANVTDQAEIEKTVQQIKKDFGHLDIIV VNSGITSNIPAEDYSVEEWRKIMGVNLDGAFYSAQAAAKIFKEQGRGNVIFTASYNAS KAAVVQLARCLSVEWVDFCRVNCISPGFIETEILDAHPQEWRAKWHSMIPAQRMAQAY ELKGAYVFCASDASSYMTGADIVIDGGYTLP ANIA_03311 MFSGYLQAALYTGMNVGRERLGDEKGSLFHFLMRSEPVYRQQAD EIQAWGQEGTQKNAELRQMLVATGNIFTYNFMAWVPLLTFPTYDAPHFNYGYQLLIMF KALTIVGVYLYGWLDKSDRWVTWLGNIRASG ANIA_03310 MRLVLASSLLPLAVSVGALQVTEPEKGAEIDPSSSFTVKWDSVS TDPSSFDLYLVNNAVYPSVEKKIASDVDTSEGSYTVDGVSGLENGGGYQINLFSNSGH NTGILAQSEQFNVTGADSTSSSTSSTTTPTSTSSKSTGTESTTSTSTGTSTTLSSTTP TTESESASVTPSSSGSSTPSSSNATASATGTPDDPNGGVTIGTPLAVLAGLVGGAVLF TL ANIA_03309 MLSLLTCCFPWKTDDRKRWRQIEESASRERLQTLPSWTAPDNTL IFQGFEWHVPADGQHWRRLSRALPGLKAIGIDSVWLPPGCKGMDPNGNGYDIYDLYDL GEFEQKGARRTKWGSREDLEELTRTAKEFGLGLIWDAVLNHKAGADYPEMCRAVKVDP ERRTVAISKPSSISAWTGFEFAVRGDTYSSMKYHSQHFSGVDWDDNSKQGGIYLILGE VGNQDKKWAHDVSTEKGNYDYLMFADLDLSHPEVRADMFNWSCWITEQLSLDGMRLDA AKHMSTGFQRAFVEHIQKKVPGFYIIGEYWTANIRELVSYLDIMQYTVLAYDVPLVEK FSKLSRTRGADLRRIFDGTLVQCKPEHAVQSGQMMDTPVSPSFKLLAYALILLRKEGR PCLFYGDLYGIRANVKHPNIPSCNGKLPILTQARKHFAYGEQQDYFDAPNCLGFIRYG NARHPSGLACVMSNDGPASKRMYVGPGRAGEEWTDLLRPQAPPVIIDHCGHGLFPVED LSVSVWVPAQAVTELEGEKIHSDLPVHPLPIANSMVGGNHGTCLCPILAGSALDSWLA GIPDRLLPSH ANIA_03308 MKILPSLRLAYIMTVLSVLNISNAATTAEWKARSIYQTMTDRFA RTDGSTTHACNTTAGLYCGGTWRGTINHLDYIQGMGFDAVMISPIIENIGGRVSYGEA YHGYWPLDLYSLNDHFGTHQDLLDLSAALHERGMYLMMDTVINNMAYMTDGDDPAKNI DYSALTPFNKSEYYHPYCKIKDWNDYHDAQWCQTGDNKVALPDLYTERKDVQDTLISW AKGIVKTYSIDGLRIDAAKHVNTGFLKTFSDSVDMYVTGEVLQREVDIICNYTENYID SVPNYPIYYSMLDAFTQGNTTSLYHQVENMKKSCRDVTTLVSFSENHDVARVPSFNDD MALAKNILTFTILFDGIPMIYQGQEQHLSGDGTPKNRQAVWLSAYNTDSELYKLIATL NKIRKHVISLGSDYLDEQTVPLYRGGSELAFSKGVEGRQIIMLLSGQGSKGDPYTLTL PVSYNAGTTVTDVLHCVNYTSVGSGERAGELDVPMSKGEPRVFFPADLMEGSGLCGHA GGNVSLAKLETGKDRVVSGGRIVGEANATLVMIMSVLAGVLLLA ANIA_03307 MGRLQLSSGLKAIALLTFAATATCWPYDESLVDYNVNTNKSATN PADYWGEWSDHKYHPSPENWRFPFYTLFMDRFVNGDPTNDNINGTTFEHDLNSNQMRH GGDVAGLVDTLDYLQGMGIKGLYLAGTPLMNQPWGSDGYSALDTTLLDQHFGTIQVWR DAITEIHKRGMYVLFDNTIATLGDLIGFEGHLNDTTPFSEKEHKALWKSNRRYVDFDI GNTYNATCDYPRFWYEDGMPVNESLTAGLVGCYDSDFDQYGDIEAFGVWPDWKRQLAK FASVQDRLREWYPPVRERLIRHTCMIIASFDIDGIRYDKATQATVDALGDMSKAYREC ARAVGKENFFIAGEITGGNTFGSIYLGRGRQSNQVDSVGNIYDAMKLTNESDPQLFLR EVGHEAIDAGAFHYSTYRALTRFLGMDGQLEAGYDVPLDWVQAWGNMTVTNDLINANT GKFDPRHMYGVTNQDVFRWPAIEWGVERQMLGSFITTLMLPGIPLLLWGEEQAFYVLD ATASNYIYGRQAMSSATAWKTHGCFSLESSQYYQWPLVAALDGCNDETVTYDHRDPSH PVRNIIKHMYQMREQYPMLNDGFIIETLSNQTEPVYYPGSNGTETETGMWSVRRDRNE ETQDFGSSDDNEPIWLVYSNMNRTHDYTFDCSDNETALIAAFPSGTKVRNLFHPYDTL TLGDGPKEMVYGNSTELVGCLPNLTLSRYEFRAYVKNELWKKPRPMITKFQPGDDEAN GHDSPLRSTVAPDASETVRLTLQFSEAMGCDSVTDSISFNSSTETGKIPSIDASTVQC GNITEVANSNATGHIPGKWQWAADLRGVYNGIHRVTVNNASNADGDDSTHAVDHFLFR IGQIDNPMVFTSANYSSSLLHEKEDGTFYIQHHAAGADKYRYSTNWGTTFSDWKTYKG GNDTITMLPWNGTKAQEWEGHHIRVEYWSRWTGSSSHVQEGDSGWKYKTPRRFPHAFF NGPYNQYGYDGGLDNQIKLDAGAGGDGYWKYHFTSEWPAVGQVNVWGINPDGEPDQSW VMGDVDGDKVLDRMPPSALSATLINITDHPTHPYISWKLYINDATMRYYLIPAGHQSG QIAMFVLFWIIPLLSGSACVYIFMKSFYKVKFNEIGAAGASTEMKSLVPLALRRRMKQ LASGNGKNGPSFNPLMRLAEKSGFMQSTTALAGAASGKRRMVLIATMEYDIEDWGIKI KIGGLGVMAQLMGKTLGHQDLIWVVPCVGGVDYPVDTPAEPMTVTILGQAYQVNVQYH VLKNITYVLLDAPVFRQQTKSEPYPARMDDLDSAVYYSAWNQCIAEAIKRFPVDLYHI NDYHGSVAPLYLLPGTIPACLSLHNAEFQGLWPMRTQKEKEEVCSVFNLDVEVVRNYV QFGEVFNLLHAGASYLRVHQQGFGAVGVSKKYGKRSYARYPIFWGLRKIGNLPNPDPS DVGEWTKEDSLIKDEDIKVDPEFEAGRAELKRQAQEWAGLDQNPDADLLVFVGRWSMQ KGVDLIADVMPAVLEARPNVQLICVGPVIDLYGRFAALKLDRMMKVYPGRVFSRPEFT ALPPYIFSGAEFALIPSRDEPFGLVAVEFGRKGALGIGARVGGLGQMPGWWYNVESVS TSHLLMQFKLAIEAALSSKTETRAMMRARSAKQRFPVAQWVEDLEILQSTAIQVHEKE VSRGHAGGRPMTPMTPSGATTPSGMMTPTTGSRGLKPLSQGVGMGLSVPHSRESSYSN LNRLSEYVAQKTPGESQPRESSGLQRSLSLGVRSGPGHRGRARKQKPGADNIPEGNED GSSSDTESIPDYYDDEYTLTPAQIEESRRAQATRSISFSPETLQPPRSPLPAPPMSPG TPPSVEQTLLPPPKPFAAADAGNRLSSASVLSLDSVVGGKKDFKLQKVDPFFTDSNGE YARNFEQQLENLNGSNSESQLCIEEFLVKSERRWFNKFRDARLGRLRSPTPSVFRDNH SHGRGSPDGSMYVDEAGHRNSGDAVHDNGSDDTDDEFLLGKDYVPPTGLKKWMQIKIG DWPVYTLFLALGQIIAANSYQITLLTGEVGQTAEKLYGIATTYAITSALWWLVFRYFK SIVCLSTPWFLYGIAFLFIGSAHFESDSFTRGWIQNVGSGFYAAASSSGSIFFALNFG DEGGAPVSKWIFRACVIQGIQQVYVIVLWYWGSTMAHQSSQGLLTADNTISNTWKMTA ICYPIAMLLWAIGLLLIFGLPNYYRQKPGKVPSFYKSLFRRKIVLWNFVAVILQNFFL SAPYGRNWSFLWTSSHTKPWQIVILCVIFFGLLWCAFLYIVAVLSKQHSWFLPVFACG LGAPRFLQIWWGVSGIGHYLPWVAGGYTGGALVSRSIWLWLGVLDSIQGLGFGIILLQ TLTRMHMCFTLIVSQVLGSIATIVARACAPNNVGPGPVSPDITKGAGELANAWFWVAL FCQLLVCAGFLLFFRKEQLAKP ANIA_03306 MNAFDILITIASQQQGNVTPSLSPRGQRLALAKVLAGCDFGAPV FGGFPPCFAFLTLVSTRVLPSATTICNSCYARRSYALPSSEPLARHLYVVETVTESVN VVELDFVFPRNETYAPTEDFPVVFAVKNTQHAELLSLRITYTIFKWDAKSISGSWPST TIPEELLRLDWTNLSDPYLAYRYYNGTSPGHWWLTWHLSWQSCDVEALDDADSDGGLF TNTSRRRGCHNPILTFRTTEGSRPGRCNRSWETCCLTGQGWLVIAGWDNVTSIALTNF LDGSPESVESHTEIISDGKLTPGNATADSPDGDEEMYHWSRHSSPVASYTLVDAGHLC GEPKDISKSLDDDTQRATVVCVDGNQYYLAYPDGDARECPCDHLTDTGFCRKICRDNT FSPPTGLEYIASESNYYGITKDELVIGSVRTWIKHERENPAKPGDGDPAQAICVFPFA APSEFFNLEKQQSNPNATPNYPCDIPAGNDDCGKSTFVDQTSDASPKIGDCCQTILNI QDDGSTDWTIQVVGKNQREIASHASCHFGVETTKVDGNVNSVVGGQEVIYIINDAIAQ FGNKDGLVGAKGEMSCNGNVHDQAVLWGI ANIA_03305 MQSVLQPIIGPKKTAEDLSGRVAIITGGAFGIGYEISRTFVQNG ARVIMVNRKEDQGQEAIDKIKEEAGSDAKIEWVPCDMGNLAQIREVASRFVEKEERLD LLILSAGINVHQYGETHDKIERHFQVNWLGQFYLTNLLWPLLRKTAKLPDTPAPRVVF ESSEQHRAAPSGVKFGSLEEINNPDLGPLERYGRTKLAIILGVKYGLLEKVIKPNGDN IYALSVHPGAVNTAMQQQWKDAYPGLFGKLLTTVMLAAGRNVEQGSYSALYAAISPEV EEKGWNGYYLKDVGQPGKESSLASDSVLGAALWDLSHRMIRDILGNDAVADWASA ANIA_03304 MEVHTKPPRLGTMDVEVHSPAGSHEGGRQAGTVLDDTDMHRMGK VQELKGTDIRRTVPLGLRVRIAAIPEIPQLPYRYLASAIFFPNYAVPVPTDHAAQPGW MSVLAWQAGSASGSFLTGTIIQGLITIRNPDYSPESWHGTLFVFAMIFVIYVFNVYAS DAMPVLNNLLMIFHVLSWCVILIVLWAMAPHRTAKSVFTEWSTQGGWNSIGLSVMIGQ ISAIYGSLSSDATAHMSEEVSNAGRNVPLAIAWGYFTNGIMAIVLLIAYLFSIPSVED ALSDETGFPFLYVFRNAVSTAGVNGLTSIILIPVIFSNIFFNASTSRQTFAFARDRGL PFADWIAHVDKRRKIPVNAIFLSCLISCLLSLINIGSETAFNAIISLNVAALMYSYII SISCVIYRKLKCPETLPARRWDMGSWGLPVNIIGLVYSCFALFWSLWPGQKHVTAETF NWSVVIFGGVFVISLVLGTDATASIQWSFTNNRQSEPFQPAGNGKTQPITSGYGGVFR KSPMNCAPD ANIA_03303 MGGGHAPALALRLARLGCSRMQFAHWQAVTALDVVTEVLLVAYS GWAVSTVQIASRKKLMVFLALGCRIVLIPLSALRLYYLKHQLSSQYPTLLGAYTTTTT EIYLSLSIDGISYTDRYARSAYKSKSGTGVSTDSEGNTNRNFSYSYKHSHAGGDRARL VSDAHNEEGPSSSGLQILRSVQWSVVDEAIELDETTRPPAAAQPVYQSLRY ANIA_03302 MPLTIHLDNYKPCYFGNETIYGRVTFESSSTTNLQDIRLSFTGR SKARIQKVKGSGAPAANYRSKCILFEKEKILVHLNGEALPPGRHEWPFQFSFPTTALS SVEWPEMQPFRGDVNHPLPPVFAIEASDRARKVSCTIEYRIEAQAMKPQRGLFSSTRP LFSEKVRLNFIPDFAVADDRESDRAAEIYRQRKEQVFSIRSMLLLPENKGRSLSTGEK IQGCPMFVGYDSEHGGLFGGIFPRGDHEVNIYYGNQSNSSPSRAIDNGRHISGIRGQD ANIA_03301 MTLRQKSEQSSLPRENGAGLDEASLERLGRERPPFFSGAWPEVA FCFSIIMSQILAEYYISGSNVLLPTLIEELDFPPASAIWPSTSLSLAVTSTLLIFGRL TDMFGGYAIYIAGAGWLTISSILCGVSQSWLMLVIFRALQGLGLAAFLPSGVMILGKT YRPGPRKNFVFSLYGACAALGFFIGIFFSGLCSQYLSWRWYFFIGAILSAITTLSSIF YIPRDFKETRGNGATMDWTGLALSVSGSVLFVFAIAHSSYAPEGWRTPYIPVCLVLGV LLLAALTYVEGWVVKNPLLPGDIFAVKYMKPLAIALLFLYGSLGVFLLYAVLYMSDFM GATPFQMVAWTVPMAVGGLILSCVGGLIFHRVSGTILLMISCLGYVGSGLFFAVVPQG GNYWAFIFPSMICGTIGIDISFNLANIFITTNMPKSKQGLAGALINCTLHMGIAVMLG FADIVHTETGYLGARKSYKAVFWYEVGLAAFGLVVVALFVRIKEAKSELTFDEREALA AEKSFLLD ANIA_03300 MVSLDERHSRFPLKPVVFRRHGSPRKSHHPHRLDLATLTGLSWP LWSEIRDLLGAVRIVDRPDPALPEVPKPYWFIRKAVVVFKSFPQLGIIFLGGSYMTPQ SGGAMLHASMFLYIGGPLGTVVAQEACYTCRRRHIQCDRSQTPCRKCEKAGLKCLDKR PIRWVQGVAIRGGMRGRSHQNEIISSTPVSAIEMALEPSIKIQEPLDARASTQIVPKD TPISMPVSMEDEPLAKLDPSARYYLNYYNDRICQVFIVYDSKNNPFRSLISLALSDSV LLDAMLALAARHRANSEQPFSANRADVSTVSTDADREALQFKYRAIQGLSRTVSSQHS YRVATVATVFLLVFLDLLESGCDRWNYHLEGAKTLMTLTPSSDPGRTIERIRKFIVKQ IHLIESLGATFVRPELLSKCPSIDESSNLLDDVIEESFLGCPEFILTAVQFFSLQRDT IANENSPGQPRAEDVLSILDAVRNFDCRAWASALPQESVTHDLDGLTKLARAYQLGGI LYGQRILDAVEKTRTSRETVLSELIDIITSLQPTNLLKCTLWPITIAGLECQARRQRD LLIQALKKFWQDTKCSNVTSAADILQNYWSKTDHNPDVANDWIFDIGRSSHDWLLI ANIA_03299 MAAPKIILYTNHLCPWAHRAHIALKELGLEYEEVIIDLDTPREP WYLEVNPRGLVPTISYNGTAIPESAIVAQLLADAHPSHLLPASNTPEGAIQRAHVSFF VDTFIGKVWSQAFAAQKAASEEERAAATESIVAAIEKNNVEGLLYPEGTGSGPFFRGA EKLTQVEVLTGSFLLRLLSLHKYGLLSPELPSQLEKRVPKFYKWAQEVVKQESVNYIW DEEKVGTRTAKKFGAAKK ANIA_03298 MGQKKIECYIDIAAYSRYDVKRAQRYFGHDFEVPSFFPILSLLP QRALTYIKQMYPKDKYEATFNSCFESFWYRHIDISNPENLAIALGNVFDKTQIQEILA GANKPETKQALTDVTTKAVKELGAFGCPWFMVHDGKGNVEPFFGSDRFHFMWDYLGLP HGDLELKVQDREKGKL ANIA_03297 MRLIHAVLGLLAGAAPALVAASPAAPIGNGRDQVSKAVGRHFEI DGKVQYFAGTNCWWLGNLLNDFEVELAVSQIAETGYKVVRTWGFFGVNDPSNPGQPVY YQVLNESLYEGGLGINYGSNGLSFILLRSPAIKRSPNRHLNAGIRRLDTVVSLAERYD IQLVLTFMNNWNDFGGINIYSNAFGSNATTWYTDKKSQRAYREYIKFIVNRYKGSSAI FAWELGNEPRCKGCDPSVIYNWAKSVSAYIKKLDKKHMVALGDEGWLCPPEGDGTYAY DCSEGVDFVKNLEIETLDYGTFHLYPESWGYNYSWGSEWVLQHDAIGKRFNKPVVFEE YGTPLNHTQLERPWQLTTVKETQVAADFIWQFGTVLPVEGTEWGDVNSIYYGTEEYEV LAVQHAWEMARKKVPRH ANIA_03296 MFTNPFFRSAKADAAWHRVGLLSDFPEISPDSDCQVTSSCKTFR IPTGTTEKPVETDIGLPGDLKDQVLVFRYKGNVHAIDHQCPHSSYPLSQGSLFDIEDF GITLSAGITCPKHGWGFDIFSGKADRGNYKLKIWEAQVRDGPDGKPDEKEVWVRRKQR IG ANIA_03295 MAPFKPLLPPLPIPEGQRKNPPLPIRPPSPTVILRDGLSLSTWL LLGGVLQGAAVAAFGYRSLLPTTLILGYRALDTLLMTLNLKRNRYMDGVIMPKYSAQY PNTDGSFASTLNPTTGSQDGDPAAKPLIVFHLGARCNHPLGLLAPGYKELGDWAEKMY ESLRVNPDKYGLLGMTRFVGQSDAAGNETLVIMYMRDYEGLHRFAHDQLHADGVRWWT GIVKAHPHLAIWHETYVVPKGCWENIYAQSQVTGMGDTFFPVIDENNGATEWARGIVD AKGGALRTGSRRLRMKHLEENESELEAYYDLTDGGRTTL ANIA_03294 MMWFRFLLVALLWSLGSATIMENGQPRADPYPGQCSTIDLDSSW RSYDADAPEISYKGRWDSKHISCAAPGLKLQFSGKKLALSFGEHTSEGTLVAYRIGTL DWLFTNVTADATYQFVGEGTHYEELPGDGDHIFEMRVQIAGVSVDVDDHLTKPPTFKK KVEIIGGSYCQFDRRSVCDIRDAFSMVVCIRARARKCRIWDHSLPWSMPG ANIA_03293 MSLNPSSKPVLAVIGVGPGIGEAVSHHFASKGFVVALIARTESK LEKVQKTINDDVGTTASKYYVADARSESSLQSAFAAIKADLGPVDVLIYNAGSRRFTP RNILETSSEEFENFTRINLFGAFFATKCVLPDMLAKSSGTIIFTGATGSIRGNAGVSS FSPGKFGLRSLSQIIAREFQSSGIHAAHLIVDGPVESDIVGGFVRRRWEREGEQGRKK VEEKDLYLMQPKELAEIYWFLYSQPRSTWTQELDVRSMKEGMVSKL ANIA_03292 MYSRVKGGEGDTKYHAQNPDLQLWVAATLARRRRVYHEFAVFGT LLQVPQSKWPRDQKASGRTITARPFIPLMMYLMLILSVDYLPQEAYAGVCGVVVESVL YEERESDGS ANIA_03291 MFDLLVVGAGLSGLQAALSAQQAGLTVAVVEARDRVGGKIWSVP LASGRGYADLGGAWVNTTLQKRVGAYVKQFELKTVVQRLEGKAIMQDGPQSRFEFPFG VTPSEFSAEEKKSLEMVRDHIQAESLKPGPPKVVDDNISLDQYVRNLGALPATVKMVN IWAQVMHGLDSTEYSAAWFIDYCRRNHGLLAIRADDASGGQHLRFKDGAQSLANEIAN LVGASNIHLSSAIASISDHGSHMSITTTTGRTFTGRKVIVSIPSTMYKELNIQPPLPP RLQTVTNATVLGDYNKAIVCYDKPWWRDHGFNGYFASYVGPIILARDTSVDEVGNYCL TCFVNGKPGREWGKMRPHERRAVVLAQLAQVFGHEEEVYKPIEFFDQIWQHETYSRGA LAPITALGHLTEYKDVYGKKVGNLHFVGTEYSDEWKGYMEGAICSGEAGGREVVEALR GKERSSL ANIA_03290 MATTRTGQRKLKRTSNACVACRQSKIKCTGEQPCANCQRRRVDC RFLETVSKVMVPERYLRELEMQRKTAAAAAVSHGDRQRQPQPQRQLEKEPHAQTHVHE GRTRPAVKRTSTSAFGSEVGVDLDQMLESNGNTCSADTIASQPDEIPPAVGAERTIWT SPFTLPSRIIKKNHKSKRNWTWLAPTSTWSFTTRLKLMIAEKLHIESHYSTPELFDGD LYPIQWSPLPVGSHPDISNLPSIDHALYLFNTVKFHLGHNFCLINEEDFVQQMHAFYY GDAVKQVKECRLWFVQFLLVLAFANAFLFPGHKNPNNANDPPGSKFFIRAMSLMPDHT SLSRGGFVAIEVLALTGLYLYSIDHRSSAHVYVGHAIRIAQMDGMHTQLPEDELGLET VARCRNLWWTLYVLDRHISSSVGASMTPEDSDITTLLEPLTATACSQSDTTLSLSVRL SHLLSYILSSDSYKAIYKATETQLGTFLETTRSILHVLAGHAREIEQIIQLKFQNSVD TMPKGTRHITLLYHQCVIVATRPLLLSVLKERLQKLNHGAEDWQSIVAPTNALISAGI KSAAKTLQILTDEDSLLEVFLPFDMEFTYAAALHITMASALFPNITESQDYIDIQDAY AILDQMVVRGNRLAGARKSELVQLETLFRELSARIERRGLETLILPCPAQSDHAEYFT RMDGGEDVNVSGLAGAAGAAEVTPLSMPGSPNQLAWKLCSSEYGFSKGRVQVVDLDGH KMSVVRAVHPQYVVQHDTDLEEDLARLFARVFAPFGRWQSRRKAMQQGLQGAADAVTG LVASLRLQLRLYTHLSKQAAESGMKEPLASERVHELDVQVADWERRCSANGPNKVRNA DSR ANIA_10403 MSSCDGASPNCHFTCPRGSKWYACSDEPHFVGCCTSAPCNNATS STCPQSDIRPASFDGALFDRIRPNNCINSRPDYWFTCNFTDPPFLGCCAINPCANGSC PLEELIPAAWSANDPDQYDLFSDKKPTTNSTAHLSSGEIAGIAVGSVAVFVVILVALF LLIRWRRRRKKLPSSPQPSKVSGDWYGMYSLRPQGGSPK ANIA_10390 MRLIHNQIVVLFRNLLEVGLTFCAIMTSSTEDPEPTQELLEDAQ GGLEDVDDGNLVIQDTSSSASTMYEVTREQSVALRISNWFILEILATVVSAGALITLV SVLAIYDGKPQPDWYYMSLNSFISWLSTISKACILFYVSEALGQSKWVWFAQKVQPIR HIRTFDSASRGPYGALELIWTLRGRHFAVVGSLAVTLALAFDPFAQNLVQYYEGKVTD TSNNATISSTSYYGNYGGLFQADAFSVEYALKTNVYNVFFSRDTETPWSIPRYGCSST NCTWDLVVSLDARALCANITEHLTQSCKYTSVETDSRTGEVLNCTASLPKSHIDAWAL PYDPESDVAQAVGFVVKAADSSQAIIYTNATTSAIQFVEPLMTYHGSPVGLRWEATEC TIEPVVRSFRASVKNNNYSEETVKIWPDRTLVKMNYTDDPDYLDTYPDFAYTNDTDYQ WHFYPPWPGELAHLNPPHNKVFNYTLSAEKAIGKFLRTILTGYYWQNTTGYGYTPTEP DAALYASQDVLQAFHREGRKSCSDVSCNVEPGRFNRTMSNIAQAISKTFRDSQDTTYG RSEVTVTHIAVRWQWISLPIFIWLLGTIALFGTVWKTRQKRAPRWKNDPLPLLFLYTD GPKQDDGLDGPKERRITDINTLKVKLHGARSHE ANIA_03288 MSPELTRGPFCGCRKDFASTRKNGKRKLSDDNPGSLMSARVS ANIA_03287 MEISEKESNHPSPTPDTSHNDTQLGTKEDIASSDGAHLQRHLNY RQVQIMAMGGSIGTALFVNIGGGLAKGGPLSLLLGFTIYSLILSCVNNCIAEMTVLHP APGGFIRMAGIWVDDAFGFMAGWNFFLYEALTIPFEITALSMTLSFWRDDIPAGAVAA VCIVSYSCLSVFAVKVYGEAEFWGSGGKMLLISILFAFTFVAMVGGNPQHDAFGFRHW RDPGPMAEYLSAGNLGRFEGFLGSLWMASFTTVGPEYVTLIAAETKHPRTYVKKAFQT VFWRFLLFFIMAAVSVGILVPYDDPALIANFVTNTADGSKSGSSPFIIAMGNLQISGL PHVMNALLVTTIFSAGNTYMYCASRSPYALSLEGRAPRILSKCTGQGVPIYCVLVTIC FPLLSLLQLGDASSQVLTWLTNILTAGGLINYFTMAVTYVFFYRACKAQGVDRTAFPY YGRFQPYAAWAGVVGEGLIILFFGYGSFCPWDVSSFFTNYTMVIFAFMTFSYWKVVKR TKMVKPLEADLVWERPIVDSYERSTLEKPRGFWREMGEWVRGVRNKADGHGQEY ANIA_03286 MAADESDPKIHPHEDSKASEADSEQAQNSKLEYKRHPVYSPQEW LFEAASSLLAMGLLFSIVGIFIAMDGKPLSDWNARVSLSATISILTTAYTTALMHGVS SFIAQLKWLYFKDRPKRLSHLETFDGASRGVWGSILLLTNVKWNLATIGAVITILRLT FSPFAQQVVLLEQRQLVESDVSAMFGYAHDYSRNLGPGMATARVADGFSESIPQDPGM QSAIVQGLYGITTATKFSCPGACRWPDSYVSLGFKSSCQNVTQETLRTATCSEREGVG GQCNMTTPGGLGLSYQYVFTASGTNYYMNATSLLDYLGISDLPETFPELARFGIYRSS GLESLGINITECSLFLTAYQYNSAKANGSDFSFGEVREVDFGLDGRNPWRNVQSMNNQ FGRIATNETTVNGTDTIPELGLDYANLLALGNFFESSTFVTEWVDGNFENTDIGFAAA LGGDTNIPERFEHMATAMTDYLRNGPNMLSATGDRVEIVPFVAIRWGYFVVPIVTEAL AVLFAILTVLSNRKSKRVPLWKSSSLAVLACQVDEPSGLLRADAGGKDLIELQEAAEK TQVRLQ ANIA_03285 MLLGWHSLVLYALYALFYLQRAAALPQGTTTSEVTSVASPQPTA GNSTVAGERRCDFDSINDFFSYATENGLNITVEVQNCQNLCLLTYGVGNPDLSGIGTA FTILLGPVYRLLYLAFAQASVFIRDAKDIQLNFFSSNGFFIGSSALASLAHLSQNPST FEVAEIQAMAFLQVNSVLVTFFCMVVAQPMSRWGARVLLYIVVFVLIIVTLGESHLNS DSKENWRLASDGCAHSSSDYSVINPVPYPSWAVAVFAVAGTVAFWLQSLKERFQADKL HKTMFRILMLFWVLLIALLTAGMVVGLTMMWRQRRHLKSLARDQFEDDEWGFGQIAAL TIWAPIPVELLHILNDLAQRRSSRWSRWNQTISAFFSPKAQKQDVQSSPTSDSQPEMK VQGGNGRIVEVADR ANIA_03284 MAPAALLAPTTTSTTAAPAVVGPTTKIATRPTKKIPRSIIENAT LTQRRSFSPTEHLVYEPPAKIHTMAELGLEGAGISPNAISEPFRLFTEEAIKQMRAEI FSESVLQNCQYASSFCTNMIRGMGHARAPFIYDVWKSPEVLSKVSEIAGIDLVPVFDY EIANINIAAKDDPIEPGSAIADGPVVSNSSDDDNVPAFAWHYDSFPFVCVTMLSDCTG MVGGETAINLPSGEIKKVRGPAMGYAVVMQGRYLHHQALKALGGRERISMVTPFRPKD PLVRDESILVGVRGISNLEELFPQYFEYRLDVLEERVRAQRKEERNRDAAHKPFDVEK KRRWLEEQREFIDSMLREMYVPQ ANIA_03283 MAWFLTLPTGVIGPVPAVTVATQMLTIFPSIRFSLMAGIGGGVP SQADIRLGDVVVAKPSKDHGGVVQYGFPGTMQECTLEKTGMLNKPPRILLTALSKLQA IHLVTGNRIQCLLSEIETKYPLLAATLRPPYQDRLFRSDYRHPIPSDKACSACDVTQL LPRTLRHEKTPTSPHIHYGLIASGNQVIKDSRIRDHLARQSGILCFEMEAAGLLDNFP SLVIRGIADYADSRKNDAWQGYAAATAAAYAKELLWVIPMQEVEDQPLAVGFPSK ANIA_03282 MAIDKCAEEGLPPIRGVIHAAFVLRDALVEKMTLEDWKSTIESK VAGTWNLQNQFNLQGDLDFFVLFSSINGVLGYASQAAYSAAGAYEDALCHWRVNHCNL PAVSIDLSVVNAVGYVAEAGASESLRRSLLKAGRRAIEEDDVLTALESAILSPFDPQF IVGGINTGPGEHWNIDGDLGRDMRLLPVKYRPPVTGSDQDQDEGSGESLYSLFHLHSA HRRRPTDLGNHYRLEKYPFAASTAGQKMEGPYRPHPLKRTFDAKSPIPDLNQQEDTAL GVEVSVEAAIPRKSFKALRFRMFFILRW ANIA_03281 MSASWVDEPSSLLGIFEVVFVLKRHPNGSELQTLVYVSTRILYN IYFHPLAAFPGPLLARASMAWRIYHSMGGRFHRAIDEQHRRYGPVFRVSPNELSFASV ASWKDIYGHRATGKPPLIKSKFYEIYGAGFGSLCIGSERDPEKHTRMKKSLSPAFSLR SLGDQEVIVSQCVDRFVSRMGEPELNAGGLNMTKWYEMVAFDILGELAFGESFHSIED GKPHFWSSLIEDHLYFITVADNFRHFPVVALIAKLLSPFLDSIRKKHTGYTRNKVAQR IRSSSPRKDFMANLIGKVESNEMSREELTAHASTLVIAGGETVATFLAATTFYLLRDD AVYQKLKAEIRGQFNTYEEITCATAQNLPYLQAVISEGLRIYPPGSQGFPRISPGASI DGIWIPAGAEVYTSAWTVTHDEANFHDPHTFKPERWIDPDCADIKEASQPFSLGPRAC LGRNVAYMEINLILARLIWTYDMELVNKSMDWESESSLHVMWSKPELKVRFRQARP ANIA_03280 MFGTLRYLPEKKTAEFLKRESGVVGNTATNKPQHSACDNCRVKK IRCSGRRAGCSRCKTLSLPCSYTHVPTRKCKRKQAPSSSKPDEENETCPEPGGAAGRS PARDAAAAAVQPSRLTMKPHGVDENYAGLDKAMHQVQGDPDSVLDPMLKEFSTWSSCL SWSPGRDGTFMPDSDYPMAGGPHVPPGSFIAGYTGPENTAQQPPPPVAHDLTPPDLLP TPPLTHILAPTVTTIRSNEALPGTAMDEQSSCSCLNSAVFLLDELESPHHEGSPGEQG LDSILSIYQEVLFLCKRMIKCNPCREKSENMMVLTMVLERLAILCGEVIDAFIAQREA NGPGAPPVPAATMEKQPLALGEYEIEGGDYEVMMGVLVTRRLSELESFLACMKTIGSL TRRAHQQARMARVEQHIKDLFRKLTSVCPLVTELRVDPKRPAAADVGRSV ANIA_03279 MYICPFRDTTNHRFLGGCSGIGWESAQLLAAKGATVYVLDIHPP PTQEHQGSEEEERKAIHYRPCDVTSWPTLRAVFDEVPQVDIAVANAGVSEECDYFADT WDAEGKLEEPRYAVVEVNYRAVLNFVKLSLSAFRRAGNKNGSLVLTTSATAYSPEHSL PVYSATKLALVGLVRALRASLPHQYGATINAVAPAATITNLLPANLAAPIMAAGAPVS TAQHVALAVAYSATASQENSVESYGNDGPEQLGPGRWNGRVILTLGDKWTELEEPLAE RRSGWFGAWNTEKTAFQQKLTDMRFGGLKEGE ANIA_03278 MHQFSPLDAIMPPIFNALIVGWSCPEEGRDSLVSVLQRSLALVV EEWPDLAGEIVAPPADAPPRTQPTIRPVGELGSLLTIRDFTGSDSPFPHSYGQLQAQG FPTSKLDGQLLAPLAGVATTQRVMAAQANFIPGGCLLSKWAQYCRQVQGLPAQPAAFP HATSQPQTLPPPVLQVSHDHAAEQYSTLKHRPELWKLIGLDWRGSATSPPPEPPFQLA AGGAKTCIFSLSAAAQATLKRAASSLPSSSLPPISTNDALVAWIWRTISKARFPTGKP DAPYRDNSYVSVAIDGRRPLSIPADYAGNVVFCSMTNMPIHDLTNPETPLGEIAARIR QETIANRDPSRLKEAVTLAAGIPDVRQPLANAFPSWFAEDLVTTSWVGLPFYQLDFGP AVGSTGRIDTFRMPRGQFAGICSFQPRANDGSVEIVVGLLAEQMERLVANPDFAQFAT LVSS ANIA_03277 MPSAIDTDAISTQLLLNGVAKSHSRSAWKPLIHPRADETCREND DYFLQHWPFSSEKSRETFVNAGFGRVTCLYFPLARDDRILYACKLLTILFLIDDILED MSLEDGEAYNAHLMPLMRGDVLPNLPRTYCDMRETGDIPVEFMMYDLWEEMRAASPIL ADGILEPTFTFMRAQTDKARLSIKELGHYLVYRERDVGKALLSALMRFTMDLELTPEE QAAMVPLERNCSKQISVVNDIWSWEKELRASQSGHKEGSVLCSGVKVLAEATNLDIAA TKRLLQAMVEEWNRVHDRLTAEQLAAGCRPAVKLYMKGLEYQMSGNERWSRTTLRYVE KEATASA ANIA_03276 MPRCALVTGCSAGGIGSALVEELHARGLKVYATARSPAKMSHLA ELPNVTLVTLDVVDPASIAAAVDTVRQDLSSNGDFLDILINNAGQSLVYPALDTSIDE AKRLFDVNLWGAIAVAQAFMPLLQAAKNGSTLVNVCSISGFLYAPWMSVYNASKAALM SWSETLRLELQPFNIRVISLVTGSVATNVMSHSDLTLPENSLYQKALPEIQLRGVGKD VSSKSAPADFAREVVKDVLGGASGPVWRGAMASMVRFMSKYMPTTVLDRAMKGGTGLD KLP ANIA_03275 MVPYGTCETMDHFRGQPALVLIGASALVGIITWIARLLIRAFVS HPLAHFPGPRLSALSNLNYSWSYMGGRQPYDILNLHAEYGSVVRVAPNELSFNTAQSW KDIYAPRKGRATFIKSDFYDGGNFADQASSIVSERDPARHSAMRKFLSAAFSDRSLRE QEGLVTRVIDDFIDQVGQRGNSKDGVDMTMWTNLLTFDIIGELAFGESFHGLETGSLH FWIAVVLESMGQAGLSDFLKRFPIIGRVFLKLNPRWLNKLMDGAIKHQTYTIDLVKRR IQQKTNRKDFMSYLLLERNSSQISDIQLAAHASDFVIAGSETTATCLATVIYYVGRNP RILKTLQEEVRSAFRNYEEINGQSTSSLKYLHAVCLEALRIFPPLALALPRVVPEGGE MIDGHFVPEKTIVSTNPLAASMDPANFDTPWAFCPERWIRLSEKDQLEASQPFSMGSR SCLGRGLAWLELRLTLAKLYYRYDLKLVDDELDWHRDAAMHLLWVKPKLMTQVLPRAK ANIA_10402 MSTFWRHTLISLLTAALAVRTSATSSKSACAQLAASFPEYTTVS GSASYTTKIDSVWSQTCVEDAYCVFEPESSKDVSTAIGILRKTKTKFAVRSGGHMPNP GANSISHGVLISLSRLNTLELTANHEVVHIGPGLRWYDVYTWLADYKLTTAGGRFGPV GVGGLLLGGGINYYGSKVGWSANNVVNFEVVLADGSIVQANASSNTDLYWALKGGSQN FGIVTRYDMKTFAIQNVWGGTLAYPATSLDAYIHAVANFSSPDGGSADPLAAADPLLL MYPNTSQIQPAAVLLYNAAIENPAALKAFIDIPAVSDSAAVRTFTNFAVEQNSSSYWD RSSRRSFWATAVKATPEAVYLANTTFIQAAMEQVSDLSDLLTSITYQTITEDWLDAAR ASGGDAIDLDPADGAFLVLLISNTWTNADHDDRIVSFSENVIAEIESKARAANVYYPF VNLNDAGPSQAPFKTYGKGQSLRKLKTIRKKYDPSGVFQTLSPGGFKLGA ANIA_10389 MILKLIPVTGGAALLLQASALLSFAWLLLAWASRRRRNRLIPGV YVAGLKSGKVPLSQARQAFIHGCADLMLEGYQKTQGGLFYVPSPAGERLMIPTKYLDE LKNAANEEVDFTASFSEMFEGRYTTIGQKWHLHPDVVKKSLNANLELIMPDVYDEIVH AYRSLLTPSHDWQPVRMSEIFTQIISRASNRMLGGKALSRNRDWTDTSINFTTDTWLA SQQLKRYPAWLRPVIQHLLPEMGRVRRHFTVARQVICPIVQKRSESDNDTKKPLDLLQ MLWEGAEPVDQTPEFMAYTALAISFAAIRTSSSVPTHLLYDLCARPEYIAPLREEIES VLREEGSIFTKAALNKLLKLDSFMKESQRFNPLSLLTFGRVIQSDRILHDGLVIPKGT IIGVPAHAISQDGDFYPSPSTFSPFRFVPSAPGEKTAGFVTTNASSSLSWGYGKHACS GRFFAANEIKLIMAYFLLNYDFQFAGGRTERPANYTFELQNMPDETVEVLVRRRKDGN L ANIA_03273 MQPFADEYSAALQQILRDGKLDDNIIYTSPVSGIRTFEDEVSCA EHWVQNMLQPVLFLDSLTNLCLSSDLDQQVDAILEVGPHSALAGPIRQTMGLPAFEGK RISYLSCLSRGKNAVETMQSLAATLHRQGTRVDLSAVNFPINRDGLRVLYDLPSYPWN HEHGYWAEPRLNKEHRLRKQKHHDLLGSPLIGGNALSPTWRHIIRVSDTPWLRAHKVQ NNIIYPGAGYICMAIEAVSQLSRGQGHTISSLSLKDVEILKALIVPDTGAGVEVHLTL HEGSEKALTGQPWQEFHVYSCDDTGKWSEHCKGFIARNQGNDAYKKNANSDDEVYLRR LNPQELYRTLQAKGIYHGDVFQNLVSIQSNRGRSLCTLRVADTASSMPHGVEQPHVLH PTTLDSIFQAVYCSLPTSALRSNAAMVPRAFKRMDLRTVMSSTPGHVFQSQCMLHRAS PQGMESSVVVSDTTSEDPVLTVDGLYYQSLGAAPQADGEKNDIQHNYTLQWAPDISFP IPSTVKAALQFAANLDEIDIIHDIREACFYFIRRALATLTEDRVLKLNWHQQRLRAWM KVQIKLAQLNELGPASSEWFIQPLAHQQNLINRVRVASVDGEMVCRIGENLPLILSNE VAPLQLMLKDDLLSEYYARAALREQDAPGPHYRCASYDFTDISSGFFEAASERFKEAG DVMEFKKLNIEEDPSGQGFESNSYDLVIASQVLHATKNINRTLANVRKLLRPGGKLLL VEITRDEIDLQLIFGTLPGWWLGEEAERQSSPSLTIPEWQIAMKSTGFDGIDMELHDC EDEHFYAFSVLLASAAIPDPVIYPQFTIVYKEMPPTKWLNKLITSLEQLTTFKPDVQQ LGTFDAEGKTCLFLGEMHEALLHEPSSTEFGSIQSLLIQAAGVLWVSRGSAIHCERPH NSLHTGLLRTLRTEYSSKLLVSLDIDPTTARWPASAIATMLEVVRRRFPLAQDPSHLD NEYAERGGIICVPRVFETDVESSPSTRSIESAETKTELFRQSSRKLRLQVSTPGLLDT LGFVGEPMQTDPLPEESIEVEPMAFGLNFRDVMVAMGQLSTDVMGFECSGVVTQVGSL ASQHGFKIGDRVCALMRGHWENRVRLHWTSVVAIPDGMTFDAAASIPMAFTTSYYALY ETARLQLGETVLIHAAAGGVGQAAITLAQRVGAEVFVTAGSPEKREYLSREFGIPEDH IFSSRDGEFAARLMEMTAGKGVDVVLNSLAGEFLQRTFNCVAPFGRFVEIGKRDLEQN KQLEMHAFTRHVSFSSVDLIALGELKGAVVSRIMNDIMRLIKDEGLRLIQPTTTYPIS RIKEAFRMLQAGRHIGKVIVIPGPDDRVNLLPSEWSLHLHSESTHLVIGGMGGVGRSI CEWLVQRGARNLIIMSRNADQQAQGNAYVNSLRASGCTVVVASCDISDKSDLKRTLDG CLQSMPPLRGVIHSGMVLQDTVYEKMSVEDYARAIRPKVQGSWNLHEVLSDVDLEYFI MLSSLNGITGNVSQANYAAGNTFQDAIARHRSARGLPAVAIDLGMVRGVGYVAETDGV ANRLERMGFRAVDEEEVLHLIQDAILHPIRHATDSQILTGFNSHPGAGNTNVFWAKDP ILGGVLRATGIKSKTRSNRVHDAMDLREQLANVPLPDDRLVVLQTAIVRKLAAMFFVD DETIQVGESLARYGVDSLVAVELRNWLVVQLAIEVSIFDIMQSASVKQLASSLAAKWA AAAA ANIA_03272 MLSLPSSVFTILVGLFLYKIIRLAHNVRLARKTSLPYIITPLLE TEVVALLLNPLLRYLYRDYLDRGKGWPRWCRFFVKDWAWEDKRQAHDELGDVFLCVSP EGIICYSADATMGWDVMNRRNTFTKPRDKYKVLEPYGMNVATAEGKTYQFHVRITAPP FGDLSGVNNLVWRETVHQTKRLTEAWAESPPTEIQKDVNALTLAIISLAGFGKRLEWS KNGEKEGVPAGYQLSFLHALQDTLHYMVPILLFPRWVLRVILHKAALAHAQLDRYLRE IIRDQKAKLSADINHSDKQSRGNLLTAVVRASMVFDSEQSSKESSRSSGERKQGFTED ETMGNLFIYLLAGYETTANAIIYGLAVLALHQDIQDQVIEEIDRAHARAQSANRSELT YEDDFEFLEYTYGFMYETFRLFPGVTLITKMIHQPERIITDGPDGSPKSYDLPAGTRV YLNAPVVHYHPKYWPEPYKLDPNRWRSSQGEKHVVASDRTRQMKGTLLTFSDGSRACL GRKFAQAEYVAFFATLLRQYRVRLAPGSDPAVVERDLFGKSAGTITLAPLANVRLHIQ PR ANIA_03271 MPSSLGIVRLRSMSTALRYNVKLCASLIKEHFADFHCDQRIHPL LRGRLAQVDFLHRNQKHLCEHFRDCGFNYLDRVGLRCACTDSRGTVPAGKRRVQFAST STTVCVEAVHKAPNCPGPIGDLCSTLGSVKAMGAQAHRDALGYILNQPSNARYNMPLL RCIEQDIDLCSLQDILAGPDCLGRPGQSSDELSRRDRLYLAAVLACGVLQLHGSWLKQ QWGTRDVLFARDAPHGSVAFDHPYLVWPVSSSCTYNGVSPSTSNRIQNEVLLPLAIAL IELSLGKPISALYRQEDRDSDESQVKFNTATRVLRNVYCESGSNYGDVVRECLYWSRN KGERFEDPQFDESVFDTVVSPLLKDFDYFEDASTAWAPAPAQAAQAMQASSLFGPILS VLSDKTSKPLQHSKHADVFLLFLSSLTRLALFFPL ANIA_03270 MAAASKAIVPDSERSHTYDGSGTEDSPFVVEFHKDDPGNPMSWG EARKWFTTTIVTFSVFAVTFTSSAYSVSAAKVFQDFDISTEVFTLGLSLFVLGFAIGP ALWGPLANSAAANMIRSELYGRQMLWIITHIAMVAFMGGSAGSQNIATLLILRFFAGT FGGSPLVNSGGTIADIFPPAQRGLALTLYCVAPFLGPILGPIIGGFVSQGAGWRWVQG LCTIFIGVVGILGVIFVPETYGPVLLQRRAKKLAQADGKVYVSVLEKNQGPKTPREVF KRALFRPWIFLFLEPIVLIASLYMAIIYGTVYMFMSAMPIVYNEQRGWSEGIGGLSFL GIMVGIIFGLIYAIWDNNGRYTRLFKASMAESRLPPAAEARLPPAIVGGIALPIGMFA FAWTNYPSIHWSVSIILSAPFGFGCVLVILPIMNYLIDSYTIYAASVLAAAAIFRSII GAVFPLFTAKMYHNLGIHWASSIPAFLTLACAPFPLVMYRYGREVRMKCKYSFEAAEM FRKMLLQQAGPAASEEKDEEGKRAEAKGDEETKDSSSE ANIA_03269 MDNDPHPRARPYKTRLKVRRACETCRSKKAKCDGMRPGCGRPPM PGRNGISAPVAHTELSSQGHRLAPSAHYSPNTPSDSAGTPSNNGESLAEAGDTEQGPG EGRDSDPDQNRTYYNAHGRFAGQVVAAIDPAASSHKVPFVDAPLFENLILDSSPHSFR SYFVAELPPRGYADHLVHIYWRFVEPVEPILDYQRFVENYEKIYSASGGPPCTRSDLW LCILNAVFALAVQRQEHIPPQQRNEQANRFFLRAWTLLPADLLWMPASLELLQSLILI NRYLHCTDNQQKTWMSAGVAIRMAQTMCGSRGERRDEALKLKVWASCVALDRCTSWSL GKSSTLVPILLPLSGSQRRGASAEGDSWGLRLYEIGNQIQLAQLRTRSAPASKSRQLG SQSQQEDYCNAALQLDASLQQWEASLPLEWQAKNLKMVIDRPSRAEGYLLHLRYLHHR VFLYRPMLARIYSMTSNSNASLSRPSLSHRVLLSAATMCLEAAQHIVTLVIETIEPDQ QIGLLPWWYRLYYLHIAGASFLAAMIRPELFSDSVAESWEAVLLALRGHQHLCTYATQ CVRTFERLASRTRARWAIPVNGNVGASASTGEAEGGTSACIGVSTGALAAGESPPGIC FDNLLQDIDFGLDGFLFGTGEFTEGVF ANIA_10388 MTALSTFATCLLASVGGDSSLVAFPQQPNYPNLVAPYNLDLLTT PVAIVFPEDTSQVAAAVKCAVDAGIKVQAKSGGHSYGNYGSPTDGLSINLENLQHFSV DTDTWITSFGPGNRLGRVTELQYNNGGRHTPHGSTFTVGLGGHATVGGAGAASRQLGL LVDYLEEVEVVLANSSVVRASKTQNTDLFFAIRGAGSSVGIVTDFAIRTEPAPPSTIS YSYVWTETDSATRAQVFLSWQGLLASGSLPRNTGFDLVVTPSSIIVSGAYFGSQADFE ALDFLSHFSTAPQTTQITPYTNFYKFAAAASARTIASGTAQPSHFYAKSLVFKQETLI PDEVAQAAFEYLDTTTNGTDLYAVTFNGLGGAVADVAPSETAFVHRDTLFFAFSFGRT ASALTDTTIQFLNGLSDVLTSGHPDAYYGQYAGNVDPRESKEEAWAAYYGENLLRLKK VKAEVDPKDVFHNLQSVQPGY ANIA_03267 MGEPLSIASGVAGLLSLGIQVTQSLISFYSAYKDQDSDLNQITQ NLGNLLVIFTSIDGALQNRCPRIDRELVESIHRSIWECTGIWFIESHYFKNWLMESNS FLWINGFAGCGKSVLCSTAIQSTFHEAQRRHNVGIGFFYFTFRDDTGSVYATESKFRY VQCHLIDLKRARNQNQLEKCLRSLPRDLDETYERILCSIDEDYATDVQRILTVLCVSK RPLKVQELVDAHAIDLTQPPHLEREGRSYGQEDLIDICRGLVEVVVISENNGEKTSVA RIAHFSVQEYLQSERVLQQQAKAFAIQKKRANSEMARICLAYLLEPELAESPLDEKRL SEFPLAHYAALHWYDHFREGSGMESAAEGLILRLFGGRAKSFLTWVRLHDLDRQPKKT VDLNRAVEDIPTPVYYTALLGLQSTLDALIASVADATTVMGTLNARGGYYGNPLQAAS ARGHEKIVQILLDHGADVNAQGGKYRNALLAASVEGHGKIVHILLNQGADINAQNGYY GSAFQAALVQGHEKIVQVLLQQVANVNTQDGIYANALRAASARGYEKIVQILLDKRAD VNAQGGEYGNALLAASVEGHEKIVQFLLEQGADANTQVEWYGNALQVASSKGHEMVVQ ILLDYGADINAQSGRYGNALQAASAEGYERTVHILLDYGANVNAQGGLYGNALQAASS QGHEELVQILLHQGADINAQGGRYSSALQAASAEGFEMVVQILLDQGADVNAQGGELT MPFKLLLSRSVKPSSPPTRDEIVQLT ANIA_03265 MALHRLKGHGANVGNRVGIQLDKDFVLFQGSEQEALAVYLSGIL SLRLKETTTIKYIRLHLRGVRRVSSDTQSSLPARTGRKRFCSENEFYSRTWNFFDGYR EAPMTVPAGEYKYPFDVVMEGSLPASVEGMKEASISYLFTVEIGRRHGRDITFDKPLR VIRVPDLEPCSHDFALDEVWANKIAYRIGIQNRTVALGTRIDVDYVFAPLLRDMKIAF IESQLLEVRDLSVEPNDGGSAHAARTETIVCSDRYTLGEEYSSKALESYQFSRTLQLP QALGHCVQDTEDMGVRVSHKLKIHVRMHNPDGHESELRLAIPVLIYLSPYYRVWEDSF CGETIPLPETLNPSDECPPAYGMHELDQLYMPQD ANIA_03264 MANQKDENTLTPPDPEVASPATPQSRPSKSDSEGTETGQEMDEF VLGRRGIMAFFTLSVLTLMVALDGTSISVALPIITQDLKGTAIEAFWSGTSFLLASTV FQPNFASLSSIFGRRPLVLVALTLFFVGTVVCSVANNFTHMLVGRSIQGVGGGGLIAL SEVIVTDLVPLRLRGQYFGILSAMWSVGSVTGPILGGGFSQDVTWRWIFYINFPFIGV GLVAIILFLKLNIIPTSLAEKLRQIDYVGTIIFVGSMSSFLIPLSWGGILYDWDSWRT LVPLIIGVVGLLVFSFYEYRFAKDPIIPPKIFQNRTAAVSFAGSFLQGLVLWCLLYYQ PLYYEAVKGYSPIMAGVALFPATFTVAPSAGLVGVLVTKYGHYRWAVWLGWVLSTFGL GLLCYMDVDTSIPAFIFINIVPGIGLGLLFPSIGFAIQASATNDTLAIAVGMFSFFRA MGQAVGVAIGGVVFQNQMYSNLVESGIPALASMASEYSQDAAGLVEVIKRMPDGTEKL GLRTAYTDSLRIVYAVCCGICGIALALSVLTQSYDLNRALETTQGLRKEKNPRPNGDL EKVGK ANIA_03263 MSSTACPNHEMLKFEDEIRPIALRRQQKMIIRTQFCTRKWTEDA HTQTHHRSQYIYQEFFGIPNGHLEYHDEYSIDNAHAHDWPGLRDFLDQLKLCKDNWSL DEPEFPYGQLPFEVFLNCAKTIPHHPPAADIPWAFNILRSKGLLPELVLSILGMAGYD HTQRRLPVAHDPFHPDNREELKKYLTFCWLTLIRCNIFAQDLKVKINWKRKVLDSLLR FVGPRGRKELFENVHKYEPDRRDINYLSVS ANIA_03262 MRLVYLVLALSTAAMSRASVPPEYLQANHKNGDPPPFGAVDDVQ TIRLSGQPDAVGETQPADCPTIMISTATPGGDHINGWKEIPVVAGFDLKRLVVDEDMN ATLPYYIENTKDLIQITRVVITTAGIWRNGWKYANNIRNSLICAAGRESVNADMSKIL VACPQWLNKEDVAAGAAEPNDIYYHKNTYQRGGPAVGPGQVKISSFEALDKFIAIFWN KDVYPALETIVFASHYAMLRPSQPEDSQITYGVMNPGSFAWLVSDRPVFPTDITCADP SVYDAWYFGIGPGKPKRIPKYVRDEVINNRTFVEGRYTSRNVFYGFGLDDHGKGDTHC EAQMQGASHLERGQNFMKMLEGLPGGFPVNHSVDYIEGISHLDYHMMVSEPMQRKMFL ANIA_03261 MTAHLFMQLASFSGFTVFELVYTPKFKTKVLVSCNLNLATRIQC MKISDLCIGGYIEEECVVDRLCTIQGGTAESCRNLARTAQWPLHLPTDDSPHPLPTLA TRRMVCGVTPGQAMHRGPSKELMRWETAVIYGYYGLKLLSLPVSNPPTIPFKQAEVSD GFIVTTFVSSEKDNSVVGVRGLKGNATETTLVNILVIVASCLGGFTYGFAANTLSGTL SQTTFIAKFLNTPNSTCSRMVFFLGTTASFRILQVSFSWRRLVFIVLTGIGVLHLVSL FFIPESPRWLTEKGREDETKVVLEYLHHEERYPCYFCLCGRAADQSARSERNTPRGYM HIIRTPSYRKRALCSIRLWVMSQRTGITAVANSIPTLMGTLGSGTTMQLGLGVVWTVC AVIGCVQDNRELTHTYHPGVNAAVAFYFIFGAYFTSTIECTAYVYGSEICPTHMRSEG STIAFASFFGNETMGLSLEEINSKFGDKVELKLKDALDAQGNLKLECQLCQCLAVPSS DSDGHEISNWGNLLSG ANIA_03260 MEFPEASIFPLLKDKVAIVTGGAQGMGKATASVFLRAGAKVVIA DIKAEQGEQVASELSSLGEVRFVKTDISKSEDVQNLVAQTVGFFGRLDVAINNAAMTP DKTVLIETDEEYWRRLVDVNLTGTALCCKYEMQQMRKQGSKGSIVNITSINAFMPQPN MPAYTSTKHALLGLTKHAATEGGPLGIRVNAVAPGAIFSEMSAKALEIMGTTHDEFAP KVSSLNRFGQPHEVAQASLWLASDSSSLSNGLPVPRTYEGKLALVTGSARSTSSLRTL VFAFLLNQWLTQPGIGACIVRNLASKGCNVIMNYATTSSDKAAEWLAQELTETQHIRA LPIRADISKEEECERLISTAKEFFTGDGESRFHIDILVHNAAILFLGPLESVDPVEFH RIYEVNVLGSILLTAVCKPYLPTDRSGRIVMLSSINSKVGAENTTLYSGTKGTIEAMT RVWCRELADRTTVNAINPGLAMTDMYLSAPDEVKVDLAKWNPLTPLVPVRETDSEEVK KLGEKFGGRAAYAEEIAGFVATICNPEFGWCTGSIISAKEGLSFSI ANIA_03259 MASSTRYRYAIAAVFAAGALAAPAPQFPPQFIPSNPQSQDQGQL ASTISTSSVSTPTSIPTPGASPSSSVSSNSSSEPSEFTANPSVGGGGDTYTDSARFRI YGATGTDAENALQMLESAYTCFVTDLGWRSSGLSYDSNSDTAEIWYKENVYSVASLDG NAAGVMGSDYTTGYSYVQVVNTFLADPSVTVHEYGHALTYHVRNWVDQTMTGAWWEPL ANWFADTWMTSDLCASAREQYNQEAGSSVIELQKVIGDSYQVIVDGSTDTGNYYQSWP LFTYMTNNPDNFTGLGTDSVLQLNKQYDVGSNETPLHTLQRLVGATPIAKVIGRYWAH MAYVDISDAANEVFLQQRESLTYDNLELSSDGTYTAAFTATLAIRSTNSGSVRYVDLE NGKGEVIVGESEEASLVVVNTPEELIQYNPFELSSENTAQPDDYDDHPVHDEQHGRRL AELILLLPLLEDPGNKPRRRLMVLYPLLAEGLPEQFLLLLNPFGNNQNNQQHVVDDAQ ISQGPSESDQSCGLGNRKREVLLQLVECEEAQYPAAKQTCYEHSGLCRPGEKTRTVA ANIA_03258 MRSSICFTVFAILFDSLAAAISITEPTANSTFAAGSTITVKWTT VDTDPSYFSLYLWNFVSWPPSYIALALDVPSADESHTVQIPCDTNPEWGYQLSAINGT NVYIIYAQGERFTVSNPLNGSHCVDSVPSDTTCSATTAVSTVYVTVSPTASSIPSSPH HHGHSHHAPSSPSLSSTTTATSSVYTKPGIVPKTIGWCSDYSHPVTLDHPPVPATAIT TAAPEQASSVKPVFVTTITTTIASHPLRLPRSAARY ANIA_11381 MRFSTIIAVSVLLLSGAYAQDREYSCWGHWDWRHRPDCDRWHGN NWFFKIPTNMV ANIA_03257 MEVSPVRAVVSTALIVVSAIFPVLSLMAIVIRYMARQTARLSLQ ADDWWILASWATFGLSVNAWVFGSITGIDHYKIDPALGVSRSLQCLLVSSLILQVSLS VVKISILFLYMRIFATRKFRTAAWIAIYVIGGWGIMFFFLVLFEGDPISAAWTGIGRF RYDTVAIGESQVGTSIALDFIVLMFPLPVIWRLHMPTRRKIAVALIFWLGALQVHRPA LWLVKRTYTDCLDQLLCRGHCPTGASEIVSFPGSHRWLHATQSRQFIFMILEPNCSII AACLPCYGPLLARGRAPESLIRSVRSVFTLRSRDNSIWSSMASRTNNSTGPNKTSTPR ESGSAVDLVDYGASWSKGRNESYQTARCSSSPDIEEIIEPSSQGIQVTTKVDVSSKRS ANIA_03256 MFQAASSVLSTLYQICANGAQAPLPAGSVWAILVLLLVALILLV CRYADSYDGVPVVNRICALEPRVFSRIRFAFNAEQILQDAYRKYDGKPYIIARGDADY LVLPSESVTELNRLPASIINSRMCHAYSMTGHLNGMNVVLKSNLHVKTLLNRITPALP AFLGPASARMQATMQETFPSVSSWTTIEPLDLVVGCVSRAITLAAVGEPWCDDTELVN LTFEHTKLVFTVMFAMRLVPAALQPVLVWMLPHKWRLQKSLQRLESFIVPIVQECKAA KPRPATERPSTLLAWMVAEATNDVEEDPYVLTELLAALAAGGTYSSANFIVSVILDLI ANPQFLDEIREEIRQKHEELQGRWDFAAFNNLPKLDSAFKETIRLTPGSLTTYSRVML QDYTLSTGITLKKGQFICVSSYARAKDDEIYQNAGSYDALRAYNESQQYHAAQPFKGV YQQEFRWGAGRWACAGRHLASLLAKFIVVKLLDEYEFQFVPGSHRPPNSVFHEFVFVH PSTRLLTRRREENLGICCW ANIA_03255 MTKPLTVWLTPPGPNPWKANNPQVITVLNELGVPYNIHSFKFDD VKKPPFININPNGRVPAIVDPNTDLTLWESGAILQYLEDVYDTEKKLTYTSLKEKHLL NQWLHFQMSGQGPYFGQAGWFNVLHAEKLPSAIERYENEVHRILGVLNTALEGRNWLV GDKCTFADLAFLPWNARVNMVLLTPEGEDPLAPYPNVQAWQRRMEMRESWREAMRTRD RLMDEQGLMPNGMPKGVSNMKEYEELMSRQAKEREGKYRKETTNRPCNMMALKSVFHL LSTPQIQVRFLKALSTSARDSVVEGGMEETKEIPPKTYLSTRCLLRHCLFD ANIA_03254 MDAHANDYKASEQAGPIQEPKLLDDDGGIDPENEITGPRLLLVH TGLCLCTLLVGLDFNLIATAVPVITSQFNSIGDVGWYGGAFYIALCATQPLAGKTFTL FAKKWTYLAYVAIFEAGSLVSALAPSSAVFIVGRAIAGVGASGIFAGGLVILTTVIPL HKRAIWTGTMNATFVVASVIGPVVGGTLTQHVTWRWCFYINLPIGGFSIAVFMLFFHI KPAATENARPLQKLKKLDGIGFILFAGAVTMLLLALQLGGTSAQYAWDSSQIIGMFAG CGATMAVFVAWQVHLQDSALIPPRLFVNRNAPLIFASAVFSNGPFQCIVYWLPIWFQA VLEVSPTASGVRYLPTVIADVVTSIFGSALVTYWGWWNPFLTFGMAMISLGGGLLSTI HPGISNGHWIGYQILAGIGYSLAVNMVSLLLLLTSNFDSDVTLIRLISASKLHYHPAS FPSAQRLSYL ANIA_03253 MEDPSSLPSLYPGLFVLFLLYFAGDLIATRRAAQRQKDHPLVGS PSWWTPRFGLNLVFAARAVEILQTGYHKFKNRTFQLIRGDGSVVILPLHLIDELSSLP QSVASSHGALERDLLGRYTGLDIILTSRMHHTIVQRKLTPRLAALTPSLQDEVSLAVQ EGFPHSTEWTIVKPYQILAQVAAKIAARAMVGPSFCRDPRWLDISVNYTESLFRTIVI LRLFPGWTHPVLSRCLPSYWAGKRYLQRAKGILGPKIDELIRRNDTGEWSPERTESDF NVLCWLVEAAKGRDRNAETLAHIEVLLALAAVHTILLRLVNVLYDLVAHPALFEELKE EIQDIGFNEDWNFGSYNKLRKLDSVLRESQRLSPPTILGLKRLFLQPYKFTSGITVPA GTYVALPVMAIENDPLHTDNPEEFDGLRSYRRIEQKTASMRPNPKDGPQFSTIEKTVL GFGYGKSACPGRYFASLVLKMVFVKLLTEYDFQFLPGRSRPKNYLVHEFLFPWPWDKI LVRRRENGVCPF ANIA_03252 MTSLSGNKIDIENCLSPQDLVTYLSELSQSPDKLKRFCTFSASI YDCAWLSMINRRENEQILWLFPQCFDYVLSQQLKDGAWPSPASTVDGILNTSAALLCL LDRRRLTQDSRLSSRINAAASSLQRLLEAWDLDGTDQVGFEVIVPGLLRQISHFGITF KFSCQCRLEALRAAKLEKLRPDMLYSGYQATILHSAEALIETIDMDRITQHCTEDTGI LGSPAATSAYLKHASGWDGRAESYLRKLLASADREQGGIPSGFPTTIFELSWALSTLF LAVGPPTPSDIVLLSPVKEYLHETLAKNGVAGFAPGILADADDTARVLLTLELLGTEV DFYPLMKHFRNGSFFKTYEHERNPSFSANCNVLLALVESSHALQHIDTIEEVAAYLLE CWKAGSIKDKWNSSPRYSNMLLVLALTRLFLRYDKGDFHGPLQVSLSRDIIICLSQIL SRTLIEQHPDGSWDSSLEVTAYSVLTISRMMLLPYVDKLKIDHIAPALRRGCGYLIDH QHDPVQPRREDYVWIEKVSYVSSFLRKVYTVAAIHASRKQSPCSERLVSLFQPLPTTH ELKVLLLATPLCKESPVPFMDLALLEAHYWSQLLREKSSMIFKSPISSDGQKLFHLIP LIFTSCNQRAGLVLSTNTLWNMIHFSLLVYQVDALMESTAIRMSDAELDEVLLRLDRS CSLARTAFQLPQRVSNGSSAQTAGVQPDDLKTIPLNKSRVENLMHLLLPFINHVLGHP QVLQAPVEIQRELADELYRFLLAHVEHIRANLTRTRINTLAANSGHQPRQLTYYRWVH SIGSADTSCPLAAVFFLCLISKHGSFCFQHPKAQYLSRTVAHHLSVICRQYNDYGSAV RDHEEGNLNSLDFLDFQQEAQANGAVSELRTSNSVCPSVSDTQLFPRAACTSQSAKDS LMEVAEFERSCMELALQRLEDAACTLDALKQFRVFVDVTDLFGHVYILKDLTANAIAE DLFPSRNASEQSTQIDIHLEHAKLALVFRSVPPHPKKSIFLLLQTSTTSTVASFATTF LIRLESAKRSSYAFNPEASTLDIVYRTKKYQEKFSWNRGLIIPKDLASISSKSAEPWQ PPS ANIA_03251 MPTSTSTIGWTLANVGPAPTTYPAAPSCTAASSLILDWQNLRES QWGVSCGVPDDCWPQPTDSALKDEIKTNPLIVPFYSPGVACPTGWQAIGSIAHPTGPS ARVTSSGYLSVYEYEDFSNGDWIYGYHIRDAFGVLLDPGETVIACCPSSFFVEEYLTV CVSAVPYTPSTGCETRWSERPVDISTTPVIINGTTSTVQLLMPLTSHLPSTTVETTFS STEHLLVHSQMGPIYIVHQPSDLEGTASASATGGSDQDSTSNEAESTGTNAASALRVG HSQSGWGQIAGLAVVLILSLLSGMALVLPW ANIA_03250 MQRKACDQCYSRKKKCLMDACSSVCVRCEKLSLACTVLRRVRRP GRPPGHGLPGVANRLLGVWERSSTEGNSCLISVDHERGKPPTACDAPEAKLSAPDSYR LPPELQDSDFYLLSDIYMFGPTFARDLHRALEYCHRHSPHLLAEIFRALGSCLSWARL GELPEDQVDVKSGAVSIEKLRNAEIKNLHDAVAVLMLGQALAAFDSLVTSTGAMSILR CSLSLICPWYPDIAEIQLLETIAIAPVFWDTVWCLLHREVPVLQPLVTWTRVVDRVAG LCTSLLPILYNLCVFGQRWKDGVPQPQCMLDSIEQQIRTWSPDDSALTLQRYSTIEIL SIRTQASMYRTAALLLVHWIRHPLASPDPTSTSLANDIISAREEFFASAGPSAKLQNT SFPLSLALLEVPISPDRFWESSTWLRTRPACVRHLSAFTGYVWDQRYAGFESSLFDLV KSGPNFVPVP ANIA_03249 MALSSNHLVQLCLEKAQSLFSLLPPNVQDYISRPLVRKAVAIVV ALQLLRGVNRYLSQQAQNNWVRARPWNSSRELVLLTGGSSGIGKQILLDLSKLNVRTI IVDVKEPDFRLLDITSTAALKETATQIRRDHGHPTVLINNAGVGLSGTILEEPEEHIR LTLEVNTLAHFWTVKEFLPSMIQNDHGHIVTIASMASFVALGEMADYAASKAAALAFH ESLTQEIKHWYGSKRVRTSIIHPLWVQTPMISALVARRAQFRQPIMSPEKVSQAVIKQ LVNSNGGQVVVPASYGFAALLRGFPNWLQERSRDRESQKLVRLQRLQQEPAKSLQN ANIA_03248 MPSSSWRGYILWATLCAWIAKISGQSSKYPHIDKMTSASFDFLI IGAGISGINAAYRLQSAFPHRRFAILEARNSIGGTWDLFRYPGIRSDSDLYTFGLEWF HWNQSNPIAEGGDILRYLNDAAAAAANITQHIRFNTPVKSAHWDGHQWTLDIESNGTA EKLRAQFVIFATGYYDYHKPLEATIPGLQDFQGEVIHPQYWPEKFDALGKKIVIIGSG ATAVTLVPSLAEDACSVTMLQRSPTYLASVPNARRQPQWASLIPKSVLYYIRRCWYLT VPQLFYQFCRKFPAVAKFVLQNGMKAQLPHNTPLAPHFSPRYNPWQQRLCFCPDGDFF RALRSTKARVETGVIERVEEDGIQLVSGKRLDADVIVTATGLQMQLFGGIPIYINGEK LDPSQKYMWNGLMLEDLPNAILVMGFSNASWTLGADLAMRVTCKIVEHMNRQSLTFVM PTLGEKRTCIQTRTVMDLTSTYIKRAAKDMPRASERRPWVSRVSYFSDLYFATFGDFT DGLAFS ANIA_03247 MDNDGPPEKEQTPASNLHQVYSQEKRVADSHDTLVVPPGTPTGA TSTETLALAHPYPPTTSTAPVKNTTWRLTDQVVKNRDRDRAAGYKPRELGVTWQGLSV EVPTAEASVNENLFSQFNIPQVAKDYFRKPPVRPILSDSHGCVKPGEMLLVLGRPGSG CTTLLNLLSNRRQGYRMIKGDVRFGTMDPKEAERYRGQIVMNTEEELFYPHLQVGATM DFATKLKVPAHLPDGADSIDGYVKETKDFLLESMGISHTAHTKVGNEFVRGVSGGERK RVSIIECLATRGSVFCWDNSTRGLDASTSLEWAKALRAMTDVNGLATIVTLYQAGNGI YNLFDKVLVLDEGKQIYYGPAAEAKPFMEELGFVYTEGGNIGDFLTGVTVPTERRIKP GYESRFPRNADEIRALYEKSPIYSQMIAEYDYPQTPLAKERTEAFKESVAWEQAKELP KGSSLTVGFWSQLLACTIRQYQILWGEKSTFLMKQVLSLAMALIAGSCFYDAPDDSSG LFIKGGGVFFAVLYNNIVAMSEVTESFKGRPVLVKHKSFAMYHPAAFCLAQIMADFPV LLFQCTIFSVVMYWMIGLKHTAAAFFTFWAILFTITLCLTAMFRCIGAAFKTFEAASK ISGTAVKGIVMYAGYMIPKPDVRNWFVELYYTNPFAYAFQAALSNEFHDQHIACVGEN LIPSGPGYEDVGAGHQACAGVGGALPGAAYVTGDQYLGSLHYKFTQLWRNYGVVWGFW GLFAVLTIIFTTFWNAGAGSGSTLFVPREKIKQHQRHKDEESQSQVGAATARDGGDTS LDEGNISRNTSIFTWQNLTYTVNTPTGERVLLDKVNGYVKPGMLGALMGSSGAGKTTL LDVLAQRKTDGTIKGSIMVDGRPLPVSFQRSAGYCEQLDIHEEYATVREALEFSALLR QPRTTPREEKLKYVDTIINLLELQDLADTLIGGVGNGLSVEQRKRVTIGVELVAKPSI LIFLDEPTSGLDGQSAYNTVRFLRKLADIGQAILVTIHQPSAQLFAEFDTLLLLARGG KTVYFGDIGENGRTIKQYFGKYGAQCPVEANPAEFMIDVVTGAIPEVKDNDWHQIWLE SPENAKMIKDLEDMIADAASKPPGTHDDGFEFSMPLWEQIKIVTHRMNVSLYRNTNYI NNKFSLHIISALLNGFSFWRAGPKTGVSDLNLKMFSIFNFVFVAPGVINQLQPLFIQR RNIYDAREKKSKMYSWISFVIGLIVSEFPYLCVCAVLYFLCWYYCVKLPYDSSRAGST FFIMLIYEFIYTGIGQTIAAIAPNATFAALVNPLIISILVLFCGVFVPYTQMNVFWKY WLYYLNPFNYVVSGMLTFGIWGNNVICNDDEYARFDPVNGTCAEYLKDYVTGSGWAIN LANPEATSDCRVCQFRDGSDFLTTLNIKHYYYGWRDAGICVIFAISGLHRVLALGIQR ISVQVSAMNTRDVTCFRALLP ANIA_03246 MASNHELPEYPHDEALVRRHVSLSAMESPHSHSARYNARTKTLV EQSGVQAAAYYSQGVAEYNRFMASGDTLNIKLGAVWSGFRDDPRDHNSLSLWDEHGHI SLHVAFNRYDRTIRINTWDGQWGNEIYTSFPDSIVQEVHTTIAIQYWAPGSYTIHIGG MGLDVDVPRLSAPNALTYRVSRNNDGMFGGKVDTTLNY ANIA_03245 MYANTVNAPDLKPSSLKFINKESKGSAGIRDEEDVFVHKRAPDE IVVFPGFAEIRSITIVHTRYGSKGIISELTVGVQDHQLGQEACQVWNDVDRGSSGCLS AARLLANSDWENSSAGS ANIA_03244 MSPSTSGHVDYDRISNKGRQSPRPLQGAELPAAWGIGWRCPTLM VGLVTCGAMLSVGHHFYYRSFDQTLVDSRNQQAWAIRIGTGFAFLVKSCLVSAVGVAA VQETWATLRRKSVKLSGIDSMFAVLDSPLAFFTPDLWLYAKTLTALAIISWLIPLTAI ITPSTLYVVAMQARQTSRLGVPSVSFADSFWSGEAALEGAGRINAPSPNLSRLFTVTA SSMQVLPVPAPFPNSSYLLEFWGPSYKCQSLSEALLNVHGLSQGLWDRQNPLWNNNAS QPTELVCQLWNTSYMVSLTFNDGIQTLTPISVDHIAYSNWSSEAGTYSLLQNSDPTVN GGFYVVHMLFSGLIQGNLRTGVSGSVRENITSQTAFTKLSIAQTGLFACPEMWNGSNS DYLYGNSLMTSCRNRTLARAIEDLSHNLTYNLLSLNAANTTVDVLDLTSRNFYIYDQE YLILAYMTALGVTVACIIIGFFALRRNGVSQRTSFSSVLMTTRNPDLDRLAVGHCLGA EPLEKEIGKVLLQYGEIESPGQRHKHAAFGTKGSVVALSKGEDYY ANIA_03243 MPLDNYGVWKAHPVRYHVERRGTRKPHLLLYHRDNGGGDSESAI NIKSGDRQESRLVYWVDEKVSDRRLIERLSHLRTGYHPLDDVEPDSPDDIRLDYIRRN LFDVDSGRVLPHDIPGPNNDIIDVLEPRIKAAIDEGATVHIFGERYNSQDGMHNIHMN QGNIAMYSGDDGPFQDGALVFYFPESHQWLGVFLAFASQSVHTGNGSGHAISRVTWKD VLLDDLVENSVVIRQAAVNPPASEDQRQSVTLANLMNRRIPLSGWKIRNSSGAEQVLP RDAVLPPLATEDFDIPNCPLSRDDDAITLLDGDGLKVAGIRYSSQHGTTQHKPMIFAR S ANIA_03242 MARVSAPANPRTTIIILHGAWHNPTHYYDLAAVLRALGHKVLIP RLLFMSGARPANSGLYTDSDHIRSYAESLADAGHCLVVLMHTYSGQPLAANFADDGTV EYRDVGERMVGPGLSKEDLESYIARLKPWNGQALYQEIREVTRMDIPVSYILSLQGMM LPIAYQNVMVAGIGAAGGKLRLLG ANIA_03241 MADLVTCRGRVVIRFRTVSHFIQIIFNSSTFLVIAMESQDRLPD GVSHRNRVSMGITAMVTFLATIFVGLRLASRLLTVKIKSDDWVCLAALIFAYATLTTT VLTDTVGRSGWDISQYDRFTIERRSKIVLANNCLYNTTVSLAKISIILYYRRIFTTSR TFVAATWAMGGLILGYWLACIFGLIFAYNPVQAQWKSWLPHTSINNQAFWMAMGIINI VYNITILCMAQPLVFLLWADWKSGGFTFTRLNQFPWTDTLYLAGIWTAVESNLSIICA CLPMLPSLVKHFRGRSERGLYSAGLGESRMYLRRLDGAISFSKATAKGSNSFINPNTC SQVRIQTDVDIEWDPRRSSIE ANIA_03240 MALGDPGIQISGLASYKLVITSHMLHATRKLDDAITNTRKVLKP VASAFEGNGSSGVDVQVLVQKKEKYRYSSIVISTAIGEDGPETDSPPDVVFLVNSDIQ DQWDVVTTINKAQPWPIVSLADANAFAFNLSTTLVFLNGYIPRFVEKIEKYSIDDLEA IYIASNGKLCIPTVSDDSTASQWLTQSSAIPVSRKSSRPLQPAVPSPTGQGLDVQIGC LEDYDGSGLSCCLAPERHAVDLSLQSTKKLDLTISGFSRVSYLSIDMKGMLVRMTAER FFRKACDVHVFKKLGRMLKPRSGWPADPYHDITILYLPKLLPANTPIVLAVFGIQRLE ANNANLLIDAFNAVFTTNVPIIEHLEQDDPTPTRLWLTYWPSRAVFETWWNSGPVSSF WSSLPDDAGVYREIMTVPPGRTQHGTNATERVNGMANLGIFEDISEKSGYWGCYYDRM ADVTRERRNDIRSAASKTPSQSSSALTGEKILRGRVNLNAGYLPDNIAFVVEGQDHSS ISSEERSHWFEHFDAAVTRWIINLVAAGPEHGVLNARLCYEPVCGTYRDADGAPSALN YNRKIQLFYFQDMESMERIGRENKGHVNLRREFMASYAPDGPMGRLPGKLCLWVEASI LKSKEIEAEYVGCVKGTGLMGLSWE ANIA_03239 MAAASSRGVSGIRPFARWRRGTGMPIPIRFIVNDTVNQPGSRSI GMLLGYHLLWSTTANVVGTPKQIEQTHELIITNNYFVGGAVNPRDSDLKITSDGDSII FNGAKFFNTGGVVSDLTVLEGVLEGTDSHIFALVETRQPGIQFAHNWHNIGLRLTESG GVKIENVRVPWTDALGWDAAQKKPREDILATSFAALLLPTIQLVFSNFYLGIALGSLQ FASKYTTTTTRAWPYGGDNKPAATDEFYILERYGEFFAHLRAAEALADRAGDQLTSLY TKYSSNRTALTPQERGEVAEWVASVKIVTTDVGLKVTSGVFEVTGARATSLRVGLDRF WRDVRTHTLHDPVAYKRREVGRIIVYGMII ANIA_03238 MIQPISWQLSVNMLFSSVLVAIALACLSTLCHQTMDRFLPINGD LLPHIGQRRASDDAQNREISSRPTNPALSMTERTAFNTQAYTVYGYSSPGGVQINESD LLDMVSCRSRALMHCSVHPVPMKKTIFVMSCCGLARRSGKDMIGSRLADC ANIA_03237 MASDESKETHVQYGSEEGSIDRTQTADDGERSQIFDSDSQHPKV PRLLYDFRLENTRLIMVLVGFGMSFVGSQIQPLLFAPIFPLVSSSFNASNLLFWFTCT QQIATGVVAPFSGSLADLFGRKSITLAGILSAMVGMILCAASPSAGGYLAGQVFNGFG IAVQELMAIAAIAEIVPTRYRGYYIAAVVASFLPFAPGSLYGALIAQHNWRYCACMVA IWNLLTAGMIWWFYNPPPRTNTAGLSKIEKVKRIDSIGGLIMTAGLVFLLISLNWGGQ QYPWNEAKVIAFLTLGIALLILFFVYEKFFAAYPMFPSTLLRHPRTFTALMVVILLAG INYVALLVFWVLEAVSVFDSSQFELGVRTMPYGFCIVGGAIISAILISAFKGHLRLIM TGFCVVQVTPLTQAGIGCMAAIRTSDISTVWAPLIFSLLGIGGVLVPNQIIVTIICPD DLLATATCLTACLRAVGQVIGTSIFFNQFTSALVANTYSHVVPAAIEAKFYQFNMIAP MMETLVTTPWKEWVVTVKDTITPEQATILHTAVVEAFRLAFERVWYISIGFGVAAVLA SVLIEDLGALMDGHIAVKYL ANIA_03236 MSTPSASQNPVDAPPHVLELLFKLHKKSLEQEAAIAQSKEGKIF SASVIKDLGDNRPKEDTIAEFDKLMLDKFIALDEDKCQFTYQLITAMGATNVVEAGTS FGVSTIYLALAVAKTKAAMGKPGVVIATEKEKQKAQIARDYWRQCGDEVESQIDLREG DLLETLKENLPEVDLLLLDIWSKLALPTLKTVQPRLRHGAVVLADNTISGAEGYADLL AYLRDPNSGFRNMTLPFTNGFEMCVYLPERK ANIA_03235 MSTTNVSIIGAGLSGLALALALQQQSNVCTLYEARPATLDIGGA LMLTPNALRILDSIGIYARLKDNGYSFDSSTSLPRPQAVDSYEFGGEANKFTRVLEET PYDVIFEFEDETTATATILVGADGDWHQRCHPDLPTQIARAVRPSRDYQDHGAFIITP QQPDRSEVFIGRQKRAPELDREGWAGIMLCQILLVIRTSYRGEDCRFHGPRRCGLPAP HLACSEHYRGSGCSSHTGCVAWTSLPVFNSGVCEAVAKKDLASEFELCRGPGEQCRSG LSVYDGHSGAESWDDGAASYLSRTVCGHGRILYIMAASHLNSS ANIA_03234 MATYLITGASRGLGLELAAQLAASPPSEVSLVFATARDISASGL IGLAKKFSERIVPVQLDPSDPESLRAAVALVDNHLRSRGLDVLINNSGVQPITPGKIE MASSTLGSISLSSQFSGSPAHAYKISKAAMNMMTVQYALQYADEGFTVFAVSPGVSPH PFSRLRRASETLTASTKWLRTDMGGQCADLPVETGAEQVLKCVNNVGKELNGRFLNIH VPGWENAPGPNQYPGGDAPW ANIA_03233 MDPVDVAAEEERKKSLYKNRWRHVLDNPKLLLIAFFASLGGFEY GYQQGVLGQSLVMYRFNDNFPSVVNSTSATGWLTSVLQLGGLVGSLSAGILGEVFSRK YTMFMADSLLVLALEHSAVLGKEYLSLGMMIAFWVGYGSNLHMGGTGSTQSNLAWQLP SIIQGIPAALLLLGIWFLPFSPRWLAKRGRDEEARETLAYIRNLPVDHPMIDLEHKEI KAETLFEQRAFAKAFPGLAEKEKQSVVMRELAQYWHILRKWDAFKRVATAWLVMFFQQ WSGIDAILYYASNVFQDLGLTGGTTALLATGVTGVVFLVFTMPGMLLIDKAGRKPMLI AGSVAMWIFMVIVAILVAKFRHDWPGHPVAGWVAVVCVWLYVGAFGATWGPVSWTLVS EIFPLSIRSKGAAFGASSNWLNNFAVAFYTPEMFNMWAFGTYIWFAGFLTVGIFWVWF FLPETKGATLEEMDRVFKSRTGAEDAAMLAQARADVGLDHHVVQMAEKAAMGSVHVDD GRFSQEERA ANIA_11379 MNTTRRRLAVADLAAFMRTFRGSGRLTTQLALRDPLKVIAARHI VNAKAIRDNLEDIRGPELSFNRYKRRSERVEGGRPWLPT ANIA_03232 MWWAKDPETKLLVKIDWFILSFCCVTYFFNYLDRSNLTNAYVSG MEEELSFRGNQLNQINTVFTVGYILGQIPSNLALTYLRPRIFFPAMILCWGCLTMVTA AVHNPQGIMAIRFFLGVAEASTFSGTHFILGSWYTERELGKRSGIFTASGLAGQMFGG FIQTGIHSSLDGAAGLSGWRWLFIIDGLITLPVALYGAFLFPDTPATTQAPYLTSSER ALAVSRLPVAKHAPIFNRTFLKNLFKTWYWWAFVILWVIAGETESFSSNSLLALYMKS HPTNHYSIAQLNNYPTGVPAVGIVSTLFWATLTDFWGGKRYIVGYFIGITGIITPILI LTRFDSTATVFGAYYWAGAVYACQATFFAWCNDAMRMQEERHRSVVIASMNLGNNAVN AWWSILFYSADLAPRFTRGMYAMIGCSVALIIWVTAILLKTLQEDKASSRLQSPAVPT ETGRDVEKST ANIA_03231 MKPFKLPWRVPKTAKEPQIISDEKDLIPPEPTQLTFFSSQLDSC IQASSLDDLKALYEPLCPLLEDRTYGGLWWLDVTAPSEDDIETLSRIFNLHPLTTEDI KIRETREKIELFGPYYFLSLRPARRVESDEGVRTSPINVYAVVFRDGVLSFSMGSNPH VGHVRSRIKEHQSHLYLTSDWICYALIDDIVDGFAPFISRVEQGVDTMEDSVSITRPD DIGLALQQIYRCRKEVLHIRQLLNDKTDVIRCFARHCDAFGASPSQVGLYLSDIQDHV LTMMANLDAAEQMLSRGQSKYLSQLSFDSTRMRNQISHALSRLTVIAGIVVPLQFVTG LFGMNVTVPAQADKADSLTAWFSILGVLVALVVVFFVVARRLRIL ANIA_03230 MQGSSHTLLAFGPQSLSFDKRALIKLRGDISSGRYGQWIQDTIA GLPGFWTALSEALPELRELLPPQERLQDLDRLLNHSIDDIEEGLSISNMILTPLVVLT HLTEYERYLATNQHTSDRTTATGFCTGLLSTFAIASSRNDQELAKYGAVALRLAMLVG ALVDAEERRQELQGNGRSVSYSIAWMSEEQQQRLQEILGQRHPETYLSVRYDRARATL TSSGRAAADLAQELREIGLTVAQVRLQGRFHDPSESRKAYTDALADLCNRTPELQFAP TPAMSLAYPKIDNASLHEVAVRSMLVQHCDWYDTFKAAYPEVSSPARVVCFGSERCIP PTLAKRTVKIESQHQASDAFASLDQENMIAVVGMSIKTAGADDVDEFAQMLRTGTSQH QAIMEDDINFNTPWRTDKGSRTWYSNLIRDRSQFDHSFFKMSPREAAAMDPQHRLFLQ AAYQAVEQSGYFLEPGHNETKLPEDSVGVFHVSCYDPNAYTATGNLRAFLAGRVSHHF GWTGPALTLDTACSSSAVAIHLACRSILSGECSAALAGGVAVMSSPFWFQNLGAASFL SPTGQCKPFDEKGDGYCRSEGIGCVMLKKMSAAVANNDQILGCIGASAVHQNQNCTAV VVPNKPSLAPLFKQVISKSGLTPADISLVEAHGTGTAVGDPAEYASIADALNVASRPS PLILGSVKGHIGHTEAAAGVVSLIKVLMMMNEGFIPPQASHTRLSPRITAATDKLRIS TSLRPWETDQKAALVNSYGASGSNTSMVILQLPKRHTFPHQGVTKDGLAQPFWISGTN EVEITAYCARLAEYIRTRPTVRLDDLSFNLSRQCNRTLARQLVFSCRSMSELQAMLSR GASAEGSSKVVPTERPVILCFGGQVSTFVGLNRLVYENVGLLRRYLDECDMAMTNMGL ESIYPEIFSTSPVQDTVKLQCMLFAMQYSCARCWLDCGVSTRIAAVVGHSFGELTALS ISGVLSVQDTIKLVAGRARLIRDQWGSDRGAMMAVEGDESTIQQLLEEASQQTPSDHP ATIACYNGPRSFTLGGSTAAIEGVKETLLSKYPYIRHKRLNVTNAFHCSLVGNIVEPL NQLGEELTFNSPVIHIEHSSSTPSTGARPSSTFVADHLRKPVYFYHAIERLARAYPDS IWLEAGSNSTITTMAGRALRPTSDIVGQSHHHFQALNITHGGRGSDSLTETTLSLWKE GLRVSFWQHHPVQKDQYEHLILPPRQFARTRHGLDIIAPKTDQGAAQQTGVPKPDGLW TFVGYEDNNRSRPRFLINSNSEKYLAMVEGHVMARTAAICPAGLEVDMAIEALFSLQP EWKERRLQPMLRDMVNSAPVCLDPIRKFWLQLSAADESCMLWDWNITSTREGSSSSLL HVKGSLQIRSPEDPLYRNDFARLERLVPYRQCTDILALAGAQPDDLDDEIHVLQGRNV YQGFSDVISYTPLYRSLRRLVGRGNESAGCVIRKRSHETWLDVPLSECFMQTGGIWVS CMTDRSPDDVFIATGCELWMRSPSLADVKEKGEDGTEKWHVLARHLRVSDKVYLTDVF VFDSRTGALTEVIMGTKFSRLPKTVMRKILSDFHPGNPSVHEKDIVTAAPKQPIIQAQ EIVLAEAPRKHKSVQKRDITGQVCQLLSSVSGIEPAKINQNAELSDLGVDSLMAMELA REIEGVFKFSPDHNDLLEATTVEKLTNYISSSIPGSSAASENIDNHGSPNDTDSSGES DPATPTVACSSTDDFREPFIITSSRDEAEVLSLAESDDTFLKSQRPIVEMAKQNTDRE EIAEQYVARYTAGFIPPVSSRSSAREHSGNVVIITGATGSLGSHLVASFARDPSVRTV ICLNRRSKTPGTLRQSQALSTRKLQLTEAESSKLRMLDGNTSEPHLGLAADEYTWLAR NATHIVHNAWPMSLARPIHTFVPQFQALRNLLDLAREMACNCSPNGMRVGFQFVSSIS VVGSAAQQRVLEQRVDLRSSLPIGYPEAKWVCERMLDETLHRYPDHFRPMVVRPGQIT GSSHTGCWPHTEHMPLLIKTAQTLQAWPDLDGPLHWVPVDTVAQTMVDLLLPGIESDS NQTGTTLNKSEPDAYPVYHIDNPVGQPWKEMTPIITSALNIPSDRVIPYQEFLNLLRS SPLDRDREIPAAKIMDFFTRDFEHMACGGLVLDTTLSQEHSATLAAQGPVTEEENMKI LTL ANIA_03229 MRHTALLPLVSSFIVPALAQIPGQTTVNATVNQGRFGNATYDYV IVGGGTSGLAIAARLAEDPSLSVAVIEAGGYYELDGTVASIIPGLAAGANVGTDATEY STVDWNFQAQPLTSANDRSLRYNRGKTLGGSSARHYMVYQRGTRGSYDQWAELTGDES WGWDSVFPYFQRSVNVTPANMTGRFPNTTVTYDPSGFNKAGGPLHVTWPNYGSPWSTW IEQGLEAIGILPDTDFNTGTLNGSSWAPITINPLSQKRDSSETSFLQQSLKTTNLTVY LHTMALKIGFDGTTASSVDVRSPVGRFTLSARREIIVSAGALQSPQLLMVSGIGPRET LERHGIPVVKELAGVGQKMWEHPFFGITHQVNLVTATELAINQQALLQALNQYKSQQG PLTSAGFGVLGWEKLPNSTLSDSTNEALATFPSDWPTIEYLSIDGYLNGWHSAADQAT GNGQQWGTIAVALVAPLSRGNVTISSSDMDDPPVFDLGFLTHPADREIAVAAMRRIRQ AFAAISEITIGDEVVPGADVSTDEELLDFIRESIVPVYHVAGTCAMGREDDPEAVVDP QARVIGVNNLRVVDASIFPTLPPGHPQSTCYMVAEKIADLIKKGN ANIA_03228 MYSKSWILNALPAPLVPYCELTRVGYLPIGVLVSYLPVLVAILH VAAVARLPYDNILDSCLQWLPLCYVYSAYGCVVDDIADQDLDRKVERCQHRPLVRGAV STTSACLFAASLASLAVFLTKTFFPDQPAVHIPVALAGSIIYPFLKRFTNFALLYLAF LYVATGLNASRTIGYDILSAPDHLLTSNLLLAAAVFIANVSVETIYMHADLEDDIKSG IGSLAVKIQGYSKPVLFLAAVAYGSLVLASGLAAEFGKWYFTGAITSALTLFTLVARV DLKNGKMCEQFFFMGNAVLMSLLAAGLYGECIA ANIA_03227 MGSLWSSPSLLPSQQDNETEPFSHLPKEIGTDPTLREDSNVSNR NSEAASTSTDSSTNTNENHASTSQSSLEGAPDSGPIKIAIIGGGIIGIITALGLIHRG INVTVYERAPKYTETSAGFSFSKGARKAMEIVSPRVLEALLRVAAPNKHPFIRYFDGF TPGADEAQWQIPAERPDYYGCLRAAFLESLGQEVPEGIVKFGKVLESYEDNEEGKVLL RFRDGSTAEVDAVIGCDGIKSRTRRIMLGDTHPAAAPGYTEIVAYRAVLPLEGVVAAL GEDRGHSHCLAVGPDAYTVSYPIANKPLANMILFRKQRGSWANSDKLLETCHRSTAQD AVKDWKPEIQRLVDLLPENPNKWAIFDTSDNPVPSYVSASGRVCIAGDAAHASTPFLA SGAAMGVEDAAVLATVLNTALKEQSYNKTTVVKRKAITAAFQAYSGIRMQRSQRVVKD SRTVGEVCMWQDAETARDPEKCFQAIWQRYTQIWEFDVEEMVERARSECVRLFS ANIA_03226 MVFGSRPPALTEANIGDQSGKVFIVTGATSGYGLLLSTYLYQNN GTVYLAARNAKKTAEVIADLKQRFPASRGRLDSISLNLSDLSTIKKSAEEFLAKETRL HVLWNNAGVMFPPAGSTTSQGYELQLGTNNVGPHLFTKLLYPTLAATAKEAPKNTVRV VWVSSDAASWAPKPAIDFNNLDYRRNESDRSKYGRSKAGTVMQAVELARRARKDGSGI VSIALDPGIANTGLQRDMGRLMSTMVKLIANKPEIGAYTQLFAGLSPEITAEVAEKEW VVPPGKIGCPRRDLFTDTETSRKWWEWNEEQVKAYL ANIA_03225 MDHPHPSTFSLGLSQILVCLALLYAAIHILSVYRRLCHISGPFW ARISNLPRVWWVNTSRAHEIHQQLHEKYGDVVRFGPNMVSLRNPTWIPTVYPTRMGVK KSDFYRTLAPYTPSGALPAVFSSRDEEVHRGLRGPIASLYSMSKVLPLEVFVDRTIDV LVRQLDGRFAGAGETFDLASWLQFFAFDVMGTLTFSKRYGFLEKGMDVHGMLDTIWRF LKGAAPFTQIPWVDEIWNKNVLATKLKGATGVSILGIVGKFVSQRQEESKAGKIDGTA DRDMLSLFMEIQKNNQLPPWYVTAWTFSNITAGSDSAAVVMRTVFYNLLSHPSTLQKL RSELLSAGPLTQPYPSWKDVCNLPYLDACILEALRLHPPFCLPFERIVPQGGMVLGDT YFPEGTVVGMSPWVVNRHKPTFGEDSDVWNPERWMVSKELKSKREAAVLTFGAGRRVC LGRHIAILELKKIVPALVLRYDFELIDPERFTTENFWFFRQRGMDVRVKKRMQAEAGI ANIA_03224 MSDSFTVSLRPIREKRDRPDSLPREIAQINAQWGSFRELSEAKL REMIEEDKHKDHWEEDDEGDKESTDLETSEQLDQLYKRRAEIIQYALQAHMEASFALD FVSLLLSKHQPRQAETSMSPFLKSAAPLGSLNSEVVNPPPRPDSTLKDIKSVARGWRL QNFNSAADKLLNAGSRLETEVNSETKYWNEVLAVKEKGWKICRLPRESQALGVQYGFL EATPIFRDRGLAALRRTDDGSLFLDKGLIPLKSQGVRVRVRRGDRIVGCSKVCRPPQD AESIESRILQARDTVFEEELFYEVMREARILGTQGVKTRQNLVQVPVSDEQEILLDLV DWDQDRDPDAADSTEQDVFADAVAHSIRILLTYAHRQNLRRRTQPPPPLAPKRRPVPE YHILRPIMAYLQHKSHIQWLESLINDLRGVLQSAGIPCDFKATQFSSIGTLQPSNQVP KVEAVAGVFLAPFLSTFSGNLVTPQSSFRIQIRTNLAVPPFGTFYELSVNLPQYPDVQ PPNHVGLQDEVSAILTHVIMLDIAAAIPLQCRDTADKEAKERVSWDVDYPHHGELHFL SRNGQSRKMKISLSRQELTIETYQLNRMRGVTPGAATSPTLWQTWRADSPETRPTLSE FVAQASQP ANIA_03223 MAPTVATQPPPPKRRRIGVLTSGGDAPGMNGAVRAVVRMAIHSD CEAYAVYEGYEGLVEGGDMIRQLHWEDVRGWLSQGGTLIGSARCMSFRERSGRLRAAK NMVLRGIDALVVCGGDGSLTGADVFRSEWPGLLDELVKNGELTAEQVEPYRVLNIVGL VGSIDNDMSGTDATIGCYSSLTRICDAVDDVFDTAFSHQRGFVIEVMGRHCGWLALMA AISTGADWLFIPEMPPKDGWEDIMCANITKNRRERGKRRTIVIVAEGAQDRNLNKITS DRVKDILTERLGLDTRTTILGHTQRGGAACAYDRSLSTLQGVEAVRAVLDMTPDSPSP VITIRENKIMRTPLMDAVKATQEVTAHIKNREFEKAMLLRDSEFKEYHFAYLKTATPD HPKLSLTQEKRMRIAIVHVGAPAGGMNQATRAAVTYCLTRGHTPIAIHNGFPGLIRHH ADQPISSVREVKWQETDAWVNEGGSDIGTNRGLPSEDYDEVARCFKEHKFDALFVVGG FEAFTAVSQLRQARDKYDAFKIPMVVLPATISNNVPGTEYSLGSDTCLNALIDFCDAI RQSASSSRRRVFVIETQGGKSGYIATTAGLAVGAVAVYIPEEGIDIKMLARDIDFLRE NFARDKGANRAGKIIMRNETASSTYTTQVIADMIKEEAKGRFESRAAVPGHFQQGGKP SPMDRIRALRMAIKCMMHLEGYAGKSKDEIANDEMSATVIGIKGSQVLFSVMGGEHGL EKVETDWARRRPKAEFWLQLQDTVNILSGRAGAKAMWTGYEDESRTRPSSPAHLS ANIA_03222 MTTRYRVECETALSHRCPQGVLIHSVTYLYLLTSPVSPKRPAVQ GLLAVPFVLHSQPTAVQEEDATKLAAVAHDTHQRYAEIFSDVERLLNDHIDHELSGAA GKSKLKLLVPTVGTFFTRLYLREAFDYQDRQRFISRRRFVAPSFNDVRLILNSAQLLG LVHTKGLELVTFDGDVTLYDDGANLNADSPVIPRIIRLLQQGIKVGIVTAAGYTDEAK YYERLQGLLDVMRDASDLTDEQRSALIVMGGESNYLFRYDASSPHRLTYVPRENWVIG EMATWEEEDITQLLNIAESSLRACVANLNLPVSVLRKDRAVGVFPKNRGRLSREQLEE TVLVVQNTVERSQVGSRLPFCAFNGCSPFFVSHYLRHHPPRRKYKPPIQPCMLISITQ PGGNDVFVDIGDKSWGVRACQQYFGGIDPSRTLHVGDQFLSAGANDFKARLASTTAWI ASPAETVQLLDELDTIQKVLA ANIA_03221 MATTSVADEKAMSGHQENLKPVDDPTQFAHRGQAATDQYGHALF EFDKKAESRLRWKMDFYLLPTVALLYLFCFIDRANIGNARLAGFDTDLGLKGNDYNKV LSIFYVSYILFEIPCNLCCKWMGPGYFLPLSTLCFGAASVATAFVDNIQEASGVRFVL GIFEAGMLPGIAYYLSRWYRRSELAFRLALYIVMAPLAGAFGGLLASAILTLDHFGSL HTWRMIFAIEGIVTCALGLIAFLTLTDRPETARWLSQEEKDLAIARLKSERVATTEVL DKIDTKKLLRGIFSPVTLSTSFIFLLNNITVQGLAFFAPTIVRTIYPDASVVSQQLHT VPPYVVGAFFTLLFPFLSWRFDKRMPFFIAGPPLMMIGYIMFLASSDAMTRYGATFLI ASGAFAFGALCNAHVSNNVISDTARSAAIGTNVMFGNIGGLVSTWSFLQFDGPDYHIG NGLNLATSSMCLILSALLWMWMKWDNKKRDDTDVDAALDGLTQKQVQDLDWRNPAFKW RP ANIA_03220 MAGGPKQPLNVFRLNLGGAPKEVLNWRLWFAVLSFGLMGAARGV DEGLITGAFDSVDFQRTIDYESYSAVEQANIKANVTAMVQLGSVGGALFAFLVCDRIG RIWAARVLCMLWVLGIAIFMGHGHSLGAVYAGRLIAGFGVGQTVVVGPVYLSEIAPAQ VRGLCTCIFTGFVYLGIVLAYFANYGCEVNMGDNTHKRWEVPTSLHIMFAGLIFLLSF LQLESPRFLIKRSRYEEALVNLSKLRGLPTDHEYVLEELNGIRAAHEAEMEATMGLGW LGVLKEALLVPSNLYRLYLAAMVQILSQWSGAGSITVYAPDLFSLLGIRGSEEGLLVT AVFGLVKLAAAVICALFLVDVIGRKRALLIGITLQAISMIYIAAFLTDTPEMGVDDSY ILPESKKGSSRGAIAMIYISGVGWALGWNSMQYLLTAELFPLRIRAMATSTAMTLHFA NQYGNARAVPNMLLPTSHGGIDPKGTFWCFAAVTILGGLWVWLSIPETKGRTLESMDA LFQLPWWKIGLYGNRDAELRDMENEKVGGHVDTRNEMEMATVTVGDEEKKAEGRAENV ERVQ ANIA_03219 MYIHLGRLPLVHGGLDFCLFIHPSRPVELAPTIMICKAMSGEMK VNRRALDTLSEIIQSWETASLLTLLERSTSAILVSLHHYDRGWGLAGMNRKSAIFSSP KPLLCHAVLSYTNVKSISASTIKNFQVSVQKPSCPSSLLGGPSLYPLL ANIA_03218 MKLTYFLLPALALSAAIQERTPSESDLTLHTHDARAATLCGSGY TLDKAVPLPKGVDPDKRKATLFAYSNNGKGCVFLDNNVGKKQYMSIKVCKVGGKNCDS DTGSFSQYAGPVYVSSFACAPVTAKMGSSSSNLYVNYKNDYVFPCN ANIA_03217 MADTEPGPPPNWRIPKACQECRQRKIKCSGESPCKTCRLRRTPC LYREVTRQRKRKHETTQADSRSGEGLSDGPPSSRREPGQSGLHREELPLGFNNSVSAT HMTSPSNKVQLYYGSTSHFALMHEIYRDLTSNPAVHPEQGAHGRVEEASAGLDMLSFR TIFFGIPADPHKDSSRGLSGADSQVMLLSYELASLFLDSFLATLYGLLPVWPTEVFRR RLKQLYGPRPTSSMETHHSVLLMALALGALVSEHHAWGDVLYERVKASCNVLDDTNEV GRPNSCYLHLGAAARKAISAGLHKESPQGNGDSAECAEERRRTFWYLYIYENWICFHL GRPSSLSRRDAGIPTPQDPFCLALLNLSAAICRSADELYGRHHESLLQMWRIAKSIWD DLRVFDSKMQRALGFGLDKRPQPGSVGVQQTMCITLYYHTILLTFRPFLIFRGRWNQD RTQASEEVKTKREIPDWLNQACGYALSAACRTIHFLCESYTANELVRAIRYHAYFLSS SCFALIFDLIHGKDLAASHLPWIHATLKALKSMSPADAVEASIRAIETILKQLDPAYE WGTQTQTEPRNPSYTFNQGPSTAITRSYDVGPTQRNRHSPSTISNPGAGSDPLLYDFQ GNSLDQGMHMPATTGSTGTGEDLLDFTLSDMGWDFDFSTMDLETFCSINSVFEVPMA ANIA_03216 MKFFQYFTVALLPATIFAIPAAEPEPKAVATAAAITAEDFHALV KRQSNLTDLIGDLTNSFGAIKDLLSTESLNNINLILTKAAELLSDPTTKQIKSLVNTA SDLLGSDAIKNLLDQIPTLLDSVGGLLNKETLDKITNLLNNAALLLTKEFAENTRNLI NDIVGVFACYSVARQSGESHCGEGWSRLRIICFSERGQPAADCSSELTLGPLHTHSID PRSPFLDTLVGPIEVPGNPDCQSALGYCGRHTAYTGSIRRSPQLPRVRRAGASTNRGS QAAATAFLPRRSPIAPVFDQTSLFPVQPPMVMETPRKTPKTTKLTGDRAARKREQDRQ AQRSAREKTRQKIAALEDRIETLTRFHSSGNIQELIEELDAQRKANEALRATLRSIEK AISGGLAEANIALKSYDPRKQSLDPGKQPTDGSISSDSENSKLIGTNGVAREVAQQSS LVGLSQQHHVPPQSASPPFEESAIIDKYSPNGTYVSLPDGISMTGKHPDEVNVPFNST LHTFPLDMPMPIQALHSTEPPCDCCKQLLYMNDMLGRFALTCTRSMADQRIRDADIAI RAIVHGWDAVSHLYPLDPVWAMLRTADEAVWRTCGAIERLAVLRVVSLMLRYLSDPSE PNLTHLPRFMRQRPSQHRIIHKPLIDFVVWPSLRERLIMFPHQHCSEKFWSMFWTCFR FTWPYHLQDAFVQQGQTGLYRFSDAFTKSFYDLQNWCMTDDFFREFPDLEADVNMLPP GTDATPVTVSSDTLAMSDEAISHDTVGRLDPVTANMFTAFPADWSTRTQLF ANIA_03215 MHFFRYIALGLLSTIGALADEVTATVNATEIQNAADGLLSMFPS ATPYTVNYTAPGGNLLQPPSSLIKDIITAVPPTVLAQLLLPTGRSSIASEFQAGNTPS WYEDLPTEVKSYVLAMKSQVDSGEVDLNATRTPAPTSSSTGDGDGSENGNGPATETSS GLAPHATGEVAASLLGALGILGLAVVL ANIA_03214 MESLLSPESVEGSDGFWALQDGLKAQRQLDALLRFVKDQTRLRA TDWTFRKPDRTANGVPSSEFDIARLSLSDTTRSDSPPSPKTSRNEAAHNPASRSRRPT LSDANSQQSRKCPGTTDNFVSVPRSLSVGNETLSLYSQACSLLLQGLDSDGVLLLDAP RDQSRTSSRRPSCIDPTEMGSIAEFASFHRRSPSLSSQGDWLDKEAELLGSAFSGNHN TKAHDAASVIFLPLWDTDKSRWIAGLVIWTSRYHFKQQDLEYVRVFSGTIVSEVAQID RAATNKSKDDLLSSVSHELRSPLHGMLANSELLQSTNLDRTQHEMVEMIKTCGNTLLE TMNHLGSGSDAGQIDTLTSCFDVDKLMEDVTEVLYAGHRSRGNAPEAGGLYFSTGTKR PSQSVSNNLLSVVVRTEGAHSWKIHSIPGAWRRIIMDLVGNALKFTRSGLIEVSLSQT GKSDNSSRATHAEIKVTDTGCGISREYLQNHIFSPFSQEQVLTEGVGLGLCIAHKLVT YLGGQIDISSELGVGTQACIRIPIRFADEEQVVGNFGPNDDGTCCAKKVCLVDLNPVL PEDQQLLLPEAKRKIAVRDALCSALSTRDDWELLFADDMDDASGDIVVLEQSKLEKLA IPGPLKTTFRSVVVLRNHNVSAFEDLKLDGVVNIAYISQPLGPRKITQALKQIAEPDG LNSRSLRNDQSREHSITIPPAPSLDSPPAVRNSVSYFSAAEAGPLQGGLHVLIVDDND INLKVLSTFMSKIGCSYDTASNGLVAVEKYRQTQQKFDYVLMDISMPIMDGITASRTI REYEDESLLERCTIMAVTGVASSDMQQQAFAAGMDDYLVKPLSLHDLKRILGVN ANIA_09524 MSRLVSFASLLAAVNAHGYVQNIVVNGVYYSGWEINTYPYMTDP PVVAAWQIPNSNGPVDVSNGYTTEDIICNLNATNAAGYVEVAAGDKINLQWSAWPDTH HGPVISYLADCGDDCTTVDKTTLEFFKIDAVGLVDDSTVPGTWGDDELIENNNSWMVE IPTSIAPGNYVLRHEIIALHSAGTEGGAQNYPQCFNLKVTGSGTDSPAGTLGTELYNL DDPGILVNIYASLSTYVIPGPTLYSGATSIAQATSAITATGSATSGAGGAAATGSSAA TTTAAAASTTATPTTAAAQTAKSASAPSSAATGSVPAAPTTATVSTTTSIATSVGTTL TRTTLATTTTAAAAEPSASAPAPSGNSASGSNPLYAQCGGLNFKGASGCVAGATCKKM NPYYSQCVSA ANIA_03213 MAMAPVKFTKPPDLSGRTPIYRLSKTVPPPAPAPQPSASPQFVN KGSQAHTSHYQPPYPPHPPPFNIPHTVSPASQPGPQPQSTPQDNKENAFAHTPQSLDS PAQGSPPMTKRRGRKLQENETLILVNCCLEYQSLYNDNPQRFWYCVATSLKRQIKRNF SSHSCRQIVEELVVERRERRRDVAAGKVKEQPLTELVLATDKWISFMDTASGGTIASP APLMKRAALDIPDDSNAKRPKPHEPAPSVPPPAVSQPQPLSQPPSQPPSQPMPLSMPV AQPMPPYTPYPNAGLTMPSGPTIAAEFQTLKVDIRSLRMDVQGLRREMVEVRQELNTK LDLILQALQQVKAQTEAKET ANIA_03212 MRSTQALALALALVPLASAINIISSNDDGWAEINIRQLFSTLTS AGHSVVLSAPAENKSGSGMSAWDLTRQKTPANQTSCPADSGSYGSNETDPRLNWVNSY PVTSIAYGIDTLSPQFFDGPPDLAVSGPNVGSNLGLAVYIAGTVGAANYAATTGGIPA IAFSGADGSSTAWDAEVPAYSSIYAELAAKVVERVVSGGTPYLPDDVWLNVNFPSVEG CNTANDFSFVLSRILTALPLVTDDDVETCGSTRLPTENDVVDTDGCYVSISVGAADKS DADATLQGIVLEKLGDLLTCLP ANIA_03211 MVSRTLLASLAASAAAIPLTPNAGLLTRDVIDHDAVVGFDETVP DSKIGDMYLAYKPYLKVVSGCVPFPAVDAEGNTSGGLQPSGGSSDGCDSSTGQVYARS TMTDGTDSTYGPAIMYSWYMPKDEPSTGLGHRHDWEGVIVWLSSSSSTSADNILAVCP SAHGDWNCSTDGFTLTDSTHPLIQYYNVWPVNHQLGLTSTVGGTQPLIAWESLTDAAQ NALQTTDFGDATVPFKDSTFSANLGEATY ANIA_11378 MHFSKASIAIALQLGLGYAGLTSAAGCGEFDACIGTEHCVTKTF TTPVSTVVATCVPTPTCIGVYGDCTSGTSPICCSGYCAASKCRSTDSDWPNCSEDLGP CIADENCCYGNKCVEGLCVRG ANIA_03210 MGKKDEDIGVEAPVQDSYHIESSNSSDMGKKDGEVAREWAHDIK QGDEEAALKTKLHNPLAVLTREQLLKDVEQFAREKGLEHILPDLRKGALVAQNPKIFE DIPDLSEEDKNHLRREKTHRWHQPFMMYFMTSKSFPAQPSSKEWIKLPSTERRSKTGF TAFAYIADDNLRYYFPEFGVTNTWLQGLINGAPYLCSCLVGCWTTAPLNRYFGRRGCI FISCFISFAATFWMCAAHTWWNLLLGRFMLGLAVGAKSTTTPVYASECAPTAIRGALA MQWQTWTAFGIMLGYISSVAFMNVQSDTLQGLNWRLMFGVTAIPPMLVCAQVYFCPES PRWYMIRNRYHAAYDALCKLRPSKFQAARDLYYIHAALQVEEKLREGKQLWREMFTVP RNRRAAQSSFFVMFMQQFCGVNAIMYYSSSMFTDAGFSRENALLVSLGCGITNWLFAV PAIYTIDTFGRRNLLLTTFPFMALFLFWTGFSFYIEGEQTRTAMVATGIYLFMIVYSP GEGPVPFTYSAEAFPLYIRDVGMSFATATTWGFNFIVSLTWLSLRDAFTPQGAFAWYA AWNIFGWITAYFCLPETKALSLEELDQVFSVPTTQHAKHYAEMLPWYFKKWILRQDVE PQKQLYEYH ANIA_10386 MRRDLSLETTELTTLRQDSELRSPASGSYHDPDADRTCGEILGL GGWKATLYLGSATSFTVLILNLVMVCWASFRHSDQDQRVLYSGNCDRTKELGTCVHLL INVLSTLLLSASNFGMQCLSAPTRKDIDRAHADNEWLDIGVHSIRNLRRIPRFRMLLW LSLALTSVPLHLFYNSTVYSSLSANSYDVYIGNSSFTSLTPADVANVYDPGWNGTEHY ASAPRLVRMAAELERLSPEDCISTYETTFLSKYASVVLVSETFNGSTNVPAVDTAVIY VDTARIPGMGEYTETVPYKWICHDKLDKLYDDYYCTGSVGKVKSGDEWVVGGYKIDYC LAERTAEKCTLEYSLPLAIVVICVNFVKALLICLAPSLLGDGPLLTIGDGIGSFLRSP DETTQGNCLLTREIPGESEIKPLRYSVMPKRRWTSLSRARWVTCLLVYMASTAVCVGL LSRGLASMVNTEGVWTSGLAVISSQTLIESLYWPRDLIANVLIANTPQLIYSGIYFMS NSILTNMALSGEWVNFSLHRKGLRVSSKPQGHQRRTHFLSLPFRFGVPLIIVSALLHW LMSQSLYLVRIIAYSDPQTRNADYDTITLGYSPPAIVIGLCVGMLLPAGLVLFGCGRF QSGMPVAGSCSMAIAAACHPRGQTDEDRANLEYQRLKWGVEAYQNGEMWHCAFSNRKV MKPEDGMEYQ ANIA_10385 MSKASKKPRKSGASRDETEALADYLARLAPFVDDGENPTATRTA RRALRHYTVERQNFLFSLGLDSNMSFPQALNRAVNIVHQLDDDLDEARKEIEELAQNV SELVKKNDELQTTNSVLEDAHDTLAQDKKDIEMVATNRERQLDTECAETNKFREKYYV VAEELLRRDKERYEAKALVEKFNELWTDIFKGWEDVCGSRADRRFKYGVSGVWKHLCD NIWCPDMPLPSNKAADLIRRYCPPVAGHKNADFQTRVRGIVDQAGDLRNELENSQFTV HINPALVRLEQDPYLSPTVVIFTSFGFAESLGYCNVPGTHPEANSRDAAV ANIA_03209 MEHTHSTLLPRMAGMDMSSSATNASCSVEMLWNWKVIDSCFLSS TWHVTTKGMFAVSCIGVALLGVALEFLRRVSKDYEESLQRQFQRHAIAQLDDPLVCGV PASVVTYRASPLQQIIRAVLHVAQFGVAYITMLIAMYYNGYMIISIFIGAFLGKLFFD WGQYKVVLGQTQGPAAAKVEEEATKCCG ANIA_03208 MSNLDAVKRHIQDFSQDSELEYHWGYAARQVPCLNDAGACEYLD AVYHEHDLGMLYTFILWAVICGLLFLFVLGRTLFPLTRASAPVDRTHFADSQSCQNDK ALLEDSRPRQNAPYRFGTAIKSMTRRYLLPESGCAFVFGHTTRLQLLILAILIGYLTV FTFVGITYKTWMSPVKGMPGVYNTRTGLGPWSDRIGVLAFALTPLAVMLSSRESLLSL ITGIPYHHFMFLHRWLGYIIYIQSTLHTIGWTIIEGKLYQPQPSAWNTWVAQTYIIWG IVAMILLSVMVALSTPWGIRLTGYEVFRKLHYVLAMVYIGACWGHWAQLYCWMIASLV VWFLDRGIRLARTFTMHHFNTPNTSHGFGMHVPNARMTVFQRARDGDIVRLELEHNHD EWQIGQHFFLCFPALSVWQSHPMTPASVPGTNPRGQIHTYIIRARSGITKRLAQLAQT AQATSVVLNGPYGQSIVDEDFYRDDEINVFCVAGGTGVTFVLSLLLRLAQQAQRRGLL EFVWVVRRKDDLDWIQPELETLKKAAAAVRTFRIRIFVTRGAHDSTEPVAALGDPFSK EADAAVNCQTPSSPSTPSVSPHEHQDPTPTSTFTIHAPSSAASLASGDKNHPDLAAQV TDFVSRTVSGPTRVFASGPLGMIRDLRSAVASCNDPGKVWKGQERFDVQLVHDDRLEF ANIA_03207 MSQSIVSQSRISMDYDPGRNGDGSRTQAQDEEKHEDKQALPQDV YGDEQNAEIKYKVLSWWQAGFLMVAETVSIGILSLPSVVAALGLVPAVILLAAIGLMS TYTGYTMGQFRWRYPHVQSMADAGEVLAGSFGREFLGMGQLLLIVFIMASHLLTFTVA MNTITDHGTCTVVFGVVGLVISYVLCLPRTSAKVSYLSVGSFLSVLSAVLIVMIAVGI QKPWKGELDAVVDTNLYHAFLGVCNIVFSFSGHVAFFSFISELKDPREFPKSLFLLQG TDTILYIVSAVVIYVYAGPDVTSPALGSASTVVGKVAYGVALPTIIIGGVVNGHVASK YVYVRVFRGTDRIHKKDIIAVGSWVGITLGLWVVAWVIASAIPVFNNLLSLIASLFAS WFTYGFNGMFWLYLNKSRLFASPMKSFLTVVNFGMIAVAATICGLGLYVSGRALHEDS SSASFSCASNAS ANIA_03206 MTAHPTWDFIIVGSGPAGSTLASKLALSAAQPRILLLEAGQRKD DRTLRVSGNRWTTFQEADINWGYKTTPQEHCNGREIDYSRGKVLGGGSAINFGIYTVG AKDDYDAWAEIVGDDLFNWENMKERFKAIENFDTSIKNEAYRSFAAPKSEDHGRDGAL KLSYAEEWEEDLPLVMDAFRQAGVKWNMDHNSGDPIGVGLAINSVHRGVRSTASDVID DTLAKRADNLEVRTGMTVRKVVFDGDKAVGVQVGDEVFYASKEVILSGGSLDTPRILM HSGIGPADHLKEFGIPVFKDVPCIGQGLRDHPFVPICYLRNPSTNDRNAFYGSQEAMD AAMKQWLVDGSGPWARHGAQLMMGWLKSDAVTKSAEFAALPKDVQTFLNRPTVPHYEV SAGFPLHMLAPELTKDYSYVCLLAFLMNEQSAGEVRLQSSNPEVPLLFNANFMAHPYD RRVCVEAVREVLAVTNHPAFAKDTVNMILGPKSDSEEDILDHWRNTIVSSWHMTGTVK MGKRGEQDAAVDKSFRLMGVDGLRVADMSVVPVLANNHTQATAYVTGSTAADVLIKEY GLDSTVSRL ANIA_03205 MLLDTTTFHNVINGQLTSTATTRHSLNPATKKENPAVPVSTAKD VDDAVSVAKTAFKSWSRTSYEERRRACLAYADTLEANKEALAALLTQEQGKPLDQAAV EVGMAVTWTRQLPTIEIPENVIQDKEECRIVQRYTPLGVAAAIVPWNFPVLLAVGKII PAVYTGNTVIVKPSPYTPYCALKLAELAISHFPPGVIQALSGGDDLGPMITEHPGIDK ISFTGSTATGKKVMASASKTIKRVTLELGGNDAAIVCDDVDIDKVVPNLAILSFLTSS QICMMIKRLYVHEKIYDKFLQKFVAFVSNFKVGAGTQEGVFIGPVQNEMQYKKAKDLF SSIESEKLCAVLGGTITASDGYYIAPTIIDNPPESSRVVQEEPFAPILPVLKWSDEDD VIARANGTDSALAASVWSVDMERAQRIAGQLAGGSVWINSHFEVSPFAPFGGHKSSGI GVEWGLSGLLGYCNSQTIWMKKA ANIA_03204 MSGSEKKVNLVQVIPAESETDVHEAALSYEFTKDEHTLTFWAAA RRHWPALAWGMFMNLATVLKGIDGGVVKGLVGLDVFKATYGYYNASKGEYMLAAQWLS AFNYANLLGAIVGALLSAVAYDRFGPRVMIAVCSVCSIAFIFIQFYSETPAQLFVGQL VNGCIIAFYPICASAYVGEVTPLVLRGFAATMTNLAFSIGSLVASGILKGTEPLATTL SYKIPIASQWALPLVMLSLVYFVPDPPYWLVRKGRTEDALQSLRRLAASGVDVGHKLA HIRETLRLEESFSLQGSTRPSYLECFRGPNLRRLTICVMAYSMQAFTGNVFFISYAVH FMELAGLDAADAFSMNLGLTGVGFLGTCISWFLLSYLGRRTMYLFGCCSLALVLFAVG AVDLAPRQAAARWAQCALMLLCTFIYDLSLGPFCYVLLAEVSSARLRGFTIALSTVAC FVWSVVFAVVIPYAMNEDQGNWRGKMGFFFAGTSTLCAVYCYWCLPETRGRTFEELDV LFEQKVPSRKFASATVNINLSTDEGSRREARV ANIA_03203 MGIPVVDIISATERALSIPELLEQILLHLDMQDLLTSAQRVNRR WLHIISTSPRLQQTLFFRPIAPPARPSRQYTAFPKTPLGAARRRELSPYRAQVRATLN PLLVKQFGPEFFNTGSDSCQCLSDWVYLDAGMQAPWSVKVGSSLLRPDERGARERAFR HPNASWRRMLVSQPPQPGVGYVRDVHDHESPTSVAKGYIDASHEGGLRFGLLYDLVQT LAVSRWKKHHTPFRVSWGAVGSADPSVRVRKGCMEMLEETAVVLAVHYEPPKNSYYPE SPQPGTLSKEDFGIPGVEMVDVVGKTDWEQVLLD ANIA_03202 MPQEPAANFTRVINLDEHNGKEIKRAILPRTAGKYHRSLMIFDR FLELHPAACSPPDIKTYKGFLEFYYIISDLKTKLGLPDVEMPRDGLSLNDLTILLTQL WFRDFKEYRSQYPDQSRVQLTAPILLYCFSSAQTGEVHESTAHRSIAQQKDNGDDNDT KLWASVMVAYYKSWSMAFQCTDSFVAPPPFAFSV ANIA_03201 MPESSSANDWENPRVFQRNRLKARAYWIPETSLLLNGDWDFNYV SSPLLAPSPTPSRLSGDGGEEKDAEQDQVEWKPIPVPGHWQLHGYGRPNYTNVIFPFP VDPPRVPSENPTGTYKRSFRVPSTWDRDAQLRLRFDGVDSAYHVWVNGVQVGYAQGSR NPAEFDISDQVDREKENEVFVRVYQWCDGSYIEDQDQWWLSVSRIEDFRVTTTLDSEY HDAELHLAVDIASTEASTLHVELFDRASNGTLATEDKEIVGTGTYKLSLSVRNPRKWT AETPFLYDLEIALATRYDPSAILQKVHHRVGFRQVEIKNGNITVNGVPVLFRGVNRHD HHPLHGRAVPLDFVKEDLLLMKRHNINSVRCCHYPSTPQLFSMCDELGLWVMDEADLE CHGFYDAVARPLDIPESMDYEERKKLTFAQAAEFTSNNPEWKAAYLDRAEQMVARDRN YASVVIWSLGNEAFYGANHAAMYRFIKEEDPTRPVHYEGDMEAKTADMYSFMYPSVDR ITRLATEEGDAFTKPIVLCEYGHAMGNAPGGLAEYMNAFRTYRRLQGGWIWEWANHGL WLEEKGFYAYGGDFGDQPNDGSFVLDGLLYSNHTPTPGLVELRKAYEPIHAWYEDGRI RLQNRFDFAGLEGVQAGYRVEVLGEGMELIEAGTLEIPALRAGETGEIPFPSSFPSKA TAGGETWLTVSFTTKHATPGLDANYEIAWFQHRLGSTAPSLPALTPAASFPIQTHSTK ADHIITGADFTITFSRTTGLLTSWRIHSREILADSTSAGLTLGFWRPPTDNELPYDLG EWRRYGLDTLTSSLRKISLTEVDESMLEIRTEAYVSPPILAWGFEAETVYRITGDGAL TVKAHVKPSGAKPVDVPRVGFDLVLADELDNASWFGLGPGESYADKKLSQKISIYSAT TSELQTPYEVPQEGGNRMETRWLRLLNNRGRGVCVTRDADGDEEQAFQWVATRYSAES VERAKHPNELSPEKRVRVRLDVESAGVGTGACGPRTLEQYRVPCAERRFGFRIAPWTK ANIA_03200 MSNQYPRPDFARGNITWTSLNGPWSFLFDDSNAGLSQEWQTTGL PEEADGHKRTQITVPYAFQAPASGINLQEAHEVIWYERTVPDIRPSSQTAADARVLLR FGAVDYESAVWVDGIQYASHRGGYVPFDVDITDAFISAGQRKDTVRVTVRVRDSPTDL TQPRGKQYWGPVPESIFYTPTSGIWQNVWVEVVPKTRIADSSGGTLLRGDDIDGGVLR GVVALAGKKGDGERYFVRISTSLNGTEIAETTVAVPENEHSAPFELNVRSERLIGGES SYNGLALWSPEHPNLYDIAIQLALTEGTVLDQVSTTVGMRKITWDTGDSTFRLNGKPY FQTLCLDQGYWPSTGLTPPSGDALKEDIILAKKMGLNGCRKHQKVEDPLFYYWADRLG YLVWGEIGNAYEFSGEYVDRFNEEWERVVMRDRNHPCVVAWTPVNESWGYKNLKGDAV QRGHIRELYRLTKSLDPTRPVNDNCGWEHVQTDLTTYHDYSDSAELAQICSKMDGGIL ARKLNGEMFTDPIASSDGSVIDPGSRHTPGAPVICSEFGGVNIAPAKDGNAPGSERDW GYTTAADPEDLLKRLERLFMAVVRGGYTCGFVYTQLTDIEQEVNGLYSFDRREKVPSD RVRKLMDEAREYYYEKVAPKT ANIA_10384 MVWQLCGSVTRVGIARSGMLQGLDCASTVTFYRCDGRQPCATCL STGEDCLYGAEAIPKSKSDLILDVVLRSENMLRAMSGHLVRAPTHSLLGPTSPVDPRI ASSPLAFFSPLTIDTAHHPPSSPDQISNAVLPQFHSSTTESILAWPHFKDLQTLRRNY RGSVFQLESQRAPLRNRSSVVLPYMGQGELDCLLNSFERNVNFWYPTLSRTTRTEVEV RVLSNSLEEDVDSCLALLVMALGCASELVRCATTDRPDTPDAEKLRRWQLLSGLYFDI AFKKIYLAQAESCTEAVQCLFYTALFFAFLQRPIQAWSFISAAATKCRLLLSYSTSDT PSEKLECLRRIFWSCYILESDYLAELAALPHTGIAEIESSIPLPGQYHTHASSSTEEE SSLYFLACISMRRLLNRVHDLLYARNNGVASDINQFPPVVAELDHQLNKWRDLLPSAF HFDLSRAPAGSMEAGFLRQRYLTCKSVIYRPYLTLALSLTTRADPISPMVYEGCKTCL EACCLHAQNLNAFPQTVMIDTWICSLSMASVMLIALATAADSSLRVCLPEDVTEIGPN LTKHLLRWMQVPGQGVSPSVLQSVRLIEDASASLDEILCG ANIA_03199 MADEKTVQPSAEQEAVGEAEEVRVAHDALNAKYSPLTWSMFRLY LCLIIPYLCGTLNGYDGSLMGGLNAMETYLDFFNMETSGSSTGIVFALYNIGSIPAVF FTGPVNDYWGRRCGMFVGALIIVIGTCIQSPSVNRGMFLAGRFILGFGVSFCCVSAPC YVSEMAHPAWRYAPIFEGLSTKEILTVVSRGTITGLYNCTWYIGSILASWVVYGCSQL DNANSFRIPIWCQLISSALVVLGVWFIPESPRWLMAQDRAEDAAKILTRYHGENDPDH PLVHLQLKEMQQSIATDASDKKWWDYRELYTGHSARRRLICVLGMACFGQISGNSVTS YYLPVMLENAGIVSESRKLLFNGIYPPLSLIGAVVGARMTDTIGRRPLLIYSLLFCSV AFAIITGTSKLATDDPTNTAAANTTIAFIYLFGIVFSFGWTPLQSMYIAETLTTTTRA KGTAVGNLASSIASTIIQYSSGPAFKDIQYYFYLVFVFWDLIEIVIMYFYFPETKDRT LEELEEVFSAPNPVKRSLVKRDAATVLNTMQVEQRELVYIPATMGTTYSRRSEFLWQS LSSANDLRPA ANIA_03198 MARQRPTRPAEGPAESTSHEQSVENDPVRLTEGPVEPMSAVERR QDVYESAAGSTLDSCRVLQHMKTDAAALARESAQAATPNVDAPARLPVGTEEDRNSMA VFSANDIGGEASTLQNQYPPTKAASASPSACGSGHLKTASLPSSSSSLRKSRFNTLSD EVTSALSVVYRELEEVPLLRKTVADLEQKMAGLRQELSIYQKRDFSEQEDGRR ANIA_03197 MPPHTRTWKARVFAATSLDGAIARRDHDVSWLTQPEPNPAHKAP THSAARSTPSTEQHAAEVDFMVMGRRTFEVCIGFPEWPYPAKKLLVLSATSAASACKL LNHESGSAITEHLQHVRVVASPEEADRILTEEGASLVYVDGGKTVQEFLRRGWVDEMV LTLAPVLLGGDEGRPRLFGDLPADIRFTLCGVDVIENGMLRLYTLGQPQL ANIA_03196 MALTKATAHAAVSRLITNLINIKDTTGQFLLRLEDGRVIDTKSW AGWEWTHGIGLYGIWKYYEITGDPKLLSIIEEWFAARLAEGTTKNINTMAVFLTLAYV YEKTGNQSYLPWLDSWAEWAMHELPRTPHGGMAHITYLSENHHHQQLWDDTLMMTVMP LAKIGKVLNRPEYIAEAKRQFLIHIKYLFDTKTGLFFHGWEFNANQATGHGHNFANAR WARGNSWVTIVIPEFIELLDLQPSDPIRVHLVDTLIAQVEALKRLQTNDGYWRTLLDH EDSYVESSATAGFAWGILKAVRKRYIGAEYREVAEKAIRAVVAKIDDDGELQNTSFGT GMGDTLEFYKQIPLTSMPYGQAMAQGALVEWLRGTF ANIA_03195 MADKPEPESAAAPLSVSKEIKDSAHVERVLSDSEGMHKDHVDQS RVDKEIAAYAGEEVVEIDEETNKRLRRMIDKRVLVIMICTYFLQALDKGTMSFSSIMG IREYADLEDGNKYSWLTTCIYIAVLIVEYPTNWIIQRVPIAKYLGINIILWGAVLALH AACKNFPSLVAVRTLLGIFEAVCQPSFVLMSSMWYKRQEQAETVTYWYMMNGMQQIVG GLLAYCFSLIGNDRDVKSFQALFMTYGCASVLWGFFVLWWLPDSPMRAKCFSEADKKL MVERVRSNQTGLQNRKFRAYQIKEALLDPQIWCYCAIQIFTTLPTSGLGAFANIVIAG FEFTVLQTQLLAMVLGFYIIIVLLTSAYLVRKTGQNLYVMLGFIIPSYIGTIVLMTVD NKNLGTKVGLLISYYITLSFWSAQTLGLSMVSRNIGGATKKSAAIAATFVSWAVGNAI GPQVFLDNDAPKYFIAFGVHLGCYVCLTISVIFLRWYLAHQNKKKERLLREAGLDPNE QNLAHAFEDRTDQENLYFRYIY ANIA_03194 MLFTHATILTLDSTRRIITDGAIYVPRGSNRISSLGKTAALLAQ YPDEEKYDLTGRIVIPGLVSTHMHTAQTLLRGTADDLELVSWLCERIWVLQGNFTKDD GYAAARLSIAEMLKSGTTCFLESMFADRYGFEGLCQAVAESGIRGCLGKIVMDKGTYA ADDAWAMHPGLIEDRETSLLGTVKMWEKWNGKADDRIRVWFGARTPGGVSDELYREMT AISREKNIPITMHCAEVKADRAFFGSVGHTPMTYCSSVGLLSPSTVLVHMVHLDDRDI SLLSESGTHVAHCPTSNAKLASGTCRVPDLQTAGVNIGLGTDGAPCNNTCDLLQEMKL AAIIHKGASYDPTVVSAESVLEMATINGAKALGLDSEIGSLEVGKKADFVAIDTRGVS MQPWFNPVSAVVYTATGRDVDTVVVDGRVVVRNGELLTMDEGQIVKEAERRSREVVER AGLGEKVRPKWTVE ANIA_03193 MGTDLTQPPDGLIGGYKGDNASVKIVVVTFITLAIYNAVELVIL ILFTFQRYHGLYFWTMLLSGVMGVIPHSVGYLLEFFDVGPRWLGVAISTFGFYFMVPG QSVVLWSRLHLVVQNRKLLHFVLWLIIVDAIILLIPTTVLTFSTIYVRIRPIIVGYNV MERLQVAWFCAQEIFISSIYIWETAKLLRLRPEKNARRTRVLYELLAINLIIIAMDVT LLVLEYRGMWYLQTTLKAAVYSIKLKLECGVLRKLVEIVQPTRQDTSSTEQDSFPTFV NPEYIVGDVTHAAPPQRNDRDRIQYPWRTSTDSFSLSDRRRPVSISSALSRPP ANIA_10379 MPHHEPSTADGWHGSIPDSFPAEPDRYHLYIGLFCPFAHRVNFV RHLKGLTELIDLSVVMPYPKGDSKGWPGWRFPASNDEYPGATVDRLFGEDYLHKIYFK ADSGYKGRYSVPVLWDRKTGTIVCNESLELLRWLPNAFNDFIPSSLASIDLYPEHLRT VIDGLHSWILPHLCTGVYKAGFASDQDQYNKNVIPVFGALNKLEKIVFANGGPFILGY QMTEVDVTVYATLVRFDTVYVQHFKCNLGTVRHDYPVLNNWLKAMYWDVPAARESTDF RHIKENYTKSHYDINPKAITPLGPWPDVEEGVERDWTKLTVGGVSHPAVLEFEKELNE E ANIA_10381 MPLRQAARQPECLHSSLTYDPSPIAAQVHYTQPSVQDRQDAVTP SSPKETPAPPTRIDLKHFPAPLVLPGDDLALDPEQPPQSFQEWYDEEDRNPVTVKRRT VYLIGPPNVDRSAEEVNSWAAPTLGPETGTEAGYGAEGKKEAVLKPPAVDDILPYISA FFSGLPVKKLRPPPSKWKFTPWTEELPKRSKKVSYIALTTPKGEAIRIRTRPCPASDK LYLRQLNLDDLLDVAISILPEDAYALCMLVHHDLFEDDEDIFVCGRAYGGSRVAVVST ARYNPLLDDSLYVGRDHAWPGSHCVCYIEDICKDHDPDDSGTHSGRKRKRKKPGIRQQ PHNRSGPLESALAAFISTPPQAGGDDLPALWRYRILRTVSHELAHCFGLDHCVYYACI MQGSASLAEDARQPPYLCPVDLAKVLAATGSSGQKRDQALLEYCEQPGTRDNKHFAAL GAWLRWSLQLGSGSSSSLDGGLS ANIA_03191 MDFSEYTGPSDEWVALERDLPADVPDLDPNQLKDAVNKTREEGA ARELIEQGLSSKVTLRDHTIPTRDGYSLEARSYRPANVSPSEPLPIYIHLHGGGFLFG TLSSEDATCARIVASLHEQNTPVVVVNVNYRHTPEHIYPTAWNDTEDAFHWIHDHLSE IGGDGERLVMGGISAGAWLTASTTIAQATGRNKDLAQRPKIKGQVLMIPPLAHYNCYD PQLAQIRDPSVSSYVENRDAPVLPFKRMELFTSLLKVTGGKEVEKDLRLNPGNASKED VKGLPPSTFGVAGMDVLRDEGLLYAKLLAENGVPTQTNVFKGVPHGFRRYGDRLSVCK KWDEVMAGGIKWALDDPAPGPFEIHAF ANIA_03190 MVSLWPFKGEDTSPAGFEKALSALSEKITQTTTRLDLHRQHARR FKALWTLYTTFIYLLYSIILALVLGWENWGVKEYAAVLGGPVIIYLVRAGGTMFFEYR INHTQRYLDSLQKQRDETIEKLKVATKYNSTQQLLEKYAGDSPKPSRSKGGDHKPKPE NKRKPSGQQQQPPQPQFHRTGLPPPPTANIRRPVPPPPEQPPSPYPSQQYQQPRPNMP PPGLDEPGFAPNAFPTQQYIEQSRWYDRLLDVLLGEDETQPKNRIVLICAACRLVNGQ APPGVKSLEEIGRWRCGGCGAWNGEDSAARKVLADIKAAAGPVSEPSDGEAETEHRFS TSTSDGASDGVIVTKEDDEQERNEVEVESQSGSGSGAETPAEDDEPASKIRTRSQNVT KRRARKG ANIA_10380 MTHGFEYGPGAVPRILGLTASAGSSREGLQTIEMNLNSVCTTPQ AHRQELLEYTHMPELRRVLYTPLMKENASLWEGSTLQKLLERDNTYCSGQMKTFVCKA VHIFQELGIWAAEYFIRASVEELLSHAYVHSKIDLDYDEREYLVNILSKSPVPDIDVH STDPKDFPVSPKFEALISFLMSTEDINFSGLIFVEQRAAVTVMSYLLSTHPSTRDRFR TGSFIGMSNSTNRKTMLGDLLSAKMQPDTLDDFRYGRKNLIVATDVLKEGIDVSACSV VICYNIPKGFESFIQRRGRARRQNSTYSMMLSTEDDGSTLDKWQKFEKIMEEACLEDR RRTEELRALGSLDEDVCTRFCVRSTGAILTAEYAMQHLVHFCDTLPRQNYVEDKPEFS FERNDGGLLRAKVILPSSVNPKVRRAEGKAWWKTERAAKKEAAFYAYKALYEHGLVND NLLPLTKSREFTRKDISLLPAVQKVSEQYDPWVDWAHLWSSTNLYQNRILVRQNEEDT SMKFITPTATPPIAPMKLCWDSETTYTLEFEAAGAVSLTAENIERMRAATSLYLQATT STPLAGNKDYIALFGPDLPWDELETWLKKNQGHEPAIQVFSSQRPLDRMGVVRDRSRY GELLIFKRWLNRSGDLELECDPYPSKRRNLLQRQTLAKKRPAEDEILGSPTKKRILSA SHCTIDRLPASETVFGRFIPVILDRLEAALVATRLCETVLRDIQFQDLRHVITAITMP LAQAPTDYQRYEFFGDSVLKFTVAASLFYNNPNWHEGYLTETLHALVQNARLTRAALD QGLDAYIISNRFTPRKWSAPLISEKLYASASTRSMSAKVLADVVEALIGAAYIDGGLH KAQSCIVRFLPEIELPETKLPRPESMPMSKDHKKPHLIQQENLENHIGYTFKDKTLLM EALTHPSCPYDTSIQSYQRLEFLGDAVLDMLIVDLIRAHHVECQQGEMTKIKHAIVNG HLLAFLCMQFKWAMPSPLTPSIDTGTETETEIISPPPKTLSLYSYLRYSPSRPLPLHV EPESGSSNALTRHNLLCPSILHALNNTTAYPWSLFSAIHADKFFSDVVESIIGAIFVD SGGDLGACAGFIERLGLVRIAKRILDERVDVTHPTQRAQIELQKLAARLGCNDGFRFE CRTVRDLSSGKRKTLEVDINDHYGDEDPAVLGAEGPELTYTCTISLATLRTNQDFGRD LDDIVVTGCLSKEDAEIQAANLVIELVGRLESGRLYKKNMDLDIDTGVQVDLDLDMNL DPGITTG ANIA_10378 MQPALAPAPHPSMQTSAQDHADQVLHDSLLAAQHLSQHPQQPRP QQPNAQPHHLQPTATTSPRDQNNIDPAISGGAMLPPSQPPAQPEPTVEDETPKTYGKR PLSTSKRAAQNRAAQRAFRQRKESYIRKLEEQVKEYEVMSQEYKALQAENYQLREYVI NLQSRLLDSQGEVPELPGNIDLNQPRTEISVPQPAPRPGQAGASAPPQGSPQSQVSIA NDDMNSLNRIAEAGLGMRKHPNEEAFLSNNFQARRGRGDETADPSETKTEPPTHGLPM VS ANIA_03188 MVRLPLPQRLSSHLSTRSNASTPGQSRSTSPMRTPDVKPLVLKV SVLRGRNLAAKDRGGTSDPYLIVTLGEARQSTPTIFKTLNPEWNVTFEMPVVGVPLLE CICWDHDRFGKDYLGEFDIALEDIFTDGEIQQQPKWYTLKSNRKPGKRKDNNVSGEIL LQFSLSDPSNPTASPTEIYTRFKTLVSTGDEDEYFPPVSSSTILEESGDRDEETSDET DDPTKPETVEKRRRRLRLKRLKRKSLAARAYQFSGVGNGVHGIVFMEIVKVTDLPPER NVTRTSFDMDPFVVTSLGRKTLRTPVIRHNLNPVYNEKMVFQVMKHEQLYTMSFTVMD RDKFSGNDFVASAGFPLQTLIQAGPDIDPETGLYQFSEEDMRPPAKVSPVPSSSSLSR PSKHLVRSRSSTTSLSAQQQEPQSVPSLVLPEQSASSSNTDGSTATSPVTSESDGLRV YEIPLTLKNKERWEDKHFPQIIVKAKYMPYRALRQQFWRLMLRQYDADDSGSIDKVEL TTMLDTLGSTLKESTIDSFFERFSEENSSGDPSCLTFDQVVMCLEDTLQSLQKDPRTM GKKLSPATSTASQESDGEELNVETSSSQPTNVDSQVTSIPTMTTEQTSVDEDLHPDDL GDERGEEHVIELRECPLCHQPRLSKRSDADIITHIATCASQDWRQVDNLVMGGFVTSS QAQRKWYSKVITKISYGGYKLGANSANILVQDRITGQINEERMSVYVRLGIRLLYKGL KSREMEKKRIRKILKSLSIKQGKKYDDPASASQIRDFINFHQLDMSEVLLPLDQFKNF NEFFYRALKPGARPCSAPHEPGIVVSPADCRTVVFDRVTEATSVWVKGREFSIKRLLG NAYPEDVSRYQNGALGVFRLAPQDYHRFHIPVDGVMGTPKTIEGEYYTVNPMAIRSAL DVYGENVRVLVPIDSVRHGRVMVICVGAMMVGSTVITRQAGEKVSRAEELGYFKFGGS TLLLLFEEGKVNFDSDLVDNSRGALETLVRVGMSVGHSPDIPQFEPDLPKKPEDVSLE EMQAAKRRIEGSLAPPAHASALQ ANIA_03187 MTVTVLATPTTKTKRREPLRVIDMATSQARTRHASSAAPGGTGK GGGRRTRASLDKQERNDEGVNGEKKRKAGVYDEDIEGFQFSRITSKKQKASTSTEQPD LPAPEEPTPQPSPRRGRPPKKRAEDRSDDVARQKKASEGTGKRQTRGKTKNALEPEPE PKPHPHPNPQSERSERSTRKRGEEEQVQQVSVEKKRKKGRPSKSQEEQRNGFVSPEQP QAGTATIALPVADTPVIQRNKEMRGKKSGKGSRRSSLSMRGRRASSLIDSGASNALPH DKVDTAEFYKHIAADGLVEPRRMRQLLIWCGTRALLEKPSGSRSGDESARLAARVIQE ELVKDFSSNSELSNWFSREDTAPTVVVKKANPKNLQNQDKIKELEEQIQKLQKERQAL NALLRAPSIPRLRPPKRLPNGQSGNHPPEPTKTTPADEYIDPSVLDPSQLRILESLHP GTVKQEQEQGRDAQLHPRSDQTQNTEPETKPLPPMPPSSITARLSRITSRLAPTLDSF ASGIHNVELYRAMSDTVSSKALQICADRLEERDARNALRRLAITAPAEAQTAAGASAE EGIPLRLRSRSRPREDLWPILGALSRIERR ANIA_03186 MGIPGLINALGPGERISLSKLAISHLERTSRPIRIAVDISIWLF QIQAGRGGKNPELRTLFFRLLKILALPIHPLFVYDGKDKPPFKRGKAVTGRSYGNAPI IQLSKILIDLFRFPRHDAPGEAEAECSRLQMAGVVDAVMSNDVDALMFGSGFTVMNFS KEAGSGAGGATHVTSYRMGDGADSNVKLDRPGMILFAMLSGGDYLPSGVPKCGSKLAA EIATAGFGKDLLEALEADGAELETRLNEWRERLQYELEENESGYFQTKHKAVRIPETF PDRTILSYYAKPVVSSEQQVESLRYRLRNAWDREVNVSELRQFTAYAFEWNYRSGARK VIRLLAEPLVSYRLRLGQHPTSGRPRLSHSDAQVLRKVYKSRTSFSTDGQTELQFEMI PIDVVGLDLLAEEPNPPLPSQETTASGDEEDDDGEGENFIPQSPSKTRKTKRYDPYAP EKVWVFETVASIGVPQVVEKWKNEQSAKQSATKKTVARKQGPRRRKELDPSMKQGSIL KYGTLKKGRSGMSDHKSAQLFEAAVSTSSPTKSPPFSSPVTGLWTGTGTYGLSKSTPP RLSPRMDGFDYLVDRFASCDLSNSGSPVKRRPATTPPNARRAAITSGSVEVIEISDTE EEYTPPVAPRIRMSYSNTSYRELRCHDFFSQRYSPSPKQTRRSEEPALPDIAPLERAI SSLQLDTFEENVTRLSPGKSRTKPKDLESAAENMTFSQDVLEDPIEDPNSKHQTSPLG KKKIPSKENTHFEGTCHGVTDAATLNSNVPTHAESITIHDGYWSIDQRASDDEVEAPC TDPGGLKKDKKKKKRLARLQATIG ANIA_03185 MSIRHSQSAKSPPHTPQHHLRSNNNSFASTSSGSTYRVEEDAII FDLGTRWLRAGFEGESEPKCVLGIGPNESRRAGDYRQWLKGTPVADDTLPQPPARPDD WTRPFELWHMDLRELDLGLVEDKIERMFREAYNKYLLTDAGSARLVLVLPSVIPHPLL SSLLTTLFNRWRIPSISLLTSAAMAATAAGLRSALVVDIGWAETVVSGIYEYREVTTK RSTRAMRSLIQETGRMFTRLLGGDSQPDTISVEFEFCEEVVSRFAWCQPSRSGYYKET AENSLADILDKTISIPSPSNPGSSDIELPFSKLEELVEKVLLAQGMADSDLDDQEKPI SLLVYNTLLSLPPDVRGICMSRIVFVGGGANIAGIRSRILDEVAHLIELYGWSPVRGR LIEQQIQKLQSLKLSQSTPHPEATQSQTQITAKVEPTADTKYETADEPDQSDELDEPE VEIDPIEQKLLRTREKDAVPPVQGVLREVESLGPWAGASLVTGLKVRGLVEIEREKYL QHGLAGASRDAEHAHGHCHRDREHGIPDRRSLRAGDRSSWTLAGWG ANIA_03184 MLLPGKLQLRDHLRFLVCPARFSARVLLLYCYIFIGVLALLTYT MYVKQSLPAFVLGLVASSQNVAALPQASAATPSPVADPYKIYTISAGNITAKLIPHGA RLTQLLVPDRDGILQDVVVGFDDPTQYSDDDNFYGPVVGRYANRIRNGTFTIAGETYH TPKNENDGLDTLHGGEVGYDKRNWTVTSYTNSSITFSFYDHALQGFPGDVLTHATYTV DNNNPSGLPQLTTKLVSLALTEATPIMLANHIYWNLNAFREPNVLEDVTLQLPLSTRF IATDGILIPNGTIATVDAYNGAPDFTSPKLVGQDIKNAVGLCGTDCTGYDNCWLIDRP TGYSSDALIPALYMASKNTGITLEVATNTPAIQIYSCNGQDGSDPVKPSQIQRAKQAG YNGPTTVDKNACVVVETEGWIDGINQPGWGQTDNQIFTPGGLPAVNLAVYKFGTA ANIA_03183 MFSAHSASSSPDVLAPPGDAEYLISSPFRPFAGRQSSLMSPANR RILQTPGAVKRKRINLSPAKSAHSIRFDDIVLPGSPTRNNAQQRSSSPDKAQADGNVS PWRIRVTLEATQDEQENQENQDSPSRKRSRPTTTTMKVPLKDDSEQTPRRKRGRPRKS RGPDVTPLAGSAGNTPGPGNTPGPGSTPGPGHTPGPVNSAQKRRPGRPRKYPPTPAPN LNNQQLKQPQKPEVDAQAAGPESIGMELERRSWSPLNLADGAESDDEAGDNQVLNVPF DVSAHSEPPQVANEDQNTQPVLERTYDTPNGDAIDRFHSQHSDDDLHSTPSKMPSPTR EFQTLSPENSIYAGHTPMPRRYPTPTTSSQVDERQDRDRASRERSTSARPSAHKNYLT SEYREFDTIMESEGFSMVSLDTLPSARQHTVSSNSKLAKGPLKPFIQRETNGVIRRTT NVLEKQSEATAPDPEPSPAQHLDTQPHASSQPATKRVPYSSAPSTEPSLKSPVSASQR RQSLRLAKVVRTGIALSRVLSRSNPPTTLEGLVPDYMEPRQRLQEMFGDFNHDSQRVL GAALGLGQVLAIRRKAMELKSPQRRALIEEELREGALDSPEMEYSRRNVTNTPNHQQL DGALDSSPDTMMKQRFAEWQREREAVSRTIQMANSSQVIVIDSDIDTPSPARRADDED IDDADDDLWMPRQERGEREQEEAGDNIDNEEEDYDDEDPWLPRHNAHQSAEDDDLKED DGQEGDDNVDRSPRISLQENEQQQKNEQQQETDEEGEDYDVEGGINYDISRSPRIRSQ DEHLQQQGQGEDFKEDEEIADAAEDDGYEDIWQEQAKDEGNSGRGSVLESRNDVQSSP WKDGSTPAGRGYGTTFSPALWVDGQGKVPYLGQSRIRKLREQRVDLSAHAEDTPNRVH YYYGKSSPLSSTQRPSPQQVPSSALSQRQKAAERYETESVEQDQPEELEGYLDFSPER DSEDQTFQIDPTTRHETEMQRQSDFADNTSNSDPAEEISVHEESLTPRNPKPAQNVQT SSWVQRFASLTPGWLKAPVQKSNTENKQLSPPTSREGSQDSDPEEQPEQGSEEEVDLF GQIRQEDAGKRVEPPDADPVSDFEQQPAPNPQSRNVRALASPEKEEKAKALGGPPRLA TSGYFSNAHYTLLRRLYRLAKQSPQSFPYYPSSAHADIIGDYIWTSDNTYGVPITELQ FAIVYRFRQELAAQDLRLGGSGWVGWTDADLHRRLVSIIIGEQIREDRKNAFDLRPTR SRPRSILQKG ANIA_03182 MGVSQRVKSKYVFRLSIPFLKTKRMNMEGDRVDNNDDDTHDTDD NVYAFPGTNIPHTVHVRYASEPDFESDVGSDEDVGVDTDTGIDPLDTSATATPSDTQI QRKRIVSWDGIKEQARSRWTTEQERKLEHARAELARCQKAWSSEQEVWLKCELALPLL EIPNLMARGGKGLRLTEAD ANIA_03181 MSALTSVWWPEDRIKATICPDFIFKNLPQGTLPRLVAPLPWGEG LTSETYLDWILAKAGRLFLILLDIGIPGRIFHLVDESFDDNDLPIAAHSVDRLQLSQD PDPELDSKFFLAQWRFLVRGIGEGEHIKYTENEGVPVEVLQTGTNLAKEGVDRVVLAG AVCRVYLRTQVTVGGAPHFFEEDEVLEEIRSMRRLAHDHVYSVYASYFADNTVCILFS GVYERNLMSFLTDTPQSFKKLAKERRREILVNWPHCLAHGLSWLHAHGQVHGLIRPSN ILIDSDFKVFIGQFEALDTLLPPVKVDDVEAYQYGPPERWVRSISVQSSGPTGSTLPS GGRTGRKTGERPKLTLSRLKGLHISDPEALSPPAESVASHGTVIRVGGQESSRYSIGF SSSSGSSNGSSSRKRGISMKRPILYTPSITSSNGSGSSEEQGTILYNSVGLPTAHTGG GALVQVWQSRQTDPEASDIFSLGAVVLDIFTYLCKRKISAFASHRGAKNRTAGRGGGV ADCSFHLDRNIGQVSSWITLLDSDAKKRKDPVFQAIRPMLAMSRDMDSIGITITVTIT IAISITLTLSLSQEAKENPKPPTSQLPRRKRPIEPDPFLSSEDLSELF ANIA_03180 MSTPCPFRISRSASDKLARNEAFRAVRENLRRQELGSKAPSFCS SHRYSCSNDAQEAFRLHRDIIHTLLLPLFLLHNQASRAALSILPPHKGAEPERAFRGE ARDAYAWLHCIFSEEHDWYLTERCPACIVLHVLQSEPTIRFVAVACLLSGDLLNPGLV NSNSNRRLPNFEFWLEALETAVCEDPFWGPGCWPDIEYRACSLIQGIQMLRLQCMQWL GFKGDRQSLQSTTQYKANFRFQRDLPQAQSAARPSDCLQLPLGSADDSKQRSKLAANR CFQSRADRPRRFHTRREVIKRYKIRWHESQHDSFREFLLVWTGINERTPIIESPHTVK LTIMASKSPPPTASAKQFYSTSSPFEERIGYYRAVRRGQHIFVSGTTSVDPASPAHAP QILFPNDARQQTRVALQEIIKAIQALGGKGAEDVVRVRMFVSQPEHCTAVGQGFTETL GRESRPGVGAAATMLVVRDGFVDERMLVEIEVDAMLDDTESETITLTQNK ANIA_03179 MDLSRRTKSQKDEILRSALGKGRSESLEDKIKEQFTSIEQSKHP VEDCCAADCRGERSLGLALCHRLPPVGLERVPAKRLCHAFDGCSPILDLSDSLALKSQ ISLSFQSPSSPPSHSVSASLSPLLPGFSGSFLRCPFPFLSSLSLHLSILSTLNTRHVL LRLDPRLSTGQIASDRRFSHSPILPLFDPIYLADSLFLSGSRERSSWLPACCPSNPNA PLMYSPQYYGPCLPAPTTVRYNPVMRSHSRPFSPDPSSDASTEPGSSHRAIVNPLDQP YTQRRPLSPSNSTPSRQRSQRTPAALTPTGGSSRLKRRASQGSVITKPSTVTRFLSRS NAALHPPSLDATGSVTQSYSRSAEFRTHSADSRLRISTVSSSLAARTTDSASPAWDST DKSDYPSTLPPTPPEDDDHIAWSPQNNMLLFEPHVNRDPGLMPMDEGPNMDTSSGRGH SDTLGSPSDNISPSTSSGSPQSSSGEMDCDDSSWLGNSIQTIVSSLPFSNARGEAAKI VYQMLPYPCPADKPSSAEVNESVFCSLVQAVQHHLQHGQSPYINVTHAVPEQFSLSNL PHSPPSTPHSSFSSDDYFKPTAVFSSAAVVSAYHDFRGPIQTKAPHFPMPIVPPFSVH ISVLERYLPPSSSQEYRDLFSHTRPSFLVDRLSELSPEGGSMLFIYPTRRGASTFKSQ YLGPILDPLLRQLVVVNELSAEISRYLGKVSAVAHMDDFDTMRENLDQLCGSLSSSSS QFKIVDARKGSVRLDRKLWSEWYIHQDKARMKEVISLYYQNSRRLPAAKSTSLNTSGY HRLETKEVTSAMLLSEILEGIRKRPYGEEMEPRDGIELGIFVIRRTH ANIA_03178 MAYSPAPTSPGPSGVAPMMSNGLHSRGRSVSPPTGVPLSKRDKR RSALQERLHDLTASFSQNRDTQFRQQLHALQCDMTLINNADPYNPGPIPDSAEEIAQL IESTVGGGQFAREMASLAGMWYARFVQEVNQVKAERDADLAMLVHRHNNNLERFQREY AFRAHFAEEEYNNLSATLRERLVQTLSGKRARLMREKEQLDIVDTNALLLHPNQFSIT NPASPGGIHGNRKTRHTRHRVDLDELGNGIMTEHLNKRKRKAPEEDVGSPVRETPAER AKAQVAQQQLAPTYSIQSLFTEKELSAHANQAHIATVHFFSTSKRADQPSGAVTNGNN TDAEEASGVDGTGAEDNGTPATDMARTASQNFHATRSTRTHGNHALNPLAELSDKPAV RPNLPYNILANYHARPSNSGAPPLPPLMNEEVDDDWNRMDKMASKPPGHVDRNLIQLL VEQTPAEINGIPQNPNRFSLLHPDFPTEVGMHLYPLRKDGESNERAKKPRTG ANIA_03177 MSSARSPGAVPSTPRVTSPSPTPSEGRTSSRSRDGYSAPTTRSA ARRQQHLGDVTEETTDSSPPRSRRRKSKLKQPTSGEPLVARTNGNAPQKNGFLSPLPK ADGTGLVDSVSRSPSPLGLIPLHTRYRTFIHRHEIPRKLLHVSIGFLTLHLYSRGIQT HQITPWLFGALVPIAATDFLRHRSERVNRLYIRCMGALMRETEVSGYNGVIWYLVGAY TVLRFFPKDIGVMGVLLLSWCDTAASTFGRLYGRYTFSLRKGKSLAGTFAAWLVGVVT AAAFWGWFVPYIGTFPNDPEDAFLYTGRLNLFPDPVKRLIGWTVTSPDTESGSVITGP LALGVMSVVSGLVAAGSEFIDFMGWDDNLTIPVLSGIGLWGFLKIFG ANIA_03176 MAPKPPSGTSSRAWDAVNPPLSEWVLDAVSSMGFTRMTPVQASA IPLFMAHKDVVVEAVTGSGKTLSFLIPVVEKLLRLEEPIKKHHVGAIIVSPTRELASQ IYNVLTSLLAFHPASAAVINTSETEDVPRPKHSSSVLRVVPQLLLGGSTSPAEDLSTF LKRSPNLLVATPGRLLELLSSPHVYCPQSSFEMLVLDEADRLLDLGFKETLQNILRRL PKQRRTGLFSASVSEAVDQIVRVGLRNPVKVVVKVKGASGVDDKRTPASLQMTYLTQP PTGKFPALKHILNSVQPTPSKSIFFVSTCSGVDYLSVILPLILGNDFQLIPLHGKHPA NVRQKNFNRFVNAHNPAILLTTDVASRGLDIPSVDLVVQIDPPSDPKTFIHRCGRAGR AGRRGLSVVLLHPGREEDYVSFLEVRKTPVAPFPHPITVSDAEAAAATETARKVVKAD RAIHDRGQKAFVSWLRSYSKHQASSIFRVADLDWEGLGKAWGLLKLPKMPELKNFKGD KTLGVQMDWDTYAYKDKQREKRRLELLQEMAESGQQQTTNKKRPNETVAWSNNAENRN KKAKRRDMKQVRQERKRWEKMTEEEKKKALETEQMLEQIRAKNEEQRRLKRAAAKADK DAEEGGDEEFTGFD ANIA_03175 MSSQPEINVLKTAYIFPLQKSTRTTVSLSILDAVVTNYASCAAV WFYDAPSGSNKDGHRQLYWLSTLEQSLAITLSSFPHFAGTLSKITHVSDPANYGYGDA DHTKRYGRLHITFGTDQDPGVEFNTAHCGTTLDEIVPNSMQRKSPDSRAWDLSCSAKA FLADEKKLPFIGGACSSSLVLIQVTQFRCGGVAIGIRIAHPLADAQTLSIFMKRWSFE HSILFPGEPTSLYDASNRKTEPPTPVFSPQLLDSQAAGDTDALSPDGTILAKSRALPS SRYDWFYPASDDNSDRNLPSGITRSMIKSPGNPMPKEDWDTALPVSHIKLHFSAQQTK KIWEIAGGSDLGISRHDAIVAHVWSAINRARGLGYDERNVSLHYTFGLRKRLGLPSEF IGSPILLTSVQMPGKDAANASSSTSQRHDIATKIHNNISLYTPDNLKARLHDLCFEVA PQRLWEAYLGYRHVIFTSWAHLSLYEVDFGGSRGTPRFVEPYMPVLDGLLCLMEGRPG LKDKEGKMHWCDDGVDVAVSIATEAMERLRDDPELWV ANIA_10382 MDLDYFCYSSDSEGEAEPSPQSELDHRSKTALRNIAQSERPASK KVRFEASPEDTPSKRRARATDPYRGRHFVGIGGPQTSSPPTTPTPEPHVVDPQQRPGF VPNKSGTFQLDYDALSDDSDTDTETVSSPKLPTPGTVRTSTPTQLDASLSAQSPAPRS AARSTQSPSTPAPKIDEEALARARSQAEKYKPKTPSGLRTASRYSSPLTAVTPDLTPV PAPPAEKDTEKFGDDEFAKDAQWLYSICPSGDLSQLVWPEKKPFGEGLIISSEALRIA SEIWDPAEVDDAYDTFHREFAEFKKTAV ANIA_03174 MRTRSQPDSPGGFVSLDDNKRATRRTTRSTRSASRAVSQEPTSE QPAEPTTQPATRSKTQARTTKKTTTKKATSTTSTAKPQTRKGARRGTRSATRRAEHNA TEEVQESVEKNTHDTVRTDNKENVDVNTGDLESRPLASDSALMEPKNSERVRGYQVDR EPIYADFSAPVIKGQIDRPFGTPSPKGLTGLRQVLRLIPSRKEMTLTILRAPILD ANIA_03173 MPPRISFQPSLKAFTGSSHVQSPLARLSLNPSKTSARAGSAESR ERRRHDPFLMAQSRQRKAANLSRQQALAEERNASLGDPVHGRPTPFVEALKIHQAGTQ ESHPNHFITPDELDKAIDYSKHLTSPIENPNRATADPQLEKESAERHLEEDRNAREAI SRIVDIRNGNTADQMRLRIQKCIETFGRHNTDKTLPPKPAAVPPEGATVHLQKTPRVG PDTGSPEVQVAILTAKILNLSRHLETTNKDKHNKRNLRLLVHKRQKLLRYLRKKERGG PRWKNLMDTLGLSDASWKGEISM ANIA_03172 MAPSQLPPIFNPTPQDIEMLLAAQCHLGSKNLQVHMEPYLWKTR PDGVNVINVGKTWEKILLAARIIAAIDNPADICVISARPYGQRAVLKFASHTGATAIA GRFTPGNFTNYITRSFKEPRLIVVTDPRTDAQAIKEASYVNIPVIALCDTDSPTDFVD VAIPTNNKGRHAIGLIWWLLAREVLRLRGTLANREVDWDVVVDLYFYRDPEAEENKEV AEEKVASAEDVGAGAIESGFAAESWDAQGAAAPAAFAAAGATSWEADGGDWAASSAPA PGGENWAEAQPAEGAKW ANIA_03171 MPAMTNPQSREVLETPITVLLLGDAGCGKSTFLSGLKSIRNGKT GNEPIDILRDSDQPFVYEINFSKKSFTLEIYDTASPNQHWTTLQPDVVLLAFDISNRA TLDGLKRWRSDIIRYFQRGEGERIPVMMMGLKRDLRKEEEGAIYPQETYRIAQELRCD RYAECSAVTGELMAQTFEDLARLAYQTTTAAGGQTEGGCLVIAYARVLLLLLRFAMQF ANIA_03170 MWRFQLKPLFVLSQVNPSRIFCRHRHLGLSKLDSLRAGIMSGNA PPQGPLAGQSQQAQKEQQQPAQIQEVQEQQNPDYSTWSTTSLIERITELERQLHSRNA EYKPAPSASTSTPTKTQAHLDKQKEVPRWLATGKYDPDDITHTPAVRPPKQPKKMDPS QYNFRFIALKFAYLGQRYQGLEHANNNPTALPTIEEEMWKALMRTRLIFPTDMGDEDV PDRKAKRKEIEPFNISWEGCEYSKAGRTDRGVSAFGQVIGLKVRSARPKRKEPKPAQV SNDTAETTRETVADEDWDDIADELPYISLLNKALPEDIRVLAWCPNPPEDFDARYSCR ERHYKYFFTQPAFSPTPGPLGLLPRKGDAPPKYREGWLDIDAMREAAKYFEGVHDFRN FCKVDTSKQIENFERIIYRADIELLDPRNSPLGYVNRPEFSALNYPDTDSLTQKPAGS VPPGCQVYVFNLQGSAFLWHQVRHMVGILFLVGQGLESPSVVRELLDVTKNPRKPMYE MASDAPLVLWNCVFPAKDSETREDALEWVYAGDTRQARSEYAKGSGKYGVGGVVDDLW AVWRQRKMDEILAGALLDLVVQQGDQNAMRTGNIKNLEREKQNKVHRVFGGANEGKAK GQYLPLAQKRKMDTVEVQNARYLAAKQRRTDKKDKGGGAE ANIA_03169 MVRNIVVIGGTSHPQLTQTICDILGIPPADVLLSKFSVGETRVE IKESVRGKDVYILQSGGGKVNDHLLELLITISACKTASARRVTAVLPLFPYSRQSDIP YNKSGAPLVKSSLEGKGASNGYTFESTPTTPHPGKLEVGNPINGVSIDNLQKSLAKAQ LEESSGSPVKKRLPNGLSRSDTMESLKSDSSKSTLPNGLLDDSQDKINKFEPRPGYKQ WVAQAGTLVADLLTCAGADHVITMDLHDPQYQGFFDIPVDNLYGRPLLKNYIMRNIAN YKEAVVVSPDAGGAKRATAIADSMGMEFALIHKERRPTRITDRQNATMMLVGDVKDRT AILIDDLADTSNTITRAAKLLKKEGASQVYALVTHGILSGDAIDRINASALDKVVVTN SVSQTEHLRRCPKLEVLEVGHVFAEAIRRVHHGESISALFQYE ANIA_03168 MEGLFFNVNSGYIEGIVRGYRNSLLNGQHYSNLTQCETIDDVKL QLAPAYGDFLGALPPNPSTSALAGKMTDKLVAEFRYLLAQATGSTARFLEYLTYGYMI DNVALLITGTLHERDTRELLERCHPLGWFETLPVLCVATNIEELYNSVLVETPLAGFF KGSLSHQDLDELNIEIVRNTLYKNYLEDFYKFVTTHPDFKGTPTQEVMAEILEFEADR RAINITLNSFGTELSKPERRKLYPEFGKLYPEGSLMLSRADDIEAVSLAVSISADYKA FFDAVGLTQGGGGLGGMGGSDGKSLEDLFYQKEMEMSKLVFTRQFTPAIVYAWMRLKE QEIRNVTWISECIAQNQKERIGNFISVF ANIA_03167 MTLFILTETSAGYALLKAKDKKLLKRDDLATEASTAEGVSNLLK LKSFQKFDSATAALEEVASVVEGKVTPRLASLLDEIKDEKKVSLAVADPKLGNAIGKL PGLDISLIADSTTADIYRAIREHLPTLIPGLLPQDMSTMSLGLSHSLARHKLKFSPDK IDTMIVQAIGLLDDLDKELNNYAMRVKEWYGWHFPELAKILNDNIAYSRLVLKMGMRS NFENADLAEILPEEIEAAVKAAADRSMGTEISEDDLENIQALAEQVVGFSEYRSQLAG YITARMNAIAPNLTALVGDLVGARLIAHAGSLTNLSKSPASTLQILGAEKALFRALKT KHDTPKYGLIYHASLIGQATGKNKGKMARVLAAKASLGLRVDALAEWDDDVTEEDKAA LGTEARFNLERKLAALEGKPLKPRGVAIGPDGASAQPGKFNINEARKYNPDADAVDQD KATPSKKMLVQEVQDEEMADADSDEEPAANGVDSDSSDEETSKKSKKSKGSEIEKLAE KAGLSVKRYKRKLERGEIQFDAAGNPSAISKKDIKKAKKEAKKEAKKASKGDDKEKKR KRSDETEDTDGKKKKKKRDD ANIA_03166 MRIINPQDATLTNIEVLSYLTANPPRRPPTAPPGVNQKHFIPSP DLRDHNTVVKEIHNYASRLAPHLLRYPTSSATATSSKPGSGKERRKDALDHALRELVT RLQPYGLTKGEVLMIVNLGVGISTAAGVAGAAAEGGVEDGFEGVGAERMDVDEGDPKP ENGEGVEQEGAEGQVDGKADGEGGEGEGDAGDYGALALIDTIIEEREQRLSDENVVEI LAIVRETLGAATS ANIA_03165 MALRGFLSGLDNHLPGPWADQYNSWRLSATRFLQQTYYPRPRPR PTRLLLAVAGCFFLIFLFMRSPGEPEVNHWLQYPSYHPFHGRPEDATIITPRIAYDHN DTFILSNNLQKTNASFHLVLPATRSNPGLCRTLTSAMILNYPPPTLVRYGRELPAGSA GHDYMVDRITGIYNFLAYTPRLQDHDIVLVVDGFDIFFQLPPEVLVRRYQELLREMNA KLRERYGMVTVDRPFRKDGVETHQKYSQRVIFSASKECFHNLTDDAGCASVPESTLPP DSYGWKTDTQEQLTRPRWLKPGAVIGQVADLKLIYAQVLRFVEEHRAVDGDYLALTQM YGRQEYVRELERRRTSNSFKELLNRWIGISEATNITIVPPHLQPGQRYEYGIGVDFES RLFFNTVNAKEDVEWLRYNNVSKTSTVQAEHRVPRESRLLLPDDVSDHKLGNPFKQPK YSKNEYVNPPWNDTLDALPANRTWSNIPLLTNIHSAEVPALIHMNAKDKGTVRDTWWS RMWYAPWARALLRKYMRSQTGFDAAQHALLDQNFWDVRGGVGGVWTDKGEWIDYPEVC SGFERDLFDDGLGPFGEEDGGEYGGPVYNQWGNLVKGREF ANIA_03164 MTPSKTPSPAPPPTSASTLTVNPDYANPSFDPVDFLNDTLPPLS LQSSQFQSQSNPRAVNLTDLSTRVQSILSQTNAQNIRHSSTLTSLTDEIIRSGNRLAY EVEVLRGEAIALSDLLTEGLAEEIARFTTTPTIPEEKPEDESDPTERGEHERKKSEPE YISNLRTLNQVRARLEEVVQTFGDAMEWPLPPSETSITSSFISVSAPDLGPDSQTREE KGQEAAKKLRGEINELLDSDGGLEAAARRVEALRTLSLVWKGTAEEKARVRFVDGLAK LVEERRRAVEAPSQSQQTQISGSRGHQRQESEGPGGGIFRNLQRLREEIYLE ANIA_03163 MSAESSTAINTAANTGVNGKATQQPHGLVEVQPPRLSDLQPRYA STIEHDDSNPEAHGWYASFKHTIGECIGGLGVIPCCPCPNPFRPVQQGEVGLVTRFGR FERAVDPGLVKVNPLSERLITIDVKIQIVEVPRQICMTKDNVTLNLTSVIYYQVVSPH KAAFGISNIKQALVERTQTTLRHVIGARVLQDVIERREEIAQSTSEIIEEVASGWGVN VESMLIKDIIFSDDLQDSLSMAAQSKRIGESKVIAARAEVESAKLMRQAADILSSAPA MQIRYLEAMQAMAKTANSKVIFLPAPNQTVQQQLAAAENAGEGPSRYAPPQGGDGFQH AINARVVEDI ANIA_03162 MAPTPSPLYTFRQVERSDLDALEELLFTSKLSLTINRLLFKDWP NEAAQRRNYRAALENQDFESDDRERLGVIDNASGKLIGFIALCRRQPELHTQAASPSV DGPEKQMNIPDHFNPEVYNAVMNAVRELSFTGLETREHYEVVYIAVDHAFRRRGIGRD LVRHVFAKAKAAGVPVAVSSEPQAYAFFTEMGFEETKSVEMDLAQWAPSYSGFGAFRL RSMIWNP ANIA_03161 MSRNILIVGATRGLGASLRNLYATEPSTRVFATTRSDNAPESYS HPHVSWLPNIDLTKPDVGERLVSQLPSSTKLAAVIITAGYFGLETFDTPDWDKQIQMY TTSAIAPVFVVQKLVKCGILGKGSKVILVSSESGSITLRHEKEGGGNYGHHASKAALN MVGKLLSLDLKPNGIAVGLVHPGFMRTEMTKGVGYDKYWDAGGAVTPDEAARSLAGFV DKFDISKTGEYWAPRGPGDIGTAEPVLGSDLPTPLQLPW ANIA_03160 MGVHPLIRRTRTVLRRMSADKTEGTESDIIEQSSSYGSAGPQEK TPTPNTQHGVQDAEAVTLSWSKGTLIAVFINIWFLYFVNAFQISVTSSLNPYVTSSFN AHSLSALPTAIGDAFAAATYLPMAKLMDVWGRAEGFLFMVICLTIGLVLMASCNAFET YCAANVFYYVGFYGMEYAVDVVTADASSLRNRAFAYAFTSSPYIITAFAGPKVAKDFY YQVSWRWGFGAWAIATPIIALPLYGMLKRPSGRTVLESIRHWSVEFDLLGVCIFTAGL VLFQLPFDIADYAPEGWASDYIIAMLVVGFSMLFFFAIWEKWLAPVPLFEWRLLTNRT IIGAVLLDATYQLSNYCWSYYFTSWLQVNNNLTIATANYINNIFDVVSGVLLLFLGWV IRRVGKYKWTLYISIPLYIFTQGLMIHFRKPNMSVGYQVMCQIFLAIAGSVFILVEQI AILAAVDHQHVATVLAILNVVGTIGNSAGLTISTSIWQHTYRNALIRYLPESAMSNFS SIYDDLRTQIGYPVGSPIRTAIQMAYAYAELRLLAVGCGIMMLAIPWCILIRDIDLKR KMQVKGTVL ANIA_03159 MDDPEQDKIADSNLVEHPAGLIYDDNESVRAGSLAALVEHLTHP NKLDASFNRIFLTTYTYFTSGTELVQLLIRRYDCAPPVNLNPMKAAEWSSQAKPLIQV RVLDTLNQWLENFWTWNEPKGPETHENLLNLQSFVRALASSTQQQKLHEMTHCRLVGL EPEGMRRPRSQPSSIATSSSSSTAPKPILPRKMKPNKLQFLKIDAREIARQLTLMESC IFGKVQPNELMHKNWQRRESQDVAPNVRALIQFFNQLSGWVGALVLAESDLKPRTQVI GHFINVANACHDLQNYSAVVSILSGLQSAPVYRLGRTWAMVTQRDCDKLEPLQAMMSS EQNHQTYRNILRRAIPPCIPFLGIFLKDLVFIEDGNPELTPDERLINFSRYSMMASTI DTVQHFQEAMYCLQPVPELQEYLATELQRAVNSERLWDRSCELEPRGRWDRKRERDTY TATGGMTTAMVVACMVFD ANIA_03158 MSIMLTLTMGSVPPPLGIGCFRLLPRSLHFLTLKLVCKAFNEVL SKHEHPIARRYLRQRRHGTLPSPIDTQRTYTWKPEDDVVLLSDLFPPTISAKGGFLYT FRYLHSLRRRQKLCSRLCYYLADRIVNKFVACEGMFMKTTFASKAERAVFMRRAMGKV WSYLSPLMYYTLYFLESYADARREQTNVLLRDFEAGRLPVRIPPDIRKRMYQELQRKI MQSPPFTDTTTLISTHHCLRLLVSWLRDTVPPDEQDVSDDSWIGSLLTVSPFQRLVEY FSAEIGDGGNQRMQRKDFMYNFHRDISLTENDEMNSRVFESAPNVHLHRSVQDVWFDA ATAEIAKRRAGNHRKEKVMLYDGVPFLFGCPYCKPGAGDGCPYHLLNTSWTSHRLSPL HYEINKNAESYSLLTNRTALDTYAARLRDYLTNSLAVAGAPTLQHDPATSATLGALQS CTWEAISSLSFLDASMISEHGGHSAFEQNEEEPAGLLITLTYENATYKAALLSSGAVS RNQSQDQEQLQKQRKRKRGRPSLKSSITTVSASTHLPLLLLRLPKPLRESLFSFLSSN FDTYVSALRISSHGLCEILQSYLSGLTPAGPVNAGADVGEIMRELHITISFAPPIAPS LKALTVCIPRETSGAFIRVPGSTSYAGNAGTSVLSGLSAYLSKHLALDLRLPLVEGAA ATTAGSLLTGGYVRLTRIACAGFVVTSEGRLKIVAKAEDRTGGEEDDEQKRGELSGGE ALLRAVLKRAGNGMGSGFDSHAEEQN ANIA_03157 MTESDHTRSLRDRIIELFRPPASVTFLPRMTVHNNTMGDFDESA GSNSSQVDSRTPLLGSYDRREPPCGMGNSCNHGTFTPRVESDDWRTNAMPEYGGERYP IGSTSRRSTSAAPSAQGLENFPAGASTPLTIKYSKSQYISYYIPFFTWISQYRLSYLR GDICAALTVASIYIPMGLSLSNIAHAPPINGLYSFVLQPFIYAILGSSPLLVVGPEAA GSLLTGAIVKESVNQGSSGEDDEAANAIVVGVATALAGLMILVAGIFRLGFLDNVLSR PFLRGFITAIGFVIFVDQFIPELGLAAEAKAAGVTHGSTVDKLLFIIRNITHSHSLTA AVAFTSFAIIMVFRTLKKLLQPRYPQVIYFPDRILVVVISAVLTQQLRWHEQGLEVLG PTKNSGNGVFNFEWPFQPKYAEYLRTALSTSFIIAILGFFESSVAAKGLTGVASVGVQ GMQVSPNREMVALGFANVVGGCFMSLPAFGGYARSKVNASTGARSPMSNICLSVVAII CIMVLLPYLYYLPKAVLSSMISVVAYSLIEECPHDLAFFIRLRGWSELALMLLIFLST IFYSLELGIALGMGLSVLILIRHSTKPRIQILGKVAGSDRFDNAELHPENVESVEGAL VVKIPEPLTFANTGDLKNRLRRLEMYGTNRAHPSMPRIRPPEHNRNVIFDVHGVTSID GSGAQVLYEIVDGYAQAGTRVFFCRVPNRSVYRMFQRSGIVERCGGMSHFVGHVDEAL KLTDSETRPLSASSA ANIA_03156 MSLTNCRFYEEKYPEVDSYVMVNVKQIAEMGAYVKLLEYDNIDG MILLSELSRRRIRSIQKLIRIGRNEVVIVLRVDKEKGYIDLSKRRVSPEDVIKCEERY NKSKAVHSILRHVAEATQTPLETLYQQIAWPLNRKYGHSHDAFKISITNPDVWADVEF PNEAVKKELTQYIGKRLTPHPTKVRADIEVTCFGYDGIDAVKNALRTAEAENTPENQI KVKLVAPPLYVLTSQCLDKTHGIKMLEEAIERIETTIKASGGGCTVKMAPKAVTEHDD AALQELMEKRERENMEVSGDESQSESDEGVPE ANIA_03155 MGWRDIFASEASGFLQDMQRHRLLPTHEGDEIPTDHPAKEVTRL ALRLKYQLEQVIPCELEEESITSANSRVITQDVIHTAMQAGGEHLRACVPFCLLVCLR WFKRQAQQELWDSELHELRATAFCMNFLTMSSIEADEDQNHLLVHVLLKRYSIFQDGE ESAPANVIERAVDLHALRVIGSSGYQKCIKYLWNGWLCQQEGNPTNFVPYQDRDSTDF AVHFHPDRMRAPIYQSACQILFSFVYLGLYTEVINTVNPTGDLDVVEGVLYVITLAFI CDEATKLWKIGRNYFDFWNAFNSTLYAILAVSFFLRVAALAHSASAGDEQRQTLNKLS YNFLAFAGPMFWMRMMLYLDTLRFFGAMFVVLRVMMKESLIFFALLLVVLVGFFQAFT GMAQVDSGPLGTKGIVIAMANSIMQSPEFGMFEDFAFPFGIILYYFYTFIVLTILLNI LIALYNSAYEAISGNATDEYMAIFAQKTMQFVRAPDENVFIPPFNLIEIIFLILPFEW WLSATTYAKLNDIVMGIVYSPLLLVIGILEIREARRIRRNRRRGEEDDDHVEEWEGMA EQVGFEVDDEWKVAVKESSPDVRVDPSAAEVAKLREEVRALTEVVRVLIETNGERDGG RESNRLGESSSSLRAAE ANIA_03154 MAAVDFSNVYSATYSSVPVYEFKIGTDSVMRRRSDDWINATHIL KVAGFDKPARTRILEREVQKGVHEKVQGGYGKYQGTWIPLQEGRQLAERNNILDKLLP IFDYVAGDRSPPPAPKHTSAASKPRAPKINKRVVKEDVFSAVNHHRSMGPPSFHHEHY DVNTGLDEDESIEQATLESSSMIADEDMISMSQNGPYSSRKRKRGINEVAAMSLSEQE HILYGDQLLDYFMTVGDAPEATRIPPPQPPANFQVDRPIDDSGNTALHWACAMGDLEI VKDLLRRGADMKALSIHEETPLVRAVLFTNNYEKRTFPALLDLLLDTISFRDWFGATL FHHIAQTTKSKGKWKSSRYYCEVALEKLRTTFSPEEVDLLLSCQDSVGDTAVLVAARN GVFRLVDLLLSRCPRAGDLVNKRGETASSIMQRAHLAERDIPPPPSSITMGNDHIDGE VGAPTSLEPQSVTLHHESSPATAQLLSQIGAIMAEASRKLTSSYGAAKPSQKDSDDVA NPEALYEQLEQDRQKIRRQYDALAAKEAAEESSDAQLGRYEQMRDNYESLLEQIQRAR LKERLASTPVPTQTAVIGSSSPEQDRLLTTFQLSRALCSEQKIRRAAVKELAQQRADA GVSTKFDVHRKLVALATGLKEEELDPMAAELAETLEFDRMNGKGVGPESPEADHKDSA SLPFPGPVVSVDA ANIA_11377 MTVAESVKSAVGLADTQATREEMSAARLPLQYRDSCAHLLIPLN RCRQQEWYLPWKCEDERHSYEKCQYEEFKKRVAKMDELRAAKDGARSN ANIA_03153 MAALMPIGDIPTISLLYKLKRLVPNAHPLKSPSKVLNDTVAMVR HDITKLQGVDCIVNAAKRSLLGGGGVDYAIHKAAGPDLLKECRTLNGCDTGDAKITNA YNLPNKRIIHTVGPIYSDAMRRGKDEPERLLRSCYRRCLEVAVENEMKSIAFNAISTG IYGYPSRDAAKAALDETRKFLETDKNTGLLERVIFCNFELKDVEAYEQLIPLFFPPAE HSQGGDAVADAATKSGKAGTRSEDAGANIEVPHNVAPSSPSVLAISLPDPPTKEPTFE GQPESKKQKVSAQPSEVDPDDRSLYISELSDLKSEDEWDAIEAAEARAGRFTYAEALD AEPVEIDRPSSTTDVQSIQSSGIIDDMVHSQSTDGFLGKE ANIA_03152 MGSLEAGHRHRDHLPAIGFIGSRGPTTSPAPLVSPTTMYPNNPL PYSYSTTPANVGPGYASPPEPRRAEDEKEKAPRQSLPSIHEALGNDNPLPYPPAPTSA APQQPNSAPPPHHLPNFGRSSAEGPSGPPNPFSNGSSFLRESSSSHQPQLQAEPSRSS LASLNTTDSRNASLQSISSGKSPTQSASTVMTSVSGSQTGTGYDYNAPPSAGSVASPN GYSGFSQPFPFQSQPPPGAPVYPAASYDSRPYEMKGGVTGSFGHQGDSAKRHLDVYDI ESSLTEMVDLNTRALDFSRHYANRAHQSRSGAVVGSALPSLHEVEEMLNIQRRNHDAL MRIRAVVFSQEHAMAEQVAQRKAFKFGGAHDDDHRMAMYQEEFKSSGGFGPDSKKRRG KAAPPGRCHSCNRAETPEWRRGPDGARTLCNACGLHYAKLTRKMGAKQAAGLGSNLKP KTLDSVSPRSH ANIA_03151 MGWSLASLSTTPDVPIPTTLSHNLRDSEINRIIAVIKGFISSLN AKNTSEFEKYCVRAGGMSLRPPAPTMPRFCTIGAFVEYTAKLDHDINERILDAEVKVN EEGNLAAVWAPFRAKVNGVVNHVGVELFILHKLNGGWKVTALADSCRRPTEEEKILLL ANIA_03150 MGLLALGTALEWPEAKKRADQVRKWGIEQLLANWHRAKGKERDA LLWGDEVEYLVVALDDAAKKARLSLAQADILKSLARDEELWKEGSCTYSQNKEHEGEE PPHFHPEFGRFMLEATPGVPWGIGFKDLLKVESNMRWRREVAKAHMAPNESPITLTTF PRLGTKDDYIQPYYPPSGPALRSQFVPDEIANPHIRFPTLAANIRARRGRKVELNVPV FKDKNTPEPFKDPTVNYDLHNWPEDDDVRNGAAKDGHVYMDAMAFGMGSCCLQITFQA KNVPEGRKLYDQLSPLGPILLALTAATPIYKGFLVDTDVRWNQISKAVDDRTREELGE VDPRLRKEYLDPDLIVDEDIKKRLMDDGMDELLATHFAHLFIRDPIVIFSEDLEELDL NKADHFENLQSTNWQHMRFKPPPPEKDDIGWRVEFRSMEIQMTDFENAAFSIFIVLVT RAILSFDLNFYIPIQRTAENMETAHARNAVLDRKFYFRKDPFSPSVRRHHNSSGDSNT SSANNTPPPSPPLGPVEYEFELMTISDIINGSADGSFPGLIPLVESYLNSVNVDVETR CSLARYLDLIRKRANGTLWTGAKWIREFVAQHPAYKQDSVVSEEICYDLVKAVEEMSV KEGADGSVGWEMLKGRTV ANIA_03149 MAQPPKFRILSPESALRRARPDPSSTPSQSQAPFQSPTTSHSPP TTTTATSSSTPKSTPNPRSSFSPFSRLRNYYSTLPRPFRLAFRTIGAVAPIVPIGLYF SEYVGQLLLVNGPSMTPYLNEDYDIMHTKKDIVLVKMWPGLSAFRWGQRKMRIERGML VLFPSPGNPDNVAIKRVIGLPGDRITTREPCAKPSQIVPFNHVWVEGDNPKKSLDSNT YGPVSISLISGRVMAVVWPRFRWLNWEEWESGDVDGGRFGEGYLAEVRERVEKGAVEL QKPFLI ANIA_03148 MEHGCQNSGDGHPGLEQDSYTLEKLRHASATHVHITSRRFFIGP IPKNWLQSHRKSWYRKRLSSRDYRSRAVTFSAESDYAQETGQSASDPPSIEPEENESE TEIEQDSGQETPRLTQDLRVLTHEYEDNDEPPPSVDPRGGDTPGTKTDSRSAYFTARE GNASLSTITPVQSARHAQFQNSRLLAVPHSVRGRSIHSHLNNTQPSLPATPSESGSME PLLSVPRNKDKSEQHSVPPASLQRHEPQRGVSEGDDGSNDWRQDRTSRAPHIANPLTV NDNLHARRRRIASKISRTQGRVPDWFSRHRVEVGEVIKAEKMLIRVEETAQDELPEDY SEFDSLRMETRVQDNWREFLVVCRSASDKEAPYTLQMYKTRVIPKIQEQGRASPYHEI ALGRKTVKVNLYSALDKTIAVWKRSKRGTTIYILRPKSTARAVEWYTFIAYTMGRRRA SSLGITVPDLAVSLVFNNPFKQVGFANSSGHEGPEEIVKQTSAYDSIIQGCLTMLEDR PEWSAVMKRWTSTEKLGLAWKRYDRLEWIYGVNEKDMYGSVGMQGTHELELRPKQHYD TSVGYGAKKKEEPAPVEGFLIRLTSQTGAHQRMNRMFSKRLYFFSQDHYLFFCKPSQA LPPTPPWLSALDSGIPSTQEILDKAPLSYEIDPFPLQDGQISWLSIGNTEHQKRRDEE AYAQFQRDIHNLSNADGFINLCLVQEVRSIPDDFSSASNTRETCDRGITQPNDPSQLQ AGNVGEQSTDNAGFEMLLDNGLVVRFQAYNSATRDEWMQRLDALVQYWKARIVADAAE LKNTRQRNLELLGMDEELESVIGQYAKKWEVRKAEASPLLHNMCSLIGCRPIKTSPNL SMEMSGLLYKKPRRHATFKRFHVILTAGKLLVYHSSLRKYNGAEVPHVHSTLESTIDL ENCYIYSGLIAENDLLYSNQTFDSNHPGHGALPRVYLSSDMYTSSDEDTAITFVIWQP LRKGLFRAREREVEGGESGDGHGGGRVKTRQTLKQVSKLGVHGRTIVFKARSRVEKDR WVLCIASEINRLQEGKAEDVRLTSS ANIA_03147 MPAEIPAGGTWFDTLKRSFDDVPVDAANDNAIATSEFLEAAESL TTLFDVLGSAAFSPVKSDLTGNIKKVRDRQLAAPGESETLQSLVLNELKTKKHVATEG LVWLVRGLEFTAKALRHNLDNGTELSDSFRDAYGKTLKQHHSFMIKPIFSAAMSATPY RKDFYAKLGSDEGKVKAALEREVAALEKRVEILKSFQERKEAKW ANIA_10357 MFPLEGRSLSIFVVTLVFLLLSFISVALRCFVRLRLVRAFGWDD SLMVLAMALNILFALCGMIGARWGLGHRMVDFARSPDPERELETALFWWWLGQTSYVI TCVVAKISIALALLRLTVTKVHKYILWGVIAVSVIVGVVFWFMLMLQCKPVDYFWHRL TTTGTCINTDHILNIAYVYSVTATICDFILGLLPIALVWKLHMHQTTKAALAGILSMG CVASAAVIVRIPYLHYYKDEDFLYATTQISIWSNVEAGLGITAGSLVTLRPLLRWFRG DSSYTNSKSRTWYGGPGSMPLSSMNGARHPRNDPQSGNNYWRPDIEPQGNHGVVTTVH GSPSSSQENLNPKQNSLSGVNVQKSFLVTTTNES ANIA_10367 MTKWNINIRTLFTTTKARSPDSAQLIKVIPEANSGSAEICRLQR DTLGGVTTISFLFQKRRFIFYPERKCFAPLSYVLDAEPKPALKTFQDCEGLTTKAEIE RVQHHYGDNTFDIPVPGFIELWQEHAVAPFFVFQIFCVGLWMLDEYWYYSLFTLFMLV MFESTVVWQRQRTLNEFRGMNIKPYDVWVYRERKWQEITSDKLLPGDLMSVNRTKEDG GVACDILLIEGSVIVNEAMLSGESTPLLKESVQLRPGDDLIEPDGLDKNAFVHGGTKV LQITHPNTTNGDESQQKTSKVGAPPDNGAVGVVVKTGFETSQGSLVRTMIYSTERVSA NNAEALLFILFLLIFALAASWYVWQEGVSKDRKRSKLLLDCVLIITSVVPPELPMELS LAVNTSLAALSKFAIFCTEPFRIPFAGRVDVACFDKTGTLTGEDLVVDGVAGLALGQP GAKVEKDGAHTELSKGSSIPVDTTLVLASAHALVKLDEGEVVGDPMEKATLQWLGWTL GKNDVLTSKSGLATGAARSPESVQVKRRFQFSSALKRQSTIATVVIQDRKTSKKVKST FVGVKGAPETIETMLVNTPPNYEETFKYFTRNGARVLALAYKYLSSEAELSQGRINNY TREEIESELIFAGFLVLQCPLKDDAIKAVRMLNESSHRVVMITGDNPLTAVHVARQVE IVDREVLILDAPEHDTSGTKVVWRSIDDKINIDVDPTKPLDKEILKTKDICITGHALA KFKDQKALPDLLRHTWVYARVSPKQKEDILLGLKDAGYTTLMCGDGTNDVGALKQAHV GVALLNGSPEDLTRIAEHYRTTKMKEIYEKQVNMMQRFNQPPPPVPAQIAHLYPPGPG NPHYQKAMEREAAKRGAKDAANQPEAIPTITSPGAQALQQSNLTPQQQRQQQAQQAAA GFADKLTSTMMEQELDDNEPPTIKLGDASVAAPFTSKLANVIAIPNILRQGRCTLVAT IQMYKILALNCLISAYSLSVIYLDGIKFGDGQVTISGMLMSVCFLSISRAKSVEGLSK ERPQPNIFNVYIIGSVLGQFAIHIATLIYLSNYVYSIEPRDTDVDLEGEFEPSLLNSA IYLLQLIQQISTFSINYQGRPFRESIRENKAMYWGLVAASGVAFSCATEFVPELNEKL RLVPFSNEFKVTLTVLMALDYAGCWVIENVLKRLFSDFRPKDIAVRRPDQLQREAERK NKEALEKASTNGQPRVA ANIA_03145 MSMDAGGLAQMTYNSSFNNGPSLGAPGSGFGSRRKAPNVKRLNV PPPHISTIDESQASATVATPRTSRSHLLAGLRTAPKSATVPSNNQRRQHLGLEGDRYG HFLNRTAERNVPQTATGTGFPRHSLMMNQGLDTNAGHPMYTLPEQVLAPPAIDFPTDV PMDDNLYAELMSTNLFLAAQQQRLQQQLLSVTAAAQQFQGLSLGASLAQQQQDYSQMS VPSMGFYQQQLQQGVQPVVQPVAGQPGLFSVYNPLTGQINYIYDNNTQQDLSPPYQSE EEVPSPPLHVPSFRAEVSPPLESIKSPVTVSEEVSSSGAPTSEDGIAPLPPPSANAFR RAHKKNTSFGPGPRTGIDTNKANSAAHITGPRTAPILTPATGTFGPGQGRAGEHPVRQ PRGPPSLEELVAKPTSRHEGSKNFATRQRRRAVHNLVRAGIERRGDSRSLGHSSGGTN TPASEKEFTFSDNDDATVRSASLSSKPSLGSLRAVANGAIGSERKEKSSRERKSPDSP FSTNSLNEKDGYFAGKFAEHPSPENGSPSIAAVVAGQKTVAQGTDRRKTPMLVLSSAE KRKTPIV ANIA_03144 MSRRTLGGGRILGSANTRTTATLSSPQIRPNNILSPSASTLSLN SQASASQLSSETQDLTSRISLDNGSAPLPAAPAATGAQLSCPICSEEMVTLLQLNRHL DDVHHNLEDDRQDEVKDWFKTQMEKAKRFQPLAVLNQKLKGLDVFESNENHQALTGAS RPSGLSQNHQSQSFLHEAQPRPPDPEEIITKEHWQPRGFYDTCLEPSCGQRLNATNGC VNCRKCGKLFCEEHTMYQMKLSRSAQHEPVRGLWYRVCETCYKSREGYNDHNGLVRDR MDEFKAIRKPIVDKSLLEVSRLEKRLTRLTQLLASLSPEQLQSNKLWPIPFQHDERKA LEQTVVSWQDDTSVTRCPFCQQDFSSYTFRRHHCRTCGRVVCGDVLTGCSVEVPLSVT PLSKAYLEKSVNVGSLNIDIRLCKECKSTLFDRSDFEADVARKPADVRAYENLRQFER GIRLLMPKFQKLLTTLQNPRRPPSAAQLAEASKVRKRLTDSFTQYDTAARRIRDLPTN STTQQRLQKAIYQQATNFLHLHMLPLKTLPKILKHATPDGSQPSSSSSPDSPGGASVE GHRRQNSALAAVKSGKVAASISNSSIASEASSAVSALEAEEKALRERLIVLEEQKFFV SEMIADANRRRKFDEVSSLAMNIEDLSREIDRVNGLLDGLDFEGAYMGNHT ANIA_03143 MAGGYFDLPTTSSQRSSLTDVPEADARGPSPPGPRVSPSEGSNE ALPERATLDPGGAHGKQRNAGILKSANRVKFTVGEAALPPTSQRRGSPLPQRPLPTAS IPAYERTASDPHPFPNGEPSSTFPAGTAVYSRDSSSGIVATDEVSNEKGRAIHSAYSA QERAQKLASHLGRPSKSPSQSPWPSLPSSVASSPTEASQPFEDADDIPMIRLPEKQLD YPSDEDDDYYGLVDGRPHNRNSEAHQLVEQMTRRDFHLLNRISANSPSGLRSGPVTPI EERDPDAYVQRPTHYRGGILSSLLKLYEQPTLNLPRGRYGHSRQSSSGDLSARGLSPD PSWRAPQKPRKWYEKSANQSNTSLSGSTAKNSISSPFSMLRRSRSSGAIPGMSKRMGK PQLEDEIRITVHIAELLARQRYLLILCKALMKFGAPTHRLEEYMKMTARVLEINANFL YLPGCMIVSFDDASTHTTEVKLVRVNQGVDLGKLSDAHTVYKEVIHDVIGVEEAMQRL SKLLQKDNKYPIWLLILFHGLASASVGPFAFGARPIDMPIAFVLGCLLGILQLVLSPR SHLYSNVFEISAAVLTSFLARAFGSIPYKGGHLFCFAALAQSSIALILPGYLVLCASL ELQSRSIVAGSVRMVYAIIYSLFLGFGITIGTAVYGLLDRHASTDYTCPASPITNEYL QRFPFVIIFTFCLATVNQAKWKQIPVMLVIAFAGYITNYFGAKRFYSSTQVSSALGAF VVGVMANLYSRLRHGLAAAAMLPAIFVLVPSGLAASGSLVAGIASAGQITTQQTPFSV PSNGTQSFVDAAKNMTAEHRQQSYQENPFQGVVFDIGYGMVQVAIGFTVGLFLAALVV YPLGKKRSGLFSF ANIA_11375 MLGPLILNVFEKCMYRDLKILDLISLGSKEASNGFSQGAGAVST PKLPYPTQKFQNKCSLLDSIADRARAVASQRTPRLQWYKDIKSDMV ANIA_03142 MPKTIPSKRAVKGTSSSLSSSGKRPGKSVSLSSDRKRRASSSTV SSLSSVTSMEGLSDEEDDPNDSDDADDEDDQPILLTPSYRNHKAGMKSTKRTKTKAVS DDDSEHELSDGPDLDDSSDDVYAAVDYISDGDDEERDVEMLEELMILESEDEHDIASA ANTGASDVHDWAGPSVFNDDMMFSGALFDEQQLYSAMEAFGETDVASETAVETPVPRR VHFEEDSDSSSDSDSYTEDEIPSDFLQQDSLDPQLRRMIENDSNEVGGRSSRRQSDEI YADSDYGHSNIYHVESDGAFSEGSSSGYETDDGETTDEDLPPPATITHPRSILRRDSS ASLVAGVEEKTEPTPRRRRGPIMGTFVADPHKPVALVDCTGKHLVIIPAYASSRHDWL ESAATSVCGTANNSPRATTMHLIDESDTDALISPNMDLSPMLASSANLMMTALGNDIA PGGQVMGPPEAFYPSRDFAIDSSFEEDDEDEDPEAQLNVDDFIDFGDGSSDEELDKDS DEEAMASPMTAAAVGSTNGTPTPNRGADPHQTNSAERFLNHLDRGIVTAFRRNHNRYQ ALLRLPQHREFMPANSPSRASSVFRHARHADQRTPTRKRKASGNAGGEAVRRKLMNAQ RHSQIPF ANIA_03141 MKTTSLVSVLSLLATARATGLWWGSDECYTAPDNTDNECTEQQQ SGFTWDDLDIGDFSSFGGLDFSGFSCSNGFGGLRTRTFNSKCITGKIGKGITKSSGPS ISCGEDRAGFSIDKFHLFTSVDADVVISFGMPDGSTCKKHARCSSAGTEVTNDQCGGA TSVGFELPDDSEHDDCDLGIGSIGFVCGPGKPTYTPPAETPSETPSATPTPEAPTSSS TPVIGVPTPTGSSPVTSSTPVIPPTTTSFSSIPGSSSVPFTISTVYTTSEITITQCAS TVTNCPADSTSVTTSTVFVSTTWCPVTPTETPSTPTDTEDVPPAETSSSETTTTVSIP AETSTPAAPETTTPVIPTSVSPGSSSVIPPDMTTTEITYTTVTWCPVTDTITSDESTF TTVTTSTLSTTTITATTTICNRCTLTGVPSPSETPAVPSETPDVPTDVNPTTTPEAPE TSETPVPETPVTEITYTTVTTCPVTTTITSGDSTITSTYTTVSTATITESATASVPTA VLPTTTPEAPVPGSTVTEITYTTVTTCPATTTITSGDSTITSTYTTISTQTLTSTSTI AVPTSVSPEETPSTPSETETSSTPVPTTSCPNVVPKCINTWLNLIPDCKSNSDITCFC PSSEFTEKVISCIQAWGADADEVQAALSYMAGICAAYVPENPGIITNVPTTITLTPPP AATPTDVSPEQTGSESQSPVPTAPPCTTITYSTYTVTVPQVGFSTATVTETAGSGVNP VQTSTIELVPQPTAAPTSGSDSGSGSGAGSTTLANPWTTTLATNTPSQTVTPSPTAPV FTGAASPLHRAPGSMAWSWAMALALPVFAL ANIA_03140 MQQFNSFFKSKSGTSTNATTDANTKAPPALEPVSETQQPRRRGS HDPVLTPEDEAFLQTLTAESAPTGQGAVPAPVGDGDRDEEPAYSAGVQTQGQDQTPKS PIEEFGKELGEQERRKSAAGLEPSLSGDSNAEKVMAKTGESSGDNEKGKENAKLERPK SPEKKRRPWSMIWKKSEKKASQPQHQPQFPETAPTTSIDSSETEQQRENADMTAILDK LNLAADNNRVFSISDETQELLRKFKLIFKDLINGVPTAYHDLEMLLTNGNKQLQDTYS NLPSFLQKLIEKLPEKWTESLAPEMIAVAAERASRSGVNVDNIGKAAAAANKIGISVP SLKELVGKPAAIVGMLRSIMAFLRARFPAVLGMNVLWSLALFILLFVLWYCHKRGREV RLENERLVTEEEIENINQESSENKAAETGMGTETTPPIRSTETLTTTAAQGASASEVR RGIKEAQEAREKKTNAKVLAAKEQAQKGDKPSGIENEPIKPTRSKSILSIFGRSGSQS SAVTERKIEPYPGT ANIA_03139 MILLQWSWQILGEDAPLPHRSHYRHRASRHLSPKSVARRERTET VHIPAEDNAGVPSDDTMPTAMYGWLDTNVPADLMAFTHTPLPEENSPISVERYGDGNA TRPWYTVAQYLLELVEWLQGSISFETTVVSVEKTWSGKWRLTLRRPEAVEGGKRDLWW QEEFDAVIVATGQYSEPFLPKIPGLDRVVNTHPETLEHVNAVRSREQYASKRVVIVGG SFSASDAVGDIYMLVRGPLYVSRSSHSPYITEIWNLSNVEVRPTIGQIEGQDRTKLKL TFSDGSKLDDVDKLIFATGYRFSVPFLSHQPVIKADRVPGTYQHVFRIGDPSLAFSRA C ANIA_10366 MEPKRRKLYHESDTLSLYSNESETVCLSDTESETISETSCRTVA HFAPRTPSGFLSLPAEIRFLIYQYAFSSSSEWSELVQVTVERGPSAPRRAAYKPSPHQ KLNLKYTRSPALHLPVALLVTNHQIYHEAVPVLFSGVVFGFASNPTSLTFLLDRFSNT ARNSIQYLRLYPAPLYVQNGPLGDQLSWAVLCAQVARLPSLRRVNVVYNRIEDLRLNP VRSQHARYGKWLAMIRAEKEPEFERQTTDAEMAGCRNRFCEIIAPTC ANIA_10356 MKAFSYETDFVLERLPGLFSCNVRDSATSFVFDYAVRSFPLIWL LLSLLCLYTWLSLVSFLAKLMDSTDNAMVVKRSFFSRDKVAKASKASRRSFDGHARMK MQETLENSMDDPFHSPIVTLVVSRDQRLFVAHEDILSRSPYFDTVLRDQFPAGSVNKA LILPDEEPEVMSCVLEFLYKGDYTPRLQPKKGRKTWELESFQDANHPGGSGLSQSTIF HSGVGDLVLRDTAVYCAAEKYGLEGLKDLALRKQGLHTGIPIEILLRSARYAYDNTPD SESRLRAHYLAMIIRTRDIFKRSGTMQLEMEMGHKFFFDLFVAMCNHMDDLGEMSWK ANIA_03137 MPSYRLEQASTGRAGCQNKECKEQKIKIAKGELRLGSWVDTERF QSWFWRHWGCVTPKVIANINELVGEGDDRDLETLDGYEDLPAELQEKVARALDQGHVD DEDWRGDPEMNVRGSSGFRVRASAKAKKAKDADEEEKDDAAEEQKTPAKKTKKAKKAE HADDEENDDATKEKKSPSSKSKKRSRVQVDDNNHDAGAASPKRSKRTPTRIPKQVPAI SSQSDDSANESPEPKRRPAKRGHQAKEAKPAPKRGKKKAVEDSEVEPAAEKPKRGRKK AAA ANIA_03136 MMYVAWFSYPTHQQYHVLTLSKLEEPELLGELLTGTYDGVPEED VDIFITTSTILINHKPPEGYVFVIYIQPSHGSSLIHENDLELVDRVYELGETVKHNLS ENDTMSGTIIGVSRRCTLEPIIYQPRDPITGDYLPVRFTEKPYKGYEASSASEEAGPF LLYDVPQSDLRGQEQFSEGDYIIYRQKLGLVQEVEHDVILLLPDSRVVSPLDPYALEL PISDPACAISSVDLKSRDVGDGKYVWTTGTDSIYPGQSVFTERLNLSRADRPLGAQRS VVQGYVLATPAERIHVHWLCSNVFADGRSYYVSTEEVLRASTLQQDAIRCNFVRSPNQ DSLAGGCDPMFDVGSYVRFHNPAHAQVKYPGLWQVPSHQSFGYDLNIFRIVSAKTQVT VQWQNGSCTTETATSLLPSDAGGDELYPGDMVALKDSVSVINFHTANRRIVSRLARGR FNETLRIQQLGIVHTFDSRERIASVRWYQDTDIELINGGNSLNPSCSLGRLGDTITDV SVYELTTFPALSKNLGDYVVVAPASISQSVMSSIPHDIPKVNEPRRIHSIAADAFLDP SSYLQSIKSALISSEWFKNTTTVQVPSLRRRYSIQNSDAAPLNDFFGKIVAKDTSGNI TVRFPGPSGCRDIQVPFERILVVITSAESVTPNVQDHSSPYLNEDTLAGATANGCIVK DDNHGFPNCNELVTSQEGELKIGTIERGLVMTVSETCLESPMPDDGEIAVDGTPTPAP TKSGLPAILSFPVPTLSPPGFTVLEDLPPVDHHFINQNHAGSSTERMKRIRKEFAILE SSLPSGIFVRSWESRIDLLRILFIGAESTPYEHAPYVVDMHFPSDFPHSPPSAFFHSW GSGEGSINPNLSEDGNICLSLLGTWPTRDLDERWSPARSTVLQLLVSIMGLILVQDPF YSEFPPFLHLSTHTQTLSDEAGYESLAAKGSRTIESSQYKEKVFLLTRRFIIHALEHP IRGLEDVLVWNYLPGLPSPRPQLLRKAIQEARVMIEHHNRTSESHQDSKASAFCSRLS QGATVLLQKLVSALERLETDLKARVVSKVTASQGSDRFIL ANIA_03135 MSEPGPSSVPTSADPRSHRPTKRRAVSPHSEQASVINSLFRDPS KEIKLPTPSTQKSASSLPAPPEIVANVQGSSAGAGSGEFHVYKASRRREYERLRLMQE EVDREKEGAEWQRKQEEVRRKDQEKTEKNRRRREKRNKAKAKGAKKGAGAGAAGAGAD AGGAAEGGKGSDEMDVTEEKGVTTNKTGEEDQTEAAVETSGVIIHDDE ANIA_03134 MQAPVVVMNTNSGERQVGRKAQLSNITAAKTVADIIRSCLGPKA MLKMLLDPMGGIVLTNDGHAILREIEVSHPAAKSMIELSRTQDEEVGDGTTTVIILAG EMLAQALPQLERNIHPVVIISAFKRALADALAIIEEVSLPVDIDDDKAMYTLIKSSIG TKFVSRWSDLMCDLALKAVRTVSFDAGGGKREVDIKRYARIEKIPGGQIEDSEVIDGV MVNKDITHPKMRRRIENPRVILLDCPLEYKKGESQTNIEITKEDDWNRILQIEEEQVK HMCDAILALKPDVVITEKGVSDLAQHFLMKANVTAIRRVRKTDNNRIARATGATIVNR VDDIQESDVGTGCGLFEIEKIGDEYFTFLRKCQNPKACTILLRGPSKDIINEIERNLQ DAMSVARNVIFHPRLCPGGGAIEMAVSVKLGQLAKSIEGVQQWPYKAVADAMEIIPRT LAQNAGASPIRVLTRMRAKHVEGHTTWGLDGDTGALVDMKEYGVWEPEAVKLQSIKTA VESACLLLRVDDICSGKTAQQAAAPTGGDD ANIA_03133 MPGNISSRSALVAKSLPFSSIRKHIEPRNNRCSRAATEKPMQAH AEGDGDGYSTASEEEIISQLDEDALSKLPLHLQNNMRELQAERVQRLTGEQRLAQSRP RPHSRHLDRVIEEPEPNQESGPENEQEVDMKECNTYYAEKWFKKMLAKVAAARELDVE RVLEGSDEDDDSSRRRGSVAWCPIRQEWAPATYGQRQIESEPVDGKGDAGKVGGGRAS RLCGIPPREETPLSEADTVITERYLLTSLHDCRVSSQEGYMSWRCAGPYYVYEARDSH DQYHQPEQSSESLSSLMLAARDRVRLKSRRVKDVFKK ANIA_03132 MPPFTRRWFSSRFTSKASPFPRAFTATNFRMASSTAAALYALTL SASPASSAPDDASAKAHHVKNGFDNPWNSFTSPFDKQTQILWRMLSGKANRPDTTPPT VPVHKPVFLPSRETPTLRATWLGHACYYVEFPSGLRVLFDPVFEDRCSPFSWLGPKRY TEPPCQIMDIPTIDAVVISHNHYDHLSLPTVREIHKRHPNCHFFVPLGNKEWFDKTGI PNATELDWWDERDILLSPTKSATQVDPADGNTDSKLADITARIGCLPCQHFSARTPFD RCKTLWASCAPFSDTGYRSVPTLPDHVDDHSPEHNYPSCPAFKQVGEYRGPFDLGLIP IGAYQPRWFMSPMHADPHDAVEIFRDTQCKRALGMHWGTWVLTEEDVLEPPRKLKEAL KKHEYPETGVFDICDIGESREF ANIA_03131 MTGTDHTGSISPGLPAPGDSIVQRRNEAIQAATQQPTADEGMLS QLTSNPFFTAGFGLAGLGVGARLAQQGLRRGADLIRRRMLIDVEITHKDDSYPWFLNW MTQYQQSQLSASRSQASGSGFVDSLLTKLTPRMRQLSVDTKTVKHSNGAINTHFTLVP GLGRHVLRYKNTFIFVNRMRESKAQELTTGRPWETMTLTTLYSHRHVFEDLFAEAHAY VVKANEGKTTIYRADTATWTPFGDPRRKRTLDSVILDKGVKERIVEDVKDFLATESWY HDRGIPYRRGYLLYGPPGTGKSSFIQAVAGELDYDIAILNLSERGMTDDRLNRLLTIV PKRTLVLLEDVDAAFSNRRTQTDEDGYRGANVTFSGLLNALDGVASAEERIVFLTTNH VERLDEALVRPGRVDMTVRIGELTRYQATCFWERFYGDLDSTGSYKQAFLERLYELGL IENENGERLDPPQSTSAAALQGLFLYNKGNMQGAIAMAEGLTYRIQE ANIA_03130 MSSCQFQLFPPPAPQGVPKNPFRRGPRQPSIDIQSTSASPLEDL SGKESEAKAVVFQVVEPRSIKTMPEAHVPPLPPRSATPKLRHPPSTESLYSSSPQETR GKSLANGVNSPSPPPSVDHYDELDLRLATSPPQPSVSPVIPIMSMFPQFNPDAPLNQQ QYNDRISNNFSRPRPRPAGLKLNITPAPEIDRVLGPKTVPADVHNFPPSMHSPVEIQY SSLEQLKTLWEAANGQRADNLLKAYTLRMERIDPATFVFGDPKSPFYTMQTYSTNEIS MTRGNPSISNSNIPIMMLQLEDRRRREPPNDGLVSHLFSRLAAMLAIDQATELSRQHQ LAPPDAAEVEANALKRAAALESCKLSWNAIKRIYELRHPSLQQNIGALHISVSTPTTT NTGDRQIQSRELGQSRAPTILVTVPLPKNSVDVAAVASPRTSTLPLSGSESESDEPLA SLDLRTMTLSVSAVTINNTIPSLYAIDSVVAAILAVAVSDVSTNPVLADMAFYNLARA QKETEMQPPQPPQPAHTNRPRLFATLAERDDTDNDNNDTPSVPLPPRSQTLLQTPPRS RIHRKWFPSLSSLRSSIAISRRRRAKAKADSLTPAAEEIDLEKYGINTSSSMSMKKET TKGRKGTEEELPGLARGILRLMSWGFKLMFWGVKVALNILGWMLGFVIRRVTGA ANIA_03129 MPPKLFKKLVIAVSGTFPGYRQGRNFCDVQFLIEFFKSTTRELT RHSYAGDLKAIVERHGATFTSSVGTDCTHLIATQKEADKKGAKLSLDWLLDSDKAKKP VSEAPYRFGASHSNRNSQADPAKSEKKRSAKAVQDTDDDRSNKKPKQIMEDAQMITAE KAAKVRVRVDEYYHSNEHTWQVFIDDSGLIWDATLNLTVSAANNNKFYLIQILNSKDG SRYQTWTRWGRVGERGQSVLLGDGTLDSAKKQFEKKFKDKSGLAWKDRLNSPKNNKYT FIERNYEESDDEDEKSDNKLVKQPSNIPASKLPAPVQNVISFIFNQKYFLETMAQMSY DANKLPLGKLSKRTLMAGYETLKELAELATKPSMAMSKYGMQLRPAMEQLSNRYFTTI PHVFGRNRPPVLDNQAYIKREIELLETLTDMEITNSILKDASKMEDANPLDSQYAGLG MQEMTPLDHASEEFRELEKYLSGTRGSTHGVKYKVIDIFRIERQGEHDRFKSSSYAGL KNSNRRLLWHGSRSTNYGGILSQGLRIAPPEAPVSGYMFGKGVYFADMSSKSANYCWS HNSDHKALLLLGDVELGDPIYELYDSDYNAGENAKKEGKIATLGKGRSIPAGWKDAGC IHPHLKGIQVPDADSGTTDHKTDQGYLLYNEYIVYDVAQIRLRYLFFVDMR ANIA_10355 MVTGNGALRCPPQGPMGGHELSSRRRIPNPPRLDPLRAHPIYPR PSTADGLRPAQSYHYPSVPASPQSPRSPRRYPPHPLPPRVDSASRAVSPVPRYRADEG PYRPTYHRPPPHARSVTPHDRRRPPEADYDRVTRQTTPSKQADVPLSITTTRLDKKRS RPRLAQPPGSHGLALPRSPYSSDPHGGDALRSSVNSAKTSRSSIEHASGTERSSVLTK SSSITDLSPDTPDGLYEKDEGMSVEDAISMYLDGFSDVTEEPASPDLVNDNKLKPLNP LPPADLILDDDNLSTSSHNLDPIPDYPPPPTISQLGTPDDVYSRRHYFELHPDEQDPE EHSDGNPLDPPNGPLATLLEQASAAESSRLNPHGQNSLDRRFLGDASSDNAEEEESEA PDESAKKSLEPTPTETPPTPRLAETKVMLPGVVPPPLAIATDRRDCYGFRKTTPYVTL EQYEAWSASYADFAANRRVKWAELLREHGISANHPTTFPPKSPKIKRFVRKGIPPELR GAAWFWYAGGYEHLNRNPGLYDQLVRQAMESPSNDDKEHIERDLHRTFPDNVHFKPEQ TGQPNFDDGAASVVVETEMIRSLRRVLYAFAIHNPQIGYTQSLNFITGLFLLFLSEEK AFWMLHIVTSVYLPSTHEISLEGANVDLWILMVLLKESLPNVYNKIADTGTKRSAPLS VNSRLPDITLGITNWLMSVFIGTLPLETTLRVWDVFFYEGSKTFFRVSMAIFKACERE IMAVSDPMEVFQVVQTVPKRLLDANALLDGSFTRKNRVGQGRIEELRAARRAAVRQDK LRRSQALTKGTLHAATDEWPTRSRTPIPGIDRTFADSWRQMRHHAFR ANIA_10377 MSGQAASYYNPGQGLEHGYGHVPQPDPGYQAQYNSYNYNGDNRP PEPKHPQEPPPTYNQAVYGFDDVFKVERPKFHDIWAGLLFIAVFLGYVAVSGVSLYHY SKNKSFNGDGIYDSANTFSLDTNTLVLFIFVLCVALAFSWGYFLLARQFPKFIIWATG ILNIVLALATGIYYIVRKQYGGGIVFLVFGVFAIIAFISWIPRIPFTAFMLRTSMDVS RKYGHMFLVSALGGIISVAFAAWFSATLVAIYVTYEPNSDGTNPSCRNGSGSCSTARV IGLVVYVTFAMYWFSEWLKNTIHTTIAGVYGSWYFFANSPRGMPAHATRGALKRATTY SFGSISFGSLIVAIINCLRQACSVAQRHEAAEGNLLGSIGFWILGCFISLLDWLVTFF NRYAYCHIALYGKSYIQSAKDTWTMMKDRGIDALAADCLVGPVLTMGSVFVSYVCALL AYLYLQFTHPAYNDGGDFTAVIMAFSFVIGLQVCQIILTPISSGIETIFSAMAWDPQV MMNEHPDLYGRMVQLYPRVQEAIHA ANIA_03127 MHFSLHLSALTLLGSLPLTLGEKETDLGYLKTTSFPSCDSSYKL DCFCEANAQLEAANAKENRNGLKSASAFTAPQVELAPEVEELCAENGVPKEEITRYLC DDTAVPATPRRGSTPMIRMGQKKAEESESESDCGSAHHKERDDEETASIRPLAAKRSI SPGSTRLLIPENDSLEREVAVDNDDDDDKEEKDDEDRMASTNAIYEIVTVTETRTECS CAETATPVHGDEEDEENNTHMNMSGAMHGTQIAVAILPTPSQMSAAHAAQSDSASTSS VRVASSNDPVPTGVDAQQKHGGDEDVDAKMFEGTASGVVGVSRGVVLGLFGVAVGFVL L ANIA_10365 MGSQKRIAKVQPYSPFPAIIHATSKLTARLKELAELTESPPAGI TVELANESNLYEWKVFMDGPEGSPYHKGRFELKLTLPTEYPFKPPSVSFVTKIYHPNV TNDDKGSMCLGMLKADEWKPSSRLSAVLEFARSLLGEPMPDDAVEGRIAEQYREDRRR YEEIAREWTRKYAGGASS ANIA_10354 MLSFLSSNLSNTRQSIAQVLNFALVLSTAFMLWKGLSVVTASSS PIVVVLSGSMEPAFQRGDLLFLWNRSPRAEVGEIVVYNVKGKDIPIVHRVVRTFPEVE GKKEKTVKEVTVSTPTPPNMLLTKGDNNLADDTELYARGQEFLHRKEDIVGSVRGYMP MVGYVTIMLSEHPWLKSVLLGIMGLMVILQRE ANIA_03125 MFDKRDPYKEGSIWFYAPNKGAPIAFAILFAMSGVIHAYQSQRF RSWTVTGMLPWSAILFTVGFILRAIGAFGEWDNVPIYIASTVFLLAGPPVYEGANFFI LGRILYYIPYLSPIHPGRVFSTFLGFLAFVEVLTANGAAQLANVEATQRRREIGDGLL KAALILQLVVMAGFVSLAVYFQVKCYRAGLLTKKLKSVLTVLYCSCTFITTRTVFRTV EYFTAAGQHSWDDPNDVNPIIRNEWFFWFFEVVIMYANTTMLNIFHPMRFLPRSNKIY LAKDGVTEVEGPGFQDPRPWYVTFIDPFDIAGLISGKQVKYWETDGSGQGNQTNTHKD VTYPC ANIA_03124 MASNSPSSLFQVYLRLRPPISQQDDQPERCLTVEKSNTSHSNND VSSSVSVPTHITLQPPSDARKRGVERFGFTQVFDEWASQLNVFEDTGLQSLIRGVLLE QRDGLVATLGVTGSGKSHTILGSKTQRGITQMSLDVIFKSLASTIKPPDNSIPPYLLA SVSASDASESQMFTAQTFLEAVYGESDRGRNSRAQTPMSNTRAHTPMAVCNSPLPNPL RAAPGLYPSSWNLSPYIYKPASLAWTGVQFPNVFMPQAKALDSPKSPSPPIINQYNTF DLQEANFGSLKDPMPALIFPRRHAPIRTSTLPRSPDVGHLTLELNPHSEYIVLVSMYE VYNDRIFDLLSPAIVPGQGSAMSRQGTSSQKDRRKPLYFKSTEGSPDRKVVAGLRKIA CSTYEEALAVLEIGLTERKVTGTGANSVSSRSHGFFCLEVKRRMRNKRTGEETWIGNT LTIADLAAGSERARTAKTAGSTLVEAGKINESLMYLGQCLQMQSGIQDGNKTAMVPYR QCKLTELLFSNSFPSHQTSSMHRNPQKAIMIVTADPLGDYNATSQILRYSALAREVTV PRATSAESILSSTLGSRKGSGGRHTPQLDLAEDLEKALAEIERLTAENERLSLRLTEE EVLRAETETALIVSEERCLLIEQEVREECWAEMDERMEQERKRWQAAWDEQTGHNDDH IDKKIELLSQRFQIHEDPQPSSDERVQELEFENDRLRSKITALERELNCRSPSKKSSS KNAALETSRNANILGRESDIEVALRKMDQLKLADSMFKSPAVNTPGKKQRKMATRKWD LAPEDDL ANIA_03123 MSTWVALNIEPDEAIEEEVDDTKEIQIEEALKLYQNALKLHSQG PRYYKQAGEAYEALLDSEIFKYPESLSDHKRAALQDAEPQVGGTTNDAVVGEAALDFN INDTTSSTLQQTIYLSYKNHGQYVLDALRASLQELSKLSEDSSHLSSKIAESSTTALA QFAEALERDDTDLNLWRQSARLCSALQSYRLTRFCLESVLADDDNRLEVRSEQLGLEE TFAEEGLRKTLHSVQDRLSVSLVPIKKPKKALLKFFKQQSDPYPYLPSLPDNLQDLDS SRNPLAFRASTHEIKIDSLTWAAIGQAILTFLDDKNGTPSLAPGTSITISLPADSPEL KTASITAQRRPSKAQVDENNNQDVQMDDAQSVGARSVTGAQGRELAMEHGDDQSSVDQ RAEKQLIESLEVQSIQHQQSTDPQEDIKAEEDDLKYPENTSRKRSSGSAITEDQAERL RVKSRRTRLRDSLAEASTHTDDVVFDQAKYYEDLLEPYIQTDEGVFGTVGALLSKLGV EDLGTFEELRRSVASAGERKDSPVTPVNIDNAEVLLQDLRNVLTQWDERLYQVMQQSD SLAGLQDIKSMGRSGLAVFLEHSKKTTHKLKLKQTFSGEDELFDFIRAVNGSRLHLHD VVFEWLGCLLRPDYKNFLTHDNQFNDWSLVESSYVAYQWPTTLKDVVLQLLSLEDEYI HGKLEEGMQTLEHHILEAQSGTPFRYTAKHFADLEMIQAIYELHLDLYAPMNAPNNET DHRTRTLQQDRLARWSMLARSALTHFIDCCPERVNRERITIRHIWASTFHSNMGVDAQ REHILLCLEDLKRLFSCLNEPVLSLANSSIMSELSCEAIDQEISKLNSMDFFTRIFNP DSEDPVGLIETIEPIVEPSAVQFEEGSEDRQSLQRREMGSFLDRGDATLRLFLWRRLQ DAYRKIDYPPKVVSCHLRSIETIIKELRSQAYVEEPGEHRQATLVRWLKSLDGMLSKT VTTVLQESDKAYDCFDTEHVKSSMSAVAFLIRLLHSFVLYDDSVRVGQSPGIDLRAAL AKSLENFKEKMRDMLVRCWVLLYTLLKEAIAQNQEMFDEPLEDRVYYLRAVHNSLGLR QMCKRSRNQFLKLVKSELLALDVEQDIEADICQILFDIHGVKLSLSDHLLSDHGCVPE KLDRSTAIMMIDFVMKQAKKINIKDLSKSELKNTIEKMQQSIGTTKAVPPVSYNRRIL NAYLKTPINPSELVRAIQGVTDLPFIPVPSQTAVIANSGWYFLLGYAALTKFRSQKRL NPVPTTDLDEAISWFRQDLEHNTSRWESWYRLAQVWDSKVEEDITWSADKINNNRTEL VTWQRNAIHCYAMAVATAAKTAESGPETGALLADLYTDFGIRLYSSSREPLSMAAFSV ADFTRHFSNEESQQMYEGRPFKEMKVYNVWRLAAFLLRQALVDKPKNWMTHYMLSKCL WKMFSCDDSVRGSSKRISLDSVLDSLLDTIDALPQRKDSRSEPIFEPHYKLVSIIHKL VIKEVLTPAEASKTLVATPWARKVPACEDRNSWKKYILDVLKNLKNADKANWHHRMAV RSARIIYDDNKGAIAAAEAKSELTQQIFTKTMTIQVWKPEYERPGRHFVYTTRYAYFF VTLLDQLDDRANLEQLLRRVRKKQGDFINHTKLWEDMCLTYARVIRRAAGISEGHEES VFKPIGWEEFSSNTARLEELPQLAPESPSLLELLRDAIELKKLNNNLMKVALLEDLIA DVYSRIYEVNLPILLEQVDEENKEKMKVDHILMTADGAATADTSTPPTSAPASEAPAP RGRTKGIARRDIQKRAEAIVSRKVPPRAPATKAPTTTETESATNATNSVGPKPVVEIS VRQPPAAVVDSAGQQSDIPNSLHDSADDESELSEIDEDKLSKLAADPKMLFPNFGHRS RGSLEPDSELSAQASPSGDADVANENEEVGELEGDDREGEDEGEAGPDADLEEESMND GDGDGDGDEAGEGEGENENENGNDGNVSGNDEDDDVDMEAGEEEEEAPGQDGEIEGNT TMEGTDAADGEDPEHVHRQEQEQEQQVGGEQEGETVYDTELATEL ANIA_03122 MVAPAVPEIYEEEDILAAVDARTESLQNLRELGPPDLVYLIKQP KTGSTRQTGVYHHVTGIDASSSASLAAYVNTLVASPLDKANKVVSGIYCCYNAFSHLD MRVEVKIPGSLESYCIDERGDKRVATDALWLETFLCGVLRAYSYADDGSGDAIRKIVG VRRFNPVTNTEMEHRFLDAAEKLFFLGRQLSSDPETQVPNTVSNHLTAGLLKYIQTTG RYASGINLFEKLRTRDVEVSSLLAQVFRMADEEVQAVRLMYDALQDVPMDYALLDCQS AFCASKGEGEMALECAKRAVTAAPSEFSTWARLAEVYVCLEKWDLALLTLNSCPMFTY QDKDTPRMPQPSRIMLPILAESMLDEIDEGQPKQGDPHDYVHPSLRRLHAATYQGTFL KAYNLLTKIAAAIGWDQLLKVRSEVFVMEEEYRSERQHSTKPSIHSHGDSPAESAEST EPAETAETNGSREQENGDGRPVESVTEIVNGDDHTQGENSIERPEQTMASEVVKSGND DPDPSHASYTQFKNKRLCERWLDNLFMVLYEDLRIYTIWRTEVAQYRQQAMEYKKSAT EWEILGELAERLHHFDEAIEAYQACLSIRFSPKAMRGILKLHEKQNDTRGMLSALIRL IAWQYRWYSELIEDEGAVKVRSIVQATNLPQHVLDLTHQYCQLCATFRSSGSDG ANIA_03121 MSTRKRKQELEEEEELQALPSDESEEEEEYESTEGEGEGESEGS EEEEDLSEEEPEEEEEPEEQGPPASKKRKTAAAPKADDEDEDAVEEEAEANGKAGGDE NGLDNDEGEDEEDAEAEGEEDEEADETAKTSAPAAKVKGDAAAAAEGATAETKA ANIA_03120 MKRSSFDAGLDGRQQDRRHSAVPDERPTPLKISKARACAECKRH KIRCEFRPGETSCTKCLRSGIKCVVNDFSQKFVDDDGVWKARASATIQQLQAAVSHLL RQNGLPELSTYTVGDSPNGPSPAAPSYHTGNRHSMNGSPSDVKPDGPGLVVTREPSQE PDLQDRELVPAPMRSLYEVTKLRDLRHNHIEQPKSTLLEEDFLSRGLISLQEAEELFA YFSRTMNQLLWGGIILVHRDLTSVRRASSLLAAAVLTVAALHIPNRTETLNLCYSEYV SLVSSMSLTRAHTLDDVRALCVGAFWLSELSWKLSGHAVRIATELGLHQSYQKMIRGH SDQYERAQLWYLLYVCDHHFSIAYGRPPVIHEDQAIKNYETFLQAPMVVPGDIRLLAQ VALFMILTEAYRTFGSDTEQALTEEDFGQLRVFNVAIDQWRLLWQPRSEYLTLAAADS PYVRTYPSKGVVLHYHFAKFQLNSLSLRALSPTNTPVFSMDRKESANIAISSAMACLN MVLEEQDIRDAIVGVPIFTHTMVTFSALFLLKVAVNWNSAYLSLDSGLVRSLVERVIE LLNCVSAGERHLTRHIARGLSKMLERFDSWDMSNGVPAGTVGERAGSGVPGGANAMAQ GFPPPDLIYDMVGTYGFGLDENLLDPSMANFEYLAQ ANIA_03119 MHILITGAAGFIGQLLARELLNDPSYTLVLTDINEPPIPAGVKY PQNARTVTADLVKAADTVVDKSLDAVYAFHGIMSSGSEANFDLGMTVNVDATRKLLEA LRATCPGVRVIYSSSQAVYGQPLPEVVDDTVIPTPQSSYGAEKLICETLVNEYTRRGF ITGFTLRFPTISVRPGRPTAAASSFLSGMIREPLNGEECVIPLEDRSFKSWLCSPKTL VHNLILTLSLPADSLPLHIRQVNVPGICVTVQEMMDALAKIGGEDKLALLKEKEDPTL RPILDSWPTRFDNSQAISLGMKRDSSFEAAVRDYQLSLSQ ANIA_03118 MKYVNHKTIVAFLPEIGKAGSHVTSRPNLEARVDRDSHGATKLF LSSICRVMAAKETQAERGLISSCFNLALGWQLSNAMSDDTCANPLLLGWIKEWLDQAR ERNTKGVTVYKKAYESMKACPLTFQHPSEAQQLNGLGPKLCERLTNKLKEYCKEHGLP MPEDPRTAKADKRKSTDGDTEGQPAKKARKPKPYAPALRSGPFALILALSSLDENSNQ SMTKAELIEKAQPYCDSSFTVPSDPTKFFTAWNSMKILLTKELVYTRGHPLKKYSLTE EGWEVAKAIKKTAQKSIQNTLPFDVQSDVTADEPRTAQREDLASQRDFERQIRPGLQA HNSQRHTLDSLENTLDNSTITPIPISPDNFTVQLILDTREVRTSKDRDYISNELIRKG ITPEVRALEVGDTMWVAKFHDPTFLRKYGEEGDEIMLDWIVERKRLDDLIGSIKDGRF HEQKFRLRRSGIKNVIYLIEEFAVTHHESNAAAAQKYHDMVASAIAQTQVVNGYFVKR TKNLDDTIRYLARMTFLLRNMYSAPSPPSSRTSARPSHTLALLPTRHLALSSSHISAL NTLRAENPHVTYGVTFPTFCAIASKSDALTLRDIFLKMLMCTKGVSGDKALEIQRVWP TPQAFIRAFEELTDPKQKENMVADRMAHVMVGRKKIAKVLSRKIAEVWGGLPG ANIA_03117 MAQPAERHRSTFFISNVHCTSCVAYINQVLSETQGIADIDVSIF THEVHVVHTKGTNPLSIAEILAEAAFEVHHVTTRNSAGVIIVDSDTATRFPHDPRFSI TSRSSVGQRDKQKHLANCDACRKEGQPTDEKSQHLLSNVQGAEAEDDSVSAQESLPEK SQKFHARISIGGMSCASCSNSITNEIRQLEFVDDITVTLLSNSATVTFWGPRSNVEQI IEQIEDIGFEASLDEVNQVDTEPSQTQNLGYIAEISIGGMTCGSCSGAVTQGLNELPF LTNAVVNLMSHSAQVELDNPDDAQLVVQKIEDLGYDASLVNITPKAAELGSGLEKRTV SFRVEGMFCHHCPKKVYDSLKEIPDLEIDDMLSVKYPILKVTYTPRSPSLTVRTIREK IESAHNAFKAAVYHPPSVEDRSREMQRHERCRLLYRFLFVLMVAIPEFIVGIVFMSLV SKDNHIRQYLEQSMWSGSVTRMEWALFIMTTPVMFYGTDIFHVRAAKEIITLWRPGSR MPLLQRLYRFGSMNFLISAGTTVAYVSSLAILIMDAVTKAHSDAHSSTYFDTVVFLTL FILAGRYMEAYSKGRAGDAVAALGKLRPSEALIVVNASSDEAQSIDVDLLEIGDIVQV PHGASPPADGRIAGLGSFQFDESSLTGESKPVSKTAGDQVYTGSVNVGQPVEIQITAI GRSSMLDQIIAVVREGQGKRAPLQRVADTLVGHFVPAITLIAVLTFVIWVSLGVSGAL PSDYLDVSRGGWPFWSLEFAIAVFVVACPCGLALAAPTALFVGGGLAARYGILVKGGG EAFQEASRLNAIVFDKTGTLTEGGSLQVSDHESLITDSSHIEIAWAVARKLEESSNHP IARAIAAFCKAQPSASVVNSEIEEKSGQGMQGRFTVSLVDSSNGTATTRHFEAAIGNQ RLYESLSSSDQDHYYLCNTLSRYQATGRSTAILSLREICPTEPDSPTGSETHFFPAIV FAISDTIKPDAANMISQLQQRKINVFMCTGDNETTAHAVADMIGIPRSNVLANTLPAG KADFVRQIQIQPAQAGGGGTTRQIVAFVGDGVNDSPALAAADVSIAMASGSDVAINSA SFILLNSELTTILNLVLLSRRVINRIKINFGWAVIYNLCLVPVAAGVFYPIVSGHRMA MVMGEMVTVQSHWRLSPVWAALAMALSSISVVCSSLALAIEWKTVAEVWHRVKCRLGG RTTRQQE ANIA_03116 MSARPGMRPPVPPRGPKPPVGRLASLKSPGATAIRRPQPVARPQ PAKPTTHPKTTTCPNPSCPAPHIIEDDGQKVCSGCGTVVSEANIVSEVTFGESASGAA IVQGSFVGEDQTHSASKAAGQVFKLAVGLNFIQGRRTKTVAAVCLYIACRRQDGNTVM LIDFADVLMINVFKLGRTYKALLDELRLGGNVFLMNPIDPESLIYRFAKQLEFGPSTM QVASEAVRIVQRMNRDWMTTGRRPAGICGAALILAARMNNFRRTVREVVYVVKVTEIT ISQRLNEFSSTESGELTVDQFRSVQLENTHDPPSFTRARQGRKPARSIKRNAAETAAE IEEDAEGLEESEVPPRRVDADGFTIPNLPIDPALIQADKDSQSSAAVGGPEDSTAPLA KGRTKGNGRPKLPSPSPEQVASEEALENEMSEYLAKGSNMIESIECGATRPKKVVSDN PEIDEAEFDSDPEVSNCLLAPHEVEIKERIWVHENKDYLRTQQAKALKRALEEADAQP GDRKPRKRRKGRLGDVRYLEGEGEDGDGRSTRASTPAEATRRMLERRGFSKKINYRLL ETLFGEDEGDEAAKEGEGGSRSRSQSVASRRGASIDPEGTKRPRFLGTTTPTKAARGP TPAAAPIPAHVEDPTYRRVPVTKTDSNPPTDPSTEVVGLAPADPQAVDPDDEDNDYDD DEGDEDDGVDAAFSGHYGDYYDEGSDYYDSD ANIA_03115 MAKLEMYGRGLGLRMAILFTCLMAFVLFGYNQGAIAGVLENEDF RNVVEHPSSAVLGVIVGIYNIGCLAGTAAAFMTSDRLGFRKSMWIAMGLLTIGAIPQA CAYSRAQMLASRFIGGIGTGIMTSIVPVYQSELCEARNRGMYVCSQPLSVGVGISGAY WFVYGMSFAPGSVAWRLPIAAQLVFIIIVAILVAGPENDPKVVDDAEGIFRATELDSL RGEYKWSQVLKKDEIQTGRRVLLAYGLQFINQMGGVNIIVSYVTTVLQYNVGLDPKTS LLLGGVIQVMFVIGSFYPTFYSDRLGRKKPMMWGSFGLFICMMMISILLSFKGTPQEK PAGAASVAFFFLFMLIYGASTNCVPWVYGPELLPMHVRTKGNAIGISANWLWNFFVAM IGPTLITDLEWKGYLIFMCMNLAFLPILYLYYPETANLSLEEIDSLFMVKATSHSCST PSASTSTSSTNDPDTVTTEKKSTVTAQTHAQTQTQAQTQRQTSKKEGGSFPPV ANIA_03114 MCPMLLNSFQDGPTMILSPPQDHAFRQFPHQPKLEASSGVSSRA SFADALNSGANPFGTSVSPSLTISNKPSRKRSRDDVNAEETPSEPASIVPVAPPAPEE EPIYGEGMTLLNPRTGLALSAESQTGTWYEEEYEKAIAAAKSSASQTNLPSQASRKSQ RLDPSAPSLDDIASSRLQRQLQENDSDDNRRILNASLSRSSPFSSEEPLVDDATRLLG ISWQRVRADDNDMAAAVRGWKKYIDRQFSQYLSEAEILMKNRALNAYLVSARPVTPFA GPAQLPAYYLFNDDLTHARLVASSWEACLRNLQSSPVVFEGKQILNASDKPQTQQCQN VLGTTPAETGLPLLQTLTAQPASVGLNNSVELGTGMDIDP ANIA_03113 MSSSEEKVRTSGEVSRPEPTLPTVNPAVDKPEPPKPTFHPAVYV TSWIALSSSVILFNKHILDYAQFRFPIILTTWHLAFATFMTQVLARTTTLLDGRKTVK MTGRVYLRAIVPIGLFFSLSLICGNVTYLYLSVAFIQMLKATTPVAVLLATWGMGMAP VNLKVLTNVSVIVFGVIIASFGEIKFVFIGFLFQIAGIIFEATRLVMVQRLLSSAEYK MDPLVSLYYFAPVCAVMNGVTALFLEVPTLTMDHIYNVGVWTLLANAMVAFMLNVSVV FLIGKTSSLVMTLCGVLKDILLVVASMVIWNTPVTALQFFGYSIALIGLVYYKLGGDK IKEYTSQANRAWAEYGATHPAQRRFVIIGAALLSFFLLVGSMAPSYAPDSVANVKGML GGASTGNA ANIA_03112 MLSLARKTLNRVPSFQDILQGRMTHPDISVDVLVIGAGPTGLGA AKRLNQINGPSWLLVDSNETPGGLASTDVTPEGFLYDVGGHVIFSHYKYFDDCINEAL PKEDDWYEHQRISYVRCKGQWVPYPFQNNISMLPKEDQVKCIDGMIDAAIEHRVANTK PKDFDEWIVRMMGTGVADLFMRPYNYKVWAVPTTKMQCAWLGERVAAPNVKAVTTNVI LNKTAGNWGPNATFRFPARDGTGGIWIAVANTLPKEKTRFGEKGKVTKVNPKNKTVTL GDGTTVGYQKLVSTMAVDYLAEQIGDQELIGLTKQLFYSSTHVIGVGIRGSRPERIGD KCWLYFPEDNCPFYRATIFSNYSPYNQPDASKKLPTLQLADGSKPKNTEPQEGPYWSI MLEVSESSMKPVNIDTLLAESIQGLVNTEMLKPGDEIVSTYHRRFDHGYPTPSLEREG ALTQILPKLQSMDIWSRGRFGSWRYEVGNQDHSFMLGVEAVDNIVNGAVELTLNYPDF VNGRQNTERRLVDGAQAFAKNKAQ ANIA_03111 MLRLKPTRISLTEDDLCYHIDSIFHRNHDLAIWHQKRKGSGNSY DGDEDEDGFSQDSDTDTIPETPPESECDEVQSQTLAGDNQQELEPGERNTNADGGTSS HEQRPVTVRFALPRPSTSSSDKGSRVIRGGKAAIQPARSAHSLHLSFWLALCSSADWN TVNGVSTSQHNGLNINSLPLSLPRPNRVVLETYIPNLRTSVTALPLRLPRLESEITQP GISPEKKQSSIALWPLAYDLCMKLDAKAPPATAFLVSSCPSRSPNIELVLRRYPQQPK MKRTYRTESASTGKRSPRERSGVDRTAAPKDQECFSARAPNSGSAAAQLSPSKGHESN PVKRRLSSTTENARKADPDEDFENVGHLVDRDTISRGTQTDSDLTSTLESELGDESMD NPLHGGLRAFIVFAQNNPGRQENIAPDNAAKRSPHQPVWQDPFISAMFPEPNGPAYDP TNRIRRGMQGYINPPTSRGSQARNDRGELVQLVHPTLVDARVYQEYVHRLIAELDRPS HT ANIA_03110 MDGDISLSQSLGGLRIANPDNVSLDSSDDASTPAATTAQPESTS STTDTKDEPTEIDQQDSAVSSCPPPADHRMSYQSYHPDVQQQPASHYASPIPSQPQHS APTSSSRPVSTLYSNGGAPVSAIREGPYRIRTDSAASSASESLARAESRGGSAAYQAG VPIRDNTHSDRSYHTAQMPPNGAAVMRQPSRARAAAAQQLSGSPYGTENGPMSSSDEW QERGAAVTVRQEIDANGKPVARYIKKGVRDFSFGQTLGEGSYSTVVLGTDRQTLKEYA IKILDKRHIIKEKKVKYVNIEKDTLNRLTDHPGVVRLYYTFQDERSLYFVLDLCKGGE LLGVLKRMTTFDEECTRFYGAQILDAIDYMHKRGVIHRDLKPENVLLDSQMHIKVTDF GTAKILKGNQASQNSSGIPSLDSDMPEEERASSFVGTAEYVSPELLTDKNACKASDLW AFGCIIYQLLAGRPPFKAANEYLTFQKIVALEYEFPLGFPSVARDLVERLLVLDPTRR LPIEHIKNHEFFEGMTWGPDLWKQKAPRLKAYTPPPREPIKLNGGSDGDSFPPSINTA PSNASSRVMPRLITELPAPSQLDIEWSPVLTKNNERILKLGNLVVVSSAASHSPVSKN GEYEAPKKFSRFFGGSTTKKRQRLVMITSSGRIIMAAAGGDEKKAKMELSLLAPGTHY RTSTDAKGVSCWIVDTREKHFVFEDPKPSSSNAGTTAISAQDWLDTLDRAREMALAQQ QNGQYSADDAFRDLSSGFSSPANTLDRAAEAPQESAPQGRATLVKHQGNDSESIKGRK RFSRRHSKNGLAAVF ANIA_03108 MSKGCYTCRRRRIICDNGLPTCRKCRDAGKECLGYQKPLVWVKG GVASRGKMMGRSFGDTKTDKGDARNHSRRIDSPAAVSGLPGKSKLSLSTSTDVQQLPD ETTGSDGLAWTQTASQEAIMPVNTVQAPWVLVDPLFQDSSRLTRFYINHFNQNLAEYL TLYSDVKNPFRDLIPLVGDSPVLAHSLAAMGALHYVILANGEFDPMPWPVGNSSSSDM VTPPKEGGPVELSTLSRRSFTKVYEQFLGFKQRALHQLSRDISDPILRNDNKTLAAIM VLALMDAVESGRGAWKYHLEGAKKLLQSREHDKPGQAQTMMNWLDDFATDGCLVIQLM GATLTRPGTLSKPFYTSAMGASVLKRLEETSWVGCPAYLLEAIFFVHAFFDLDLSDDP NSQPSTVFPSVYLPKGSNPLQCPENLPRHIQAFDPVAWAESLQSYLFLPDLSMRIALA TIYKAAVYLYATRVLSRPRPGATTISATIGLPSDHAEVATFLINQFSLIPPSDPHFKC LIWPSFIAGAECRDPAQRPFMLEILRTLYFHITSVNVRNAAWVLTMMWRKRDSRRAEL SRFRATTAATAAADLYSDSAGSDLSFPAASSSPSVITSPATATDTPSTTTPLSPIEDN HYDDDDFDWIHELDDSNIDWLFI ANIA_03107 MVSQAQVLDRPVPPQSAPSSVSRQSYHNRRHRSSRSHHGGLVHQ PLNDFPIFTHTGDVELVIRAGRQENRYLLHRLILAQCSGFFEASTREEWSRRQPNNPE STLSRISEDASSLSNGSTLAQSDVGVTQLPPEKRRWRFELDWENKADDEEPILVQKPP SASGAILSDFGPYAKPMTKPSSNHTGFARSMANLAGLQSAIHLPHRSSAVAAAAANNT ANDTAMDPILRDYDNLFRLFYNHPPLLNTINIATAYAECKALLALADMYDALPVTGPR VDHHLLGFGSRLFKQIAKYPPSYLKLGYLARSRVIFSEALIHVVGQWPAGRSALRRGP LPPLVMDIIEDKFEDLEDLKARVESKLLRLTLTTSRGERVNPQNAYLDWLAVSLFRQW LVDNTTPPPPPILKNNTSTNNSRAPSAMTSTTLSANRPTDTPSAATTASSARVYRLIG SPSAQAYLSHEELKKFLKVHPTPSSESLYTREVLKRFERKMDEIKRLAREIVKPLMRN FLELDLKGGEFNDTIPYLTCVKVEDEDIPWE ANIA_10353 MVEIFRRSYASTVRPATAPRPSPNVKHVRENAELYAQNCRDRNY PAHAEYPFKIQQLSEELRQIDKQLMPFRSRIKALERTIGRLQNPATGPEEKEQEPAIR AEAQQLKNESAALITRRELCDEQIHDLALSLPNLTSPHTPVGLEPALVEYLNFDPQSP PAWVSSPDPLRSHVSIGTDLGLIDFTSAATTTGWGWYFLTGDGALLEQALIQYSLSVA RRHGWTPISPPSIVWSYLTEACGFQPRDQNNEQQIWAIEQAEKDKAKPPRSLAATAEI PLAAMHARNELDPAALPIKLVGPSRCYRAEAGSRGVDTKGLYRVHEFTKVEMFGWADS SPTSSSPTSDDLFEGLLTVQKEILTSLQLPCRILEMPTSDLGASATRKRDIEALFPSR MRGNGLEDAWGEVTSASICTDYQSRRLGTRVRGVKSVFPHTVNGTAVAVPRVLAAILE NGWDAERKVVVLPEVLRPFMGGAEVIGK ANIA_10375 MDLTSVQDLKGALRNDFFHGYATAAAQVEGAWNKDGKGPSIWDT FGHTPGKVKDNSNADDAVRFYDFYREDVALMKSYGVNAYRFSLSWSRIIPLGGADDPV NEQGIKYYQDLVDELLNNGITPFVTLFHWDVPQALEDRYGGMLNQERFIPDFVRYARV CFERLGPKVRHWITFNEPGVYSLAGYAAGVHAPARSSFRELNEEGDSSTEPFIVGHTE LVTHGHVSKLYREVFQPQQKGTIGITLHGNWSEPWDEDDPRDQEAAERAREFEIAWFA DPLYKTGDYPASMRAQLGDRLPRFTPEESKLVLGSSEFYGMNSYTTFFVQHKDTPPDI NDHKGNVIVHDTNSKGVSRGEESDTPWLRTAPTGWRKLLNWIWNRYHVPIYVTENGTT AKGETAPTPEVLIDTFRMRFFEGYVGGLARAVKEDGVDIRSYFAWTFTDNWEWAAGYT DRFGCTFIDFDSPMKTRYPKQSAYYLKALFEHLIAS ANIA_10376 MSELWYQRPAAGWDEALPVGNGRLGAMVYGRTDTELLQLNEDSV WYGGPQNRLPEDALKCLPRLRELIREGAHKEAERLARRAFFASPNSQRHYEPLGTLFL EFGHPCEEVTGYRRSLDLNEGITHVHYEHNGVQYHRQVIASYPDNVLAMRVQASRCSE FLVRLSRLSELEYETNEFLDDLVVDGQSIKMHVTPGGKDSNRACCMVAIRCGSDDQEP IKVDCVGKNLIINARDALIVIVAQSTYRCDDADLDRATVADLEAVLASSVEDIWARHI TDYQSLYGRLELNLGPDATDIPTDQRILHVRGPELVAIYLRYSRYLLISCSRPGRKGS SDRVLPATLQGIWNASFHPPWGCRYTININLQMNYWPANVGNLLECEEPLFALLERLA VTGTETARKMYGCRGWTVHHNTDLWADTAPVDRWMPATLWPLGGAWLCTHVWERFLFN GNKAFLKRMFPVLRGCVEFLQDFLVDDVSGQYKVTNPSLSPENTFRDEKGQEGVLCEG STIDIQLVRAVLKAFVESLEVLGYSQDELLPSVHDTLRRLPPARIGSKGQLQEWMFDY DENEPGHRHVSHLWALYPGNDINLETTPELAKACAVTLQRRQAAGGGHTGWSRAWLLN LHARLRDADECAEHLERLLAQSTLPNLLDTHPPFQIDGNFGGGAGILEMLVQSHEDGI IRLLPACPLAWRSGRLRGVRARGGFELEFEWKDGVIRGPVIVKSELGLRAVVYYPNNG PRKVLEGSGIIVLNGDN ANIA_03105 MKEKRETVRADAVEPDLPPKSANHVEEAVLASLAEEDLFKLSRE SLTMRSWAGVRLCLVLFVQGCNQAGYGVDWAVIGGINAYQSWHDYFGIGTAGGEYGLL NALMNIGNVCGAPFYALADIIGRRAVNFLGNLLVLVACILQSTAPNMKWFMAGRFFMG FGTALMSSSQYMGEISPTHLRGLLVGIFGACFQIGSLCMSAALIGINKMDGNLQWRLP LYLNMIFPALVCLGMFTLCPESPRYYIMRGQRDKARHVIARYHTTSGDVNQPIVNIVI SQMEASIENDRAGHQKFWDFSVFLRRTVLYRLMVLFLYSIFQQWNGGGIITYYMVPAL NTIGITDSIKILGIQLGTTGVYFVFTAVGAMIIDKFRRRTMIFAGLATMILFQTTTTI TSWQYAVNATKAAAGLTIFWIYMYQTFSALFVATMHNLYPIEILSLPLRAKGMSLYSL IQGGAGACQTYGISVGIDKLGYKIWVVYIVYNTVQLVLSYFVFPETSGLTLEEIDAVF ETPGVRPVKMSLDIYNAKRQVQREGEP ANIA_03104 MADITFVPSEDIDKVFAHTTNLASAALNSKVLACSNDYFAAASN LLTPTPPINRPGVFIHTGAWYDGWETRRHNPDPYDWVVIKLGVASGAIQGVEVDTGFF VGNYGEKAELQATYAPGISDAEVADPSYSGWTTILPPQECGPSQRRAWKLNSYDAANP TPYTHVRLLMYPDGGFGRLRLYGHAIPPTLESTSKDQNELPTEELSSALLGGLALGAS DQHYTPCSNLLLPGRGKDMGDGWETARSRAKGHVDWAVIKLGLPGSVSKVVVDTKDFR GNFPRAVRVHGLPAGSVGSDEVPAHDHAGWTEIVKGDKPCQADTEHVFEGADLAADSG IFTHAKLTLVPDGGVKRFRVFGKRDLSAKK ANIA_03103 MGTLISRPTLRLRRSCHNGVTVQDQTSLSLPRGPVSREEPQDDW HKRPSSGMNRTAHTVKFDRIRFVVPSLSVALGALFGITLMVAMEQAKRYKPSLIRSQI ELRGSNCLQTSLGPLSKPTKTSNWTRGSRRLSAVIQASPLVDSCNPISTIPLKMPSKP SNKNALPEPQGLVYDESDMALFRAKLSYHATIESRLASNDTNLISISEHQARIIKRWE MLKQVEKDMTEKGKILSPGEKKQLAQYEWRYKMLEELATKSSK ANIA_03102 MCLMSHASKWSAACLAADLPPSSWISEDILCTHLAEMDDSHILG EDLPLPPARLFQRLAQLPGYTWDQSIEPFHSTYNHWHVFGLRHAADTDASTPLATSSG PSSITRSSPRTDARPPFRHHWRSSLSESSSELSLSRTEHEFVWIPVVARVSSHVVRLE HCNHTIRPLDLIRLPSDPGDLGPLLVAIFESPGLNMLQELVAFGPAWFAIGGRNESVE PSPGEQVSLSVFLDFSIGACDCLELLHYGLRTVHGEIRPDAFHFNREARSVKLMNTGN GAKAFDNVLSEGWLTISREIGVKNKLQFIAPEQTGRMPTEPDSRTDIYALGVLFWTML VGKPAFTGSDPVEVVQNVLGKRLPPVSAKRMDIPDAVSAVIQKMTHKAVNERYHTISS VKRDLTQISQLLGDGDSKALKDFQVAQRDVSSFFTLPSKMFGRQGEYDKVLSVVEKVA RRQQSSYSKAATQISSRLGSNSSLSEGRVDSFDLASVSSDSGSFQLNPRTSTNGTAYN IGRASTHESFHSTESSLSTPKPVTSKPKSPVDPRQTWDNTDQDVAQSYDSPMVPISRH KNPSKFRRYGKCEVIAISGAAGIGKTDLLNRIQPAIRKLGYIGIARLDRARRVPFEPF AKVLASLLRQIFSERDVTTEYHNSVRCALRPMWSTLHRVLELPEQLMSPGTNEKQVSP KCTAAQYIFKDMTRGEPSKTPLPRLSQGQTSVDFFLTNAASKNMRLMETFLDILRTLS QFKMICVCIDDLHYADDETMELITNIVRMKIPCVLILTSRKSELESDAIKSLFENDNP SVTRIILKPLSEEEVMEIVAATMHQPPNPTLTPLAAVIQEKSVGNPFYVRMMLETCYS TNCIWYSWKNSMWEFDLDRIFTEFVAPRYGEGLGLGFITRRLQELPPAARSILVWGAL LGSPFSFSLVQKLLTSEFLYSSEDDEVVDLTCPQNANLIRQSEADIVVGLQFAVQANF IIPGSTDDEFSRYAMARHVAQASRIIKSRVVERLDYRKILWDAAQIAAQSGARPTALW YYRHCLDLLQDNHWDDNGIDVYYDETLRLYTATAEMAWSQGFNSEALDLLYKIFHHAK TAVCKSRAWIIKAKLFAQLGDHPRSMNSLLTCLEELGVHLREPTSYENCDAAYKVLKG HIERADLSEMAHKSVSKDINTVTIGTVMAEAMSVTFWDDGLTFYRMAIEMMNHHLFRG GFIQICIGCSHLAMIAFSRFRDLDFAVKLSELALTLLDRCPELWTRSRGSIVYNFYVG HLRGPLASTLPALEASVETSLVLGDPYITLITISAMAMTRLFLGHDLVLVEVFCNDSP MEINDWEVDTRGGATLVAVRQVARALQGKTEYRVADTVLNDDSHITTEYIDFLEKNAS NPDRPRDIYYALSMIPLYLYGHHEKAIKLATEMDESISRLWSSRVSYVVYFYAALSHL TMHNDNPTTAYLDGKLDVVLKYKAEIDFARRACDANYGMWALILEALVYEVQDDHTSA LQSFEAAIDHCQVHQYPMEEALALELYGEFLVRRGAKRAARSVMQDAITAWAAISAVG KASQLTEKHEWLLRTATSCRSVDVGCQTVDSLLGIDQDVGQEHVGVNQALQEEDRKHR WLEQSGATATTAGERSLDISGVGLDIIDLSSILESSQVMSSELQIDKLMTKMIEIVLE SCNGSDFAVIATNFDNHFTITAAGDLERGQRSFVDGLPFSEMDDKMAQQITHYVMRTR EEVLVHNVFEDERFSNVSEAYHTRNPMSRSVIALPIMQGEHLHGVIQVEGKPNSFTQR NLVVLHLLCNQIGISLSNALLFREIRKVGATNASMVESQKRALTQARDAEQKAKVAEA EAKHNVKLKEDAAKAKSIFLANISHDLRTPMNGVIGLSELLKGTKLDREQDEYVESIR VCADTLLTLINDILDFSKLEAGKMKISTVPLNIKETISEVVRALRYTHRDRGLETIED LDEVPPDLVVLGDPVRLHQIFMNLLSNSYKFTPKGSVTVSAKVTREGKGRVRLECSVS DTGIGIPDEQKPRLFRPFSQADSSTARSYGGSGLGLSICKAIIEDVLGGAIWLDSVPG AGTTVTFHLSFNKVKSESGDKNAPWSKSAITDKTAPRPVARDLSMIPRDQIRVCIAED NPINQKIAVRFVTSLGLQCEAYSDGQQAVDALRARSKEGNPFHVVLMDVQMPTLDGYN ATREIRKDPDPNVNEVLVIAMTASAIEGDREKCLEAGMNNYLPKPVRSNILSDMLDNY LAPVPTFTRTRMSPAARGRGSISTDSASVASNSISIASSGATSVSGSDGAGHALPEQK SQSHST ANIA_03101 MGDIHSMRTPPLPSPAQAPSPVAAPQSHHRFFSQTLSSFAHDES AYSTGGFYGLEDDSWKANAPHYSAPFEQAANHRRIPASTKKENLDARNRSEEDLVRSQ PTLKELRRQMEDLLAYQQMRNSENQGASVPQENAPSQGSVPSVSQESTKKRRISNVLS PQVVPSLTGAPDSAGSSGTIRGTDSQTTPEACPDRTPSYPFPEMPSQTTKQKFFSQLN NGSFKLTLPADKLKGAVASPHVPIEGQTGPGIPSASNSAFVPPDHKPVAEDPAYPSPN LYELTLQLNADPGLEAWWANTVHILRTHYGMERASLAVPGDSTDLENVPWGQKAVFNE NIPETDLPYGSLNDANATEELDRNILKQTHKEKEVSAVPEFANGPPKPASSEARPPLL ARHSFAGFGKDRKKNDEDVLLRSKCPIKSDTPHRKEHRRNLTPAQTVPLDTSNSSQHT GRLTVFHTTRPLEVEPDPLIKRTGVVKLFGRTKPVILTREYSHASASKLPFDEPVQTP EDKVQVTPTAEPSHISAPLSKAVSTNTGVSALQAQRLPLMQFLDEYEQVPPSPWSQSP APSPAPRGHPEQNPFFSNHTVDETAFAKHPPLHDYSNPDPLEAIGVDLAKSVVHIPLL HAGPSKQSTSSPLRFPVAVISILSSIIPYPTNLRQSLAYLMPHLTTSFCLAQHYSQLE RQFASRLEAPRFPNFLGIGGTFSDESSELELAAGLSNHVSYTGPDDGSLSARASLSSP DDRASSIKYSPSVSALGTPYLDPNIPGTTGNVPESPGLSARLSGKEPDSYFGIQRSKS TRDSSSQHKSRLARVKEAATSPSAISPGKACDTVTQDPNITVPSPHETKPSSIVSPTQ TSSRHHSNNSFYAQLQRESRPFTDAVAQLMLNSVPLHLFLAKPQSGEVIWTNSKFDAY RRSQPQEQKSRDPWQNIHDSERDNVATKWAKALLTGSQFTERVRVKRFNDESAYRWFI FRANPLLSSTGEVLYWIGSFLDIHEQHVTELKAAQEREKFAIDAKYRAFSNSVPQIVF EATEVRGLIFVNEQWHLYTGQNLEDALNFGFAKHVHHDDLEKCGLLSIYLASGAQKNA TTAQSSEQPPEPSGPRAENQEARFGNRLDELVKRGVASVQRDENGRVFYSTEIRLRSK GGDFRWHLVRLVRVETSSFGSGEASWYGTCTDINDRKNLERELNKAMQQLNNQMESKT KFFSNMSHEIRTPLNGILGTIPFILDTSLDTDQRRMLDTIQNSSTNLRELVDNILDVS RVEAGKMSLVGSWFHVRSVIEDVIDTVSSRAIDKGLEMNYLMDVDVPPMVIGDRFRIR QILINLVGNAVKFTAQGEIHIRCSIYHDPNAIHKPTEILMNFDVVDTGKGFSAQDAER LMQRFSQLGTNASQQNAGSGLGLFLSKQLVEMHGGRLTPSSKEGQGAKFSFFVKVDAP PPPAPGEGNLARETRAPSKTSSFQKLLFSSKETLDPKISEAIDLHSAPESPLSQPPNS SEPFSRLGLGSSSARSSFSSALLTPDLHSFDPMARIDASKSASTQPEASVSPDSGIAS EVETTPQPQELDSTYSIVILCPLKNTRKAITQHIEQVVPHEIQHSIISFIDVEDWKDS ADFDSGSRITHLVLNLPNVDEVLEVINYVAARELHMAPTLVIISDLYQKREVNAHIKQ LSASGKRVFTVPKPVKPSAFSPIFDPGNRRDLSKDRNQDMARAINNNFKTMSKMVKEV IGNKGYRVLLVEDDETNRMVMMKYLDKIKVMAETAGDGQQCTDMVFSKEPGYYSLIIC DIQMPIKNGYETCREIRKWEMKNHYPQIPIMALSANAMTDQIEDAARAGFNDYVTKPI KHNELGKMMMGLLDPGRPLLLLRDRLKEGSEERHRTG ANIA_03100 MATHNYQSPALPMNVPSKTPGPASLYPISRVSGSPPDLSDASTT TGSRTSGGFSYSAGSASSDYESSSGSFSSVDVVDVLNDRLQNAFDPTPLDKGLAMQAQ ASGQLNAKQHELLELQALAQRRLKGVRSSFADGIKVARETKRDLEWTQKRVR ANIA_03099 MSDQDMSTHILRGKQVPQQDNDLREEWPPESVSNYQMIPKEHAS TSLEQQTWQPQAAEKQQTESQPLLHHQAFTVSNTDVNANVQGRVPNRHSLLFEEWKAR SLRSPEQLNALAAVHEENNTETKRSILSGDVRVLLGERFDKPGSGRKKIKPVQDSPPQ AELNDARKKETSGDDKSKSVEQTAGSAYVHTTPKPANKDSIHYPKTEKLRNRATSKDD RALLGEHSDRATDAQATTSLDNGCHVQQTKAAKADSTITSKDTRWYGRKNSKRASIVQ TSNSIANNGHKQQSKVKNDNTNEEGHTPAPFSENSNTPVVQPETSSRKTGNRGIKSME RQHDHERQRSRRRQASHERQFSQRRLAQELRPSKEPQPPRALQPAHAQQSSEKCQDSQ AQQPSHARQPSREHQGSSERHTYPSEKGSIERKQRSRERTHEQVVYTAQNGTRYGVDE LRRLAHGVKISANVKVYFIPCFIGDPWKDVEPVPCVRPPDLMSRF ANIA_03098 MFNRNNYSNPFGSNPPQRDGYSRPPQGYPPQHGAPDSGGYGTPP PRRPAPGARPPPQAPMGGRPSEGGVWALTPKESPNKECQFGNLVALSAQDFPRAQFGY EDILIIVNGLYVFSARILDEFPPGYIGLSSIQRPWARAGFRDSLDVRIYDPFRQGGEA YLGSADMEVKFAGKLRPDTLYDQDELLNSVIKNFESQIFAPGQPVLMDHHGVPLQLTV KTILRVSLTSEKDTSKVPETEPTARGILTKHTLINFFKDPQSEIQIKPAKNRPAANAI IQPDFNTEKMGIGGLDSEFHTIFRRAFASRIFPPDIVQKLGIQHVKGILLFGPPGTGK TLLARQIGKMLNAREPKIINGPERGSGAGGGTGVGDSVVNQLLSKMDGVDQLNNILLI GMTNRKDMIDDALLRPGRLEVHVEISLPDEAGRAQILGIHTQNMRQSDLMDPSVNLSE LATLTKNYSGAEIAGLVKAATSFAFNRHIDSGKTVRVKDDAAEMKVNHSDFIHALDEI QPAFGVSEDEIKRCIEHGIINYSDKIDNVLQEGEALARGLGRPEQTTLWSVLLNGPPG SGKTALAAQIALDSGAPFIKMVCPEDVAGYNEAAKIQHILRVFNDAYKSQTSVVVVDD IETLIDYVSVGPRFSNSVLQTLKVLFKKRPPKNRRLLILATTSERALMKELNIYNSFN SDIDIPNVTSHEELRHVMEKSEVFASEQVAEALERIDPLKGETPYSMTFGVGIKKVFD GIELAKKTPDQLVNQFVRFINSAVQEGGLTTRRAV ANIA_03097 MPLHLLGKKSWNVYNPENIARVRRDEAQAKAQEEEDERRMQEVD AERRIRVLRGESPPTPLPPSQTIPQAERKSRADITGSHRKRRRLAGEDDTDRDIRLAR EDAELALAKREELLHARKSEVEAPLHDSDGHINLFPEVNSQRRVEKNPEAVKEAADRV RCYEDQYTMRFSNAAGFRQDVGKNPWYSSSHGDGIITETMSNKDVWGNEDPLRKEREV ARINLNDPLAAMKKGIRQLKSVEEQRKKWNEERRRELDALKSAEETLSRHPEKKGVGG EKGTTGILTIEVPLIRIDGVRILDLAHVPIITAVIISGIAITAAVLAASMKREVTRKI GHKNEIPESCPYGRAPYNYEFMA ANIA_03096 MSAPSAAERPLKDIDRDSLASEDSDATDEEGWEDVEPDDETQPV VGLFSDKVYPDVRSMLKESKDKHDFDLRKLQKELDLDFLDTIKLVNYIRSSVKKGNMT PDLSSKDKFQSEIYLKPVLEDDALLYSLDDIEDEEPGEAVGETQAERQVVELQEELER LQIQFSEYRLAVQKSLEEQLTKEDEKLPLTPAGRTSTKAEEVDSDYFTSYAYNGIHES MLKDTIRTDSYRDFVYENKHIFKDKVVLDVGCGTGILSMFCAKAGARKVISVDNSNII DRAKEIVYENGFGDVITCIRGKIEEVTLPVEQVDIIISEWMGYGLLFEAMFDSVIYAR DRYLAPGGLMAPSHATLRIAPLADPDLVQSHIGFWHDVYGFNMKSMLTGIYDEALVRT VPSSVIAAESQIFLTLPLHTITVEELSFLKEFELTLKEDVDALDGWAIWFDIFFMPSR DSTLPPNATPADLQKKGIVSFTTGPDGKETHWQQTILLIDHGKKHAAPLKKGQLIKGK VGYQKKDSNSRSLNITVEYDAGVGERGSQNWALQ ANIA_03095 MAFFFNRGRSRQPSDVVRSIKDLLLRLREPSTASKVEDELAKQL SQMKLMVQGTQELEASTDQVHALVQAMLHEDLLYELAVALHNLPFEARKDTQTIFSHI LRFKPPHGNSPDPPVISYIVHNRPEIIIELCRGYEHSQSAMPCGTILREALKFDVIAA IILYDQSKEGEPAIRLTEVQPNVPQRGTGVFWRFFHWIDRGTFELSADAFTTFREILT RHKSLVTGYLATNFDYFFAQFNTFLVQSESYVTKRQSIKLLGEILLDRANYSVMMRYV ESGENLKLCMKLLRDDRKMVQYEGFHVFKVFVANPDKSVAVQRILINNRDRLLRFLPK FLEDRTDDDQFTDEKSFLVRQIELLPKEPIEPSRSAREPSRSTANTTTVA ANIA_03094 MSERSPSPEETTASTTDPSIAQSASLQPPVDQALSSESRSIATT TTTTTSSSGLDQSAPGTPTHQGPRIDRGLSPHPAFAKLKGISSLQEAERSTVSLRSLR SIPSIVVNDGSRPSSRPGSRPGSRPGSRWSEKKWGTFRSRRSMDHQRDESPPPVPQIA PQFSGISLDIPDASFDDLGVSDMRFSKRGSLIRTEGKPQSKRKLKEQDTDPDATGTAA KGSEATKTVATGTETETLGANGMSQEPVQDGSAADSFGTARVRPRPPSLLRVSRNSIA SRAISADEDMLSRRVRLMYAKGDENVTDSEVARSYAMENGTLWEEPTPTKSETFENSA SEAGSKRAETSTDTDANPSGPKREPNELAGGIEYWQNIKAGDVDRYGFIRSSNSAEGA EFSPIQRVSTSLLLASETPRRRRTIRPPTALASNRSFTGRSPSRQNSEASMRPASSQS TFSSPLRRSTSRFRQATNHLPHNRSRRFKDEAGDMLTLPSDSTAFDDKLDSAAARAAR KKEWEREDKWTKMAKPTRKGKHGGGMIFDFDTKSSKLIERTWKGIPDRWRSSAWYSFL ETSAKKHSDSPTEEELIEIYHEYQDISSPDDVQIDIDVPRTISSHIMFRRRYRGGQRL LFRVLHAMSLYFPDTGYVQGMAALAATLLAYYDEEHTFVMLVRLWQLRGLEKLYRSGF AGLMEALGDFEREWLDGGEVAAKLNEVGIPPTAYGTRWYLTLFNYSIPFPAQLRVWDV FMLLGDAEDDPKSGKPETSGFGKGLDVLHATSAALIDGMREIILESDFENSMKVLTSW IPIKDVELFMRVAKAEWKVHHRKKSG ANIA_03093 MIYRSPWTTAALSPPYSRRRRFSLQGNSTDLSWLARIGQSQFLT PVAAKPLSFSLALDDHSHDDEHALHTHYGRNLALHTDDDNESTTTARLEDSDARLMEM LAAQAAHREVDSLDADEDAIAADEKLTDDRKREVLQRALNMAASNGDVERVRRLVRGK AKDYVDVNMPDEEGTVPLIYASCFGHQDVVAALLDAGANVDQQDRNQWSALMWAMTNR HKMIAKILLDHGASPNIKSSSGGTALDFAQPGSEISEYLHENGYSFGPSAIEDDFYDS GFAHGRFEEEIAENEMKRRMMMEESAINLEVDLSSLGLDEKFDSQDEEELEAEQQEFV WDRCLNDQMFVFQEHELERILDIIITNMTPQRSPSQKPVPANLLFLSARYAHYHASPE LLATLLVSATDKINDVVERHQWDMTILAFWISNATLLLHYLKKDAGLVESTIEFQLHL AELINEIFILIIRDAERRMNKVLDAAMLDHETIPGLDDIPFQNEWKLFRSKNKNKAPE PADKQFRPPSPRRRAQISPRNITSLLSSTLFVLDLYDVHSVITTQILSQLYYWLGAEL FNRILSTKRYLARTKAMQIRMNVSTLEDWARTNNRQPEHYENGSTTCTGDSTMDSARK HLAPVIQLLQWLQCFSSLGEDFESLVNTLLQLQDLTPAQMLHAVKHYRPEVGEKGLPK SAMKFLVELQRDPELIFREQLRLVQIKADSLAPTSAPTEEGRPQTPRPFHAPSTSNSP NSSVASPRPGPSSRVDDRNGATTVFLDPALTLPFSLPTSTDMLISYGAGWGGTNRERA RKYIPTVPPEEHTRIQIRCLLCTFLASVVLRQQWQPQATSACCFLIWTSCTGDHEYGP PECSYSYSNENITSLSLRDMLLTLNSTSQNGIQYPHEHSRFRPPRSPSPSTSASNPPA APTAPLRPADFPSMDSSRNTLPRPSLTLPPPDVGFASMPPTTGPATQQLPPPPSQWQS SNSDDAMHHWLRAKAEEDRRKQEEEKTRQESLRLEQRRVEASMLRDSLLAGIPPQIIP LVFAGICHNGLPQPVVELAQQYLSQVSTARGSNPQVAPQAPSHAHSLSSSHSQRPSMH VRQDSRSGLQHSAPPPPPPPNILLSQNAPSNINTPSTPQMPGRRSLPPAPPDSRAGPN PWINQGGAAQAQAGQITMGNVQYAPGSSVPVPVAQVSRRPDSQSRRSPPSLYFHHWVP PTQSQSGAAPGKAHQEAPAHGTSRRSEHHASPGRKRKASGPHQPAPVPSSRSSESLIG ASQTSQPASPKNGGQPGPLTRHHRLSDTSFAHGDHHLRNVKVEQVGTMSPSQVAPALP GYTLATTGEPTDQKRSGDSPPVKDVERDFPQTFQDLRASSVEAKPHDSVHEGSSQQSS TSEAPVAPSQPRDAPGG ANIA_03092 MGPSVAGLDDSPRKSEEVHTRVSPVSAGNGSKLNAPIKVPYVTS ALRRAHTVAQAKHIAKKTNIGSGTAGDKAAPPLVQTKDSHEVLRARSFKLLDTKPKAR REVFAGPRESSHFTVGNVGQNGKIFLRPVQNLSRKESRRAPAVPSDSQSKPEYLRTQG LDDNNKNEPSRWSNSQLSELRFEGEGNGDDAASIDSGSQRYNSDRQTRRRTLQRSYSF STISERWSVSHEGTNTGFRFIIDRADDRPKSADESSHQHLEVPIPDYHLGMRRFNTTN VLHSSTHSMEAWTSSTARNTCLHDDIHHSFAPSIFSGVSAMDLGRSAAVSPDPIVYDP GDSIKPSIFETLVTVMDDESVVRYVPGTRNISAATPARIVAQISSESFMDYELVSDFF LTFRPYLSASSLLSLLLARLQWAINRLQEDGRIIRIRTFAALRHWILNYFVDDFVPDF KLRAHFCETVNRLYDSVKARQGGGMSDLKILIDLKRCWHGKCSAYWDIPDHYLSYNSP DRPIDPGGEEPTVGDLQNVAPTHGTQMPELVETARNAPLSTHHDRNDSSATAKSMPTS AGSDWSAPAISCSLPPKLPKRHSMPFSANTPHPVPVKPKTAGFSQDPASPISPVSVRR IPCYGHMHKRSGSFSDSFRDDRAPISLQRLDNHGISSAQEPYYLGGLIRGELYPPAES YMTMMAPPSPPLPPLAPGMRFDRRNTDGSGKPAASSSGVKTIIGSIRRALNGKIPVSS NSPRPMNGLSPRGKTSALPTNVAFGSDTYRDKKPGPPAKKPTKIDLLCDEALRQYRQA SGEKVDSTDLNEAPRQCTEHIAGETPQSFLHPPVNKTQSHLTGGSQSIVIVDDTGTPV MSGGVQTVPVGLDQPPTFLTGENSPSTPKAHTFLASPRASTLGADEYSLPIYYDESSL RQSRRSFAFHGESGGPASQRSYSNERDSSWWKKKSSSVRLRKYASFQSSFSRHRPAMD SELESSTAGSSILDIADKPPGPTLRRRPGGNLRRMQNGLDARSFRDSASAWSDVTYQS SVADSTANTLDDSASRPQTSLVPPKPRFSLLKTHSSQLIRRSFESAIARFAQIPDEDD GGVESALLKLEGKWKGEPAGGAANATAGPSQQAPTKDQERTREGLTPHDFNPRFSTLS RVQQKMGDSLTYSQAQARILTRSYSGSNVDSEDSYSSIPLLERGLSDESMKKPVDGGQ LTALQPMAANEGSSDVGSSHPSIDMVKKTESMKRIPRGSSLPVPHQKSRVSRLSGLSS ELSVDVIDPKEAADARLSFDTRSLNESSATIPPHPLAHPPSPPMTIQNHRSVHSYRAS LYPDLAKAQPLTPDPSPIHKAGKRANIPSLDMQHVSGDVLCRSEADHHISAIPKIPIA QHVPFVLSCESHVLAEQFTLVEMAALGEVDWRDLVDMKWNSGPPFTTSWVQFLMDEER RGIDLVVGRFNLMVKWALSEIVLTLDIKERALTISKFIHTAVHARRMCNYATMLQICI ALTSTDCSRLQSTWALVPLEDRRMLKDLEMLIQPVRNFHDLRVEMETANVQEGCIPFV GLYIHDLTYNAQKPAQVAATREGEPLINFERYRTTARIVKSLLRLIDASTKYNIEPVP GIVERVLWIASLSEEQIQVRSKLLV ANIA_03091 MGDRITLPDSPMSDDGEERTLLLQDHFEHQLEKCSALSESEYLE ESSKLQRSGRSRCWPSRSWLSTSCYATLWTGSAILLMTAGYLASPMLKEPSNSDGPHT EAPTICQTPECVNAASDILRNLDPNYANIDPCTDFDQYVCGGWRNQHDMRSDQGSIFA GTYMEEASQTRLRHLLESTGPSDPADSKIFEKLKAGYNACFDEDAVRNRGNEPLVKLL NDFEKIYSLDSAMGGTEAGLTDSVLYLYKSGVPALVLPSVSPDDRDPDNVVIFLTPPR KIGLPAREYYNDTQTVSDYTAVVETVLGEFVGSKKGKQFSKDVVAFESALADVTPTTQ VQEDVTQYYNPRSIEETESLLPQILISDIISDLAPSDYETNRIIVGSPSYMKSLSKIL ADTSRETIQFFFKWKIIQAYSEHVESAEIEPLREFNNVIAGKDPQAKMDRWRKCITTV DEDLGWILSRFYILDAFPEESKRLGDQIVSDIKERFVFTLDQTNWMSSEVRKLGIQKV GNIVQKIGYPTKSPNVMDPADVEKYYQSLSISNDTYFENGLAVSRFVVEKEWSELAPT VNAYYNPPGNEIVFPAGIMQPPVFYGKGAPLYLSYGAFGAVSGHELSHAFDSTGRHYD ETGNYTDWWDEKTVKAFEDRAQCFIDQYSNFTVHGKDSELHVNGRLTLGENIADAGGL TAAYHAWKKRDEAHADPILPGLSSFSKEQVFFISYANWWCSKTTPEKAQEAIYNDPHA PKPARIIGTMANSLEFKEAFNCPNKQPTCKLW ANIA_03090 MGCMSSKQLEAGDDKEAIQRNARIEKSLKNDKKVMDRTIKILLL GAGESGKSTIIKQMRIIHSGGFPEDERRQTRAVIYSNIVVAFKVLLDIMRTENIDFEQ EGTRVSDTEFVDNLEPDVGVEEAFSDLRVRDAMNEMWKDGGVQKAVSKGHEFALHDNL NYFFHSLDRLFESGWLPDNQDMLQARLRTTGITETLFELGQMNFRMMDVGGQRSERKK WIHCFEGVQCLLFMVALSGYDQCLVEDQNANQMHEAMMLFESLVNGEWFKRKPIILFL NKIDLFKDKLAISPISKHFPDYSDSNTDFDAAAKYFADRFRGINRIPEREIYIHYTNA TDTTLLKATMDSVQDMIIQKNLHTLIL ANIA_03089 MLSSSLRRAAWAPVAPVAGICRSSTQGLATTSAGIVRQRRYSSS SSKPSDGSRKVDASSQAPAKDVSSAGEKQGKSPRRRGKDGSGSGRNGSRSNQPNAFSN LPSVPSTQHLQPHDVQLASFFSIHRPISVSTTVPPPSTPEAFDSIFTKQPSKNSSDDV IFTLSSAVNSMEHAAHHLAENDGIMNAFEMESNQLDGLDMTELKMSVEEMTKRLRPFN PPPPPTPYVASAEAEQRQETSSYSAVLTIQESTLSDGSKTYEAHTTPFVQARTMEAPS AEGIDAVIDVPQGSQTTYLERLRNPGTMQALSTRRRRIRKMKNHKFKKLLRRTRTLRR KLDKA ANIA_03088 MASELCPVYAPFFGSLGCTSAIVFTCFGAAYGTAKAGVGVCSMG VLRPDLIVKNIVPVVMAGIIGIYGLVVSVLIANNLGQKVPLYTALVQLGAGLAVGLAG LAAGFAIGIVGDAGVRGTAQQPRLYVGMILILIFAEVLGLYGLIVALLMNSRATLEAS C ANIA_03087 MWVFLRLGGEAERKAHQAFDPKISPLLQHVGNSLFFLRNTITIT VLRANASMSVALGFSLRCMPARLAARLDLVRLELGWNRGTICSQAHYSRRRWAGTKTT QATDRRSACTWLTTTPEQLSSEDILSSFPTELSRSTLDYIPVLLFTPAFAQWADAQGT FFEQCLSRLYQKTSDRLPQLPIHAVCAVIDRLPHYAREHNAFGESEGISIILARRDDI QGKAATPRQIRLAETEEPTLLFSFREDIRDQSLRQPAHEIGLRLANTIFLNGKENTLF GTRCAYDTSSRRLKLEKSVDLSTCSVMMRPNSIRSSLDLPLYPVGERRKVISSMGNIL RQVAKRADGKSDESMPASSELEKVLPRYISENDIADRRVTVWALIEKTEKSPYAKSNH SQSSLEEAIQNGAKLHRVMSGGGGWGKKQGLLSLDPEMSFGELREEDIKPLHRLLSMD EVDSAYEAARPPELPMFLQDLSKLSQAAEPGDYVQFFASVAERELYSNSSISKREFSG NAVSCCFGVMSDADMLSSHTVGHKNLTVVPNHFGALSEKAITYLQPLAEAKSDTFETH TKVDVPGSRLALILE ANIA_03086 MLPYASQWVLTAALVLVYLTQSTYTTTVSLLDLLPRCASRCLED FISTEYPRGACSEGCDLNYLCTTETTSGYTLGEAGLRCSLSKCSMEVALSFDTYSICE NVPGALPRTHPTIVATITSPTNPTSTVGPTTVIATGTETTDTPTTTRPLSTETANTST SLTTSQSQTSSSESTEHPKTETDKPTATTTDSSPSATSREASNEPGQGSNLNSGAVIG VSVASGIAGFFIIGVIIFFCCRKIRRKAQDREFFEIGGHMTEPPDFSFPPKRPPMGPR PSPKKLFGTDSESVRLVPPAEAEYHDPAQHPAVVVTQPEEDYEYGRMSTKNTDRAGFQ STSNLDFDAESTVSSRTVSDLLPDKPTLYPRPLRWSQQKKVIPSSGATVFEENHARPA RPRRLPSPPLQQSGLASGIARGQNRHLMAGLPANPRAMMYGFGGPGLTPPWKEFKHEK RSVYPSAKEQASRQAAVHGTPPYPPGHNRVDYDDDLDNYWKNSNAGFVGAKVIQPQHQ PQSLDPSTARGAGANRNSVNDYPGYQFEFGFGDSSSSSESRRASRNSGGFRPLTPVRE IRTPLREMQKPTGESSNHGKSSTTRYLSTTKSSGPGPRPLPGPPVPPHPPQEVVSRPR IVRQDDIKRVQIRRGKPLPSPKDSEVTVPYSPDDFWFEPKDEPSSASAYILPAPRSSP NYKKYTSKGQLGMPKKKPLPPERNLTPSRRGEDLILQVE ANIA_03085 MSVYSQQSYTDAISNGNRYRRLSNNAAERADLFTGPNVLVPPKH LMASSQPADLAVEMEMAKQRMESDTSSTASAESPLAASPATSPLIPASTTTTDEFALA FDIDGVLIRGGKPIPAAIEAMKYINGDNPYGVKVPYIFVTNGGGKTEEERCLDLSRQL ELEVAPGQFICGHTPMKEMAQKYDTVLVVGGEGEKCRIVAEGYGFRNVITPGDFIKTH HDTTPFRKLTEEEYNNSRVLDLETLRIDAIFVFADSRDWAGDQQIILDCLMSKNGNML ERSTTFDEGPPVFFSHNDVVWSTSHQHSRIGMGALRASLEALYKAVTGKELTTVAFGK PQLGTYEFATRLLRQWRKEFHGINKPPKTVYFVGDTPESDIRGTNKFNEISDTDWFSI LVKTGVYQEGTIPRYPPKKTCGTVLDAVKFAINRELGLKTDDLRNNEPSPERYGEVRA ANIA_03084 MDPDSDNWTTAPKADHLCVLVHGLWGNPSHMGSIASALRKRYDE KQLHILVAECNTGNLTYDGIEVCGERLAHEIEATLSKLEADGHKMRKLSVVGYSLGGL ISRYAIGLLYARGWLDDDKLEPVNFTTFASPHLGARAPVRGVQNLLFNGLGSRTISTS GKQMFLADTFQDTGKPLLSALADPNSIFIEGLKRFKNRCVYGNVVNDRTTAFYTTIFA SEDHFRDLENKTIKYVKGYEPVVIDPDVYTLPASEDKKRPPFPLRAWQSIRTFFSKLP FWLFFCLILSIAIPVFLVYSVRETFRSRERIREHEEGPGKSFRSYRMPLGLRRIQTAM DEVFENAAARQDPDYISPNVPTTDLESQTASRNDKTGTAEDSPAAASSGNSTDDANKV KKVRCGEKRPTIALTPDQFSMIKSLNAVGFRKYPVYIHNHEHTHAAIVVRMDDPGYAE GHTVIKHWLDQEFDL ANIA_03083 MKLFKAVMLALCSAIQALAMPHRALTGEVEAALAKLGVDVAAIS QLNGNLTDDGCQNACGALNHLYASEKVIAGNSSTYQDITRSYWAAQQEEARPACMFAP EVDTEVSIAVLLARLTNCQFAAKSGGHASFAGASNSEGGITILFRDLNEISLNEDKSV ASVGPGNNWGQVYKALEPHGVSVIGGRLSSIGVGGLLTGGGISYYSNLYGWALDNVES FEVVSAVTGDILTASETEHPDLYWALRGGGNNFGLVTKFNLYTFPSTLLRGGARLFGE DQFPNVVSAFVDVAKQANEDPNAQQYVMFASIGGTNIASAELTYTKNVSNPAIFEKYH STPAISDSTSTKTLAQYCDDLEAQDPYGMRDVFWNRSFKLDEDFANWVVQYWFSILPR VSGIPNALAGLTFQAITEPILEKMSHAGGNALGLDQSNGPILLIHILGMWSSASDDDT IYRFINDFFVNITAEAESRGLDNEFIYMNYASRFQDVIPSYGADNKARLQEIASKYDP AGVFQTLQPGHFKLTRAPVPNPY ANIA_03082 MFTFTPLLGAQSSASKASQSILELDGGVKILVDVGWDDTFDPLD LVELEKHVSTLSLILLTHATPSHIGAYVHCCKTFPLFTQIPVYATSPVIALGRTLLQD VYESAPLAATFLPKASISEPGASTSAASAASVTEADGSADATSAGRILLQPPTTEEIA RYFALIQPLKYSQPHQPIPSPFSPPLNGLTLTAYNAGHTVGGTIWHIQHGMESIVYAV DWNQARESVVAGAAWFGGSGASGTEVIEQLRKPTALICSTRGGDKFALPGGRKKRDEI LLDMIRSTLVKGGTVLIPTDTSARVLELAYALEHAWRDAARDTQDDVLKRGGLYLAGR KVNTTMRLARSMLEWMDESIVREFEAAEAADTAGQNNDGQRSDQRQGKTDNKGLGPFT FKHLKTVERKKKLEQLLNDPTPKVILASDSSLDWGFAKESLRLLAGGENNLLLLTDPL HYSKFSDKHTDSHRRTLGSMIWQWYEERQDGVALEKGSDGEMLEQVHSGGRELSWTDI QRAPLEAGEQRLYQQYLATKRQFQDTAQARGQENLDTAADALDDRSSTSSEESDSEQQ GRVLNFSTSLAHSNRNKLGLSDEDLGVNVLLRRKNVYDYDVRGKKGRERMFPYVAPRK KGDEYGEIIRPEEYLRAEEREEIDMQQRRTESQLKLGQKRRWDETQSAGGAARKQGVD STERKDTDMLDNLSMTDIGDDTDTAAAPGEEDDQAFEGPAKAIYEKATLTINARLAFV DFTGLHDKRSLEMLIPLIQPRKLILVGGMKEETMALATECQKLLGVKTGADAPSPTAA VIFTPTNGEIIDASVDTSAWTVKLSNNLVRRLKWQHVRTLGVVTLTGQLKAPEPVSTD EDAINSPNKKQKLVEETSTPEQPTPTFQPQPTEPQQTTDKPDRYPVLDILPPNMASGT RSMTRPLHVGDLRLADLRKIMQNAGHKAEFRGEGTLLIDGFVAVRKSGTGKIEIEAAA YQAGPSAGFAQGAGSFLAVKQKIYEGLAVVAGG ANIA_03081 MPTLHDDDELLLARIGYKQELRREFSKWSTISYAISILGVLGSV PATFGAPLAAGGPATAVWCWFLGSIMAFCIGSSVAELVSAYPTAGGMYFVTKYVVPED QVPIFSWIQGWCNLLGQTAGVSSVAYTVSQMLLAAVSMNSKLVDGSYSYTPTAWDTVR LSIALLIFLGVICSMTTKLLHRIFIWFAPINNKQPAMWVFTHVTDGSGWGSKLFSFLL GFIAVAWTMTDYDGTTHMSEETHDAAILGPIAIQSAVVVSGAMGWILTISLCFCLTDY DGILNTPTGLPAAQIFLNAGGKTGGMIMWGHPDGLRICARRGPSILLVRFTLNTQQAN KRTNSPSTLSKINSYTHTPVNAVWFVVFFAIALNCIAIGSTQTATAIFSITAPALDIS YVSVILAHRIYKHQVSFVEGPFTLDRWGSWINWISISWVLFISTVLFFPPHVPVTAEN MNYAVFVGLFIAIFALVWWWIDARGKYTGPRTNEYLQEIPTEEYAENYGTIA ANIA_03080 MADQHYYQSPAPGVAPGEDPSDPNRIPQQTPYPSQYPAGYTPGP PPPQTTAYYGAGASGNQQWPASAYGSPPPVPQQPVPASSQFAYNTSPQPALGSPADPS MAGLTSQMSGLGIMGEGAARSSKKKHRHAHHNIGAAPAVQQLPTGPEDALPQPSSQFL NTGLNQAPRAVSPALSASGGIPQPTFGAAPEAAHGTVPTQGRIDPEQIPSIPRSRDIP AQYYFSHVYPTMERHLPPPAAVPFVAHDQGNSSPKYARLTLNNIPSSSDFLSSTGLPL GMILQPLARLDPGEQPIPVLDFGDAGPPRCRRCRTYINPFMSFRSGGNKFVCNMCTFP NDVPPEYFAPIDVSGARIDRMQRPELMQGTVEFLVPKDYWNKEPVGLRTLFLIDVSQE SIKRGFLKGVCKGIMKALYEEEPSDNTDETTPTRKLPEGSKIGIVTYDREIQFYNLSA ELQQAQMMVMTDLQDPFVPLSDGLFVDPYESKHVITSLLDQVPSIFSRVKVPETALFP ALSAALSALQATGGKIIGAISTLPTWGPGALTLRDDPKAHGTDAERKLFTTDNTAWRE IAGKLAEAGVGVDMFVAAPSGTYMDVATIGMHYFAPSMNVLTSIGHVPEVTGGETFFY PNFHAPRDIRKLSEEVAHAVSREKGYQALMKVRCSNGLQVSAYHGNFVQHTFGADLEI GAIDADKAIAVLFSYDGKLDAKLDAHFQAALLYTSANGQRRVRCINIVAAVNDGGLET MKFIDQDAVVSIIAKEAAAKTLDKNLKDIRASITEKTVDIFSGYRKVFSGSHPPGQLV LPENLKEFSMFILGLIKSRAFKGGQESSDRRIHDIRMLRSIGCTELSLYLYPRIIPIH NMQPEDGFPNEQGQLQVPPSLRASFSKIEEGGAYLVDDGQQCLLWIHAQVSPNLLEDL FGPGQTSLQELSPQTSSIPVLETHLNAQVRNLLQYFSTIRGSKAVTIQLARQGLDGAE YEFARLLVEDRNNEAQSYVDWLVHIHRQINMELAGRRKREEAATEGSLAGLTGLRAPY W ANIA_03079 MMFTKALVAATLATLTAALPQPTVVRREGGDAGVTIVNNMDSDV YAWSVTDGVSKMHTLSSGGGSYTENFQANPNGGGVSIKLSTHQDQTDVLQFEYTKSGE TIFWDMSCIDMDRAASTFTKNGFDVSPSQTSGDCPAVNCHAGDTSCAEAYLQPKDDHA THGCPIDTSFTLTLGA ANIA_03078 MTSDILPPAEQRRRAVRSTRREWTAAPEREIKAHAMQAQPPPLE RHGIGHAYDILPRRERQDERRDLEDSYSLEEQTDREAKASNNHVSFAPYNPRIFHPLP VVPHPAPVFPMVSHHSLRTELTAVEKEYAYTMHL ANIA_03077 MKSGRHRDEIARKEKVIGFEMEGAGVLEKYSMYHYQGCVCDYAD SHKSKYWQAYAAAAGVSAAKSFLEYWMPANRERGSRDRHFVVPFARNPQSVGRQEEIQ KIENLISMTNGPRKVAITGL ANIA_03076 MSHLRGTEQGHNPKPTQPAQTPLSWELRSLSAPLQSLNGRIGIA SPKPPKSQDPNSDAGGSAYSKDRPRPGPTPAPFFSLVVGVRTDSIQLSLAYYFYKTDR STAPGSVLGSELVALLEQARRPPLEVTSPRSSASYMACITPDGCGMV ANIA_03075 MLSMQKSPSFILPPSDLDSNSSHGYLQPDCRSSIAEPVPALTYA TVARDYSMDPQDPQDLLSQRASVSTDDTSNNSPESWDDGPSDSSPPGDGGVKLPQMPP ALIGPSTVFQFNVVASPDPGAEPDVVPKVEELDDSDGLQGIKPVEVPVPVESTAAPAN VPRKRGRPRKHPLPVPGGQLKITKGRSKTGCITCRRRKKKCDETKPSCLNCQKNAVVC EGYPPKEIWKSGKQKLEDARSQTVVLRSLPILIDGIETEMDRRLLDHFVYGFSRVLTL INDDSNPFKEILLPMATQHRGLMHSLMCLSGSHLSGLTPEPSVKERKFYHFHRAIRDL KANIIARSNMTEQSKTGEQEPELLSEDPIIASTIALSLNTICEGETNGEYRPHMDAAR YLLVTQKPRNENFRQFIVEFFQYHDVSNSITSLDRRPAHLDGELRLPDFVPHAQAGSF LGVFDGLFRYISQVTRLRDRIRQRFSEGYEPAVDYQILSDAVMIDSSIRTWETSHPPN TPNYYLAQLYRQSTWVYLYRTIRPSRPSEKIAQVVDDGLEYLDLLPQDAGAFSIVLMP LFLLGCSAFLPRQRERIQKGFEALKAYSNLRNIEPAFKVVQRVWEVMDTRTEDSWDWE RIIKEMNMDFLIT ANIA_03074 MGIIARRLPHLGSYVHKWWGVNYHKLCVVGLAPPALILARILTF LTFSTLLLFFPTVISFCLPHPLPPELSLHQFSRSPLFAQHLFIKQPDFPIFTIFEYST PPVALKSSAVSGSYHRSWMETYHGHVRTPADAIILFEACRIGLLPRVQRRLSEKERQL IQSGSVFVWDEREAGMRRWTDGKSWSASRVSGSFLTYREMEGKRGGTSVSTQSTRAGK TPDSARGSDDDRGEGLDDGPDGYRYKPDGLMKQSFSITTSTGQHLHLISYYSRSHPNA ANLLQPSTDPALRSVRPQKGLYPESTVNDQQNLPVVTRGPMTGAPYAVPPPPPPPQHH PMAAAYARSGAAHPQSYAPGYAWPPTPLGTPPAVPIHYPAYISPHHPPQYHALPPPPQ QPGLPPGYDRAVYDRVVHPLEGQIPLPPHLIPQGSIIIPARSPRVIAEPTPPEYGPDR RASPRIPPPHPHSSPHANGASIPPPTTRSPRVPSQALPPPGALSIPPPNPPSRPPSET SGTVVPSINVLMNSTPAALPSISAAVPPPSLAPAGSGAPASSPAPATAAAASNGRPAD GPRDIPSDKIGFGGEDMRALRQLDRVFKA ANIA_03073 MQTWRRTFVTSLPKLNHRPPAATSPTLLRCNQVLQSSRQETCSL EDQEIRLHGFVRSVRKQKRFAFAEISDGTAVEGIQAILKPAQAAELSTGTAIEISGIW KACPPGKEQSHELQATSVNIVGAAEPETYPIQKKYHSPEFLRHIPHLRFRTPLNALLA RFRSECLYQLGNVFRGAPNGGFVQVHPPVITSSDCEGAGETFAVIPRETLIKGLPKEE HDHFFRAPKYLNVSSQLHLEAYAAELGNVWTLSPMFRAEKSDTPRHLSEFYMLEAEVN FLSDLDALTDTVEYLLRDLTRRLYDTPAAQEILSIKRDKGPETQDSGEQIDLHQRWAD LMSGSKWRCITYSDAIAALQEAVSQGKAAFEFSPTWTGGLQLEHEQYIVNTLNNGQPI FVTDYPKVIKPFYMAPSHTQSNPKAEGETVACFDLLLPEVSEVAGGSLREHRLPELIQ NMREHNLIKTSPSNSEEEANQGEAPYPHLLPGEDLSHLQWYADLRRWGSAPHGGFGLG FDRFLGYLTGVQSIRDTVAFPRYFGRADC ANIA_03072 MLKPFLIRDLHEDPYSQNIIEDTASDLYNATDAGVSPQRPHGLV QITRADYDEIAYLHPQARLSYLDDDDGDIITVGSSLELAQRLEEPASHSTDTGFPSTI HLFDIRRTKSVIDIWRRYEHNERQSVPGSPNTEVVIADLNGNRTTADLEELDSSREQK SHVEDERTTTTENESSESFLSAFEAEMARMMNESQRPENHASGSTTSSRAAQTESTSN PQRETADAFASALRSLMEVAELIKSGVRSKLPELERHLDNARRALPNDITDSMRSAFL AFEEQVKAMVATLNNLPETVRRDNAPGSASLFSEFPTTQSAMNSLRELSVQFGGLGQT LIDAFESSIRGAFPGHADSFFSSFPSFSESANQRSSSPNNGPENPSSHHENPVFRQTS NNPAAFPSHQTNAPNAPGSVPPPASYHPFWGSPQFPYIPPFHWPACSPGFGPIPFTAS PGSGTAADSGQTRSNPSEPPSPEPHPSQSLFIGNVGFNVTKAMIRDVFSSKGLVVGVN LPVDSRSGKHAGFGYLTFATNEGATRAMKELQGTVIDGHRINLEYVDHAPITTVVSPE AERSETETTAPQTSGVVRHDTVEDHLISKDNTDPEPHRNTVHDLLLAQTEARFPPVSQ LDAHMLAGQSSGTGQEDTSSHTRNAQEPQTAIRTAAEDYGSSYEPFPGASPQRPRGPI PNSADTQPFSTPRHLPAHRALPFRPNPHYYHPRRAATIREPETHRRSFDPFEPQPGLR RRATERHSLRGPYRGRMGPRHRASFHHFSQLATEQTPNLSAPEEGTEVQEYTRSSREE HNQRAIDECVSTLVDMGFGGEEGGGRQRLEIYAAATKGDLVEAIEMIEEERKAYEQRE ANIA_03071 MAAENSQAQSLTRNVQHVVLGNLRFQTWYQSIYPEDLVSKDTDT LYVCRWCFRYSCDIQTHASHTRLCPHRTTPPGTKVYDHAGYSVWEVDGADHKLFGQNL SLFAKLFLDHKSVFFDVVSFLYYILVFTNPNSAGNDPNETYHILGFFSKEKLSWDANN LACILVFPPYQHKQLGKLLMGISYKISGWERDSSLIGGPEKPLSEMGSRSYSRFWEER IARHLLLHPSSDGSQAGAGATAAQLEEPKAQKPTSNKGRRKHSYKLMTVQEISRATGM LTEDVITALRGFGVVEPATPSKTRKGTQSDPNESKANPVVIRRSKVLEWTKTHNVSIR DPVREEGFLLSQSEPATDRELEIPGSDDE ANIA_03070 MSATQLLNPKAESRRRAEALKVNINAGEGLQDVLKSNLGPSGTL KMLVDGAGGIKLTKDGNVLLREMQIQNPTAVMIARAATAQDDITGDGTTSVVLLVGEL LRQADRHISEGLHPRVITDGYEIAKNEALKFLDKFKIERAIDRELLLSVARTSLSTKL NSALAEKLTPDIVDAVLAIHRAPEKPDLHMVEIMTMQHRTSSDTQLIRGLALDHGARH PDMPKRVENAFILTLNVSLEYEKSEINSGFYYSSAEQRDKLVESERKFVDAKLQKIVE LKKQVCGDDPKKGFVVINQKGIDPLSLDVLVKNGIMALRRAKRRNMERLQLICGGTAQ NSVEDLSPEVLGWAGLVYEHQLGEEKYTFVEEVKDPKSVTILIKGPNGHTIAQVKDAV RDGLRSVYNTIVDGCVIPGAGAFQVACHAHLTSDSFRKSVKGKARWGVEAFADALLVI PKTLADNSGHDIQDSLALLQEEQADGNVVGLDLTTGEPMDPVQEGVFDSFRVLRNCIA SSTGIASNLLLCDELLKARQMGKQGGPGGME ANIA_10352 MADYLLFEGPMGYSLFKVAHKGDAVGHNLKEVQEGVNDLAKFGK MVQLASFLPFENNKQALSEINDISEGVASETLISFLEMNLPKPSKKKNIVLGLADRNL ATSIKSAFSFVDCETGDTSEVVQDMLRGVRLHASKLLKQLREGDMDTAQLGLGHAYSR AKVKFSVQRDDNHIIQAIAILDQLDKAINTFSMRVREWYSWHFPELIKIVSDNQRYAQ LALFIKDKKTLTDENLHDIAALVEDDEGVAQSIIDAAKRSMGQDISESDMENVISFAQ RVVSLSKYRKSLHSYLVSKMNVVAPNLAALIGDIVGARLISHAGSLTNLSKYPASTVQ ILGAEKALFRALKTKGNTPKYGLLYHSSFIGRAGPKNKGRISRFLANKCSIASRIDNF SDTPTTKYGDALKKQVEERLEFYATGAPPTKNEVAMKNAMDAVLASMDVDGDDSDAEM KDANVEKKDKKEKKKEKKEKKDKGEKEEKKKKRKSEGGESEKKKRKHDNDAEPSKKKK KV ANIA_10364 MMASKLSLLTNTSFLERASSEASDNRVYSTPPESSPPIVSPQEA KVRDWDDQGRYSPGAVVASRLAGLAIRGDFHHQLPDRSLHQPAFKPLLQAKDLPQNSN LHGFHDMPDSDSSEAAKPVSPPLNDRAPSPTLAAGGKSPKNLGTPLNTPRQHSISPQK TRSAPASAKVRSPGRSPTPPGAKIEDLLAWSDSEITGHNPTDPDDDGYGINGIGFKPT AAIAWARSQKRKKQVAEWKSREAREARERRRERRVANNMDQLRTVQSGGIQKKVKFDV ANIA_03068 MADDSREPIKPTQSPDTTEQLSELPPKSKPKYELPKSQVGKLWE AFGNPEDQVNMLPTAHGKKANDISVTEAMKSLPLNSATSFYKAPCARDSLLLGIGAGF GVGGVRGVLGGMRSIWSACNWAVGVFAITSLAAHEFCQRRRIQELDGMKQAVEMMKEL KIKKQREKEEKAAEAARLAEEERKRKSWTNLANYKFW ANIA_03067 MPSRKPSKYGNKFRSGAASFNPKRTKTVEFSSLRSSEATSQDEK FEAIRLANSIDESLGFPRFEAGEKRVGWLINMHSTSIEDPNVPGGRAGVDYYFLDDDG GSFKATVEYDPYFLIAVKTGHEAEVEEWCRRMFEGLIKKIKRVVKEDLKLPNHLLGHR RTFLQLDFANVSHLLEVRKTLLPLAEKNRKNARPNGTTNASDFIIDIREYDVPYHVRV AIDKDIRIGKWYTVEATHGIISLTCLEERLTRADPVVLAFDIETTKLPLKFPDSVIDQ IMMISYMIDGQGFLITNREIVSEDIDDFEYTPKPEYSGPFMIFNEPNERAVIERFFEH IKEAKPTVIATYNGDFFDWPFVEARASVLGIDMYKEIGFRKNSEDIYQSDHCAHMDCF AWVNRDSYLPQGSRGLKAVTVAKLGYDPDELDPELMTPYASERPQTLAEYSVSDAVAT YYLYMKYIHPFIFSLCTILPLNPDDTLRKGTGTLCEMLLMVQAYKGNIVLPNKHKDPP EAFYEGHLLESETYVGGHVESIEAGVFRSDIPVPFNIDPTAVDELLRDLDAALKFSIE VEEKKSLDDVTNYEEVKGQIAKLLTDLRENPHRNEVPFIYHLDVASMYPNIMITNRLQ PDSLIQESNCAACDFNRPGKTCDRRLPWAWRGEFLPAKRDEYNMIRQAVQNERFPGRT KKSPMRAFTELSAEEQAAIVKKRLQDYSKKIYHKIHDSKTMVREAIICQRENPFYVDT VRSFRDRRYDFKGKQKVWKGKTESLKSSGAPAAEIEEAKKMIVLYDSLQLAHKVILNS FYGYVMRKGSRWYSMEMAGVTCLTGARIIQMARELVERIGRPLELDTDGIWCMLPGTF PENFSFTLKNGKKLGISYPCVMLNHLVHGSYTNHQYQSLANPATFRYETHSENSIFFE VDGPYRAMILPTSKEEDKNLKKRYAVFNDDGSLAELKGFEVKRRGELKLIKIFQTQIF KFFLEGTTLAETYAAVARVADRWLDVLYEHGATLADEELIELISENRSMTKTLEEYGN QKSTSITTARRLAEFLGEQMVKDKGLNCKYIISARPRNTPVTERAIPVTIFSAEDSIK RHFLRKWLKDDPGDMDPRSVIDWDYYLERLGSVVQKLITIPAALQKIRNPVPRVAHPE WLQRRINKQDDRFKQVKMTDMFGKSEKNPLSDISTNIIDHRVQHADNLDEAMADSMEK LKSSSPQKASGKRKHPENQTKTSLDPFASLPAKMPSIDDDYVGFLKYQKQKWKIQKQA RLRRRQLFGERANTGGDSLSHLFRNQAELLYISTWQVLQLAETSRPGIVRAFVLIDRK IHALTIKVPRCVYINLKQDSLPDVEVPECEVEKVNHTLPNGHPSVHLFKLTLSEETFL READKIHVLLQHPSVEGVYERNIPLNLRAVLKLGSICTFDEAQRGVLGDGLERGFDLS TLCRTSSEQQYLQDSPLAYHFLYHVSSGEKQIFAIFSSTKNEAHIVILNRARDVQGLP NVDKIYSELLARKLQGQGDQAEGAFQYQEKIHFRTTQITTRRKAYLEVSDLIKKLRND ESLPAIMIIQSQQRSRLCHDIPILKEYPILSVKPEVSDMNLPPLGWQSFIAKRLVTHY LYLSSWVQHLTMLARYGDVPLCNLESDDPRFLIDISYARRLQQNNVVLWWSSTAKPDH AGYEKDDITGPLERVGMPCVNVPGSYTTVCVELEVRNLAINTILTSSIINEAEGADSL LAPSDPSAESSGSGVLYSEKAFASAGAVVLREMVKHWWSEACQGNNMADIMVQHLIRW VESPASCLYDRSLHQYVRMLSRKSFQQLMAEFRRVGSNVVFASPTRLLLQTSKTEVGN AYAYSQYVLKSIRANPSFHFIDLDIKEYWDYLVWYDEYNYGGKGCQEVAETEEQPLET VMHWQLSRFLPTPMQTIFHDWVVEYIELMHSFKRPESDDSSTPRLTQIPIGQPEPGQE NEELSAALSDRFSKPLKKQISGLIRRQREELLHPELASDYVFPILPGVLTDPNEEKRN PVLELVKLLMQVLSLSKTTALETRLLRRELLALFEVREFSKEGRFENPGSSLKIPELT CSACCLIRDLDLCRDEDVLPERGSGSGPDSATSSRPWCCPFCQTEYDRLAQEEMLIGQ VWGMVVAWQTQDLKCSKCGTLKISEFMEHCSCSGQWTETMNRADIEKRLKVLESVAKF HELKLLQVVVEEVLSQT ANIA_03066 MTEKEKPVLAFKQAQESISEKFPQTFKHKEGDAFVGGGSTELYE PIPEYEGRHRYDPSAEWTEKEEKKLVRKLDYRICSWVCLMFFALQLDRGNISQALSDG MLNDLGLSTNQYNYGQMIFYLCFLCAEVPSQMISKKLGPDVWIPIQMMAWSLIGILQC LISGEKSFYATRALLGLVKGGFIPDALLYLSYFYTNRELPMRVGFFYCASHFTYIVAA FLAFGILHMRSIGGWEGWRWLFALEGALTMVVGFVSWFYLPPGPTQTASWFRGRNGWF SEREEVIMVNRVLRDDPSKGGMHNRQGLTLKLLWAPLTDYDLWPLYVISLTMLIPTSP VTAYLTLNLKSLGFDTFETNLLTIPAYVLFLIQLVLWSWVSERINNRMAVVGFYSFWC LPLLLALELMPSSASPWSWYAVTVLLIGFPYIHSINVSLTSRNAGSVRTRTVGSALYN MICQASSIISSNIYREDDKPYYRRGNKVLLALVAWNVVMTIFIKCYYIWRNKSRDRIW NAMSSEEKDNYLRTTKDEGNKRLDFRSAH ANIA_03065 MASQVQPGQKPKVQPCRYKTGKTLGAGSYSVVKECVHIDTGQYY AAKVINKRLMVGREHMVRNEIAILKQVSTGHQNILTLVDYFETMNNLYLVTDLALGGE LFDRICRKGSYYESDAADLVRAILSAVAYLHDHGIVHRDLKPENLLFRTPEDNADLLI ADFGLSRIMDEEQLHVLTTTCGTPGYMAPEIFDKSGHGKPVDIWAIGVITYFMLCGYT PFDRETNLEEVQAIATANFSFTPVEYWRGVSQEARDFIKRCLTVNPKKRMTAHQALQH PWINPPYDTTDDLGSGEDLLPNIKKNFNARRTLHKAIDTVRAINKLRENGGLMMDGIM SVDPKPEHVNGSEVVEDRTTPRERENEDAMEIDSRSNARGQTEQQIREQERKVKETVA GLWSRTAPRSER ANIA_03064 MATPAHCFYCFESLAASYEGNDPISLAAVEELWERYEQCKKLSE LQDYDSLAPGEDDTPPQQPVNDSEDSRQTTSRPQTIKLPSIDRLQNQAASDSSVSTTP SAMSNISSSSIFSSATTSSSISSQSDTPAGHRQRLSEQKYPLFVTWNTLSKSGRKSLR GCIGTFEAQELSHGLKSYALTSAFDDTRFSPIPKSLIPSLSCSLTLLGSFEPCTSALD WTLGTHGIRISFIHRGRRFGATYLPDVPVEQGWTKEETIKSLMHKAGWDGSSHQESSS HRRFLRGSSSNSSNTRSGSSKSEPWEQVSDFRTVKYQGLKASADYTQWQEWREWVLSL DDGSGKLLA ANIA_03063 MAPMSQEDIEWFKSTFRPIPKPELPDDCVEYSLHYISSNPAPAL VDEATDTRARLTEVQKSAAELSKQLLKDYIWQREAFRLEATKKDGTTILSGRTNFGDS VEDEWVIVYLLRELTKKHKDIWATVTDNDGQFLLAEAAGALPSWLEPEVADNRVWIHQ GDLAIIKPKNEKRSRVTETISLLEARSIIKDEPNRLMHSTMIQEEAFYRLRNYPKQIS ENMHSALVIIPRKVAFLLHQKPAYISPAVEAFYLRDPIALRPLRSKNTDDLIFRPEDF VTVSTRFTRTGYAQVKSQDFPAPKSWLNKLPSTEDRKVYDRADTGMKLTCGFEMLLSD PQNQDKALVREMKLILEDLETGDESLPTNEEIQTWDKREDDEKWLDISFEDLDTELKG KDKGKGAEKPQSAGGFGDANAQENLQRIVAQFEKFLNDDSAGFEGADFIDDFETDSDI EEDEEEDYGSEGEDREASFDEEEFSRMMKEMMGMPSGPLMPPLNKPPKSSKAVNRVEE LDTDSEDDTEQIQQLASEMEAELKGTGVLDLNRPSDVSNDKRAVTRGTIEEANSDPES DSEDVNINLVKNLLESLQGQSGTAGPAGNMLSMMKLPMPKDDRPR ANIA_03062 MAYPYIDTPRTEVDGNATYLTNGYRSVGRHNLSALDSVENSFQT PSKDEDVLKVLGDGRGRSFAGSKLNTPRATAGTKSTRSALNSRQLPTMGAKGEFTPML KSAMKNNYMKNVSTTRGTGGPKTPSYARGGYRSNGNTPGLTVMEMTGIDEEDATADEP TPVPQVASSSVAGTPLPGLTSRDNGVLGDGSNMTLKEQEKIIDKLDKDNFGLKLKIHF LQEQLEKAGPAYNQAALHENTELKISKLTMQRDISRYKKSLQQAERDLEAYRQQFQDF KEKMRRKHTDETIQREMEDQRVKELQEQLREARDSRSEEIDKLRDDIEDLEASLREKD RTIEEREEEIEELKDRDSQEKDSLSELETELRRAKEQLEELQDSLDQAKSDAIEARTS ERQALEEKERAEQNLQELHDEMSNKSISTKGLTRQLEEEVKELRQRNSTLKEELESKT QQMNDLEERLQNSRETTDSERERLLEELTQVRREREVARQERDDLNVQLQEAHDELQR KTDEKALLHTRHHALTDESSNLQNELAKAQSNIRELQESLDTVKQNAAENAENLRSQY MEDIERLQEEIESLHHEIEDKEGQFALEQDRWESLRRNLQVQKDRAEDQAAGFKRTIE KLEQVEHTLTGKESKLQEVIDSEKARHFNAEAVLSRQVKELNDDLSAKRDAIDELRHE LLSVKEELRLARRAEATLKEKVQSLEDEVVVLQSSLEEEQEYTKAHTRKGPVEQESQV QKLLADKQKLRDQLANAHVELHDLKTVKAEIEAERDELQAQLEQVQNQVSDTRFDKEK TELRKASLRMETELKRLKEDKMSLLETKESLENQLNSEIERAALEENRLSAEIDQLQT KLQSASGGRDRELALTKSKLQRFERRIHELEERLEQQPPANQEQSATAADLSMLRHSL DEVRKREKALIQRETDQKASIRAYKTKIVELERELHDATIKKFETQSPSSSPANKLQQ DVRTLRKQLSDAHRALRELKSKNHDLERAAMREEDQRDLHELLKQSTLEAESLALQVS EKEAQLNDAESRIRRVREERASYARKANAALKELDTLQDRYKQTMEKARAKGENKTKH EKEMLGLGKEILWLRARLKREEKFRRDLAWGKGLMELGERVRIACNEADLRMISDMGV IARDRSNLRSPKAKLKTAVSMVKAVVRMQKMSREWKKTTKLGEGLKRAKNEVMKRREL SKE ANIA_03061 MSNTDFLGRAIDTVKKAIESDNEGEYEKAYQQYYSALELFMLAL KWEKNPKSKEMIRAKTGEYMDRAEKLKNHLASQDSRKKPSAVGANGKVSQGSGKGGKE DDDNEDADSKKLRSALAGAILSEKPNVKWEDVAGLEGAKEALKEAVILPIKFPHLFTG RRQPWKGILLYGPPGTGKSYLAKAVATEANSTFFSVSSSDLVSKWMGESERLVKQLFN MARENKPAIIFIDEVDALCGARGENDSEASRRIKTELLVQMDGVGNDSKGVLILGATN IPWQLDAAIRRRFQRRVHISLPDINARMKMFMLAVGSTPCHMTQADYRSLAEQSEGYS GSDISIAVQDALMQPIRKIQTATHYKKVLHEGQEKLTPCSPGDNGAMEMRWENIEADQ LLEPPLVLKDFIKAIRNSRPTVSQEDLKRNAEWTQEFGSEGA ANIA_03060 MADPQKQMQALSDEYQALQTELDGLVDARQKLESQQQENKSVQA EFNSLDDDANIFKLIGPVLLKQDKTEALMAVNGRLEFIEKEIQRIEGQIKENQDKSDK KRAEIVQYQSQIQQQAAAAAASA ANIA_03059 MAKVDQKVVLIVIDGWGVAGPNSPKEGDAIAAAETPFMSGFAEE NSKTAQGYTELDASSLAVGLPEGLMGNSEVGHLNIGAGRVVWQDSVRIDQTLKKGELN KVENIAASFKRAKDGNGRLHLLGLVSDGGVHSNITHLIGLLKVAKEMEIPQVFIHFFG DGRDTDPKSAAKYMQQLLDATKEIGVGEIATVVGRYYAMDRDKRWDRVEIAIKGILSG EGEESSDPVKAIEKRYTEDETDEFLKPIIFGGKDRRVQDDDTLFFFNYRSDRVREITQ LLGDYDRSPKPDLPYPKNIHITTMTQYKTDYTFPVAFPPQHMGNVLAEWLGKKDVQQC HVAETEKYAHVTFFFNGGIEKQFPGEVRDMIPSPKVATYDLEPEMSAEGVGKKVEERI AEGKFEFVMNNFAPPDMVGHTGVYEAAIKGVAATDKAIGIIYEACKKHGYTLFITADH GNAEEMLNEKGTPKTSHTTNRVPFIMANAPEGWSLRKQPGVLGDVAPTVLAAMGIEQP KEMSGESLLVKA ANIA_03058 MATYALSDAHRAQMEDRLVDTDPEVAKIMENEIQRQRESVVLIA SENFTSRAVFDALGSPMCNKYSEGYPGARYYGGNQHIDAIELLCQSRALKAFNLDADK WGVNVQCLSGSPANLQVYQALMRPHDRLMGLDLPHGGHLSHGYQTPSRKISAVSTYFE TFPYRVNLETGIIDYDTLEANAELYRPKILVAGTSAYCRLIDYARMRKIADKVGAYLV VDMAHISGLIAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVRSTDPKTGKDI MYDLEGPINFSVFPGHQGGPHNHTITALSVALKYAATTEFKQYQEQVIKNAKALENEF KAIGHKLVSDGTDSHMVLVDLRPKSLDGARVEAVLEQINIACNKNSIPGDKSALTPCG IRIGAPAMTSRGMGEEDFKRIARYIDQAINICKSVQAALPTDANKLKDFKAKVASGTV PEINDLRKEIAAWASTFPLPV ANIA_03057 MEPFLRGNLRWCLWIWALCYATAVAFNPLSKLRGTQSHLNSVEA GPKQVAVIGAGAAGASTAYSLRKYADSFGIPVNITVFERASYIGGRSTTVNVFDDPAY PIELGASIFVQVNYNLVNATKELGLDVRGASTERPGDTAAIGVWDGKEFVFVMEDSSS WWNIAKLLWRYGLSPVRAQNLMKGTVNKFLQLYQEPLFPFKSLTAAAASVGLLDATST TGATFLEKNNVSPGFSRDLIQASTRVNYGQNLPLIHGLETMVCLATDGAVAVEGGNWR IFEGMLKSARANVQLNHTVTSITQNKDKSVTLDFKDEQSIKQQRADFDEVVIAAPYQY SGINISPAPKHTPDTIPFHTLHVTLFSSPHRLSGKYFNLKNPSDIPPETILTTLPLGS DLGNAEEGVGPAAFWSISTLRTVKAPSTSNPPEDRESETKHYVYKIFSPTRPNEAFIR EILGLEQKKIKKAGYITDLPKEDISWSYEKLWHPYPFLYPRVTFEDPLLAPGIWYTGG IESFISTMETSALMGKNVAALMSQGWLDKGFVDSPVVEDELGRTEL ANIA_03056 MDPYDSDSSGFEDEGDYTETGVVLGYASEEMIEDTVTHIGGWPT WLDESTPPSGEFAKCKVCNNTMLLLLELDGELYEHFPDADRRLYIFSCPRKACNRKAG SIRALRATRLHKSHQAPQKIEKQEPEKKVVEQSQAPKPDLGASLFGSSTLTKSVSANA NPFSSKPASGSTQSSNPFAAPVASHSPAPLASATEFKSTPNTLSESFADKVRVSSPPP STQAAEPAGPPTPWPARSEFPEPYTNFYIDAEGETLSRPPTPKIPDNVTIDTSEDAEG GSGGADAKDAFESDLDKAFLKFSTRLGHNPEQVLRYEFRGTPLLYSHTDAVGKLLHDS TPSAAKVSTKSSGASRMPRCQYCSSERVFELQLVPHAISVLEEGREGVGLGKDDGGME WGTIILGVCNRNCGPEEIGAVGYREEWAGVQWEEAAK ANIA_03055 MGVAIDKCETRPANIEAILSGLDRYNPETTTVFQDYVVQQCEDR TFDCYANLALLKLYQFNPHLLQPETVTNILVKALTVFPSPAFSLCLALLPAYTQPFPS SEAEATAAQMSDFVESVQKLARLSSLLESAQYAQFWSTLNSDDLYADLVADVAGFEEL VRIRIAVEVGKAFREVNAEVLEQWLDVRNSEALEKFVTEVCSWEVDKSGSATVVKVPT NKENEARSEVKSERVGVDMFGRVIRRGFEQAA ANIA_03054 MRSLMSARNVSLLSLTMGVAAAVDYSQYVNPFIGSEGPFPGQGY GGGDIFVGGARPFGVAKVGIDTTAANWSMAVLNGGWSPDGNVTAITMMHESGTGGAPK YGIIPQMPLTHIDPPVNILDNLTYSQPRVGHDTASVGYFKTQLQNGVQIELSGSRHAG IIEYSFPAGDKHILVDVSHYLPGSPNDPNSQFYVGGEIHILDNGQAYSGYGTYIGGWN NGAPFTVYFYGEFDKAPGSARVFKGPNTDPMRGYQGLANGQSSFPVYGNLTNTASSGP MNDRVGGIFSWNTHEELSISSRVGISFISSEKAHSYIRNEIPSWRLNDTVDAALEEWN NDVFSKIQVPLDDTANLTNVRLLYSSLYFIHLMPSDRTGENPLWESGEPSWDDFYTLW DIFRCTVSFYHIFQPVYYESMIRGLIDIWRHQGFLPDGRSGNWNGLVQGGSNADNVLA DAYVKGLRGAINWTDGYAAMKTNAEVVPYNTHDPTDFTASTKEGRGALVDWLELGYVS QDRSTRCISRTVEYSLNDFAISQVAAGEMSSDQEKHLRRSAGWQAIWNPDVESLGFDG FLAPKFSNGSFNNSGYDPLYCYGCEWSDYTYEGVPWEYSFVIPHDMQTLVDLMGGSET FEARLDLMVRTINLLHIIHPRPQWRRNNNSHEHRVLLSPTQIYINEPDFATPYLYNYI NKQYKSVQRTRELANQYFHDTPYGIPGNSDAGAMNTWLLWCMLGTYPIVTTDVYLLGS PWFNDLNMTINGNNTLRIRATGLEDGVYVQSVKINGEKWTRNWFEHGDVMRTGGVIEF ELGSEPVVWEEGDVPPSPGHVEV ANIA_03053 MTLFRNLALLAASIAPVVAQTWTHCNPTKEDCEPNPALGTNYTW GFNETLNDKIWNVTNGEINYTDDGAEFTIAKKLESPTIQSTFYIFFGILEFQAKMAKG GGIVSSVVLQSDDLDEIDWEWVGYNTTEIQTNYYSKGVTDYKNGKFYYVENADTEWHN YTTYWTSEKLEWWVDGQLLRTLTYDEAKNGTESTFPQTPCNVRIGIWPAGDPNNAQGT IEWAGGEVDYDKGPYTMTVKDVRVHDFNTGKAYEYGDSSGSWESINIIKGNSTAAEEI NKPPPKSLSEKWDDLPSGAKAGVYVGAAAAGAILLALFIFFFIRQRKKGRLEHALDDA KFNNERNEMNNYQSNWKQSEWRNGGYQPVR ANIA_03052 MKLDFAFLTFALASVPAANAWRVRFYQLEDGKGPQYTNAGPGGT GWRCHPNVGSLDGKVSSMRYWSDNSEHTTRCCIYLYTGQNCDGSLDKTFGHFCRNQYL NFHEWGLANKIRSYKTNCYAIDPDYAKRSADGDELELDPEFDPDFDQEFEYDDGYEVE PETSS ANIA_03051 MLRSLLSITGLQRDDYIFPTVDPKQDGPDCKKDCADCTLNFPDK VKVETSLPLYGRIKQFHTHVLVATGRSDWKQHVEQERGSLMEAFDGGLTCAVADQGTL QRMMVSASNLKPPEDDGEGTARGNGTTILLLPSFTFVDGVDPSDVREVVSHFIDTPLS QHSKTNTSPNVRLKSRPCEYDYVVLLCSHKRRDARCGITAPLIKRELERHLRPRGLYR DADDERPGGVGIFFVSHVGGHKFSANVLVYRKKEQQMIWLARVRPEHCEGIVNYTLLQ GKVVHPDFQLRGGFDRLKGLTSCWLSSSQESNAGGQRYRVCLAALARSNAIEKDPAVT AYALGPGLVYETLGHSSFSFNQQLTPSPESSKSATGASGISSHVSSLAAEPSPDNETS RLKLRIQYLESQIASATPFARDVQPECSIEATSSHLSGTFHLHYKRHPEHAEPIARGF SLKTRLLGQSHWVVSIAYLARDLFKSIEPCMQELSDAWIGLLKAQPASSWPLLASELP LRDICDTLSNHYLQRTESFYRILHIPSFCREYEKFWEPGAVRNPAFMVQLKLGSAQEA IYMGLHRNPSHLLQRSIFAAEMHRSLPCGGAPLISLDDFDTSPPKNFDDEQLETGDPS PKPQAEMTQVSVAIVLRRTLPQRLAVVKLLNDLYTLGSYKQTLRLDAELRAAYRELCQ TVMVAMELRAQLQEDAGLNPVPLRSDLLSVLNDVKSRCLRVIEAGETNIKGNLLWRRM AGAYDQDRDLGAEVVSNIPMDIIDNWDFMASDLAASALLDATNPMSWI ANIA_03050 MAKRAAPTRKPRVSGSAHSKPRQRLSSSVSRGLADRQMADTQTP EQRVRKRRRRTIACAQCRSRKLKCDREYPTCGRCIKSKTPSKCSYEDGFLWQQPSTVD SSYGERARASAPASTQSQMLLVDQPAAHPTPDSGISSSRPQLTNSTNTCPRDEKRGEK RVEKRDRFLETVLGAPKAAVNQDFMNSAELLHRHRLHPTDPKRADSVQDDEPPVSSSQ PLDLSPRIMLRGKDTKTRYNGSGIFANLIAQFPDLRSFAEEIRLASPHLSALRFDLTR VTRGLWKKKILNEPVKNLDTASLIALLPSRDTVDELVVLYLTHVESLHRILHIPTFLR DLESFWDQKHNPAMVSAAFVVQLLLVLACSWNLADFTTLELKNGSSLNCYTAIDWVKH ADKWIETAHIKRSDIIVLRMHILLVTAQKSLGMGRSKSWLSTATLVKQAMLAGYHRDT SLYTKISPFNQEMRRRIWITIVELDLQVSFERGMPPSVQESDYDTAPPLNINDIDLRE DMEELPAEQPPEEFTDCSFQTALTKSLPLRLKVCHLMHSPRIKCRYDEILRLDWELNR HLSKKPTWKIPELGHIQTADKWTLAKAMLENKIGLSLLAIHTPFAIEAPREPLFAPSA RARLELVTMILSIQKRLHETSRSLSLCNLGDWTVQTYCSICQLLHEGAANPGLSLIHT LPGLPETLVSLVEVALACMESRLLLVIKGAKEYFFMSTILALVKTKLWPVQATVYKQE VVERVILFAQTLFTRHANCAHLGELGMGSFKTNQVPSLNSIQDVPQQFASEISVPMTG DFGLLR ANIA_03049 MRWGTLRSLAGSALAVLLLGQLVVADIPIEVSSTGIPHPNSYLA PTQLYPALPSSTQLHPALTQLLPSSYYRVASTVLPPDLAGKEIAAPMMTFYAQNQTEG IPGKLTDTWYVAGAMFMTLIQYWQASGDDQYNSIVSHDLMFQAGRNYDFFDSNYSRWL GNDDQMFWGLAAITASETGFPEIENKPTWTTLARAVFNMQANRWDMSACNGGINWQIH AWQKGNKLRNSISNGGLFQLAARLGRFTENSTYFEFAEKIWDWSVDSPLITPDQDWFV ADSTTGQSNCTESGNMQWSYNYGSYLAGCAFMYNATGDEKWLRATTGLLARLETTFFP AEYDHSVMSEVSCEKLHTCDRNMLCFKGWTAMWMALTANLVPQTRATIVPKLQGSAAA IGRQCDGESENLCGSRWYQDTWDGIKGLEVQMAALGGITSNLMLMTEATAKTINTNPD AKEQHLETHDDDPAILRTITTGDRVGSWILTAAWSIGILSAAWWLVRQD ANIA_03048 MMPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIV KKGRDRGYRPENTVITSVTADQAGREKLSEILAYEAGISHSSVLCILYSHGFVIAKPS WKPGLTEAACLRRLEFCLAHQHWTLEDWKRVIFTDETGVILGHRRGAIRVWRTVKDSH TRNCVRRRWKACSDFMVWGCFSYNKKGPLHIYKPETAAMWKQADIEIEAMNCELEPLC REEWELATGLSRVHLRPNRGRVPKWNWNEKNVLKPLLIPFAKECMIERPNTIVLEDSV PAHCHRIQQHVYKAEDVQKILDWPGNSPDLNAIEPCWAWMKKRTTSRGAPRDKKTGEA EWRQAWADLPQETIQHWIERLIRHIQIVIELEGGNEYKEGREDCDTRSWAGRRIKG ANIA_03047 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHL LKRSYASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEP VLSKLSIQAS ANIA_03046 MKLSLLAAAAIAPMVSAHYFFDTLVIDGQETTPNQYVRSNTRPE KYNPTKWVNTRDDMTPDMPDFRCNKGSFTFAGQTDTAEVKAGSKLAMKLGVGATMQHP GPGLVYMSKAPGAANQYEGDGDWFKIHEEGICDTSKDIKTDAWCTWDKDRIEFTIPAD LPDGEYLIRSEHIGVHGAHDGQAEFYYECAQVKVTGGGNGNPQDTIKFPGGYQKDDPS FNFSVWGGMKDYPMPGPAVYTGGSGSSTGSYNESNAEDSNEYPYQKESGTCQSNFYRR EHARDFSHRRA ANIA_03045 MPRPSIPPPISAMSQPAAGLPTVKLRERPLNMIAPFGAAHKKLS ACSSLSLAIQLQCPPDALVRAKTRPLALYLSHPATASDVVLGSDVGVPVPGLQAGDNL NGGVQAAEACRPQACIGEALETCKRLVALPALAKSPESYVGLLSEDAESFLVHSSPQC TRPSPAPNSQDSVVAHPYCRLSIRWSSEYTVKVPRQLRSRRTMPSQPRPLLAQWTVYN AVTATPSTLVPLDIRKPGQRDFSHPRLNAGVGLTPRAKDVAFDANVETSRG ANIA_03044 MVHITLPGLLLCLCLYLSVAPANPLNAHARVSDTTAFPLPNEGH VVAHDPSIVRHHEHFYLFKGGIHIPVFRASNLSGPWERLGTVLNGPSLVQKQNQRRPW APMVTQWKNRFYCFYSISQNGKRNSAIGVASSDSVEPGGWTDHGPLINTGHGPGSGVY PFNVSNAIDPAFFADPITGQPYLQYGSYWKGIFQVPLAEDLLSVENATHPNTDHLVFL PKKKPKPNEGVFMSYRAPYYYAWFSHGQCCHFKTQGFPKEGNEYSIRVGRSTSVHGPF VDRDNKDLLNGGGSVVYGSNHGKVYAPGGLGVLPGANGEPDVLYYHYHNASIGFAQGS SQDARLGWNYLDYVDGWPVPRAPSNPGNSLQPPSSVSLQIVAFLCLVILFTL ANIA_03043 MPLKRVAVIGTGPSGAIAVDALVQEGAFDVIRVFERQEKAGGNW VSRKTERAEPLDIDNLSARTADKPVEIPANLPCYTPPLKAHRYTDSHIYPNLHTNVDA SVMEYSGPGEKIPTVRSEWSIGLHGEDTPFRHHEVICGYVESLLNRNGYQDLVEYNTT VERAVKNEEKDEWILTLRKAGGEEEGKERMDYWWTERFDALVVASGHYHVPYVPSIPG LKEFAEKYPGSVEHAKQYRGPGKYKGKVVTIGASVSAADTAVSLIGTAQTPIYAVTRG KYNIYFGDHAFKHPSISLRPAITHIDDTNESRTVHFEDGTSVSGVDHLIFGTGFTWTL PFLPQIPIRNNRVPDLYLHVFHQSDPTLVFIGAVGAGLTFKVFEWQAVAAARVLAGRA KLPPLQVQKKWEEDRIAVKGDGAGFLMVYPEFGEYFEQLRAIASEPDGTKGRRLPVFE QKWADDFAAGHERRIRMWKRANEAAAKALKVPA ANIA_03042 MRYSLVASAGILGCALALPAPQFSPFPGSGGSEGGEGGDAPTPT GAVPSGFPGGDFGGFPVPSGGATPSGFPGFPGFPGGSNGQGPFPSGFPSFPGFPGGAS PSGFPGLGGDAPSPSGIPSFGGDAPSASGFPGFGGESGESGEAPAPTGTSTINVKRQF GSLGGDSALPSGIPTLGGSSDSSSSGGWFDFLSGLFGGGSDSSSGSGSDSGFGSLPSG MPSGFPTPTGGSPFPSGFPEPSQGAPGPSGFPSGAPSPSGSPFKA ANIA_03041 MTSQNYPREGAIPGTVDLTTTEGEASSASYGQALYPVPTDDPND PLLWPKWKKTSILVIVSIYSFLGNCSLVGPSVYIDIYSEEFGIPHAEASGLISYPNLA FGFGSLVLVPMYLKLGRRFVTLFSMIMFVAGLIGASRATTFAGLMVARVFHGFGSGVC ESLPVQLVNDIFFLHERGKRIGYYTICLCLGSTGPLYAGYMLAGGYSWRLFFYVLAAF AGALLVAAFFLVEESRFHRPEIPQASMTESDPQSNQASTEVMAEEEKGTGHATELEAV PSPSIPKRRKSFMETLKPYAPIDHDIEFFATILRSFAYFLVPAVFWVITSYGIYIGLG ALTFNYTFPLLITSPPYNWPSTSSGLVAVATVLGFAVALPFTPTSDIIAAKLTKRNSH IREAEMRLPALLPGLLLAPAGLITYGLTAENTLHWTGYFAGVAMTQCGAYFFFTFTLA YAVDSYFADVSEMLIAMNLGKQAVSFGMGSYLLAWIEDSGYAVIIAGVFCAVALANNL MVAVFWIWGKSIRKHTSRGWLGSLSRREQSRTVL ANIA_03040 MATVTVSEISNQAAILRAELKEWERGFAAANGGKKAERGDIKKV PEIAAKYKEYSRLKSQESASSSKNDKSHSKPTDTQERSKKRKHSSPNGPEQSQSHCQT TSTPRKSAAGIFQTPSKLKTTHPADVDPYDSPSVLRRLFSPSTHMQTSSPLKTAIGPT PQRDGKALGLFDLLSESGGSTATPTAARMASLKGIAAQTPSKKRKMDTIREEDEEEED SPRVERTPASSGKKYMLSALFATPTAWKYSSIVDDGTSRGVIGNNDVTKQSPQATRNI DHANANLETPSFLRRSTSGLTGPDPTDMSPLPVRKPQQFVGKGLSQLVQGLRDMEEER LEDEWDVLREIEIEQTGTGVDVPNSQAADPNSTGRTFKKKGQKRTTRLVKMRPVVQAK PTTTRSQPQSLSQSEPRFEHNLVSAGGSGDVDELGIDDNDLVAVAETQADGPPSGRVG IPAAFIDDDLDSLRSISEAEQDSDSDPDYDADSKPLGRSKSFSEKMKEAIGATGQGSD TKQAQERQKVKAKEKKEKENIDTNAKKPSTRKINPQAHANYRSLKIRNRGGGRNFGRF RRR ANIA_03039 MTDDGDIQQQILQRTLQEVTQEQQQREVVSDPCVICLEPISEPA VAISCNHANFDFLCLVNWLEQRRNCPLCKSEVSSVKYELVHPDGPKIYQLPDTFPSTG VSSTGHINPHGRLPRNPRRPRRARSPSLQTPNDPLLRRQHVYRHRLYSLRVGSNRLSQ YREFTPEMFNRDEELVSRARKWIRRELRVFEFLHPDASSSPSSVARPGRQRLESRRGN NAEFLLEYIIAILRTVDIKGSAGQAEELLRDFLGRDNASLFLHELQAWLRSPYTSLED WDRNVQYDDPDSPAQRAHHDPRTSSRNPTPIYRGAARNSRGRVNKPQYSRGSERYGRA PDSFARRVQYARDRYIPD ANIA_03038 MIKRSAKSVIAESYAMARGAPNGSLLDHQSLSRTITGLRRWSII NRELPATPQVRAIHVYDFDNTLFLSPLPNPQLWNGPSIGFLQAYESFANGGWWHDPNL LSATGDGIEKEEPRAWKGWWNERIVQLVELSMKQKDALTVLLTGRSEAGFSDIVKRII DSRHLEFDIICLKPEVGPNGQRFPSTMDFKQNFLSDVVLTYTQADEIRVYEDRPKHVK GFRDFFETMNRNFQTMSGPDSRKPINAEVIQVAEGSAYLSPVIEAAEVQRMINSHNVA TRNPALNVTKSPYGRLSIKRTTYFTGYLITNTDSDRLIRQILNPLLPHGLSDSNDLKY MANSILITPRPASRSILDKVGGIGKKLSWVVTGTGVFENKVWAARLQPVPSTEKYHTE NRVPFVVLAVRKGARPVDASKIHNWHPVPSDKAIGIESVVGEKVVLRIEEDGQADDQF MNRSSKRRIQQERDDDILYPGQSNPEGPQGRQNHNHRGNNRHAHDDGHRRGGYRGRGR GAGPRGRGNSRGGGRGRTRGRDTGHPAYYKSLDDQSGHEASGGGYDDRPGQSVMNY ANIA_03037 MKIRLLVVAVFIVNNHAGAILLGPMGQHELRDACEEFGETMISL STVMEYKEDFQRLFSYYFYTNNQTETAEHKSGRFYVRDGVLSVEDGENQLDHYPFPSR NIPLPVLCTQTSNDDKQRRGRTVQQQLIRVNSENNMYVGYRNQKSFRFLGIPYADAPT RFSYAKPYSEKFRTIKATEYGSSCAQVAGGSEDCLYLNIQTPYIPKKNSTAGLRPVLF WIHGGNFVAGAGSDPATDGGNLASREDVVVVTFNYRLSTLGFLAVPGTDIQGNQGIAD QILALEVVLYRNWNVDSGSADLVKEQVLGAIAMSDVRNGAGIKYEHREASYSSYLSVS ESYQTAGQKILFEAGCNQDTTEQQISCLRDLPASTLVNLPTIAHHIVQDGKYINTTDL NLSERHAGTAHVPVIFGNVADEGASFIPFSNNIESELEGIQEFLNISTTQALKIIDSN LFPSPNTGNITLDSFAVAQRIATDIKIRCINQAALYAGVSTGVFKPSYYYQVQRTIGG TDPNNLGGPPSSSEYPLGDPDLFYFRLHGSDLPFVFGNLDAARDPLDLFAAQLISSYF AEFVRSGQPNPDANYLAARGYERTLEAVQAFGPWEPVRNSSGPIHMLDFPSEKTPFQS LKQCEFLGLPITSYLD ANIA_03036 MPRFAGGFARFITMVGYHHVLMIIIAIIIILLSNSHNHSALLLA GCSSSSPQMPTIFLISMYYERYDPIFDLAQVDPGVVTATANIVGGAQLEVRVGYFGIC VQPDGGSYICNNNATALAEILTLDQDPLNLVWVASTFKDAVVFPYLIIIAIILAFICF IILATFPGWHEGTDATGSEVEYKPFPSRPVSQVALALIFVAAVFVLVSVLWQHTASVG ASTIAQDLGNGSVKSGVGTSAMVLGWFGFALMVVVTIGLLFMILNLRLLRQLTDDEP ANIA_03035 MGIKGLHGLLKSIQKPCHLKKFSGQTLGVDAYGWLHRGTVACAV DLVLDRPTTKHIDFVLSRVRMLLFFGVKPYLVFDGDNLPSKSGTELDRQQRRHESKTL GMELYRKGRTAEAYQELQKAVDVTPLMARQLIEELKKLDIQYVVAPYEADAQLVYLEK HGFIDGIISEDSDLLVFGAKRLLSKLDQHGELIEINRADFTACREVSFVGWTDADFRR MCIMSGCDYLPNIARVGLKTAYRSIRKYKSVEKALRMLQFEGPYHVPADYLQSFMQAE LTFLYQRVFCPKSGKLVPLTSPDDGVNLDELPFIGADMDPETAVGVANGDLDPTSKKP LQLVIKPLGSSTVEQNKHIASLSRRQTIGSFSDIKPSKPINSFFTPKRVPLAELDPNS LTPSPSQQRLLHRHVNSSWEPSLAPSRPSVARSTTVNDSSSRLSSPLVRSAERSLFLA RTSKLTTLQPSKRQRLCSETDEVIAASTPDCRSRFFAASSNDETPSGGQKLNRSKKAR KSTLDVFSDDATEDIMSQMPDPSEAANMTNEKFSAAGHSGESSEREDEVTKTNVPITT RSETEATQLDAKKQAYEAAFDSRTLSRKISAASEPQVVPQVTDHHIKRQTSTLSKYSF KTDASGTRPNPQHSAVNGPERSASSQLVRQRTWTPTQPKRLTPLQRLGQNALYRSRSL NNMPASPTISRSPSRIEDRTSVSSGDCPPAITLRTGQGSEDLIVPDSEEEEDDCDAGD DSVSQSRVTALDLKRFSFTAR ANIA_03034 MLSLRTLTRTVPRTFSRSIATSSRSAILRPISNLPKTSFIQPSL KQAIRPSQAAFSTCKAFRQAEGDAELAAKLEDELKHEKSSGLEDLESSVQNIQYVLQN NSWEVKDVPGEQEVVLTKKFNDEEIRLTFTVADLQNLSEHEDLDALDELDYQGHQPVN QGGAGGFAQHPEDSVSPADRGEQDLEPSFPARVNVTIEKSGKGALLIQTVAQDGLFQV EEVSYFSKPDLAHAATAEKDWARQSLYAGPPFENLDEDLQTYLERYLEERGINAELAN MIPDYIQVKEQKEYVRWLENVRNFVAA ANIA_03033 MPHWVSSDGSSTSHNDVEALPDAPPLTDVSNGAEKDVAAAGKTT AGVKIEDIFDDDEDEETEFPASSAPAETRVGSAEASAPVPVQVDTETMLQFYQRLFPF RYLFQWLNHGIVPSPDFGNREFALTLQNDAYLRYQSYPTADLFRKDILKMNPSRFEIG PVYNRNPRDRKTLGSGQLKPLAKELVFDIDLTDYDDIRTCCTKANICAKCWAFVTMAI KVVDTALRQDFGFQHILWVYSGRRGAHAWVCDSRARNLSDDRRRGIAGYLDLVRGGTN SGKRVNLKRPLHPHMTRSLEILKPYFVQTTLVDQDTFASPEQEQRLLSLLPDKGLNDS LRRKWESAPDRSSTNKWADIDALAKAGKSSTLNPATLREAKQDIVLEYTYPRLDSEVS KKMIHLLKSPFVIHPGTGRVCVPIDIRNVEKFDPLSVPTVSQLLSEIDSWDSDHPSSG AAETAEGEGSAPNASDAGGTRKLQDYEKTSLKPYIDYFRSFIAGLNKEERNGKRERHE DSTPGVKSESMDF ANIA_03032 MVNKIVALLALTTPAFAGVAHWKEPHWKEPHDKEPHSVSPGGQL RPAQTTTYTTTTCPVTSTVVTSGTKTLTVPITLTNTVTITTTYCEDETRPPVHPTAPT TGKPAPPVTGNPGPTGTPAPTGKPVPTGKPTPIPTGKPRPVPTGPVNNGTAPPNPPFQ NSTSPPLIPTTRPVPVPPGSSPAPVPVPVPVPSPGQPEQPGTPAAPGGSATPSIPGQP GTPAAPGGSGHPVTPGQPGQSSQPGQPGKPGLPGQPGQSGQPGQPGQPGQSGQPGQPG QPGQSGQPGQPGQPGQSGQPGQPGQPGQPGPSGTPAAPGGSSNPVIPGKPGTPGASGV PSTPSAPGASGTPGVPGAPHASSTPSVPTAPGASGTPEAPAQPGKPDQPGKPGHPGEV SPEAPPTGVSPARPDFTGSADNVRPAAGLLIGLMTIMALL ANIA_03031 MSHTLSQKYLSTRGGSYGFGFEEAVLKGLASDGGLFIPEEIPSL PAGWETEWRDLSFEELAFNIMSLYISPSEIPSEDLRDIIKRSYSTFRHPERTPIVELD AKQNLYLLELFHGPTFAFKDVALQFLGNLFEYFLIVGATSGDTGSAAIYGVRGKKDVS IFMMFPTGKVSPIQQAQMTTVLDANVHNLTVEGSFDDCQDIVKALFADPDINSTHNLA AINWSRVMAQVTYYFYSYFSLVKNTGSDDSKVRFVVPSGNFGDILAGWFAKQMGLPTE KLVIATNENDILDRFFRSNGQYTKNSGEGSGVKETHSPAMDILVSSNFERLLWFLALR TNTSSDSIEERRKQASENVSQWLSQLKTEGGFTVPPAVIEAAKTEFESERVSNDETIA QIRSTYNSSFPSNLTPGSATSSKTGGYILDPHSAVGVAASLRSIQRNPGTKHISLSTA HPAKFASAVDLALRAEDGYDFTEVLPQEFIGLEQRESRVTPVRAGAGWEGVREIVKAE VEQELEGKR ANIA_03030 MAQQDYKFEGWMGLDKNAADGNMVWQEFEPKEWEETDVDIKITH CGICGSDLHTLRSGWRPALYPCCVGHEIVGTAVRVGSKAVGGIKLGDRVGVGAQSDAC VGRFGDCPECAMGWENYCSHKFVSTYNSVHFNGGKSYGGYALYNRCPSHFVVKIPDAV PSAEAAPMLCGGVTLYSPLKHNNCGPGKRVGIIGVGGLGHFGVLFAKALGADKVVAIS RKNGKSEDALKMGADQYIATDDEPDWATKYARSLDLIVCTVSSTKMPLAEYVGLLATN GSFVQVGLPEDGVLNAPVANLRRRLKMESSLVGSPNEIREMFALVAEKGIKPWIETVP MKDANKAIVDMHEGKARYRYVLVNEEH ANIA_03029 MAMNRIRGAFAVPRKGETFELRAGLVSQYAYERKEAIQKTIMAM TLGKDVSALFPDVLKNIATSDLEQKKLVYLYLMNYAKSHPDLCILAVNTFVQDSEDPN PLIRALAIRTMGCIRVDKMIDYMEEPLRKTLRDESPYVRKTAAICVAKLFDLGPAMCL ENGFLEMLQEMIGDPNPMVVANSVTALSEIHHAAPETQALQVTPNTLRKLLMALNECT EWGRVTILTTLSEYRTSAVNEAEQICERVAPQFQHANPSVVLAAVKTVFLHMKIINAE LSKNYLKKMAPPLVTLVSSAPEVQYVALRNIDLLLQKQPDILNKELRVFFCKYNDPPY VKFQKLEIMVRIANDRNFDQLLAELREYALEVDMDFVRRAVKAIGQVAIKIESACEKC VNTLLDLINTKVNYVVQEAIVVIKDIFRKYPGYEGIIPTLCKCIDELDEPNARAALIW IVGEYAEKISNAGDILAGFVEGFNEEFSQVCSIHILRKPSSHINGQWQTQLQILTAVV KLFLKRPDKAQGLVQKVLQAATAENDNPDVRDRAYVYWRLLSNTSDPDAARNIVLSKK PPIVTTIHSLPPALLEQLLTELSTLASVYHMPPEQFVGQGRFGADAVQKAAIEEQLQN ARENPLAAAAAAAVDGTAAPQQQNNVENLLDIDFDGTAPASAHKEPGGGVSGLDGLAG TPVRVDSPAGGAPSGSNNLDDLLGVFGDNVQSSTGAHAPPTGGAGADLLNGFSGLDLS GNMSSPPPASQSSQPKKTNEDILSLF ANIA_03028 MSPRYTVADSDSESEPDQSATPPHPSDKELERALREAVARIFKT GKMEELTVKRVRLAAEKALKLQEGFFKSNDDWKARSDTIIKDEVEAQDAAAQDSDADE GGNKRAKTFPSKPTKRAKPMASSKSRKRRKTSTESDGNSDGNSDVDDEDTEGVTTTET KLKTTPKPESSLAKKKAADDSNASSKNTKSSKPEAEADGDSESEMSVVLDEEPQPKRQ RQKKSTGTTSAKGRKKAPTKAKDADVDPNQAEIKRLQGWLIKCGIRKMWARELAPYDT PKAKIKHLKDMLKDVGMEGRYSLEKARQIKEERELREDLEMVQEGAKRWGKDTANDDS DSGRRRRMNRGRKALAFLESDGEETD ANIA_03027 MADEPQSQPHSRPNPTTLFPHTTTGTPESPSSSSDNKNDGSKLP VIRRDEDGKSYVLDKNGKPCRLCTSAAAWRNLTKKSKASTAAASTTTTTQPQSQSNST GASTECPPDVEALGRSTWTLLHSLTATYPEKASPSEQTEMKSFLTLLSKLYPCWVCAD DFRNWMAEPSGKNQPRLGGRSEFGNWMCEAHNEVNRKLGKKEFDCRFWEERWKDGWKD GRCD ANIA_03026 MLTKFESKSSRAKGIAFHPKRPWILVSLHSSTIQLWDYRMGTLI DRFEEHDGPVRGIDFHPTQPLFVSGGDDYKIKVWNYQTRRCLFTLNGHLDYVRTVFFH PELPWILSASDDQTIRIWNWQNRSLICTMTGHNHYVMCAQFHPTEDLIASASLDQSVR IWDISGLRKKHSAPTTMSFEDQMARANNAQADMFGNTDAVVKFVLEGHDRGVNWVAFH PSLPLIVSAGDDRLIKLWRMSDTKAWEVDTCRGHFQNASACLFHPHQDLILSVGEDKT IRAWDLNKRTPVQSFKRDVDRFWVIAAHPEINLFAAGHDTGVMVFKLERERPASAVYQ NQLFYITKEKHVKSFDFAKNVESPSMLSLRKLGSPWVPPRTLSYNPAERAVLVTSPAD GGVYELINLPRDATGAIEPTDVKRGQASSAVFVARNRFAVFSQANQQVDIKDLSNSTT KSIKPPAGTTDIYFGGTGSLLFITPTSVVLFDIQQKKQLAELAVSGVKYVVWSNDGLY AALLSKHNVTIVTKTLEQVSSLHETIRIKSAAWDDAGVLLYSTLNHVKYSLLNGDNGI IRTLDQTVYLVKVKGRSVYCLDRNAKPRTLEIDPTEYRFKLALVKRNYDEMLQIIKTS SLVGQSIISYLQKKGYPEIALQFVQDPQTRFELALECGNLDVAIEMARELDRPNLWNR LGIEALAHGNHQIVEMAYQKQRNFDKLSFLYLSIGDQEKLSRMAKIAEHRGDFTSRFQ NAIYRGDVEDRIQMFKEVDLYPLAYLTAKAHGLTEEAESILEVVGLSEDQVSLPTIEA PPQIPQPIVATYKASWPVKAAAHSSFEKALLGEVSAGDEEAAELGFEPEEEGAVTAGE ALEDEDEDAAGWDMGDEINVEEDVDFVNVDSAEAGAGSVEADLWARNSPLAADHVAAG SFDTAMQLLNRQVGAVHFAPLKPRFLEIFKASKTYLSATPGLPPLVNYVRRTVDETDS RKVLPAIPRDLETIASVDLQEGYAAMRANKLEDGVKIFKNILYSVLVNVVSSEAEVEQ AKKIIATSREYILAMTIELERRALSTDTPEGLKRSLELSAYFTIPKLEVAHRQLALMA AMKLAFTHKNYSSALSFANRMLANGGSAKLLDQAKKVKAQCERNPQDSIEIEFDQFAE FDICAASHTPIYSGSPSVSDPFTGAKYHEQYKGSVDRISEVTEIGAPASGLRLYVPSQ L ANIA_03025 MFDGPRTQLSVYLCNCTRPNNSIALIVDIGGLGTMGANGQNPDL YQPYKNPGGSSPTPAPRTDQDQQYGEIPKAHEPEIYDIEDLPPDTPGFGLKSEVVKPY AIEEPEEELTSETEVSTAQLRQRKHWEDLISSMEMLYCNSDHDNPGSIRPKRGRKRKP TITNPVRTAPSGEPAFVTDAQYASPSLSSKRARKKEELPKERYLDSDEEVTTRRSRGL SSSSNASVSTDTSGANMTNGFPTPEDMDID ANIA_03024 MATRTSSRHAAQKAKEAMAAAPDIKRRGPLSTKRKGSTNKSPEP KREKKSTEEQSERVEKEEPQTPEKVEAEAKPAVQSDEKRADGPGAKDRKPEAKAEESP QDNLEKPQDKARAETQEEPEGRREVPDNKLKDSSDEMDAGLKTTEKREEIVPSNILEK GIIYFFYRGRVNVEEAHGVQDVARSFFILRPTPMGASMDSERGAVDSGAKCRLMMLPK KRFPRSGKDREMGFVEKAGASVKQLQEDFIAGDTYETSTRGTREIPEAKPYAEGVYAM TSTKRATHIVYHITLPERLGEIQEDFGLSERGSWLVQSKNPKFPSPPSARLPKEPEYP ESILEEFGELRWIPARPELLDYPNAQFLMVGSAAGDLGKAATAEEGDKRPEEEQPEEE LSKMEEENEERVESLGGEHAIYKDLGYHAQEKYSKLETTWK ANIA_03023 MKWSFLLKTLPLTAASAVAAPGCSARYNLTAVNTTTCGGITYTY IGLDGYGFVESNVRDKYGDTLAGLGSSAVLEKGSWHKKSSSSYSGIFYLLPDRGWNTN GTLNFNPRIHKFELSLTLVPRASAQTPSKPNLAFKYLDTILLTDPRGKPLTGLDPDFT GNISYPGYPPLPAATYVGDGFGGAGSGGKRVALDSEGLAIDQEGYFWVSDEYGPYIYR FNKNGKMVLALQPPEAYLPRRNGLLSFSAASAPLYTPDKLPNPEDPESGRNNNQGFEA LTISPDGRTLTVMIQSALNQEGGPKKKYRQPARLLQYDISNPNNPQYKHDNFLILSRD SGFGAGLSETLSVYRQADIFSISNSTTDLKVKEYNFPRGSIASSEGILDTNITPAEYC PFLDFNINSELAKFGLHNGGEQDSGLLNEKWESLVLVPVEPENGQNKGKDNKTEYFLF SFSDNDFITQDGAMNFGRLPYADESGFNLNSQALVFRDN ANIA_03022 MSAAEFEHARRQSVSENQTIQNSDIPLKERFFRYFQHETTALQE QMEKLADTSLVGGERMDATDYCLAWIARLSNEVRDAASYIPTYDQRIYAEAIKTLQDK LAETRATVEPRPRFSFKNKRSTAAPGPITSMPDAAELMVQGQLGVPGYPSPGVSSVGS SAPGTPNYPNTPLNEPDKQLHLLRPTVPTSIPKIAVDNPTEDVKEQRSTNFAATSVSS VTVNNHKGMHIMLPASGSTASVPASITSIKRCVIDMSIPTANGKPYASLTVKDASDSL LLCGAINGPAHVTGVRNSIIVVNCRQFRMHDCRDVDVYLSSSSNPIIEDCEGIRFGRI PRAYHTLEHDRLDSDDRWSLVEDFKWIKPEPSPNWSLLGQDAGVPEEVWTEIVPGGPG WSSDQILRAVNILQS ANIA_03021 MSHPLAAAVWEQLSRRDLENIIHEIIGEHAARLATSIALSAGHH GHAPDMESLTQSVLWIQTLFNMQRTYLQGLATSQDCNPYFAPYLVSSDIIFSTLMYLT KVKVDLFPCAKPTASESLRYRQLIGHTFLVGIRLLVLRGEPMNSETKFRLERAMRSAW EHPDLSKSESFLINDLLPKAIASIGSTDLFHAQQSLLRSSKAYIPVFSSGIYPFPGAP DTLVTDLLTALMRKKDDQLTSFYLLFDLLWAAESALIHCHIDRRRFSQEQGHASAGAI KVEEAYTQTQDNRKKLARTILAAFDDEFDTPSLQVLATVILSQNAGEHAPLQTRRIRD SWGKLSPLRDSTDSALSLLMRWLVNRRVQLWDCNGELEAFSHDYQKHLTQWLHNSSSQ AFGHPTQMKRGNVGAVYVVNCPAVHPVPEALLEEQLRSSDRKAYEQLQDKSRFLTMNA MCPLCPGNVKIQHARMIESLDQASDALHNTGSESSGRYSLQDSVSRHTTSRSSSRTNS TDQLSRMGSVDGLRSPVSPTSSSLSFFKLSSKSHSPTTPDVLNPFIARPRTGQSQEKQ LIRNHFPFQERFCEVFEGAKSASTAKVLFFGFRTESFDLGPAEHYELLVFRNKSAIPE AKLTIETPHLSSPITSMVMSRDDKYVAFTLRDEVRVYEICTTEISQVQLGGKTGYYAV GNVPEAHFSGKHETSGQGRKGVEEVIARKLQFSVDGRRFIVATHLGNQYAYVDVWNCT QRQWKLEPGGSKSFKLPPWSTDDGDLTCVFYDSFNETVILTAFLAREYPISFSLSGEA PSNGTISPRIVHGAQSPSGSRFVLANGMKQMYLCDSTASGSLIPTKMKKAISKISPSA FQPSQLALSFPGENEVFAFWTREGKLMLRTISLHAGGEAVSDYDLRSEFDRLLVDRPL ADFHRSRHQPSSLSRQIDSEIEGLQTQPIPRPNLPELPAA ANIA_03020 MVSLSFLAGTLSLVIACFVNVVAADFGYVTVERLQRAPDGWIRG PVAPPFKMIKFHIALRQEKAAELEQVTLDMSTPGHHNYGRHLKRDDVRAFVRPSGETM NRVLAWLESAEIPAESVRTHGNWMEVILPVSRAESLMKTKFHIYTHRDTHRRAIRTLS YSVPRALFPDIQLIQPTTHFGQAVAQGKRPNFQPVAATFDRLTADCSTTITPDCLREL YGLYDTNAKPDVRNRLGISGYLEEYARYSDFHQFMHQFSPNRTEANFSVVSINGGLNL QNSSLPSSEASLDVQYAISLAYNTFATYYTTAGRAPFLPGATGTDEDISINEPYLEQL HYLLDLPDEELPAVLTTSYGEDEQSVPESYAEATCNLFAQLGARGVSVIFSSGDGGVG GSCLANDGTNRTRFQPIFPASCPFVTSVGGTEGMNPERAVEFSGGGFSDRFARPSYQD SSVLGFLKTLGGKWDGLYNPQGRGIPDVAAQANNYIIIDHGKTYHIGGTSASAPVFAA IVSRLNAARLEDGKPRLGFLNPWLYSLNQTGFTDIVDGRSPRPACVSMKTRPGSSAWA KAISADPKTKRGLTHRAPMMYGCHPPWPLCSRPRIVRSTYPCHTAYILHTRVPQFGWE KTIQSSLNGRPPKVPRPGPTSKWATAESPPECQKFPAAEFAEDGIQVTLKTGGAESRD LLHSHVEGVTSCIPNLLVVSDMGQQLGPFYTHDVLADVIHVLSEEDRLVYQRQREDHF YWNKKLQPTKAGWRLDRYKFLAMIEYAYARNPSAKWYVFMEADTTVIWQNLVQLLSRY KWIDPIYIGSPTPGRPIGTWWDPEPTFFVYGGSGIVLSVTAIEHLLREDLEGSAKAES GQTSQLLITKYQDMVREDCCGDSVLGWVAAQRDVKIKGLWPMFNPHPLHGTPLGKSYW CQPVITFHKSDPVQVVELWKWQTERQKKGNDPRPILYSDIVDFFDFEATPVRENWNNA DMDSFDASTHEAHDSFDSCKEACHKHDHCFQFTYHRKKCRMARVIRLGNSVSMDGDGD AKAGRSLAGWDVKKIKEFKKAHNCEKVDWPEPSTERIF ANIA_03019 MASELRSLVGDLTNAVNRKQFDTANTLLARAKRTLLLQNALIPA SSTNPELLVLARETLELGALSAIRQTDAPAFTRYYQQLQPFYDLEREGSSTLDTRTSQ RSKITGLYLLLLLSSGDGSSFHTVLEGLVEEASLKGGNVEDDPFIKYPVNLERNLMEG SYDKVWRETNSERVPSEDFALFSNVLVGTIRSEIADCSEKAYPSLPISNAKNLLFLES EGAVMEFAQQRGWTLRDGRIYFPVEPEAATRSEKDILVASSTIIENAIGYARELETIV ANIA_03018 MLQHPSSTTTIHLDQPPSCLQFCPASPNNFVVGTYLLSETKTTD TDGSETIQQSKSGSLQLWHLDPETDALTQKSCHRINAAVFDLHFHPRQENTFAIATSD ASVSLFTVSPETETGFTLLWTKSVHENPSIPALFLAWLPENWLNPKGAGANPDGFAVT FSDGRTGVFGLTANGDEPVTKLGSFEAKQPIEVWFVAAASYLTSSEAEKVSTPYLFTG NDFGALHTRRFADIPVLINQTAHDDKDEEEESPLPATLVSSDDKALHHTAGVTSILPL PIPLSHAAANGEPLLLTGSYDEHLRVYHACRGGRGEVLAEMGLRGGVWRLQLLSAHSS TSKEEWTFLVLASCMHGGTRIVKVTVPGEGKAVIEILAEFTEHESMNYASDTWKGGPG PEIRVVSSSFYDRRVCVWRVEV ANIA_03017 MTAPFTKLPSTATITPSSFRVAIPDEQLSDLKTLLRLSRTAPQT YENLQEDGRYGVTHKWLSTMKEEWLNNFDWRAVEEHANIFPQYTTEIEGLTIHFAALF SEKADAVPIVLLHGWPGSYFEFLPLLQLFKDEYSPSTLPYHLIVPSLPGYTFSSGPPL DRDFKNADSARIVDKLMRGLGFSGGYVSQGGDIGSTVARILAVGYNSCKAVHLNFCPL VKRPEGISDEYHNELEKRGFERSNWFLTYGKAYAEEHGTKPATIGHVLASSPLALLAW IGEKYLDWPDTPFSKHKILELVTLYWFTESFPRAIYPYRQTNPIPPGPSPFLNELYIH KPFGFSYFPKELIPVPESWVAKTGNLVYFKQHSEGGHFAALELPEKFKEDLSEFVTQV WSSASKTYLALRYRSPPTAKTAQK ANIA_03016 MPKSMTLSPEDIFSDESSFYGSEEETTQMERVAATYNPETYWIR IHPHLLTTIQARNQEVNRQPSRSAEEKNKMAYSPMDIDTDTSYLPRNEPGPNESSTDF LKRVTPSTTREEDVGPWIYVHTDQLARHKEDQAAFITKGLEALDEFVDQERKLREEND QKKGSAIALSRKVKPLQRELERHVFEIARETNCITGKWMMFITPDQIDSYWQAVADAT MKGLLGICAKVATLSGSDERNKARLMAVYTRDYDDIADVKRVLRKLVELKLVKRGERP IYYKRDALTYLNIKSGNRYGMKVTALSSVDVLGGKV ANIA_03015 MSTISSPRPSIASSRAYSPTPASSRRPSLDALNTSIAGSLSATS TPSTARAVSPSLHPRRNRAALRDYYNLKPSDAAGLGPNGRRSRSVPRHTDAGDISNPS TVVAGTELDSPDFDPQRYVNNLLATSSLATILKAENTLVGDIRTLDGERKALVYDNYS KLIRAVETIGKMRQSMDERGAPLTMTKTLGPAISFVAETAGSLIREGEVLRERMRESN PVRERERREKETVRWVLEAPGRLAKLVEDGKREEAEKDWEEVRRLLEKWEGVKGVAEV RTACEKAMQKEDEAD ANIA_03014 MSSKSALKEVRAALDAKNFELAADKAKAIVKEQPQNYHANVFLG LAQDKLNHFLESERAYLAATRIKGDDKTAWQGLISLYERQGIQYLEQYREVVIKLGLV FAALDDKHRCQDVVDKYVAFTRKNGTRLQYKRALELHLPTSPLYDYLEGRLPHPAHTY QRLIEISESEEREFINREIGERRTRLGARIDQVTLEVKRDAYRRSELEELYRGIVNWS HDDQIRRTYEEKLLQRAYDVLTVLPANEKHAKREEVLQAARDMVIIKHPFELAWKIVL EWQDVENFSQWDRPFLEDFIEFFPGDDLTKVLKGFLASDLSPFPKEPAKRNEEAEKSE NGNGEEVAAQDRLLMMVEGFEMSSASIVAHRIMAELYSSLEEYESVVDVCRKGLQNVD DLVRRTGISLQNTSDSLNIALANSLIYHQSPRNHPEAQRIFQDILQRHPTSTSCLLGI GLILKVDEDYPEAVNFLERALERDHSNLKVRGELSWCRALNGDLSSGLSGLQDVLEEL QASEKENRVFKGEILYRIGYCQWEIDPSPAARKNRAGAYASFLASVQANISFAPAYTS LGLYYADYKKDKVRARRCFHKAFELSPSEIVAAERLARTFADQKEWDLVEAVSQRVVD SGKAKPAPGSKRKGYSWPYAALGTVQINKQQYPKSIVSFQAALRISPDDYHSWVGLGE SYHNSGRYIAATKAFYHAQQLEPTLSNTEKGQIWFARYMLANVKRELGEYDDAIARYE EVLKIRPNELGVTIALLQTLTENSWKCLESGLFNDCAELARKAIIVAKSLATERADIF NLWKGVGDACAILSYVKSKAAKLPMKEVRGLLSTQLEASALCILTDVDDVGENHLTAL DDGKDILTLANDCMYASILAYKRAIHVSLQDTHAQAVSWYNLGWAEYRASRCIKLVGE KKKQSRRLLKAAMRCFKRAIELEAGNSEFWNALGVVTTSMSPRVAQHAFVRSLHLNDR SAQVWTNLGTLYLIHNDIQLSNEAFTRAQSTDPDYSQAWVGQGFLALLFGEPREARGL FEHAFDISRSSSRLPKQQYTLTLFDHLVADASVSNEVSQLIQPLFTLYQLTSQDPSDL PFVHLFSLLAERIGEFSDAESNLRNLSLHVEAEYEVSESATSLSRYAQANADIARVLL ARQEYEEAAEKAETALMLSSEEDSEKFEPEMYKNLRLSAHLTAGLAHYYMRAMDNAID MFRDALQEADNSPDVVCLLAQVLWAKGGEEERTVARQQLFECVESYPDHVGAVTLLGA IALLDDDRDVIEAVESDLHNMITRDDIEIHQRARLIKLLTAISACVAGDSDVPSETRR IGEAAAAVMRAPYDPQGWLELSSAAQESHPAEMGVKTALQSVPPRSNLDANDLSKAYS QTGKASDALRAIMVAPWMQGGWQELSHIVSAT ANIA_03013 MASLRLRIDGARFKDPQNREITLRGINVAGEAKYPKSPNVPSNV ADNFFDADNVSFVGRPFSLDEAHTHFSRLWKLGYNTIRYMFTWEAIEHEGPGKYDDEW ISFTIEVLRIAKQYQFYVFMDPHQDVWSRLSGGSGAPAWTLYAAGLDPRGFKKTQAAL VQNTWDDPAKFPKMIWATNYTRLVCQTMFTLFWAGRDFAPKAIIDGMNIQDYLQSHYI SACRYFAQKIHDAGDLENDVVIGWESLNEPQRGLIGYQDISVIPPEQQLQLGTSPTAF QAMLTGSGRACEEPIWGFGGFGPYQSGRELVDPEGETAWLPVTYDDTKYGWTRDPNWK LGECLWAQHGVWDPATDELLQKDYFAKKPRTGEPLDYDKFTNTYFLEHYRAYTEAIRS VWPGAIMLCQPPVMEIPPDIKGSNDDDPNMVHAVHYYDGLTLMSKHWNRLYNVDVIGV LRGKYLTPAFGVKIGETAIRNCLRDQLKFLRDESFRYMGNHPLIFTETGIPYDMDDKY AYKTGDYSSQISAMDACHYALEGSSSNGFTLWVYTAKLLQNDHEWGDQWNGEDLSIYS VDDLELPSGKFLDHGSASRLDHQSPSYSSSHSHNSNQQISPRNLKDAIKTPSISSQVS QLDEDRLGYRAAEAYLRPSPIVTNGLVSEYVFDLRNCSFSMSLVGNRAISGQDTPTEI FLPEFHFPETHTEVNISSGEWLIDHVELRDVGVQRLRWWHPEGDQSIKILGVKRKGGA LAAPSAEDVSYLEQCQTGGCIVM ANIA_03012 MAAEEGLVDGGIAGIVRALELIHNPSSTNELRREALQFVESQKQ SSSAARNGFMLASRRENDALVRYFGLTLLDHVLRNTSFTATDEITNLRDIVLKLAESI QPEDPTYIRNKIPQLWAEVAKRSWGLDWLDMDQRLVQFWNASLVHKELVLLVLETLSD DIFYREDTVSSLRGTDLNRALVEICTPLAVFEQAYPERDNHVAIRCGNEGWLTRICEF LQQCIGSVQHSKEAKDAALKALANLKSVLVWSIPKAIHLSGCVPSIAGAFTCTDEQVL LAAVEALHALYSRSAYDIEGFQPLVHLMYETESLNVILKLFQWSIVSPEDIDDTKYTI SKKLSEMVSYVAGFLEEKGFSIETSAGVDLPFFFHLMLNVVQHRSLTVSIPVLHIWSK LIANPKIGNLDVVINLIPPLLTICTERLVHWESLPADSEDPTVIFLNEDIDTVPEKHA FVGNYRRYCSSIIETIVQKRPEEAIPHILLGVDNNLDNLYSGVEPFTAKSFSKNSVPL MRADTQFAVVEATLKGYTKWVAVHGRMPQQDEQRRGQLENILETWAYKLMQRNFEDPI LKQRIVKLVVDISARALDKTPSFALKVLEYTLMTRLPDQPEFPNYSEAVKELHGLASH ELRRLATRYADYFSTFYDLLEPKIQEITMANRVDDKLHMEFTSILLIIMQRANNVDPF LRQSRLASFVEPIKQAWQDDEIRSKSSTFEGFCNLLGLQNVGPYMQSKHAQKLADWSE VPLDAEGKQVQEEMTQRFQQLPLRGTKTMMAVSTDKLKKADPPYEIACTLWHDLIPII LPTLLQLVSNAHAFHNPENWAGLPGDMWGIVERILTDRFWQAGISSGSRDEFYAKITA SRTSLEGFASSVRGKVRAVRESCYSMLFSMSRLREHFYGFAELPGPLSQALFKDSSHL SSHQFSVLLNISRCIIDDCPVRFRSQFLPPMLSTLFVNIDRKVTSEWESIEQRKAGLG DGDLADEMKSESILRQLTYSAVIMVASLLDPQRGGMQPVQKSAALPIKLTLFYVDPDE EPTDPSAPQPLPALSDSIRHFVLSSTEIFEPVMLFCTHALRMRDTRCCSIITRVIRSI LQDFAPPHNSQTVVTIREFISSEVLKACITSVHEPYFVDMQKDLAQLIASIWVLYGSS TPTPKALILSLPGMTEERVASTEAALVRSTSARQQRALVLDLLEGLRGVSIAEQGKIL GSREERRKARSALQERYMSNEMEGQQTHKVDINDGPDLSGVADMFG ANIA_03011 MPQDMPPAGGYRQVQYKRNIPARGFRPITYLVGMHLFMAYGYYK LFYGIREQNELAREKIWGRLHILPLLQAEEDRDQVRRYYADKAREQQLLGSETKVYNS DRFVRPTFAYTPAKAIN ANIA_03010 MEEEERPRKIQKLGSSEAHESEPLITGAIKGSQDDTSPAEQTNS QKAIPGATTDNSKESEEPASSTATDGPETTEQKISKRQLRRQAKLEQWEAKREERKII RKQKTAARKERRRELWEEAKREGKDPNEELNKLFPMTTRTRHRKSTRLPLTLIIDCGF DDLMQDKERVSLGQQLTRSYSENNKSAFNGHLIISSFNKKLKERFETVLHKTHEGWKG VRFTGEDWLQAAKEASEVMQGPNGGKLVGPFEDKTDAKPEDGEIVYLTSDSSETLTEL KPYSTYIIGGLVDKNRHKGICHKRATELGIRTAKLPIGQYIQMNSRPVLATNHVVEIM VRWLQLRDWAEAFMQTLPPRKGGALKDSVKGQRDSTPRDDVESNTEKGTVSETVEDTE ATEPAEAVTPKDIDAVQENKQDE ANIA_03009 MFSLHLFLGPLLLALTTINASTTQKEPRMVRKDVVRQYNPVRTS LSTTTPVQVGNGDFAFGADITGLQTLLAFNILSSWCWCNDSLPTAPNQTEPSDFTGMD WWTHGRLVNYNIHNPAEAEILQWLVANPHRVNLGRVGFLYKGRNISVEEVNDAVQTLD LYSGILTSEFTLQGERVSVTTVGDPESDIVAVDVQSSLLQYGSLVVFFDYPLTTGTNK FEAPFVGNWAAVSAHDTSLNSITEQKSVIRHLLGGTSYFNAIRWEQKGNLSGPFEGTH RYLLQPAGESSRFTFSTGFSPSRKVQIKPFASVQKASMKWWAQYWESGAFVDLTRSGS SDAEELQRRIILSQYLLAVDSAGKDPPQESGLQNNGWYGKFHLEMVFWHLGHWARWNK WDLLHRSLDVYERFLPSSIERAQKQGYAGARLGKMSDPTGTSAPGPQNALLIWQQPHP MFFAELEYRLWPNSTTLHKWGHLLDELATWMVSYAWWNASTQVYDLGPPMYPSSENTS PNSTYNPTFELAYWRFGLKIASDWRMRQDIPVPESWTRVLHNLAPLPIVDGGYSISAD LPDMWTNAAYTADHPSQIAVYGLLPPTAAEECIRCQCYHESDYGNLGFQQVLWLGFPD ARDDIASFRGREPGCRVVTGFALPVRRCWESCGYGCAYTVLSGVVRLAACGCNDGWRM GWSRGHAFSEALGAKG ANIA_03008 MHFATVLTVSALGVLITAAPSAIQPRQAVNTVYLGLYSNSSCAG TTGGDVDHIHLTGSGYHNCFATTVKQSVAVSQSLSGTCIIQAWSETDCSGSSTVVSNN GCHDTTYASISVSC ANIA_03007 MSPSPPTELSAYTGNNIIPRSTSPNMFAQGTVLKVASAVDSQNV IHVRETPASGKTILSQLLRDHYLENHRNVFLLEIWKSLELFPGNDSWLDSFASAAKTV ILMDEAQGSYTDYGFWNTIIKELRSAKPYDRPGGSPHMVYSSYLWPLAAY ANIA_03006 MYLSRIKGFRRFSVSTLVFVAVEDTYTSQIHQPVQSKMEPLLAA HEIGEGLPVLIIHGWQMEGKVEELDFEPILSQTQGVRRIYVDLPGMGSTPANNVRDLD EIYRRLVQFIDSRIGNSGFLVAGSSCGGYLARAIAQKYREQVDGLLLRVPLIEPEDSK RDLDAFKPLITNEQLISNMPAEDRTLLGNVLIQTPAYIKALKAKYEEVIVPAERAADQ TVLDPIRADPQRYQLSIRLDDEIAKFSAPTLIVCGRQDGVVGYRDCLRLLELYPRSTF AVLDRGTHGLPVDGTEKSVFAALVHDWIVRVNEWRAARTDTSSSA ANIA_03005 MFMLRNVSKFIFGDNSKESIIDIPQGQLYLVRPLSPKGYSELIF KDAAASIRRTGQEFQYQLVIQRAYEEGEEELAEDESGEGLSDSLDKDEKAFLLDQNLH FRSEVREGGSKILAWRDLSGDAGDLFEFICDPSVPSDKVSTFELAACQCQYERKYRRS AQKATQEELLEFSFDEERPIPSASPVASPTKTRAHSVASADSTMVRDAEYTRSKKQLA APALGDEPTVAPPSAAQPEAREVLTKERAELHLFDFQSGTFVMQDPDVTATVTEVGDW QYWLQISGKDKDWLGQAVVADINPVFNFEYLSFIFNHYDGIGSAYSWLLRFKDQETEE RFQQGLLQALWEQLNETKWTKVKDNDKDYVLDAFQDLTMEDADAADQEEEEEEEEEEE EANDGQRSEHYDSDEEQDDVVTRDEDGNINSQLAVGYKHDRSFVVRGSKIGVFKHTPD NNLEFSTNISKVETPKGKLFSPKKVMLHAEDSNLILQNGDDPKSLYRMDLEYGKIIDE WKIHDDIPVQTFAPESKFSQMTSAQPFVAASHNALFRVDPRVAGNKLVDAELKQYASK NDFSALATTEKGYLAVASNKGDIRMFDRLGINAKTHIPALGEAIIGLDVSADGRWVLA TCRTYLLLIDALQKDGKNEGKLGFERSFAKDSKPQPRRLGLTPAHVAQFQHETKKPIS FTPARFNTGVDTEETSIVTATGPFIVTWSLKKVVAGRKDPYTIKRYSEDVMADNFRFG SDKNVIVALPNEVNMVARKALQRPTRESIAGPVTPSRRATRWGSRLGRDDIVNSPY ANIA_03004 MSSASQPDLSTPTTPATSTSSSGTDPPHLNSNDKKSSSSTSLHQ SAASYFTYPVTHVVSGLYRRLTDPPTTNSANSTSNNMMSRLRRQNPNPNPNPSSSSSS ISSSSQHPVFTPVRTVSPFQPPPLTPLTLLANEETTPIPLAPQNQLLSRALAEEIRLL VPPRLQLVNSWRLAYSLDRDGASLSTLYENCRSVSARSPRAGYVLVVRDASPSASTIF GAYMTDPPHPDSHYFGTGECFLWRASVLRPPPASLSMADGDGGVYSEEALERAGLPPP PSADTTNVGRSTTLRGEKAQPKSLAPHTHGLAQGGATNSGTTTPDRIRFKAFPYSGVN DYMMFCETGFLSLGGGSTVLGFTSAHHRFGYLISNALGRYGEMEANISKDDMLPRGIH Y ANIA_03003 MASLSPAQSGGASAPQSVEKISRLAQDYKFNPAVPLRYWLRTAA TLVREARIYLREGHDEQAYLLLFRHAQLVLVHLADHPDLKDGKDVREERKALIAAEKE VKQNLEILEKLKPRINKRYERHTQLMRERQSRMSSSAAVSPAASSQPSPPPDPALSGV AEPLEAGKDNELAVKLARTEISRRATVRNAMRQGGLSGEDDSSRRAAGIWGDWEEALQ RNERRDPDDLSRRIQEVRLNLEGRPQISSKSVTRPLADVSSTPTYKYPTVPRQKALDV PSVPVDIPKTEARELTKPPVLPPKESFQTSQPSGLELPPRPDKISQAVPPASAAAVPT KVPGDDKRKGLDPSSFTFKPSAYLENGTPLRTVFLPPQLRSHFLSLAASNTRKNLETC GILCGTLISNALFISRLLIPEQISTSDTCETVNESAIFDYCDSEDLMVLGWIHTHPTQ TCFMSSRDLHTHCGYQVMLPESIAIVCAPSQTPNWGVFRLTDPPGLKSVLSCTQTGLF HPHAETNLYTDALRPGHVFEANGLEFETVDQRVNKS ANIA_03002 MPRYSKQALPRYTPSARFPITSALHKALPIKGSRTQKPSIISEG LCDDILKRLSPYLSRNAPVDVLDLWPGAGVLSSKINDYLKPRRHVLIEPELDIFKRFI EPWAKSRPSCSIVETKLTGLRDWKGLLDEHFPEQSPANTHKSGLLASNDTLLVLANPP GPRSAKDHFRASRWVQVFMEECLRQNGLHAYGSVRLLVSLTAADVNAILPRNIGSRVR QSLLAEQAALHAFEVASTVEDQRGYHAYSRQWDVLTAVEERVKQRTSETGVFVPTGRA FPPIEAAPESPIPAPKPVPYTFRAMTPQHEKYLSDMEKLKAVSPKAAEYEEAQNQYRK IAAAINHDNHQIYCRIKVCKIQSEIDDLNKEVSRVAARPDTTLSTINRLVKRIEAAEA TLNKETSSLHSEISRSLPYLKDDRRAVYHNDDLDNSLLLFDRRPFNPLFIEPDELYPQ NIYRTWMYFEADPNPVPLRYMQQLDEKQKDKASNFFTAFSVSLQVSNTVTVPNLMKRI FHTYSANDLVRAAPTLAIYASKRPKPDFDSLPKTVHYDPLCLSAKQDPASGYQENLDY DLSEVRLRLLSVETVWSLAVEFAKNGMDIPLVDLTRIMGGTTTAAQTRDFGDRMRKRW ANIA_03001 MATYTPVQLSISTKSHGAAAAPGLGLTPPVTPTIDDRAGSPNAT LLPLVDTCYGNGAVAVSNPPHFLGQLEYQLDEEDRPITFGTGAWSVVYKAFASPRTSN ATRPFTPPSSPSPAGRLVAVKSPGRRDARPVMYAEALALTRASATPGSERHLVPFHGY ITDSSSIVMSAVPLTLSSHIEDKARIAQQNFSIRTMHEPVLGMTQWHDLAKKLIEGLS WLHSNAHIVHGDIKPHNILLRPRNSLDSDIDSAEFPYEPLFADFSSSYSTSSSGTEIP LNACLSAVTTPFTAPELLKALRSPDVIPEPASDVFSLAVSLLGAATGDILVYSGLHPT LRIQCAKDGHLIIEWARQCGTNGTRVPPNGLVEKLLKPAVAKVPAERVTAHAWLDMAS TV ANIA_03000 MSSLNHPDTPNIPSSSAILGIQSSTLIDAPIQVVWSALTDTSTY PRWNRFVPRVTVREQPDSGNEDAILRNGTRFTFHVNMYPEADDEPQPQNKNLRDTFLK IIEVEPPASTEPADSGLRKGKIVWASDPAADGYVISSLLTAERVHELEEVIDGNGKRM TMVTNWESQVGGLAYVVKWMFGGRLKGNFTIWENGLKEYAERNWEN ANIA_10369 MYVLPVSITFVSVATITVALRLFTRIRLVCAPWWDDWFLVLALL QSTDVRQKMPTAWGSRKSLLPWLNIDFTSRHYQIILKILLGLVALTGMYMVLGTLFVC VPIHTFWDRQNVDENCVSRAVVWYLTAALQIAGDLTLVILPMPKLVMLRVPLRQKVCL IVVFALGLFIVATSAARIDSLITLVNSKDLTKANGLIATWSLVEINVAIICASLTTFR QLIIQIFPMLIPSSSLKTGNRSDKQLHDPGLRWAPYMGPASYCADISGNASADRDSDS HTGDGIQVMRELRWELGMTDAATETESEKRNKDQELALGPDLGGQAGNAQPERQSACT HESAA ANIA_02999 MSSVRFTSALARRSLNSPLLPCGAPPISSALRSFSSASYSAFSS SSSSFPSPSSARALASTARISRPAATRSLYRVQTRTMATEVQKIKVKNPVVELDGDEM TRIIWKEIREKLILPFLDIDLKYYDLGLEYRDQTDDKVTTESAEAIKKYGVGVKCATI TPDEARVEEFKLKKMWLSPNGTIRNILGGTVFREPIVIPRIPRLVPGWTKPIIIGRHA FGDQYRATDRVIPGPGKLELVYTPEGGQPEAIKVFDFPGGGVTQTQYNTDESIRGFAH ASFKLALTKGLPLYMSTKNTILKKYDGRFKDIFQEIFESDYKKEFDAKGIWYEHRLID DMVAQMIKSEGGFIMALKNYDGDVQSDIVAQGFGSLGLMTSTLITPDGQAFESEAAHG TVTRHYREHQKGRETSTNPIASIFAWTRGLIQRGKLDETPDVVKFAEELERACIDVVN EEGIMTKDLALSCGRKERDAWVTTREYMAAVERRLRANLKARL ANIA_02998 MPYSPAYLATVPRCAAPANSLGLQPSALRQPPSRQFFSCKSSCP SLYTRLSSVRRGFLIESCRRVPRRSPESLRNFPALPCQRRTFSSTGVAMVAEKIDGTQ IAKDIRAGLKDEIQKIQEINPRFKPSLVIFQVGDRSDSSTYVRMKLKAAEEANILCKI VNFPESITQPEILQEISQANNDPSVHGILVQLPLPQHLSEHAVTSAVADEKDVDGFGA INIGELAKRGGRPLFVPCTPKAVMVLLKASGVDPAGKEAVVLGRSDIVGSPVSYLLKN ADATVTVCHSKTPDIASAVKKADIVVAAIGKTEFVKGDWIKPGAVVIDVGINYKPDST KKSGQRLVGDVEYESASQVASKITPVPGGVGPMTVAMLLENVVASAKAYFEKQKERHI TPLPLKLATPVPSDIAISRSQYPKPITQVASEIGIASHELEPYGHTKAKVSLEVLNRL SHRRNGRYILVCGITPTPLGEGKSTTTLGLSQALGAHLNRVAFANVRQPSQGPTFGIK GGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIETRMFHEATQKDAALYKRL VPEKKGKREFKPIMFKRLKKLGINKTDPNELTEEEINRFARLDIDPSTITWRRVLDVN DRHLRGITVGQAPTEKGLTRETGFDISVASECMAILALSSDLADMRERLGRMVVATSK RGEPVTCDDIGAGGALAALMKDAIKPNLMQSLEGTPVLVHAGPFANISIGASSVLADR VALKLAGTEPEEDHEAKTGFVVTEAGFDFTMGGERFFNIKCRSSGLSPDTVVIVATVR ALKVHGGGPEISPGAPLHEVYRTENTEILRKGCVNLKKHIENARQYGVPVVVAINRFE TDTEAEIAIIREEAISAGAEDAVSANHWAEGGAGAVDLAKAVIIASSKPKDFKLLYDL NGSIQERIERIGKAMYGAEKVEFSELAQKKVDTYTAQGFSNLPICIAKTQYSLSHDPA LKGAPTGFTVPIRDVRLAVGGGYLYALAADIQTIPGLPTAPGYLNVDIDPETGEIDGL F ANIA_02997 MRPILLAGHERSLNQIKFNRDGDLLFSVAKDKIVCAWWSANGER LGTYSGHLGAIWTVDVSPNTVLLATGSADNSVRLWNVKTGECVKVWEFPTAVKRVEFS PDGSKVLAVTEKRMGFLGTIAVLDINYDGDFTNQAEEPSLRITCTESKATVAGWSYLG KYIIAGHEDGSVSQYDAKTGEQLENVQAHEFDHQINDLQFSPDRTHFLTASKDKSAKL MSSRNLAILKTYVADTPLNSAAITPKKEYVILGGGQAAMDVTTTSARQGKFEARFYHK VFEDEIGRVKGHFGPLNTIHVHPAGTAYASGGEDGYVRVHHFDKPYFDFMYEVEREQL RR ANIA_02996 MSDWDSVTRIGSKNRGGPVVRETVIKGKSALNAAQRQGLVVGTE KKFASGNSAGRASAVEGQHLTKVDRSDDIVKPKTVGLQVADAIKKRRTDEGYKMTQKE LATKCNTTVTVIQDFERGTAAPDQKVLSAMERVLNIKLRGSDIGKEKFPKKK ANIA_02995 MASPETFLSALTSRSLRNVRSELEFLADASVITPQQLSSILSQL PNSSESERQVSAPAQPSPVQLPPPAAQSIPSPAAAQPVPSPAPYSPPTAQLANTSLNE KAQYGVNPPAPQQHYASPPPAYPQAPTVLSVASALYAYTPTDAGDLALQPNDRIQVLE HMNADWWRGRNERTNLEGIFPRSYVNVLDEKAVAPPSSYGNMPLDVSQSGSSSTPGKH GKFEEHGKKFGKKMGNAAIFGAGATIGSNIVNSIF ANIA_02994 MPAMLWQYLLLTALFWTSAPLVALFGERLMKQFLAESTSRLDNL IFALCPLGILTAVVSVIRICGNPSLRAFVGRANEAPGEAENELLSCVSEGLAELFNDG GISRVSGRPRILEVVVWEEQGSYALGTLRDALKAGAWYADGEGVHAGKELLQLPELDI PNLSLNKGIDRRGPWWFYAAALLGIILQGGTLAYAALTVFWSSGIFGNDDDSATNYAL PFYIYGSVSLSLGMFLSAFLIERSSSETYLHPNKPSKLYWLQPGRQSIGDQDFGAFLA VEEGPNSSTSQDLIYIKSVRGPPPKGKRSLLVFTISVTMVGFVLQFVGLRGLHPSVII ADVGSTLVMAVARTCLRTKRLGSDGNQFRIDDRELFSLNEQELDCFAYHLERVKSFQL MSTPVHASVRSGSCATSSSSQVSNQPSGLGEKLIRTRARLTELTSCGRHPSMDWDDLP IRRVAKNLARTIELTMEVVSGWKESPLSSCSFELFFACHPKDSKSRGSLETYNIMLER SNDTFQWKVDANELEAILGLWTFWLLKYDAKWSQNGLGRVVGLTKEEAKAETTDLYFH KWIFRQREAMMVSSKMMSFPGQTFGYFSDDYPDSKEILVVRTENSLGVMAAQEIYIQF LMGILAGTKILGGSVDIIPISQSSFLSQSTCLDELVACFGTGDLGSREDALLCIVPVL KHRGILPELTGDTNSVRERIQKFTSDGDWDAAFSMLQWLCQRSEGNEFDRAVYELGLL CQRAMLINIASVRDRGFETAKSLLQCDARFIFFRNLGSARRPDWMNSPAQKNWWTEFS SQLGWVTWHIANNNTHLHPVKPLLENMGFSKDSLFRANDNPDATEQANANTAQLTFLK WTIPKFNAGRQGVDVEYEGENCIEICLSWIIKNAQHALLHWVLARWVEVGLNCPHLIM KAFVYAAKSQSDPAIQILRRRGADINIPGDQACTALLELVETGNRESVKKLLANGADV NAFVEGTNPPLNLAAGQGDEAMTLLLLEWGADLDIRDAGGFTALRTACEFNQFKTATL LLERGADIDSKAGGGYTPLASAAVKGNLPMVEFLLDKGADAEITDDDGNTVLMLAVYE PSGAVVRFLIDRKVDISKRDNSGRTALDLARRIESRTIIAMLEAA ANIA_02993 MSQHQIHYPPPPTQGASYSQPQNAPSFPPPPQPSPGFPHASSGY PQPSPGFPPSNFSYNPPPTQQPAQQADFPPPPSVSPPASEKTYVIQNAQAYAANSGLS QTSSAAANEKAHAANSIHPQSPPPQALQRASQSFSGGSFVGAMSTAADDVGTFNGGSY RISHRDTNSILTLQLAVGCPLQVKPGVMIGMSPTITLRGNLSFTFVKLIAGGEMAMST YTGPGELLIAPTLLGDITVIRLTEGQEWIVGRDAFLAATSAVKHEHKTQGLAKTLFSG EGLWVYRFYKTGLLWIQSFGAIIKKDLADGESYFVNNGHLVAWNCKYKLERVASGGII SNFSAAEGLACRFTGPGTVYMQTRNVAGFAMQIGAAKLH ANIA_02992 MAETVEPTAQKQRKSVAFSEGTVIMDTNGEVTQKLEKPVEEQAD KAVDEVTDLFKGLAKKKKTKKPKDTDAGDDESSPAPAADGEFDPTALKKKKKKTKKVD AGDFEAKLAEAGITEKEAEEKKEDEVPEGDLEAGTGIWAHDATQAIPYSLLVSRFFSL IQSHHPDLLSSGTKSYKIPPPQCLREGNRRTIFANIADICKRMKRSDDHVMQFLFAEL GTSGSVDGSRRLVIKGRFQQKQIENVLRRYIVEYVTCKTCRSPDTELNKGENRLYFVT CNSCGSRRSVAAIKTGFRGQVGRRKRQG ANIA_02991 MSSSSSTADSYILPTPTSSSTPTSPRPRSTSYTDSVSSVASLPS ESTSASDLETLSDDSDYSDAEAEWQESIEQLELLLTMVIVPVIGKYLGRRCAYWSWTR FMEWKYPIELYGIGEKRSNVKAFAAL ANIA_02990 MATTTTRHPLTKRNLAILDRLLQSKHTSETITSWAEKVISEEQM HLLCTQPATSLDGMHPPAGDDATDLKVERDMAMFVQSARSLPTVDTKRTDVDSSKVIE VETSRPFMCLESPLERYLTPGSSEPSHFSRSALAHVGLQYGDMEGKKERAEMARGHVE VARDNALQAREQAGK ANIA_02989 MAKLFIGGLAWHTTDDVLREGFSRYGTIEEAVVVKDRDTNRSRG FGFVRFASEPEADAAMGAMNNQEFDGRIIRVDKASERPAARNGGFQGRGGYNSPADGG YRGGGAGGTLPYLPWYLRPPHVARLT ANIA_02988 MAPASQETPAPADGRTWRQYFPKGDLWVFGYGSLIWKPPPHFDQ RVPGYIEGYVRRFWQASTDHRGTPEQPGRVVTVIERNFWETLDDPLSHLESSTTRVWG AAYHIPASHAEEVHDYLDVREIDGYSVHYTPFHPVTSTATATGTSTDQSTSASPMTCM VYIGQPSNPQFLRDPACRDPQDVAEVISRGVGQSGKNTEYLYLLEKALEGIGLGSADE HVTDLVKRVRAIEGSGEADKEEEKAKRDIRVSLEAAERGDIGNEGEDDLAE ANIA_02987 MAGLIRLLRTQFIPPTEPSISFAGKTVVLTGATAGLGFEAAIKM LNLGVESLIIGSRNLQRGEATKTELEQRTNRLGVVQVWELEMSSFQSVKDFAARVESL KQLDVALLNAGIWNREFTTSTEGWEETLQVNTLSTSLLALLLLPKLRSCSTDSSPTHL TVVSSQQFVRVQAKSLRTDGPLLEHLNDARRFSGPKQYGVSKLLLEYVLKSVAAQLHN ENGTVPVVVNTVSPGLCVSSLGRQYTGLHHRLVVWIMYKLFARTAEQGSRSLVSATYQ GLESHGRRWRSDGYLDESTALTTGAEGKQFQAKAWKEIMAVLKEQSNLAVVLDSNTGV SAN ANIA_02986 MAYNKAYNPDALPAHAEPEQVAQMIGAMQAKPGNQTQHRPQGSS PGGPHPVSANLHQRHPGPPRAALDRPLPNVPHNQQRPSALLHPSPPPQNYGHGPPPSQ PVRNRPPPSSRPPPSPQPLGLPNDDQLFPLFRAANASNSGALTEQELGSALVNGDYTS FHPKTVKMMINMFDRNRSGSISFDEFVALWRYLAAWRDLFDRFDEDRSGRISLREFEK ALVTFGYSLSQPFVTVLFTTFENKGRQVGKPRASGMSFDLFVQACISLRRMTESFKRY DDDRDGYITVSFEEFLTEILQLQD ANIA_02985 MAAHENPPEEGKPPLDAADMGITTEDLTAPKKAHADESLDDAAP RSSSSEITSSPQKDDAAAAPDTETGNPLDRVPSQAQKLGKKKIAAVMGALCLVLFLAA LDMTIISTALPTMAVDFNASESGYSWMASSFSLTNAAFVPLWGKISDIWGRKPILLLA NLAFLIGSLICALAINLPMVLAGRAIQGAGAGGIITLANISVSDLFSVRERPMYYALF GSTWAIAGALGPLVGGAFTTSVTWRWCFYLNLPIGGFSFLVLFFFLKVDSPKTPLIAG LKTIDWSGTLLIVGATLMFLFGLQFGGVDYPWGSATVLCLIIFGLLTYALAMLNEWKI ARYPVIPIRLFSNWHNVLVLLICFIHSLVFMGGAYYLPFYFQSVLLVTPILSGVYVLP QVLSLSVLSAMTGVIIKKTGRYRELIVAAFVFLTLGYGLLIDLKPYASWPRLIIYQLI AGIGTGPLFQAPLVALQANIHPSDMAAGTATFSFLRQVSAAISIVIGTVIYQNMLVDK ASTIAAAVGPDTAQSLQNSFAANNHDLIRSLADDQKRVVLSAFTFALSRIWVFYTAIA GVGLIVSVFVRPVVLSKTHTVAKTGLAEQERARKEILEAQAKARASNNNNKEVPEGKE KV ANIA_02984 MGRRKIEIKAIKDDRNRSVTFLKRKGGLFKKAHELAVLCSVDVA VIIFGHNKKLYEFSSCDMQDALYRHKFLCPPHEHKGPEDFNGKRDDDDDDEEEATPAP DEFHAPSQNPPQMMAVPHPGFQHVNHAPSASPPIHNGMPFDPRHGTPQPQGASRPSSR NHLRRVSSNLGGPPHHGTPPPPPPSNNFSYMPNPSVYNPNAPHNMSQQPPRPPQFAHY GHHPQGPQHQPPHPQHHQMPPHSVPPQSMTPQPMPLHPHAHPQQHHPQHHPQHHPQHP PHALAQPPTTMAMSQPPHPSIPHVAQPYMADQHPHPQRTASLPEAQSDQLQANLKTER AQSPPLIKPLSNAKSRSIFTPIDDHGSVLARNFIVGFGDNASVKTESVQNEADEKKPL KKPSPPVRAKTEAPPSNPPSEIKPPVRTNSGTLGSKRPQLKVQIPSENSDRGSATAES SSSAGNKAVTSAKLDASHPGVVLPPPSPSAGAIHSAGAQGPPNPFARPPPPSTTAAAA QNTTAYNNNNNIETPISALPSRFVSDALLPSPSSFFPAEWGFGRSGPDSNILPSPLVF PTPQVQNGPGFGREEEQDKKRKSPDGGPTGEGAAKKAKT ANIA_02983 MPSFTRFFRSKDSNAAKKSSNTPAAEDTGPAKPTWTDAWQRTEI APEEVQQLLRGCTQELKVRALQTPFMLLPFRPSSDTVTARTFIRNYFNESLKRGSPFA GDELAHELRLTDPMVLCSVMKWCWSRLPGGVVTWEAYELFKVGEQDSQFARDAFSTFI PISVDSDARTKIIFDFFDLLTAIAAHGKSNGLGGRKLSRYAGWWAFEQNDTGNGFEAA YKNWTSAADATSHLFFAYLRSLSPDTPRGMSGISTLPIALQSLVQATEYPPETPTLLQ VSTTKVVMIVDTVSPTPFALLRRAKNFEYRDSDKHLQEFAGYEDPRKALTDECLRVLK CISSTNQSAVDEPVVADHDASWSRFEDLGFGGAIEATLEEDKALSSPTLKEFGGSLRS VPHSGTGDLGRPTTPSWADFMSSGFGDDNSFKAPVAPLLLPPDKVLPPIASIRGQSSQ SHKRGLNDEPSMDPGELASITTLDLDDSFWWVWISSLAGEEPTARKAVFGRCALLETV IKNTKWLVLEEQVKGAAPDPDPGAYIVEKKRFFGFTTRRGKLSRRKSSAKKISSVEDS YKRPNNQGPNSKASIAPDQHARIQAAAAALQRKHREEQGLANGTKSTAGQDSYSTKTN SVMTLQPSILNEASQAMKWASNYDKNAYRAAYLNNSRAGTGAGTGAVVDEQKEQPTPS ITTSTRQPPPASPKTSAPPSAAPVPDATEKPADDAKVNTNPAKQSTVDSPTPETKESV EPELPHKLKKAGFKNMFGTTKKKETDIKQPMKPVEGSAVAAARAALESKARASQEQPP ARNGTTVLRKKPVAATAPAKSVKQITEVHEPKATATPEPESSAPASAPAQVPEPAPAP TPENMSDKPKIRREAEYDALSRVDTNEQVAADQEFSRFDQGPLLEQPAFVPEDSPVEE AFPAEKPEDEPTPSSPVSPPRINNASQDRWAQIRKNAAERIVQTGGRPSTADEDGNTS EEESFETRAARIKARVAELTGNMQAANRP ANIA_02982 MPQAARWVGSPSIKGRTESMRMALLTFSLLGLQFTWGIEMTCVI ADRSRSKWGRRRPFMIGGSLIVTVCLLVLGWTTEIVGVFVKDAEKAASVTIALAVLSI YAVDFAINVASSTSMCSELNRRYVTYTLATSWVRVGIFGTALGDTQFKQMTVIAALAL LGAIAVTSYSVKERILITARDSDGKAGAFQVVTQLFRTTMDLPPRIQAICWAQFWAWI GWFPFLFYSTTWVGETYFRYEVPKDADRPVDMLGEVGRVGSLSLVVFSSITFISSVLL PFCVQSPDTKRARFTPRPPPGIAALLTKFMKIRPDLQTTWLISHVVFAATMIFAPLAR SRAFATFLVAICGIPWAVSCWAPFAFMGVEINRLAMNPSQASRLSGVTMITSSSIRSG AYGDLNSSDASEMDVLRLNHHDQDDDSDAEDGISNIPSTGELAGIYLGVLNVYTTLPQ FVGTFISWIVFSILEPGSTKRDDSASDSTWMDLDKSTPNAISICLFIGALCALIAMEA TRRMRYIL ANIA_02981 MSATIARAEEQQNGSTVELKDDTVIVVLGASGDLAKKKTFPALF GLFRNKFLPKGIKIVGYARTQMDHNEYLKRVRSYIKTPTKEIEEQLNSFCELCTYISG QYDQDDSFKNLAKHLEEIEKNQKEQNRVFYMALPPSVFITVSEQLKRNCYPKNGVARI IVEKPFGKDLQSSRDLQKALEPNWKEEEIFRIDHYLGKEMVKNILIMRFGNEFFNATW NRHHIDNVQITFKEPFGTEGRGGYFDEFGIIRDVMQNHLLQVLTLLAMERPISFSAED IRDEKVRVLRAMDPIQPKDVIIGQYGRSLDGSKPAYKEDDTVPQDSRCPTFCALVAHI KNERWDGVPFIMKAGKALNEQKTEIRIQFKDVTSGIFKDIPRNELVIRVQPNESVYIK MNSKLPGLSMQTVVTELDLTYRRRFSDLKIPEAYESLILDALKGDHSNFVRDDELDAS WRMFTPLLHYLDDNKEIIPMEYPYGSRGPSVLDDFTASYGYKFSDAAGYQWPLTHTTP NRL ANIA_02980 MPSEHGHRLYVKGRHLSYQRSKRAVNPNTSLIKIDGVDNTEAAN FYLGKKVAFVYRAKREVRGSNIRVIWGKVTRPHGNSGVVRAQFRHNLPAKSFGATVRV MLYPSNI ANIA_02979 MVSPQPTSPTPSKRSRGFSSSGRSDRSEKTHRSSGSGHKISLTE TSEEKHRRSLQTKADPMLAINEAQPNLVALEKSNLGSLRAMQHKDQYGNIITDPDLSN PTRPRFERPLDTIRSFEAAIYGQYTSRPASYAQTGEDGGDYSRRSSYYNPYSNGQTRQ HNDRGYYNGRPSHSRPNSYMDNGNGYYNSGGPPESYYPYNQNGGRRPRQYPRGHSEQP SYSSQQSGYNQNSYAQSGYFKSSDNVTVATPSGSGSTPDQWANSTDPSSINSSFDQFQ QQQQQQQQERTIADNYGFQGFGAGPNINDETFAPNVAGKAPYGQDFAAQPAHDPNFGG PVGGPQNPQLVAGRRHLQRKSQQQSVSSDAGDNGTKRKSWFKRTFTKS ANIA_02978 MTQHETNAPIVSHGRGGQGNIGPDSNEYVDGGIVREGPVGDQGD GAYSAGRGGAGNIGSPHVKPAKQPHDVEFIPEISVRQSMDGDYHTGRGGQGNVHLDPQ HQKAKEEKKEEKKASLAHEGLADKLKHKILGKK ANIA_02977 MFPPQDVMSGAFSAQRQWSQNQQTLGTGPTRREPFPAWSVVEDT KKKANAISKEAAREFEIASQKAQSKTGKIEPWSAKYYAACTFGGLLACGLTHTAVTPL DLIKCRRQVDSALYKSNMDAFRKIRAAEGLRGVFTGWSPTFFGYSAQGAFKYGGYEYF KKFYSDLVGVENAARYKTPLYLAASASAELIADVALCPFEAVKVRTQTTIPPEFRSTF GGISAVVAKEGTAGLYKGLYPLWGRQIPYTMMKFASFENIVEAIYHYLPGQKSDYNKG AQTAVAFTGGYLAGILCAIVSHPADVMVSKLNANRLPGEAFGAATGRIYKEIGFMGLW NGLPVRIIMIGTLTGLQWMIYDSFKIFMGLPTTGGGPAKEQK ANIA_02976 MASYMNASEAFVREKLDASTGNPIPQSLWADKYRGLTQTLSPKA TVEDLDPPPALSVSPSTPISAALLAAFERDYTHLTVTSSAHRSLLGYLSIPRLKELLA AGKVKESDTVSAAMQRFNRKRGTYQVITMETPLEELEKFFESGEGTNGEKREFAVVTD AERKFVLGVATKGDLEEFVRRRP ANIA_02975 MATRRPGKSYADAAVPGSTPGETPSELSSDTSPAVPMHVIYKLI GFTIAMITTPVGMYFVSVTFGASTTVAGIIAAVMANIILFLYIYVAWQEDKEEREADA ARRKGAKAQ ANIA_02974 MRLAGRCLLMKALEVAKHKPVAAMQSSHVGGMLFGFDISSMSAI IGTDQYNDYFDNPSGLRQGAIDSSLAAGSVVGSAIAGYVSDKIGRRDSIAFACIWWLV GTAVQTANNGFGSLIAGRVLNGVCVGITSSQIHVYLAEIAKKEKRGQPIVSQQLAIVG LPFLPRSPRWLAKVDRTEEAIATLANIQADGNRDDPLVVAEWEEITMVLQAERNALPG WRKFVYNGMWKRTLAGFTVQMWQQNSGANVMTYYVVYIFMMANLTGNINLISSGIQYA LFIIFTTFIFFYIDKTGRRPLLIYGALIMALCHFVVGGILSSGEYVPGGSHTVIAFSY LLIIFYALTLAPVCWVYATEVWSLETRATGMGIAAIGNWLFNFALGLYIPLGFQNITW KMFIVFGAMCVLAAIHFFFTYPETCGKTLEEIEEMFAPGGPKPWHTKPGGSKVDAHID AVRAHSKHINYDTMEAKAGAEVNEKVG ANIA_02973 MDDTVPDTPVSNRKIVHSTPGGMDEDGEYETIATLPVNPAQNTL LATQSMTPSSQRLTQPTQIVDVPYTSKGSSVVQVAASSPLRPASSPSRPSPAGPGRLS SLMAPSGTRFCPPAKRAPLIDLEDDGPTYRGGSSDDDIQMSTDIKPATFTSRNPEKST AIDRFKEITSSAIYDPSSTKRAASQMDPTPKGVAVKRVRQEGPSRAQPVDTQSLSLQD IDDYQVRIKVERMLKVLPRKSVHDCVQALLAKKGSYEDALECLASTEDQNGHADSSED ELSTIKKTSPVAPAKQNIKARGRIQDKWTAPNLPKSTLQKQPEEDSRPRKRLIRGPKT RVSPIPSSPTQNETPPKKSFGRLVQGRRRPSPTRSESPEAPLVTSDDSDSAFDAQDGA DLETKVLGFFNGCTAPALADLAAITEDLAEYIIARRPFSSLDEVRVIPAPETEQTATK TGRKRKAPKPVGDRIVDKCLDMWVGYEAVDSLVARCEALGKPVATEMKKWGVDIFGKR EGELDLVSMEPSGSHDSGIGTPASQPSDEDSDGPGSRSRKARFISQPGIMAEDLKMKN YQIVGINWLSLLFENELSCILADDMGLGKTCQVIAFLAHLYEKGIKGPHLVVVPSSTI ENWLREFQKFCPTLSVMPYYADQNVRAQIREQIEGNRDDINVVITTYTIAKGKVDAHF LRNMDFCACVYDEGHMLKSSTSVLYEKLIRIRARFRLLLTGTPLQNNLQELASLLGFI LPKVFQERKDDLQYIFSNKAKTVDESHSALLSAQRIERAKSMLKPFVLRRKKHQVIDL PAKISRVEYCEMNAAQREIYEHEKNEVKKLLEDRAAGKKTGNKSANILMKLRQAAIHP LLHRRHYNDKILTKMSKACLQEEKWAESDPKLIYEDLQPYNDFECHQLCVENPKSLGK FALKNNEWMDSGKVDKLCELLRRFKENGDRVLVFSQFRLAMDILEVVLENQHLKFVRL DGTTSVEDRQSIMDTFHENTDIPVFLLSTKAGGAGINLACANKVIIFDSSFNPQEDVQ AENRAHRVGQTREVEVIRLVTKDTIEEQIYALGQTKLALDQAVAGEDGADSKKSEEAG IKAVEEMFTAEITQKERST ANIA_02972 MTYIASPVSTICVGQAASMGSLLLCGGQAGQRYCLPHSSIMIHQ PSGGYFGQATDIAIHAKEILRVRHQLNQIYKRHLTGKKELSLDEIEKLMERDYFMGAR EALELGIVDEILDRRVKTGPDGEGKKEQIPNAR ANIA_02971 MEHLHSRMPYAQWRLQVFHQLFLSSCSSSPAFLSRRVHSNSSYN AEPSTGEGNKNNTNKIHDNASKTGSTKRLSQLLPQSPLITNPNPGRALRHRKKRLPTP DDLSEISNNPWAVALASPVRMCNVTGTRIPRALLTEWGLVEEPARESTPEPDPISAPA SDSYFNAESNTQVHTPRPKRLENKVKDNKLWILPLSLLKDDVVKKEGKDNRPHLKFRM LDRNYILQTITNAERRKTKQKNFIANLIPHRWKPPLGPLNAEHQKRLAWRADMPDFVL GVKRREALKQLKHVSDLLDSKNKSHARWMSFDVQKPYSGKTLVEGLITEGLAGKEVHH GLETGVFLVLGDGSGSGAGDAYEPANFPESVALPGIDRKVPIFDLTRLLSQAELEEIR AYHVRFQKFGAFFKPSRQPCIDAVLALWNLEGYIREATS ANIA_02970 MAMTVPRYLTGDPAGIKEFLDKFDVFLFDCDGVLWSGDHLFPGT VETLELLRSRGKQVVFVTNNSTKSRADYKRKLETLGIPATTEEIFSSSYSASIYISRI LNLPANKRKVFVLGETGIEQELRSENVPFIGGTDPSYRRDITAEDYKLIAAGDESLLD PEVGVVLVGLDFHLNYLKLALAYHYIRRGAVFLATNIDSTLPNSGTLFPGAGTVSAPL ILMVGRDPVALGKPNQAMMDAIEGKFQLDRARACMVGDRANTDIRFGLEGNLGGTLGV LTGVSSKEDFVEGVVRPSAYLDKLSDLLEAAE ANIA_02969 MAANFFSNKARAAAAFSSSKQKPTEGKEEQSRLQPWVEKYRPKT LDDVAAQDHTTKVLQRTLQASNLPHMLFYGPPGTGKTSTILALAKSLFGPALYRSRIL ELNASDERGIGIVREKVKGFARVQLSHPTGLDAEYFEKYPCPPFKIIILDEADSMTQD AQSALRRTMEQYSRITRFCLVCNYVTRIIEPLASRCSKFRFKPLDNSAAGDRLAQIAQ LEKLSLENGVVDKLISCSDGDLRRAITYLQSAARLVGAAKAAKDGDEDEEMKDQGSDM ITVSTIEEIAGVVPESVLDGLIQSLQPKRMGSPYEAVSKVVTEIVADGWSATQILLQL YRRMVYNDAIPDIQKNKIVLVFSEMDKRLVDGADEHLSILDVALKISGILGGT ANIA_02968 MSYTVRKIGQPYTLEHRVYIEKDGQPVSPFHDIPLYANAEKTVL NMIVEIPRWTNAKQEISKEEFLNPIKQDTKKGKLRFVRNCFPHKGYLWNYGAFPQTWE DPNVVHPETKAKGDNDPLDVCEIGELVGYPGQVKQVKVLGVMALLDEEETDWKVIVID VNDPLAPKLNDIEDVERHLPGLLRATNEWFRIYKIPDGKPENQFAFSGEAKNKKYAEE VIHECADAWEKLVSGKSDRGDISLANSTLGNSDSVDSSKLASIPRGENLPPAPIDGTI DKWFFISGAAV ANIA_02967 MTSCPKRVPIPANGVDYRGKVVLAPMVRSGELPSRLLALKYGAD LCWGPETIDRAMIGTTRRVNPRNGCIEFTRMPSNSVNKDDAKESVIYRLDPAREKGKL IFQMGTANPELAVQAGKLVAADVNGIDVNSGCPKPFSTSGGMGAALLRTPDKLVSILE ALVKEVGEPYQIGISVKIRLLETPELTESLVSRLVRTGITGLTIHCRTTPMRPRERAI RDQLRMIVSTCHNAGVACIMNGDVTSRDEALALMQEYGADGAMIATAAEANSSCFRSE ADGGLAPWREVVHEYVKLCIECENRFGNTKYLINMLIPGKDKEFREAKQAKCYTDLCT ILQHDDLMPAAQEVDEILGIAHKSTARSKAVENAIANNDTARAAGGVSRSKNTSPATG GIGPIRTNSIPKPMKAETKAESKPAATDVDVSTPAPQPSQNEVAV ANIA_10363 MTTPDVFRDAEYIADVSGIQYGSITEASPTLQDDSLRKKLQTAA KLAFIDRLLRDLDILIYCELSALYYLDCSIVLFAIRAISQLIFFTPKAPPFDPTRNQP FVGAIIASNVFCMIFHKFFSQSEAGEATRDYLHGGILIDFIGQKAPVPLFRLLCLDLL IMVLNIVMLGLIIERVKLAELRTTTAAVSATAAADTTAQADSAQAQDHDHEERGVLSH ETEARGESTNAENERIEQSSHTALSSTHSPPPSEEELERTRLLADPSENGGSHTNHQH ALDAYSSGGAVIVRLGLWNVIRDQWRYSPSAVRRSATYAPSHQTAAFLRERFGLQVGA DGRLERITTT ANIA_10351 MTSNLTKNLKQPALDFLSFVNASPTPFHAVNSARNLLANAGFQE IKEKDSWASTCRPGGKYYLTRNQSTIVAFAVGKKWKPGNAIAMIGAHTDSPVLRIKPV SNKRGEGYIQVGVETYGGGIWHTWFDRDLGVAGRAMVRTDDGSIVQKLIKIDRPILRI PTLAIHLERQETFSFNKETQLFPIAGMIAAELNRTGQAEGASDKSNTAAESENAEFSP LKAITERHHPHIVELIAAEAGVEPADVLDFEMILFDTQKSCLGGLMEEFIFSPRLDNL NSSFCATAGLIESVADESALDDESTIRLIALFDHEEIGSRTAQGADSNVLPGIIRRLS VLPSTAGDVDTSTAYEQTLSTSFLLSADMAHAVHPNWSAKYENDHRPEINKGPVIKIN ANARYATNSPGIVLLQEVARKAVETEGEGVPLQLFVVRNDSSCGSTIGPMLSAALGAR TLDLGNPQLSMHSIRETGGTYDVAHSIRLFKSFFQHYASTSQSIFVD ANIA_02965 MSESNRASSNPAIYGSARALRSTGTHIVSPPGSRTPPSMPAKTT LYFPEPTGVHHTSRSVSGPIDPNALAKALREYEDAGRSRERTPGTSPSRKRQRVYGDR FIPNREGQDLQATYSLLHEDGCPSTPSKTKKRTPHSELHFQKTEEANRMYSRVLRSEL FGNTVPQADLDSLPSNTIRSSGINDKTRSHTPPSHVVSALPPASITPSTPHKNLFNYA SPRAGSAHPTPSKTPRNQHGPNLNVRSELYSLSPIRYDSQRILETPRKQPRYVNKVPY KVLDAPDLQDDFYLNLVDWGSSNVLGVGLGNSVYMWNSQTGRVTKLCELKDDTVTSVS WIQRGTHLSIGTGKGMVQIWDAERCRRLRTMIGHTNRVGALAWNDHILTSGSRDRHIF HRDVRSPDQYLRRLSGHKQEVCGLRWNTEDGQLASGGNDNKLLVWDKLNETPLYRFSD HTAAVKAITWSPHQHHLLASGGGTADRTIKFWNTATGSLIKEVDTGSQVCNLAWSKNS DEIISTHGYSQNQIVIWKYPRMEQIVSLTGHTFRVLYLAMSPDGQTVVTGAGDETLRF WKIFNRRPGREHGREGSKLAEWGTIR ANIA_02964 MASNYSARQFSAMLRKSQPRVVAYSTRRLASTSAPQTHNPAYPL YPSVISLLHQKGIPESEVSKIPASGPKGRLLKGDVLAYLGLIPSDYPASQAARIEKLA HLDLSNIKIAPPPAPPAPESAPAAEEPVVKTPPTISVAVSISLATVLRAQKKLQKSVG VTIPLSTFVARAADLANDDLPRSPYAKQSADEIFDELLGAEPVKVSRGEYIPELNAVD VTPEVRAGHTAKEDLIDFLSGSKTTKRSPPAAAIDSFEPEQALNVFSLTVPVGEEKRA RTFLERVKTLLTVEPARLVI ANIA_02963 MGKLIRLELYNFKSYKGHHTLLFGDAYFTSIIGPNGSGKSNSMD AISFVLGIKSSHLRSTNLRDLIYRGRVLRTSKVDADGNAVDRETEGVEPTQNEYDVEP SQDASGTNDPRTAWVMAVYEDDAGEEQQWRRSITSQGVSEYRINNRVVTAQQYNEALE AENILIKARNFLVFQGDVEAIASQSPKDLTRLIEQISGSLEYKAEYERLKAEAEESAE QQTLQLNRRRAINSEIKQYQEQKREAENYARKAEERDQAIITHILWKLFHFQRMIDDS SAEIQKYQDELKEYRRGVEKYERNVEDAKKSHARVGRDVATAEKNIVKKEKEIEEATN ALVPIDEKVDITKRKVERYSSRIAEIGKERDTQSASIKQLQKNLKVVEKAQAQWEADW QKALSKQGGQLSQADQQEYHKLKEEVNKRSSAEQLKLDNLKRQRKTDAEAYNSLKSKF DSTQWQLQTLETDTKALSERKAAANETVKTTSKEIEKKKKELNAISSERLRVSQMRTE YEEKLQVVLKKLLEADDGKKQTEREIRAKELISTLKRIFPGVKGRVSDLCKPKQKKYA DAVSTVLGRHFDAIVVDNEKTAKECIQHLRDQRAGQATFIPLETIQVKALNSNLKGMH RAMRPAIETVDYDDSVARAITYACGNAIVCDDLATAKYLCYERHVDAKAVTLDGTVIH KGGLMTGGRGPQQNSKRWEDSEVESLFKLKDKIMADLANLPKGHRRGTEEETLQGELV GLEQRLAYAREELKALERNLKDKYTELDFVKRQLEDLRPKYTEKQEVLDELDEAIATS QDAVSGVEDEIYRKFCKRLGYSNIREYEVQQGSLHEEAAQKKLEFTTQKSRIENQLSF EQQRLQATTDRIASLQAQHQRDANMIGELESEQERVRNKLDELNAELDILREKLEEQK EKYGQSAENLAHHRRELQKRSKEVESTLRFINGLETEIQRNSSSRYALLRRCKLEDID IPLTEESNPLDQLPIDELVQGADPDAMDVDEDTQANGGFSVQDYGIEVDFDSLGDTLK EDSDEKLEEELLEKVRTLNSELDKMAPNTRALERLESVENKLRATEKDFEQARKRARK AKDDFEEVMRRRSELFNKAFSHISEQIGPIYRELTRSSNYPLGGQAYLDIEDSDEPYL DGIKYHAMPPLKRFRDMEHLSGGEKTMAALALLFAIHSYQPSPFFVLDEVDAALDNTN VARIANYIYDHAAPGMQFIVISLKNGLFQNSEALVGIYRDQVENSSKSLTLDLRKYT ANIA_02962 MKDIPAADDIQLAALGHKAELKRNFSMLSMLGLAFAILNSWTAL STSLNLSLPSGGCTSVVWGLVTAGVCNICTAASLAEFLSAWPTAGGQYHWVAGSIIVS WKRQMPMLSWVTGWANVAGWLALTATGGLLSSTLIGGIIGLVYPLFEFQRWHQFLIYI AINIIAFAINAFMNVGLPLVTKSAFIWSLTGFVVISITILSCASPDYNSGKFVFGEFI NTTGWPDGVAWLLGLLQGGFGLTGFDGVAHMIEEIPNPTVLGPRIMIGCVCIGITTGL IFLIVLLFVAGDINLVIESAATPLLQIFHDATNSNAGSICLLMFPLVCTVFAATTIMT TSSRMVYAFARDGGLPASPFFSRVHPKLQVPLNGLYLTMFCVIVFGCIFLGSSSAFNA ITSSSVVMLDVAYGIPIAINCLRGRQMIPERAFVLPQALGWMVNLIALAYISLTTVLF LFPPELPVTGSNMNYCVAAFGIVLIISAFQWIVDGRKHFTGPRVDAEVLAAVESYSGP NIDGAAEIREQK ANIA_10370 MPLNFLKNLLPWGERVIFNLPPCQIVEIDTAQEKAARALKHLLK LNHANYAILWNERKFHNHAPHSLCSFYLLGANADDLNRLYEAELKPLEAWIDSPGEIS TYDWRDYLGKREYQRAYVDFFEDELVRHGYDWKTVVFQYLFSGKEPLFNALASDLGHP LIHLAYAFEVSSREVAMEALSLATVCYGTAHKYLDDPSYSQAESSYHSTSPFEILQKV RADKRLSNLFTAPGDHNTEIVFRDAEATILDHWNAWKITSNSDPVKSFRESQELAVAL LTATSSSDNRDAKYDFFFVHVLTTSHAVRVLLPLIPAKFQIPLVRQWWLMTLAVYIGQ LRPEINLHSVRKYDTEGKGWGYMKDKALHGEHSTETHYIKALRVIRDIARTWGDPDEF YLKAALKFADGFTGWGGFV ANIA_02961 MPTARMPLTTQSNRAGSTDTESLTLHAEYLPNIRQVSLQISAAG ALYAYPEISLSESRRAVTVSLTLPESQEAVMETIKLPAKVTEGSRRLLSNATQSAGQG KGTITGTEREFSYRMQVDDTEFVVRDAVEEHMDSFVPWSAGDMLPTTKLRCVKCEQVI LDKPSNTAAPTLSDENSTSHAGWLWKDLPSGNWAEMMDFWHCHKPDPHEGQDADGAAK QTIEDENSKVKGYGASNQVLATPGTVLVDVATFLVAAIECKGLKKVSKGHPKSINVPL SEQELQCENCGTLIGVEDTIARGWRLFKASLAAEGRLEEGSLEAHPTELIVAAQLLEL IERQSARRFIIHCGKEDGILIWVFNPDMRFSNSSSQHSITSQRAMKVFFQPTADVEAI LHPEPGKTSSLSLEELRLPLETYASLITALETRNAMLPPSARFFQRSWRVGILQRFER LKRA ANIA_02960 MAPSIATSEHVDLRAPIKTLLKTNAGHNKENVIGYGETYKHADE LKGTVKQPPASFPHYLPVWDNETERYPPLQPFEHYDHGKDADPAFPDLFPKDASFHRD DLTPTIGSEVSGIQLSQLSKEGKDQLALFVAQRKVVAFRDQDFAHLPIDKALEFGGYF GRHHIHQASGAPRGYPEIHLVHRGADDTSGADFLAQHTNSITWHSDVTFEVQPPGTTF LYLLDGPTTGGDTLFADMAQAYKRLSPEFRKRLHGLKAVHSGVEQVNNSLNKGGIARR DPIMTEHPIVRTHPVTGEKALFVNAQFTRYIVGYKKEESDFLLKFLYDHIALSQDIQT RVRWRPGTVVVWDNRVACHSALFDWADGQRRHLARITPQAERPYETPFEG ANIA_02959 MAIDDATVSNQITGPVDDETKKGPDAVVKSEASSDSGNNNRRRF REYNEAAFDQSEDPRFYKPIPEYEGIHRWDPDFDWSEEEEKRLVRKVDWRICTFACIT FFALQLDRGNVVQATSDTMLADLGMNTNDYNTGQTIFYCAFLFAELPSQLISKKLGPD RWIPIQMFSWSLIAACQAFLTNRGGYYACRALLGLFEGGFIPDTILFLSFWYKSRELP IRLSFFWVSYQGTAIIGAFLAFGFLHIRREDGTGGWRYLFAYEGLITGVIAIIAAFWM PPSPTQTKGILRGKDGWFSEREEKILVNRIIRDDPSKGTMHNRQAVTPKMLWKALWDY DMWPIYLLGLTWMIPNTPATSYISIQLKSLGYNTFQTNLMTIPAYALFIVNLLFWTWV SERFDVRFLLGIVSEVWCLVPLIALAVLPDNTSPWALWILNVILIGAPYVHAIIVAMT SRNAGSVSTRTVASAIYNMMVQVSNIIGNNIYRENDKPHYRVGNRVLIALAAWSLVLF VGARYYYEWRNKKRAEIWDRMSSTEREQYLAANGDKGNKRRYWMSDHLVPARKAKCF ANIA_10371 MVETYNIGSRSECERLVKSWGFKHVFTWADGSNAYYPPHTHGGL TTHLIRRGTMTIAYPDDNAKSTSRDIKETFGVGARVDVPAGKRHEVWIGDEGCEYVIG E ANIA_11374 MSRTIRCLVSGAQSVKVLEKRLGSFQENIVTYAVLPAERQTETC SESEPLPGRMKAHCPRRGATPHRSNTARFEAQVAASLTLYE ANIA_02958 MFGRGRSLQAAIWTACGMAFILFGYDQGVFSGIVENENFLDHMS HPNDSLMGIIVSIYNLGCFTGCVVNFLVADKLGRRRAMWFAMLWVIVGATLQASAFSV PHMMVGRFVTGIGTGIETSTVPMWQAELCEASKRGKLVCSEPLLVGVGIVISYFFDYG MSFVGGQIAWRLPIACQMLFALVVIILIFGVPESPRYCYQKGHNEQALQILSDVYGKP KDDPKIQAEQTEILEALAVETKNGEYKWRNILKPDSVSTGRRVLLGYGMQFMNQVGGI NLIVYFIPTVLHDNVGLTRNLSMIIGGCVQIMFVLGSFFPTFFVDRVGRRAPMMWGSF TLGVCMMMVSILLSFKGKENEHATSSASVAFFFVYMFAFGASVNCIPWVYVPEILPLH ARAKGTAVGISSNWIWLKNFFVVMITPVIINRLQWKAYLIFMCTNFAFVPLVYFCYPE TANFTLEEIDYLFTHREKGAVKLSKELHKERKKFGHARRLTADDSDALGTGVKGSELV EKSPTDEHVENV ANIA_02957 MFSIEPPSKRPRLDQPSQTHSRSHSYSAEFLDETTGAEECATLP SANTRPPESSTTSNHDVFHSSPGSWYQDGFSQDPGFLASQEELRAILFTLANSAAPTR ASSPEAGKKAPELDLRTSTSPSTTVTRPYHHYQTHQEQRRTAAPSRSPLSSRRRIEYL KNYVAEIAPWLDMFDSSCTFRQQLPALSHSFPALLYAILAISARQMERKSGTQGWCDS LELYQEAIRLLSPLIQVRDPKIVAACVLLCCLEMMSARAQDWHRHLEGCAALFEASGI HGFCGGLLQAVFWCYARMDLCGALISDGTRTTVLHPSKWLPVGCQEEDAYRLFKEART PDMHANYAVYLAVKTTELVSNRTKFIELGEANGCTTEVFATRWGSLWDELQTWLAERP PELLPIQTIPRKPFPYILFVHWAAISSNQLYHTACILLLKMMPKGLRIPRSPTLSLLW HARRICGISISNKHQGCLNNAIQPLWIAGRLFSHASEHEQIVNTIRGIEAETGWGACW RIRDLELAWGYAVSGDRREMINQQFSASMDGDAHQVSSI ANIA_02956 MTKAIPTENTTRLLPQQPRNSPDVAQAVCGVGSGDASNCIDKNF DCNYGLQVTFLAKNSITVRADELEQSGDAASTKKGSYSKIQFVNEDPLSIDNLVTPQV SSPESNVETASTPLPLPFSASPRGLLPGKENGKGSVHYSVSDRETLFTEPYIEERETS NTYRGARSHSQHHETLAPWEHDGHAHAHLDRAPTPPVTLESTIPPSTFSAKDEYAVQG LLALGTQPGSCPAPESGSGSGSGNSGAIPGPIIARADNHGNGEIGPVVDAEGTPDRMI SVMSAGFVDGILQPTIGHDVSPPAPSILDFDIGGSNVNSYSFPRQMSDYKTMPQTWKL QLLQNYRYHVAPWLDILDLSHSFGITVLQIAFDSSTERLLHAILALSDTSMRVRQDRG YSDAAIQLDPHFYSHSFQAVHYEDTTASYSDSLVNAAVDETEAMLLRLFEKLGKLVAD VARAWAMDRDQYEQDGRSNCYEYRQLRSLVDRAYGLGMDSAIYWMVLRMDLGMSLANN TPLRILLPPHSLPSLSRLVRIENTHERVSHYAQALLSLCGKALNIYHQQDAAPAHQAA NPDNWFQVFEKLSQWYYLRPQEFHPMVELNHDGVDALSAGKCKPRTATALLNQHQKLN PHSPVLSPLWHAHRGRVLGSLSAGILSCRG ANIA_02955 MTQILHVSPVGWDHMKNHWWQFSRRRPEKVSPRVSAKIDGLANR HLNLANRPTGQKPSSVGIYLGGLDEHLSDYQRRFLDEWDMLIVDPYQSGVVEAVATAA GKQILGRLDLQDVASKEDAALAAIEKIDSALSQVFERSAFSGILLANWENKLSSECWA SLLQAIQKLGLSVFLETAPPDFLPDRLVLQNQAISGLVVKNASILPNGQKRDYFQLQS MKSTIKAFVSEACMRDFTVVAWETIDDDASLSNAVVRRSLQWCNFYSAIPWIGCRAAL KDVKLNTKLPEPLSSFSWLKEPEIMSAHDRWRANSSIEDVNGVVSSGWDELLPFFPTL SNLIASSERDNGAHEDLTMAIRDPPDWVSLTKSQTNPLSTSIGGLEYNSFGCFPLGAE ATAGSFAEILKSQQRLKSLGLLHPVPLAKMQSLATLLRQFHDGFALSAWGSSDPVPGH IKELSNLAANEQLRVYLGLDSGFRKNTDIRFWGVYHMDSEGFDVFVSKKVQGLAGTVL HTYLSAKGCPRHVCFGAETALAKWTKDLVEEIGLPRRLVQDIDVLSPEERLLLLQHLS LTDAPSDLTKSICAYLQQQLIEGTSLVQLKQVNTVSYLEGSASPETLVNSRVKWYREQ GCSYPSPSVCLSLFREVEATFPQILKERREADLAQISRGLCQLMQGKQVDAYTDILAL ALFCAARKGALDEIYQEVTDRNPLFNAHSDQAAAFAESFALGSRCESYFDISPSAFGK LLSDRFRKSYKDGDLPDWINGAPEMATSYAGAQIDVNPDDKVKPMRGYQRFTFLSVFA FPALIDIILLTLIGRGLYLSAFMTYEEQNSATTALMISLLLSGGIGTWIACGGPYYLI SMAFAATNMFVFIRLIAGLAFTIAGGLIGFVVVSGVNGPRAGIVFYLYLVALTTYFSG FACLASFSYPGSTFLSGRKIIFACIPILFISPIVTTFTGHDSAIYIAVIYVFIGALIL GLRSVASKWVTWYQKLRKTDDTEIRKWYVETYGNGDEKVFGGLSDPAVLKLSREALLK DVTAERNRWFFSRPTTKSTLVLELARDWEATNFLLEWYCRYADVPRPIPYSSGWNTQT KVALESLKAAQRGIRLHNAFIHWRQSSREIGCGMLYFIVALLDKWVELLSGGHLIGLS ASLTTAYRMAVGFSLAYYLIGAVLIDTKAQELHSLVGSHTPVPLKTAKDIRDSQKRDV RFKRKVYWKTLGKYLMWHSWGLAFSTALVWTFQSHMEAMVMFLSYVAAYTGLLWYQYT KIFTGPHALKPLLVGSLVGLPLGIALKVCLPHFMYSQVVGLGAATWTVAFLSLIPAKM GMPKRVDSPVELGKTFHAYTAPWDDPDWSQQELQTFYESISLIPSDARLKLVPASHPG SEVKQMLISRRKEPRIEEAFPHSQELVDTALKAWEAGEVLLELVPLGSIGPNVHALSC STSDHLKIAIAVGRGLDHRIDVSANCQVIAETLLHAVAESIMQIPHEQAVLAESLVAA GVTETTARQLREEADTPLVVRWAKKELLRQLCLGFECDFHWEKLPRAVRKALLDRCLG QPCRLSEKHYRWLEESICQFDIKDLAVHIARSNLAAAAAVSILDYANYGTGESATYKE SETPAYIPYLPKQLPTVAYFIMKPLFSVYYKVAIALKFVVVALVADPEFQREYNHVMS PYPAIIRVPATFALSMVWNYAKIMQDLGLTFFLFHGRDNVKQLWDETKGMTINIKKSR YIVQSLDGTFTAFRHNQPDGGFKVYYYTGTPKTEPQGTKSLSCVSTYSKDLLLLIRQE YKGGNIVNEYHYDYRTPTKKGITLKLTDRKVPMGRRCVRGTNHLQSVQYNRKGLIEAG SYMKDGNLIRFKYHYRKNPQFGDELLRAEFALSHITCTVSWCAPPLRHPEKVDRWIPH AKVTEATFVQGPDVYEARWLYDHKFHPTIFTTLNGQKIQTPPMIEHDYLGVLAKPRLT SFVHDNPLFYCDSLSSNIFTRILGLTRKRFPVSISRARSLIWKAWKEKADFDGITVRW MDERLLRRDRTLSPYWRSRDWGDLTSAKKYLELRADTIAASADLDDGISSWTPLAVKV SDLFNFGPGGDAVVNTRSNDFGSDTEKSLHVMAADNGTWPNEGGGVSACRRDMINSLR TIKWHMICESANDFGVPKHQTEQNILSLKVIPLWGMDFLTPTHGLFRNKLDAEVESVT SANDMDIKMNFIPILTALVKGARAVHLSKADIRQATRALVNLNTFFQDSRHWTQIWNS EIVKESWRDLWLTQEMPNTMPSAEWFSTDLPTLGTLDVALELWYRYLFIFSIPIPEKI PSVFQASHHSVSASYGVVCKIKRNCTLQIWDHAIAWRETNLCLSSALCKLSPFVRNAL LGLMRVTSALTLYHADIISPCADFFNPGWEVEIGTCQGTIEHRNIFRRKVDPVVNGIT DMQKFAPVKEIKSERPTVTMLSHVWYAKDIKTALLAADIIINQWKFDDYHLDIYGAID KAPTYSTECQEIIASKGLRGRVTLRGTADPMKVLESTWLFLNSSLSEGLPLALGEAAL TGAPVVCTDVGASLRVLSDPDDFSRFSAVVAPNDALALARAQISMLALLGEWSQYAED TEPAPILPSSPTPEDVAKITQRMYDKSEHRRKLGMMTRKIVQKSFSGDRYLREHEQML WIGKSAKMMATRAPGLHEPADIATAIQQTLPIEEEVITIPRSAVHSWRSSAASGMSTL YTSYTSSTTPFSHLNPNNQPPTRSSYYAPAHTSASANLLTRPSSLYSAISNASTTDAS SFLPLPNTPLPVFAPRHSFALPSAPGANGGNGGGISRPLSPAGRRSPLFTRARHSRSR SGSLSTGGREQLRGLQREDLQQYRNSDVSTIMREDFFQSSIYRGIEGGNNQV ANIA_02954 MVAPTLVLQIGCFFGIFTCDTPRGVWPEGRPTVLSPWPPSPSST SSTTSVPPAASSASTISVPTVTGPTEPTVTVTATSSASTTLTTLTTLPSPTDSATATS ASTSADSTTISSTSSASDPAGSSTLVPTSEPSASEPSTSEPSSSTGTPGTSTSDPDPT SATEPSSTSTSTPEPSGTVTSSTSTSSPSTSPPSTSSTSSTSPSSTLTSTSSPSTSST LTPSASPTPSTTVTPSPSMSVTSTSVAPSATGSLANNILVIARDSTQASVASSGLNGY GIPFTTLLVPQAGVELPALNSSSGGNFGGIVVAGEVSYDYGNDNWRSALTDDQWNQLY AYQLAYGVRMVQYDVFPGPNFGASSIGNGGCCADGVEQLVYFTDTSDFPTAGLKTGSS AGVSTSGLWHYTASVTDTNTTKAIASFASGGGVDGESVAAVINNFDGRQQMAFFIGFD TVWSQTSNYLQHAWITWITRGLHAGYRRVNLNTQIDDMFLETDIYQPSGTIFRITTDD MDGITNWLPSIRAKLNAGSTYFVEIGHNGNGNIEAGTTAAGESTCSGGAIEYDSPPDT ALEFVKPIGTGTDVWPTSPTNFTWTTTCMNADSLLIWFQNHLDDYAYISHTFTHLEQN NATYSDIYKEISFNQVWLERAGFSAASKFTSNGIIPPAITGLHNGDALRAWWDNGITN CVGDNTRPVLLNSENEMWPYFTTEAADGFAGMQVNPRFATRIYYNCDTPACTTQEWID TSAGAGDFNDLLDTERAEVLRHLFGLHRDPYMFHQANLRNVGIDPITVGSETGQFSIF QAWVETIVAEFTRLVDWPIVTITHQEMSAEFLARYTRDHCDYGLNYILDNGAITGVTV TANGNTCDANIPVTFPTAPTDTLGFATEQLGSDPFTVWAQLSGSPVTFSLATPIAL ANIA_02953 METLKAPSTGWKSWTAKKKALLISSILIFIIALAVGLGVGLGLG LNKGSDNDNESGGNNTTPTTPNNTAIWQPAVGTSWQIVLRYALNDTSYDVDVYDIDLF DNKKAIIDELHSDGRKVICYFSAGTYEDWRDDADKFPEDDIGDNLDEWEGESWVDIRS SKIRDIMLDRLDIAVQKGCDGVDPDNVDGYDNQNGLDLTQDDTVDYMNFLADEAHSRN LSIGLKNAGAVIPRVISRMQWSVNEQCAQYDECDTYAAFVRRGKPVFHIEYPKGDDTN NEVQVTGQKKATACDFDDSNEFSTLIKNMDLDNWLQEC ANIA_02952 MAVLIFVAILAIVLPTSIIVTRRKNNNMGPKAKVFVPLYVYPAP GAWDPLVNVITAHPDVNFTVVEIPRLTAHDNVRVLGYVATTYAKRNISSVRNDIETYA AWPTISANPKLAVRGIFFDETPQQYNASDLAYLEELTSVVKNTPGLGPDHFVFHNPGV VPDPRYLSTADSTVVFEATYDTFQDRDGARLFETIPNSNRSQLCAVVHSVPDSVEGSE LRKFVKQARRVADEIFVTHLSTNYYASFGDKWDDFVRLMAQ ANIA_02951 MDSPRRSDASSPAEPCSPVCVDTPATQSSVLFDGNLDDLLRNFP LRQYILVTGGLGFIGSHTTLELLKASYNVVVIDNLSNSFQSVFDRIKHLAQKHHDERG TEMPALHLAAHDYRDTAALRQLLDQYQVDSRWGTPKSKISGVIHFAAYKAVEESIKNP LKYYANNVSGLIDFASTLGKYGIKTFIFSSSATVYGTLATSGLPLKEELCAHKDEIYH DADGVAQTIRAGSTGITNPYGRTKWMCEAILADLAASDPEWTIVALRYFNPIGCDESG LLGEDPKQTPTNLLPVVVKVMTGEYNELSMFGTDWETEDGTAVRDFIHVTDLARGHIA ALDAANERKLAENFRAFNLGTGRGHSVKEVVDAMESVSRKQIPVRAAPRRPGDVGSCV AVATRSQQELRWKTEKSLTDACGSLCKFLEVSGVTL ANIA_02950 MEVDISPQGGARPATPRLGENSDPPSGPTTPTPLPWNSLKRRAL FSLQKTPNAAPAAIPYIPQALLICDQLKQSNKTDYYIFLCLPASSSLQAIRPHGIQVT LAGKVLDMITQNRERLSYCQRRQQA ANIA_02949 MKMICQRCRSSILTRLQPQTFTTPLSISQRVQFRSYSDGRPTVS ATPPPPKPRQPIGDDITIPSAISSATPGVSQPLSTPEGVHIDVNPTKATKPVERPPSS CPAGTPLAGLNYFKNKPEVVALEDSEYPDWLWSLLDSTNKEAKKGGVDPSTLNKKQRK RYEKKMAARAATLPVQIPLHHQATDITPAHYNRNGEPSEDLLQKAAESQEKRSEITKS AREARRKAIREANFLSGL ANIA_02948 MAKQVPVHFFDILSTLPGPCKAWSPNTYKTRLILNYKGIPYTQT YVSYPDIAPLLKGLSVPPHPKGTAPFDYTLPAICHPSVKSTPSGAMNDSLPIARHLEE HFPERPIFPSGDASYALAVAINKLMGRVGFAAYRLVIVPIADILDPRGKEYYHRTRSE KWGMPFEEIRPTDEKQCQEMLETAKTEKATIVQMLKGKTGKTGPFFEGEKAGYADFLV MAFLVWFKTADEKVWKALVELGDGEVKALWDACYQWVEGQGENKDWKIDQ ANIA_02947 MAAVSEITERTGQIAIDPSSQAPATKPITGFSGPFKTRLDQIYQ SLTTESSNFSRDIQRDDQDPKDNNPLSSLAAFHAYMASPSASALRPAGPPDDSAPITD YFISSSHNTYLTGNQLYSDAAAKAYTDVLLGGCRCVEIDPKVLHGHTLTKGTTFREVC YAIRDSAFIVSDLPVIVSLEVHASLEQQETMVEIMEDAFDGMLIQVTPEMEAMETPPP LRDLKRKILVKVKWVSPSAENAEDVDDRTDDLEQLAPTKSAGASSQSASQAAQKPSKI LHSLSRHAIFTKGFSFKQFSQPEAKVPGHVFSLSERAARQADEKYGKELFEHNRKYFM RVYPYGLRVNSSNLDPTFFWRRGAQIVALNWQNSDKGMMLNQGMFAGEQGWILKPQGY RSTEPESAPIPRRTVDLSIEVLAGQDLTLPPGDKNEKGFRPYVACYVHVETPEDAANP GLKGDDSTDSEKTSYKRVTKAGEGVNPDFGSQILQFPTLPGVVEELTFVRFKVKDDEI GFDSLASWACIRLTRLQEGYRVLRLYKCDGSDSGGFLLVRITKNIS ANIA_02946 MRSSEDREDSELLPANRPRSPSRSSYDSDDSGLSVDSILEEQKY NAATNETLGLPQEMRYHDEEGGEAGSNEALHTKASSSRSRRLLWLVVLLCCGGWVVAF VLFITQGRADYRTATDELQSDNSGSFSDGTSSGKPLTLQQVLSGVFLPRGHAISWVAG PDGEDGLLIERGEDDEAGYLRINDIRQDGKVNRVLMQKPTVGVDGRTIKPSATRPSPD LKKVLIISNQEKNWRHSFTASYWIFDVETQTAEPLDPNNIDGRVQLALWSPKSDAIAF VRDNNLYLRKLSSERVVPITKDGGEQLFYGVPDWVYEEEVFSGNSVTWWSEDGSQIAF IRTNESAVPEFPVQYFLSRPSGKKPQPGLENYPEVREIKYPKAGAPNPFVNLQFYDVE QGEVFSVDTPDDFDDDDRLIIEVIWAAKGKVLVRTTNRESDILKVFLVDTESRESKLI RIQDISELDGGWVEPTQSVRFIPADPDKGRPFDGYLDTVVHEGYDHLAYFTPLDNPEP IMLTSGEWEVVDAPTAVDLTRGLVYFIATKEAPTERHLYRVRLDGSDLTPLTDTSQPG YYSVSFSDGAGYALLSYQGPSIPWQSIISTEGEKTTTLRIIEDNTDLSKLVAQYALPT ENYQNITIDGFTLQVVERRPPHFNPARKYPVLFHLYGGPGSQTVDRRFNVDFQSYVAA SLGYIVVTVDGRGTGFIGRAARCIIRGNIGHYEAIDQIATAKNWAQKPYVDESRMAIW GWSYGGFMTLKTLEQDAGETFQYGMAVAPVTDWRFYDSVYTERYMHTPQHNPTGYDNT SISDMAALHNNVRFLVIHGASDDNVHIQNTLTLIDKLDLASVQNYDVHFYPDSDHSIF FHNAHTMVYERLASWLVNAFNGEWHRTANPVPDESMLRRLAKRVWPGFAH ANIA_10350 MHATNGVVDSPTPRQDGDLGYKPVLTGKQEHYLKRELIARQVQK EISELNSPTALRRFGAPFKSDFGEVAPIDSELPILRYIFVHHVRNFPFLDQAREKEFW QDKLQVFLESFANKNVSSSEDRLEETKRRKLARKCEKLVELMMVSGIPTASGYEERIQ FSEMEVVDRGANEKGLLVNMPEGNAINGWDINVAAVRVTSVRRTVRHHQHAEFIIRVR RNGQPDIFVARRFGEFVKLQKRLHTEIPGRAVPPLPRKNKSSTASTLWGGSTAEDDES SLSSVSTQDIGPPEETRLSRNSLAPSDIVQRTRSRSRSSVRKSPRSSAEVPRETVLYR EEQRISLRAFLRTLLQNKRIAESKALEEFLTADPFVPGQEETRDMERRKEVDAIRIEE QKRFYEIARERAAELDVYMEKFRRDIVESNGLTKLFAEIREKPTVEDLSPQYQKFAEW LRIEVAATLYHLFLAEDNSAELFAQAKRIHSLVPYTLLKNVIRIANPAAVMTGVLDLF LAQPFGSRSLLQRIFSMTLHDGIKGFQRSIDAMAAKVDDPIISQKLKAFTEADEAIKN EIRLEAAEDDVDVAVAILRSDYLPFELSTEQIGKVFNSYVAWNHAVENVDEEMREGAQ WFANVKQLLKLYTRQTDKAKMLSIIEEPVTLQLFRDLFTIFYEPLVRVYKSANVYSSI TDFAKFADDAIAVIEKCQRQDISADPNQTVQAFIDLCERHQSSLYKFVHEVHLHDNGL FGSLMAWIEDILQFLRNGPKGGKLDMNALFSGAKDMGQIDPALAREEIDKLIKWHEDR KQWHLNKTRQKMAAEGTASSPFQTKFKSSDFGLDEADLEHLAISDEDSDHSDDIDAED EAEELDPIAVERKRRSRQQDHLRRTAGEPVKPEVHEILKLSDSFGVLLRQVLAD ANIA_10360 MAMQLSRATTSSEAADEESWIYTCQKDQQHRCKFFLWTSDAEAR EKLVLLSNSRTEAVPSGSSSPSPTPHTPSSKTASAQGSAEGLLTPRTGYRDYKRSRVD NTPASASASAKARMMAEDTDEFDWSDGLQDEMEKVIESSQPLRQPQFGPPARKAPQTA DVTSPAKRKRSVDDEGAGRYGTMTPGGSVRGTGPSNQGAPFLTPSPARYRNAGQGPGV GESPLATSSDLAVQVLRILEKHGGDVPAGAGEELRELFNRQEMKMKGVLRGRDISRAA LKDKDEQIVRLNERIATLESQLQMERTVWGSK ANIA_10361 MAQSTEDNTRRPRPSDLPEMFRPPVNRAMRVLDRSFFKKTVPLS AATIFKNSDISRVRRALEQSKDILALPRLNTIQDIKQDDVVKKCLLLKETIRHDDTAT WSPTINELVDNGVVGVGPFDLTLDYDYWLHSDIISAVLPEELLEEVPQGFTQVGHVAQ LNLREQFIPWRHLIAQVLLDKNPTLRTVIRKTEDVGSQSEFRTFPYELLAGDSDMNVI QHEQDCEFRFDFSRVYWNSRLHTEHQRLVDLFKPGEMVCDVMAGVGPFAIPAGKKKIF VWANDLNPHGYEVMQDAVKRNKVFKFVTPFNQDGRSFIRWSARALQKYDPVTVTIQPR TKRTRDASGQVKETQPPLEVYTRPKVFHHYVMNLPGNALEFLDAFIGVYAGCEELFEP HTKEQLPMVHVYCFSGHSENEVDDHIDICKRMSERLEYPITVEDRVGGAGNTELELSI HNVRLVSPNKQMFCASFRLPRAVAFRKK ANIA_10362 MSGVNLPPATHRQRAPPQGELEAASTLKLGADQNTHTLSLSEAR LVIDKVLENKRRGGKKYEEPENLIKTLEYLSLFARFKDEENIKAVERLLNSHTELEMF ERSQLGSLCCDNADEAKSLIPSLQNKISDGELQELLDELTKLRNFTE ANIA_02944 MAAVITLQSSSTAGLQQGSPSDRHLLRPLLQNNPQQPPLQQQPL QPQQSPSPAPIGTLPRLPALGRDGPSCDACLRRKSRCAMNEMVNKCYSCDFHRQDCTF TLSSSSTTTTTITTTATSANSANSASSASTAGTSRPSTADVQSKKRKLDDIINSDADS PKRLSTVSKADSARSPLSEHPRFNAVSYWHQTTQHIGLTTELEPALLAYLPVDQNDES IVAASRVRKLSDDGTFMRVVNTMSHADAPQSATLVSIESLVAPYGSTLVEKFFEHIHP TFPILMEDVFRQSYRTRNGISPLLLSAVYVLALKFVDIGPASQSARRPDATRLEATAL RLLNESLPYASISTIQAGLLLMQKSTLATAALNAQLVTAGFELGLHQDCSDWRMETWE KGLRKRLAWALYMQDKWSAMVHGRPSHVVSSNWTVQDLVEEDFTDAFASTASQPEDAP VGHGPLFFCHLVALTTILSDILDRFYTLQSIQEFKAAGSNRTRLILERAKPAQIRLKE WFARLPASLKLDSTTDLFENITEENARNGALHLSYFATEITLHRCIVRSLSPDSTDAY LSHICRSAAKTRLISAMDFVNRLRPPHLRSFWPAASRTHFALIGSFGILLRVTAPTKE EAEFYRLRLCEYRWTLSVSKKDAEFLEFALESLDNATDLDHHVPAKPGIDELMTSSSK PYIASTSARSGTTQEEAILDLDPRSGTGGTSSVISGLASPATSVSEESMHDAAVAPM ANIA_02943 MPKDFQPFLQRFLRHSVQETSHPPITNKLVDTDEADLPRNGYGS SIESGRKYSTGNISIGSAAKDGAHNPPHQHFHPPNSRRGSWAWESLSEYVSLGKTSRS SSISTSSISQREDWEHWAEKNLTKQDQPVEKDKMALITRKYGEIRQITGLSDHAVILQ SHKVQYCPPLDRYYAIKVFRRSPGQSTDEYTKQVNAEFAVVANLHHQHVVSTFELLPI GGGNLAACMEYCAGGDLHSLITAGPSHRLPSEEADCLFKQLLRGISYLHKSGIAHRDL KPENLLLTHRACLKISDFANAERVRFDGDDSQHANDLAETERRSLEPTPYLAPERYLD EGDRYMSRSDPRALDIWAAAVIYVAMRTGRNLWKAATEKDEGFRAYVEERKAEKTNTV IQDSCHERGRKVIYAMLSTDPGKRPIATEILSSEWLQNIDCCIFDHSQAESNGFSA ANIA_02942 MATKPTIVFSIGAWLTPPAFNALRAKLLERCIPSEAPPHPSIGA EPPNKTLADDIASLSSVLKKLVEVEGKDVVVIGHSYGGVVASSAVEGLAKADRETAGQ NGGVVRIAYMAAFVLDKGQSLLGMLGGQYLPWMEVKDDYVYCNAGAEAAMHDLTPEER QKWSSELVHTSRAVFSGASTYEPWHRIPSAYVLCEEDLALPLLFQEMMAAKLETDLTY RLKSSHSPFLSMPDSLTDVLEDLVSRV ANIA_02941 MTSPTYRIDPLGHPELVAKDEEHQVTYGDMAAQPGSNELPCHQP TQFPLPYSRFAVMFIDHRGELQVETSSCLAGYEKAIFTDEVQERFLKFVNREWQPNLQ NIPAMGLPASSWYPPTQHRPTGLIPYEWQSIQGERRRRLRRVDSGIAHSWNPPSPSPP LKRTTLRVGHTQLLRKYYERAFEDFQQLNCRVIAKALANFLDPEDTQPDPEKTKPRWW PEGVKHKEPDHLHKRERIRLLVHILCELKDSHGVTAAKLKDAGLDVRRQIQPEKRLQV LDEIYYVRNQEELYLDGKISGDHMVHVSYGHMEEEVQGSVLDHPASSSTTQTNQQPIR RSYRDIPIISEDLPHRSLRNGKRPADSECYRPISPASSASRNSSLERGVTSYSSDIDP AILSKTEPARNTSASQSLHPQGPSSLPDIYAQQFATQPQSTHPGFWDTLATSTVHPQF SFSSY ANIA_02940 MDEGGVHQRRKSDAKGGDTPELQPADMEDILDSGSDYFSPPGSP TTRPRLSRSSLSYQDDWETFPPLDQLTVFDLLDNLSLSQRLERLQRSLNLQREKVKKQ QEKLRSTSKNARDRVVGELKRRVPTADEQLEKYRRRMKTGVERLSQRWNAASAVTLRE KISFIAGVLNIFISGYLLGSNPEYFYIWFSAQLAYFMPIRFYRYHSIGYHYFLADLCY FVNVLCMLSIWVFPQYKRLFISTFCLTFGNNAVAIAMWRNSMVFHSMDKVVSLFIHIM PPVTLHCIVHLTPLDVMKERFPAAYEIKSSEPGSPEHFGLLSMMLWATVPYVIWQLSY HLFITVRRADKIAAGRPTSFTWLRKSYAKAWIGRLVLSLPETLQAPAFMLIQYTYALL TMIPCPIWLWSRWASGIFITGLFILSIHNGATYYIDVFGKRFQKELEELKKDVARWQS SPEGAITPITPGTTAHAEEKQLNARSSRSNSDNASIEKIPLLDSNGVSTAIEGGTK ANIA_02939 MSVSMRSARLVRSNPVLRPNSIARQRYAGAFGAAATGLRFNSRN FPSQVTALAILVPKRGYATEQSTNTSGPSNLPPPGFNAEQAKKPISVDQAQPASAKAN QDTIPKGEVSVQSQNAQSTSKESGLASKSVAEDKDKKAVEEPKKESKKLTIGQKIKKE IQHYWDGTKLLATEVRISSRLALKMAGGYELSRREHRQLKRTVTDLGRLIPFSMFVII PFAELLLPVALKLFPNLLPSTYEGKSAREKKALSLSSTRKEVSTFLKNTLKESGLPVT AASVKNDEFAEFFKKIRSTGETPSAEDVIKVCKIFKDDLTLDNLSRPQLVGICKYMNL NTFGTDAMLRYNIRHRMRQIKRDDRAIFYEGIDSLSVPELQMACASRGIRTHGVSPAR LRDDLSQWLDLRLKQGVPSTLLVLSNAYVYAQGGKEAEMSSQIESLQAVLSSIPEELF HEIELEVHNAEGAATNKQRLEVIKEQQELIEEENQQNSENEEKGVAAPKDTENIDEDH KYETTQSGEASEAMQEGEKAEKDAEPAVQEKKDTK ANIA_02938 MPRLSAAAQEAINRLRAFKPPPTSYDLVPLSRRAAVLLLLYADA KGDLRVVLTIRASTLSSCMSLSSLIVTVRQRQAALPGGKSDSLDETPLQTARREAHEE IGLPNLIQPLPPPFRVEHLCEIPCSLARTELVVRPCVALLHTFDERTGENADPEITLI PRLDAREVAAVFTAPFYDFLKLKPAGDEGWYRGVWNEWWGTQWRMHQFFVPVNPDKVV KPRPHHARQEEAVRDLEEQESKQQRSHQSQGQAAEQGRSDSVTRYRVFGMTARILVDA ARIAYSTEPEFEHNRHSGDEELIARLRRRGRHYLNTLRSVEVPICRPLISVYLQVRNS SDNAAIPSEAKPNCATLVGLGLNLGLFSVAEIRLLGGSSAS ANIA_02937 MISPSTYSLSRPAPPVQPSQSLEGMEKVIPPSLPVPYAHTISRL HLDKPLPDLPEKPPTPFIGSTAWSDDSSTVNSFEDDHSHSVSRPRSAESYPVFVRSGS ADLPDFVDHPVPGPVSTLNRTPSPSADPYHHQIHQIHPKPAPTFTFLTDDAPPAPRYT EPTPQWNPSHRAGPNHYFREKKWDFFPELAIPSSSPHNYNSPRTCNNKNSHHNQFLRP RKKDSGRSRWIPLPAEKGAALANDVRNSIRYIQRRLSRNSLDREKSKKSHRPTTAPSP AVYPRSPYPYTFDPSPRSHTAPLTGPDRDSFVPHSPDSAERLYVSIREKQAMADTSLS LTSTPTGSTISDQSLIELERESHNDAPFYRKKQLAVPISSYQSHDTAIWDRSGKEKKR PNYPRQTPRVRFPKYRNSSRRNSSIKDSAGLSVSPLRPKHSASASMPALVSGSSHTLQ QGTRHAVRALQDGTSHVLVAIDGARRKIARSGSGTTPGSKLDRKRTELKSQIRLVGPV NPYTHTGDPWI ANIA_02936 MGGDVLRQTPSSLPRAPDGPVGQRIRSIYTDRLNQFTSRGQYQN QNLIGKLFEATNSDEDHVKISVYSVPDLQRPSFEEATSNEFQPTHIGASFGPSWSTHW FRIRLTIPEDMRERERLEFHWDANNEGLVWTEDGHPLQGLTGGGERIEWIIPDAWRDG NEHVFYIEMACNGMFGNAPGGDSIQPPAPDKYYTLTTARITAVNLEARALFYDFWIIS DAAREFPAEGWESHEANMVANSIIDAFIAGNGSLESIKEGRRIAQKYLGDKVDCSEVY DSGTQPIVYGIGHCHIDTCWLWPWAETKRKVARSWSNQCDLMDRYPEHRFTCSQAQQF KWLKQYYPSVFDRVKGWVKKGHFQPIGGSWVEHDTNMPSGESLVRQFIYGQRFFESNF GERCTTFWLPDTFGYSTQIPQLCRLAGMSRFFTQKLSWNNINNFPHTTFQWVALDGSQ VMCHMPPSETYTAEAHFGDLKRSITQHKSLDKDNTSLLVFGKGDGGGGPTFEHLEKLR RCRGLSDKTGLLPRATMGTSVDDFFAKLEKKAADGTKFATWYGELYFELHRGTYTTQA NNKRNNRRAEFLLRELEFLATIASITRSDYEYPKKDIDEMWEGVLLCQFHDCLPGSSI EMCYDDSDELYAQIFETGLRAREAALEALGFSMAGRVPVAINTLPWNRSEVVRLPADM ATNGSMHALLNGGPGVIPHSQAIARVPEVSVTEIKPGVFRLNNGKLRVDVEDGLITSL YDIEADREVIAADGRAGQLVIFDDKPLYWQAWDVEVFHLDSRKELQSGKTTIAENDPY RVSVVTETKISEKSWVKTTISLAATEGNRPSYVELESEVEWQETMKFLKVEFPVDITN TEASYETQYGIIRRPTHYNTSWDMAKFEVCAHKWADLSENGYGVSILNDSKYGFATCG NLMRLSLLRAPKAPDAHADMGRHTIRYAIFPHSGPLDFRTVRAGYEFNHPLILDTSLA LPDEQSVFAVSALFRKIELTGSPSLILDVVKRGEDDEDIDGDPDISLPSSKRSGQSII LRIYESLGGKSRGAIRTALPVEKVWKCNVLEDDEVVIDLVDERHGWKKVDIELRAFEV ATYRLQL ANIA_02935 MAETAAATPSAPGASTPAVGGSGQGPSTGADTPQNQSQSQNQNQ NNNAGSSTATAATTNNNENQNPDQRANRGLPYYEKLRRELRDTLQKKRLMDKSMAQLE DQIFRFEQSYLEETTAGNIIKGFDNYIKGSSTGSGLGAGGISLSTGAGTASRRKSAVT DNDRVFSRSSASFMRDSPTPSTQTTPSHAPTPNSTFNGSTGKPGNGDTSSTAGSVKGS SSSSSKNKKKAANKGEEDEEDKPPTKRFKITYAGRE ANIA_02934 MDMYHMGMDMDHSHHEHGDMDMGGNQCSMNMLFTWSTKNLCIVF STWRVTGPLSLLGSLVVIVLLAAGYEGIRQVTRKYEVAHARRLSAFSTAVVGSNEFAD EDVTGSGPGHESQSQAYVPNASSPLLVGSDNRRAMERRGKITMAALYGVQVFYSFFIM LLFMTYNGFVMLAVAIGAFVGYLAFGENMSATKSVACH ANIA_02933 MQGILCFYQNRGSVEGSFYPISSGNIYLERNVACHVSELAASKT RNQITRLPNLSAEEFEEKWSDTPFILTEPVKEWPAYHQWSVETLLPKHGETLFRAEAV DWPLRTYVDYMENNSDESPLYLFDKEFVSKMSLRVGPPHQEPGTTYWPPPCFGEDFFS VLGNDRPDRQWLIIGPERSGSTFHKDPNATSAWNAVIRGSKYWIMFPSSSKLPPPPGV YVSEDQSEVTSPLSIAEWLLGFHAEARRTPGCIEGICREGEILHVPSGWWHLVVNLEP AIAITQNFIPRAHLTAALDFLSNKADQVSGFRKDINNPYERFVARMRQSHPELLQKAL GELKKKAERKKRKWDEIVHGKTGTDDANADSEAGAFSFGFGGDDSDAEVP ANIA_02932 MASNDKGLEEIPDSQIESNYDEITDSFDSMELKPELLRGVYAYG FERPSAIQQRAILPIVKGNDVIAQAQSGTGKTATFSISALQKLDPNVKACQALIVAPT RELAQQIQKVVIAIGDFMNIQCHACIGGTAVRDDMNALREGPQIVVGTPGRIHDMIQR RVLKTDQMKMFILDEADEMLSRGFTEQIYDIFQLLPQSTQVVLLSATMPQDVLEVTTK FMRDPVRILVKKQELTLEGIKQFYIAVEKEEWKLDTLSDLYETVTITQAVIFCNTRRK VDWLTDKLTARDFTVSAMHGDMEQAQRDVIMKEFRSGSSRVLIATDLLARGIDVQQVS LVINYDLPANRENYIHRIGRGGRFGRKGVAINFVTADDVRMMREIEQFYSTQIEEMPM NVADLI ANIA_02931 MDQTAPSSILWATQLRQENIHLVNKMDQINGILTSAMGTIETLN SIIDMQGERIERLEKQRGLDKEQLTGIIQESNAKSQDFEGHSCKDNMERFEEVSERTR TLDEANFELTTKVNVASDKLVALQTENATLRDEFAEARQKLRKLETDNATLKEQIGRV VEKFGVLETESRPLKQDLVSVLQTFETTQSGNAELKQRVCVLEKELSVQEKRVTRVLN WMSLKTMLKDTDKQATSVRSRPTPTQTDTDQEGEQEMRVPDSIPTPTSRHSTLDRTAH RNLSETTWGSLTDLDTALTPERARDTASSPQRDAGKQKTQEDIRQAGRSLKGYFQFAD SIRKSCPVPEEAFIRAFINGLEDEDMKKRVREITSHTKLSWKNMASHVEQVILEHEQG QEIEKQAHGKVAQIPMKRDAAGLGLGSLGNRRENKLRRSIPIVPPDEDDMFAP ANIA_02930 MAASIKSNCRKLTLDRSDHIAELNNTKPKQPFFFLKPSSSILCP GEGPVLRPKGTSLHYEVELALVMGKKVRDLDPNDDKGALDAIQSYLLAIDMTARNVQD EAKKKGLPWTIAKGFDTFLPISQEILKSQIPDPHNAFLRLSVNSQQRQADSSGLMLYR IPRLLAEISRVMTLEKGDLVLTGTPKGVGEVKSGDVMKASIEVDGKEIEQGRIEVEVQ DREGRYEYCET ANIA_10374 MKVAEILSDLTSLRACDYNDALNLVTVNERLMPTQTATQPVRAD AANDHLRIASELVELQYEMRTTHKDGRVDDQLRRAREEVNRVLRELAATPTR ANIA_10349 MATRVDQKLLRQTKFPPEFNQKVDMTKVNIEVMKKWIAGKISEI LGNEDDVVIELCFNLLEGSRFPDIKSLQIQLTGFLDKDTAKFCKELWSLCLSAQQNPQ GVAKELLEAKKLELIQEKIEAEKAAEEARKKKEQERARERELEEIRRRERSDRGWGGR RGGFRGRDFDRPRSPPRRRSRDRYWDPPSRREFDSYVPSGSRRGRRPSRSPSRSRSRS RSRSRSVSSSRSPPPRRRNDRNRRRRSVSDSISPDRERRRQRRRSPDYGDRARSISRS DSSRSRTPRRDRRRRSVSSSPSRSPAPRDRHRRRDSSVSRSRSRSQSRDRKHGRRRSS PYSRDDRRESTANVAKGHRTCDDRRLSRSRDRGGDRRRRYSTSRSRSRSRSHRGRSSS KSRDSRSRSRSRSRAGDRKRRRSIERYAPIARRRRNSSSVSVHTEKRQRMADQNEHAP RKSRSPPPDVEKVKSPAKEVGE ANIA_02928 MRTSIALLAGLAGSALALPATPSTQLDTRTFGLISGIIHTIENI LTGGVTPANVLSGISSEAAAALQGGALGCTAGSVDLAYRKKLAHWLKAGDGVHLEASV RKALLAWCEADASVDSELELEIRAGLGFFIPTCAKIAAEADLYVNLDGVFELATDALA VLSATAQAALEAAIALLGEVDWRIKAGLEFCAAGGLVGDLDSEIIHALKVWLNSSECT LAVSLKKTLLLWIEGKVGGDVVSIGNLPVGGIATIGLGKSLEALVGVNGALVAGAQAQ LEAFLQTDVGLEIDVAILDILKICAKGGLAVDIDLDKRVELSLWLASSKCSLSAELKG LLAFWLSFGVSAETDLSLSVSTNIISELTGFLTGTIDSLLGTHLHGLLSFILGGEGVL SLSLEARAQLAALIGGGVGIEIDDSIQIILIGWLTGCQKCCGGSQVTHGPSVPSSTPA LPASETPSLPVIPTGAQPTETPSIPAGTPAAPTDVSPTDTENSASPSETPCDTITSES VTSYTVSESASVPAGTETPAVPTDVHPTENPAESTETPCETLTSDSVTSYTVSETASV PAGTETPAAPTDVQPTETPAAPSETPCETITSDSVTSYTVSETASVPAGTETPAAPSE TPCETITSESVTSYTVSETASVPAGTETPAVPTNVQPTETPAAPSETPCETITSDSVT SYTVSQTTSVPAIPTEVSPSSSSCAGCGGIKTVTVTKTVGIEACPTDF ANIA_02927 MASPNSLSTAHATALHQQQYRQMSRASSVSRSGSRRSSPEVHNS VALRSNAASISKQYSAGDSSDDEVPEPKFSASVKALLDEDDGNASPRLRTRGLNEYRP NLRVGSAASSNERRSRTTSPLDQSNGSPAPRVVRIGSVLGSGSKLRREGSHLTSGENA EVEAEAKHYSSDFITPGPRTRSVRISTSRTHTRSPTSASPSGRRSASRSPLHEEGSAD RPEEEGKSRYDDYAPIIGTSSVLRSRNPEDIGIQSSLRVKRVGRLTGTFLNGPARRGV LRRQSEEDQSPSYLSDSKEAEGGDNNDYNYKSARASSPKVSWADPEQPQKTTDYRRIS SGDGPFSRSSSPKSYVSHSKSTPGSSSDASSKPSSSKEPIFKVPPPPTLPSTRDQENE PPPTFKRTKPQGFDFLDKPEKLSVVYGDDKKDQELPAGTSPRKILSNRSNNTPHRPAP PPPKMSVLETATATGGAATASQSRKKRSQVSINHKPFTRLDCIGRGGSSRVYRVMAEN YKIFALKRVNLEDVDPTTLAGYKGEIDLLKKLENVDRVVRLFDWELNSDKRTLSVLME IGESDLEKVLTYKLNAEDATFDINFTRFYWKEMLECVQAVHEYNVVHSDLKPANFLLV QGRLKLIDFGIANAIQDNTVNVHREQQVGTPNYMSPEALVDSNASLGLPASVGKMMKL GKPSDVWSLGCILYKMVYGQPPFAKIAKYYERIMAIPNPKVKIDFPAFGVGGIQVPPG LIRTLKGCLQRDQTLRPTISDLLGQRDPFLYPDAQLEGAVPITQDVLGRILVNVVNHC RVRGVPNDEELAAWPAGFFAKIKAALEENP ANIA_02926 MPQNEYIERWTKQHGKRLDHDERVRKREARQSHQQSKDAQNLRG LRAKLYQQKRHAEKIQMRKRIKAQEEKNVKSSAPSEPSKTPLPQYLLDRSEATNAKAL SSAIKDKRAEKAAKFAVPLPKVKGISEEEMFKVVNTGKKTHKKSWKRMITKPTFVGND FTRRPVKYERFIRPMGLRYKKANVTQYVLSLATIGVYLGFTDKFSCSPEMAVTVQLPI LSVKKNPQNPLYTQLGVLTKGTVIEVNVSELGIVTAGGKVAWGKYAQITNTPENDGCV NAVLLV ANIA_02925 MDLERHEFSSDQSIRRRRNFARKRLRNKTALSARLSLDHRLRGS VGVVSDDLANDLFQPPDPTDDFQDDSGIRYIAISPYLPGPSSVEALTWTIVPVRPQSA GRSNESPLPKSTIIFPDSADSLQPFVRALSKLDPTRQSPHTLRLIEIRVMDVVPLPLD TIYVTVERDLLRNHDDVQSRFGGGFTSNMNGPNGLWAKGKGAESKKYSKKAAAEVEGR LTAAVREALGTQRVVHTGDSLPLPLPPHPITYAPAPPALISFCEPVSQGLLLPTTKIV LIQARPHGNRAQRSLRPASGFLKQVAEDEADDTSNEQFYSAAEDKPVDDSTEMESTSN AEESETEGSGGNTSDTSDDSLDDMISLSAPELPQPATGVMSGMTSATPRARRMDGIHT PGSMVSNLTSSTLRPGRQGGGKVFKAEGLLRRVPNELLYPKPRDDDDVEAVVFVDIST LAKIGCFSGDWVRIEASEEPQANIFSSINLGSFNEQYGEGDWRAVKIYGLPGLPSAKP RYSIKQSGDRRLSFSQRPGVRMTPSVFVPPLLLNNMDNPRYLRISPMSLGGIGVPKSG VLHQMKTAARSPPVAKEVTLLKVSTPVSMDRALQPALFSALKQYFESKRRLLKSGDLL GISIDETLGRAVFAGTGADGQDDDITTKLGPGLDTNRAGPKKIGVAWFRVGQVIPSLP EDQDDFGEFDWGGVAVIDSATTRMVQAGSDVSRIPNTLNNGWEYWLGTKSIPKPVVDT QTIHGVVTEVPQPFVSPIQQRVRDLMAVATSSRAIQLGMKPVVILLRSQQRHIGKASL ATRACAEIGLHTFPIDAYDILTEGGANGGDVKTEAYLKARAERAFHCGADCTALLIKH IEVLTADRIVSAMTEILADARVIIATTTDVEQIPEAIRSMFTHEFELTAPEEKEREGI LRNAVSERSIKLAPDVDLGTVALKTAALVAGDLVDVVERASVARSTRLEKLAESASKQ SPTSKITVRDVLLAGGEAVRGVTKADFDAAVDAARKNFADSIGAPKIPNVSWEDVGGL TNVKDALVETIQLPLERPELFAKGMKKRSGILFYGPPGTGKTLLAKAIATEFSLNFFS VKGPELLNMYIGESEANVRRVFQRARDARPCVVFFDELDSVAPKRGNQGDSGGVMDRI VSQLLAELDGMNGGEENSGGVFVIGATNRPDLLDTALLRPGRFDKMLYLGVSDTHEKQ ATILEALTRKFALAPDLSLARVSERLPLTYTGADLYALCSDAMLKAITRKATAVDEKI KQLPGGPVSTAYFFDHLSTPDDVAVMVTEEDFVAAQSELVPSVSAKELEHFERIRQMF ESTDKDKQKQDANPAPTPNTIGDAIEALKLGHDINGYIGDPVTNGGDQPLSPTGTGKG KGKQPAYGSMRSVSGQSVSSSRGKGKAATSGGKSSLKSKSVSAANGNADSDSSLDGPL MGSGARGGVNSDGDDDDDDNDYIVRTDHLAKTDADGEDVD ANIA_02924 MSRLKESKQAGRRLLLNYIDAVAAVNPTKVVFTQIISFDPPVQY DLTYQELASIVNRQAWWLAECLHGKARDVTIAYVGPSDARHLILSLAAVRAGSRLLLL SPRNSVAIHEHLIKETQSAAILYDSSFSTAITELTSLSQITAVQAPGLVDLLSDTTLA NEYPYGDTFKDASDKPLVVFHTSGSTGMPKPVTFVHSALAAVDSLRELYSEDSYRVSV HRVIDAVEATYNGCPLFHTAGFVVSFFLVFSGCVTVIGPPDQPSSPQMFKQILHSTHT QGALLPPLVIDQIAEEPAMVEEAAKLKFLTYGGGSVSRAAGDLLSKRTNLINVLGSSE CGLIGAYMTEPEHWDWFHFAEKEMGIKWEPVDEAKEKPEFFEMVLRREELPQKQAVFA NFPDLDEWHMRDIFYRHPTIPYYYKYQSRKDDVIVFSTGEKTNPIDVEAGINSLPGVA ASLVVGHKRPYPVLLVELAASATVDDTLPDIHRVLEELNKLSMKYAQIHRGDVLFAEP EKPFVRTPKGTINRSQTNKLYAEEIEALYSSATEIPDQALQARLDPSTDETLTESIAA MVGDLTEVKDLGISDDFFASGLDSRQVQILAATLARSLPDQKDVLFLRNAVYMNPTAS GLVNYIRRNATEDVSATLDALFEKYEAIRALAYPVTNEPLLGLHEEAYTHLVSTVTEV IHCQWPVTFNLPLALFEPQFAGIVNLVQLAYDSQQNARIIFLSSIATIQGWDKGTPVP EAPLDLIKYTQGGYGQSKMLASKLLGQAVATSGVHSAVCRVGQVGGPIHGDGKWPERD WFPTLLRASEVMGVLPNSIGHFDDVDWLPVDSLSAALVTLALDLDDLDTEQTGPKTNK GAAYYHFTNPSVSSYPELIPAITRHLANGTSTMKLELVDTLAEWTERLAAWTPTSNGT DSGESKAVESYMTAATALLEFYQGLASNLDQPNVMLDTTLTTGKIPYLTTVGAVNESW IELWLDQWGFRK ANIA_02923 MAANLVQIGGSCRVWHALAPRSDQERDIGGSSVRGFHIEIIDAT TPEEIDAKTYPYNWNYDHRPVEYAARRSHLNVMQRILKERLASAIIMEDDADWDVSIK SQLQSFAIAVRALQGAADETTGSSSSPYGDDWDILWLGHCGIECSTDRPYYLTPADPT VPPPGHFLPYWRDPPPLARPDDARMTCPITDGVCSIVYAVSFRGAQRILAALSVNPSG LAEQIDIGAQFDVSLGRMCGSGYLRCFAPYPSLTGGYKSAGPAAKGSDINGPDDAMES SNEELRQGPFSHGVMYSTMLNVNQLLAGKQMVLATWDDVPIKEIDPAEVGVLEGSVQM PKNSP ANIA_02922 MLYLLLFITILTVTTRAADDDNHFTNPSSWTGINPEWKLGEEQV IAWETTLEVFNISFWQQSLFQQTAASQGNVYSKIHASDKVTNFSWVVQLYGFDLDYSN VFFFWINSDTPEGFTSAYFNITEPDPPSTGTDNATLSTASSNATSTTSSNTSPVITDP SISSLPQAADEREPGLTTTAKVALGVGLGVGLPILGALAVLIWQRSQSIDGTLKGDNG FRQPGVAELLGGILYKCQLRVEFWSKTLIYSQWDGFALDQIKFSQWPSPLSRKKGVTL LDATANAAFDVYTIVDNPESVPMAKPQCFWKSAALTSVAHLFA ANIA_02921 MSATQVVKSHGPFQGLPTYPQTPELSDLCAVVTGANGLSGYNMV RVLSAAPERWSKIYCLSRRAAPSNFFTDLGDGAARVEHIPVDFLSETAEIASRLREDI PKVDYVFFFSYMQPEQEGNVLGMWSDAEALTKVNSTMLNNFLGALQEANLHPKRFLIQ TGAKHYGFHIGPSTNPSFETDRRVSLEQNFYYLQEDALAAYCAGTGVGWNVVRPSYII GAVRDGALNHMIGLAIYAAIQAHLNQPLYFPGDYIAWDREVCQSTALLNAYFEEWAVL TPDAENQAFNIQDGLPFTWGRFWPNLAEWYGTTWKAPEVERAKYRAATSRHVQTPRGY GPTGTTLSTFSFQEWSGLSSVQAAWQELREKHELVLDPFTPQYRAQIFGMTDSAVLGG WALSLSMRKARRMGFLGTVDSFESARTAIRDLTKLKLVPPMRKTT ANIA_02919 MSPAQDSESIKAHPRRRPFRAARPSLVPAEEQSPSLPPLRLRKG ETFNPSILRSSDRDHLVPSLPRRSPTCPGALEAIAAGQQRMADILERLDLNSGTTSTS DENDDLPVPKGLLRLHLQTQARREGTVEPHSRQPSPMPKEHSRKAQRVHCHASDSGIG SSISSAQSVSSNKVKAGQLSRSNLPTSRSQSAITRSISAMDAQSTQRHKLSSEGRAEI EKHVIGPLLEDEKSKPFHPILEDVRQQIDDERISCLRDLEKTVFSLAPEVKTNDAAYV RFCQYTILCLGQTVSFLNGRDLCLPTDKQYNNGYFVDLLDQVSQFKRIRDEWKRRHEA DGKVKAPQLRLEGGLSQTGRLLEMVVEQDGEAISLRTGKPYEGQPIPSMKRSLSAAST DEGVQRSMARRKKNAPPMNINKKCKDCDKVFARPCDLTKHEKSHSRPFKCPVTSCKYH IKGWATEKESERHYNDKHSDAPRLFACQFESCSYKSKRESNCKQHMEKTHGWVYMRSK NNGRSKASPQQQTTSPSSSSVQPKQAPSVWSMTPPSEAPDYRQEPNGWDLAPSPETPD LFNTYQAPMTAMPGSVTGTLDAVTPTTGTINSPSEPFDLAQENTAFSIQDIFPEMKAS DGLLFPGGDMDYPDFINNHNMFNDFGYGDFTMPTQGLQYGETQQPQFEDDSAGFLLDV YNDMHTYGINPGPGGL ANIA_02918 MATAAPHAAPSSTAFKDKEKPMAVRASNILAARAVADAIRTSLG PRGMDKMIQTPKGNTIITNDGNTMLRDMSVMHPAARMLVDLSAAQDVEAGDGTTSVVV IAGSLLGAAERLLGKGIHPTVISESFQRAAAAAVEILHNMSRPINLMDRSTLLQAAST SLSSKIVSQHSSLLGPMAVDSVLKVVDPKTAENVDLRNIRIVKKVGGTIEDSEMVDGL VLNQGVIKSSGGPTRIEKARIGLIQFQLSPPKPDMENQIVVNDYRQMDKILKEERQYL LNMVKKIQKTKCNVLLIQKSILRDAVNDLSLHFLSRLKILAIKDIERDEVEFLCKSLG CKPVANVDSFTEDKLGTADLVEEVQSSGARYVKITGIKAPATTANQTVSIVARGANNL ILDEAERSLHDALCVIRCLVKKRALIAGGGAPEIEVANTLAKRARELTGTESICWKAF AEAMEVIPTTLAENAGLNSIKVVTDLRHRHAQGQQNAGVSIRSGGVKDDITEENILQP LLVSTSAIELAAETVKMIMRIDDIALSR ANIA_02917 MPSATGENWEKYKKNFADDEEPEKKITPLTDEDIAVLKTYGAAP YANALKKLEKQIKERQASVNEKIGVKESDTGLAPPHLWDVAADRQRMAEEQPLQVARC TKIIQDEKDSDKSKYVINVKQIAKFVVNLGERVSPTDIEEDLSHTDRLSVDRNKYQIM LPLPPKIDPSVTMMTVEDKPDVTYGDVGGCKEQIEKLREVVEMPLLSPERFVNLGIDP PKGALLYGPPGTGKTLCARAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMART KKACIIFFDEIDAVGGARFDDGAGGDNEVQRTMLELITQLDGFDARGNIKVMFATNRP STLDPALMRPGRIDRKIEFSLPDVEGRANILRIHAKSMSVERDIRWELISRLCPNATG AELRSVATEAGMFAIRARRKVATEKDFLAAVDKVIKGNLKFNSTATYMQYN ANIA_02916 MSSLGLRSLAPASKISRALRDQRRLFSSSRPAARIFGTNPLRAK PAEGYISEKYPVIDHEYDAVVVGAGGAGLRAAFGLAEAGFNTACVSKLFPTRSHTVAA QGGINAALGNMHPDDWRWHMYDTVKGSDWLGDQDAIHYMTREAPASVRELEGYGCPFS RTEEGLIYQRAFGGQSKEFGKGGQAYRCCAVADRTGHALLHTLYGQSLRHNTNYFIEY FAMDLLMENGECRGIIAYNQEDGTLHRFKAHHTVLATGGYGRAYFSCTSAHTCTGDGM AMVARAGLPNQDLEFVQFHPTGIYGAGCLITEGARGEGGYLLNSEGERFMERYAPTAK DLASRDVVSRSMTMEIREGRGVGPEKDHIYLQLSHLPASLLHERLPGISETASIFAGV DVTKQPIPVLPTVHYNMGGIPTKFTGEVLTQDENGNDKVVPGLYACGEAACVSVHGAN RLGANSLLDLVVFGRAVSHRVKEIASPGKPHAELASDAGAESIKDLDTVRTAEGPKST FEIRNAMQKTMQTDVSVFRTQESLDEGVEKITKVDQLFDQVGTKDRSMIWNSDLVETL ELRNLLTCATQTAVAAANRKESRGAHAREDYPDRDDENWMKHTLTWQKKPHGKVEIGY RSVVHNTLDENECKPVPPFKRVY ANIA_02915 MSPSSTTEFESLTRDNAIKAATSSVTEKATITPTSTLSKLDAHP TPASNLVSSSHRLRSTMIGAIVGGVISLVLILCVIAYFIRRTRKQRGRVGRQFTLLRW RHRCLEEKQMDLEETGTTTGTIDFHAQAKGQPPLVRTQDVVIGSGSCTGFMSRQKQPQ TILGEQSPVVSLIQKRETDLPDSIPSALTSSPSPLAALEPAMSPKTSFKLQFAFTPTP LLPAAIHPALHSGQGEHAYQPTAYWPSSCTPEMSRCSNTNIITNNDDAHADAHEQPHS RRPSTTPELFDTGFYLGRVELPTTCSRELINIPFAERQRQRQKSQLHRGTTHLLSITT PDGAVLSPNFNGLPVDTGTWPCRAETREEGIEGAEGNTEAETQAQAAAAKPASSAPAP AIAVASASDLKLAVFGAVVITDTVFIGLSWRGSRER ANIA_02914 MSAPKVAENMLWGGRFTQGLDPLMVQYNESLPYDRILWKQDIAG SIAFARANTKSGILSAHEFSEIERGFKQIAEEWSTNTFVVKPNDEDIHTANERRLSEI IGKEIGGKLHTGRSRNEQIATDLRLWLRDELRKLDAFLSDLIKVSIARAESEIDYIMP GYTHLQKAQPVRWSHWLLSHATAFADELKRLREVTKRVNRSPLGTGALAGNPFHIDRE AMAKELGFEGLLYNSMNAVGDRDFAMETMQWGSSFMLKISRWAEDLIIYSSLEFGFVR LSDAYSTGSSLMPQKKNADSLELLRGKAGRAFGHMAGLMCTIKGLPTTYNKDLQESVE PLLDHIKTVGDSIQIATGVLSTLTTIPEKMAAALAPEMLATEFADYLVRKGVPFREGH HISGRVVQLAEKHGVPMDQLSLEQLKSVDDRFGDDIQECLDYERAVELKDAIGGCSKR AVLEQTSVLKSIL ANIA_02913 MTLNGLDAGKQASVCLSMLDPSFVAIVPSQSAKSIKEAEHAFAR LEPLPPSTMELPSAAHTHGSGPGGPLPNGPVSAPVSAPNGLDPGLGLGFNIYQVPHLP DWHSAYTACVQHFVNHAQHSAAVQSLAAYINIRLPCQQISKPIARFDVHSSETAQASS QVSVRHYIRRLVATGNDTPAILEILFGAEWVNGVGNIAKQERLNYLFTAKSAGFAATK AAYDVLPDEHTPFLRPIRDATEEEISTAEKYWSEWMAMEDWMVGPRSPW ANIA_02912 MNLELTLLPPFDLCPSSTMSYKSNYEPYTSQSLYSRQSSSSELL YPLGDLYPHVVALQLQMEDENEARGSASRNLSYHQDIPYWAEVASPESPHSLSESFSK RSLRRYSESTKARFVSGIRRLLSRDELRARRRFK ANIA_11373 MVDRDLRLKGMTRDACLRSRHHVLSQPRLRWTTRSKPAFKNCGG RWLNMALQRRSWFNSDTTPSPKMGAKPKTVSEILHRKLWEES ANIA_11372 MYASVAFPSVLSALPGTERLNNMRIYGFAGAHHRLAGVVVAFN ANIA_02911 MSAAVASAVSTTLPSNPTAHSSPMDAKKNSVKMDNEASSETKEQ KTDGEPQTSLAPPSRPNPSAATDTPDYFNSVHNPFALEPNPFEQSFGGGSGETPGKSI LPPVASITSPALPGTSSAGGAYNWSNSLRSGPLSPAMLAGPAGGSDYFDSIGRGFPTP NESSLRTGLTPGGGGSMFPAPSPNSQALLNQLQNGGATPSTIEFHRTALNVKKNGIAP TSNPTGEGDQVPQNITTTMDIKPAQPATVDFGPHDAADAANGLFMLAKGGQSTANQFA AVSNQTAIPPQTLQTSEILQDQNAARRQSVNVNGVANTREPSGDGSEQSEQAKPARGR GKRNTSTKASSTGNRRKTDDSTQGSNKRTKLNNGAASTESPSEGESEEEEQQPAQKKK AGDTKKMTDEEKRKNFLERNRVAALKCRQRKKQWLANLQAKVELFTSENDALTTTVTQ LREEIVNLKTLLLAHKDCPVSQAQGLIWNPNPPYT ANIA_02910 MSRDPITCHVLNTLTGTPAANLPVTLTLLSSPFPAATSHVSFTA TTNADGRVASWTPVTEASASVTASATVRLPDILASLPAPDSKTNWALRFEVGPWFEAQ GVESFWPEVEVKFTVKGRGKEGTAAQMAAFV ANIA_02909 MSSTAIVHDDDLMEPTLQSIVNQKTLRWIFVGGKGGVGKTTTSC SLAIQLAKARKSVLLISTDPAHNLSDAFGQKFGKEARLVDGFTNLSAMEVDPNGSLQD LLANGEGQGDDPMAGLGVGNMMQDLAFSIPGVDEAMSFAEVLKQVKSLSYEVIVFDTA PTGHTLRFLQFPTVLEKALAKLSQLSSQFGPMLNSILGARGGLPGGQNLDELLQKMES LRETIGEVNTQFKNPDMTTFVCVCIAEFLSLYETERMIQELTSYQIDTHAIVVNQLLF PKQGSDCEQCNARRKMQKKYLEQIEELYEDFNVVRMPLLVEEVRGKEKLEKFSDMLIN PYVPPN ANIA_02908 MELRFRYLVCRWMGKTNNTEKKCWDTGPHSTPLHKGSSQALLVH CLSQDWTDESAYVKWENVAGKDRIKPEKKEKSDILLSELVLESIGSGLRQFDERVHIL ATSTVGPETSSLSSCLGAFLIGTGVLTTGEAKAPGELTFDPVTDR ANIA_02907 MAANVPPSAEALTSGGAAHKTAEDIANQYDLLPKLIPYLDRHLV FPLLEFSSGQDDDSEIVRAKYELLKHTNMTDYVANLWQEINNSDTIPDEFVKKREEVL AKLQHYQNESEKITELLQDEAVVGNLRSDKVANLRFLEEQHGVTIEMVNSLYDYGRFQ YSCGSYGNAAELLYQFRVLSTDNDKVASATWGKLASEILTTNWEAAMEEVQKAKDSIE TRLFNNPVGQLHNRSWLIHWSLFPFFNHDPARDVLTDLFFSPAYINTIQTSCPWILRY LAAAVITNRNRAHKNSSVYQKQLKDLIRVVRQEGYEYSDPITDFVKALYVDFDFEEAQ KKLGEAEEVLRGDFFLVSAADAFVEAARHLISESYCKIHQRIDIKDLSTRLGLNQDEG EKWIVNLIRDTRVDAKIDYKEGTVIMNHPPQSVYQQVIEKTKGAFFRTQVLRFVAS ANIA_02906 MTTLMQSLPASTVRKQSTAMSGYLQRAAQPVASSGIRSTVSSNC FQSRLISSQAAFQIQRVFPRSSVCTQQRRTFLAQLKRQSQTLRENSTPTITPPPAAAK LSLTNLPYFVRRTPSNQLPVYLVTKAGGTKQQTKIQKTEGDLDAFRRDLAVYLGLESP DRNAPKSPDITINRLNGHIIVKGWRKPEIQKFMLERNF ANIA_02905 MNPWPLDASSLPNHENGAFHHATIDPSAAFLHASPTPDPNQFQR MFNGVPRNASPGFANPNQVIPSKRPRPEDGISMSPRQAPGGLSSRSQTPQVPYPGYQG AVNGAPQFSHPGQFQHLQQGASTNVSQSPIMQDFDQHGAQRMGTASPSPFSPAGPHVG NQMSPAQPDHPSRVNTPQNNSFMPAQHFSQGMGPGFSPTPAMTSASVQAPMQAQFGGM PPGYQQALAAQQQQQRMHAMQMQNQNRALNPGMAGRPIVAGMNAMANPQQMAAIRQMQ QTMAKPPNPEGFMRSLQKFMMSRNLPFDPNPIVSGRPINLVQLYAAVMKLGGSKKVTA ANMWQVIAQQLQFPPVQFPMAVQEIRDLYQRTLAAYEQAFLSSQQKQFADQVQQPSIP RQPSDPSGMQLQSPAVKQTPGFDGSQQLGHPSPATTSTPNNAHQGPANGFATPTQVKT QNKQQQGQHRLSVSRLSQPPSTPHDLNGQLSTQSPAASEKGPGSIVGKPADETDQSHN RPLGRPIQDTFKPMVISEHKLHGPIAVGEMYQLGEEIARLKPNVPSFAELGVIDIHAL TMGIKSGIHAEMRVALDSLVSLSAEPAVQILLDNCDDLVDSLIDCAEDQAELLAEHAP EVSDMMLLPSYEELKRGCQSEWTSLAPVAEFGSTEYELDRAVDRLICITTIIRNFSFV EQNFDILSTPPVVEFFSTIIRYLGTRNMLLRTHQNTLDFMKDTLIYLSNVGGAINLPS KEEALCLLHFLLSFAPFPAPTMSSDGVMFTAYNPSVHKYTPAAVDSLAKLLARDEPNR TFFRTIFSSDGASTAQQELLTRAFGLAICPVPNQPRKPFAIADIRKVFLMQGLLAADI LAGFAEGSTAKSWLESVDGFAIHLLRLSCLLSTERVPPPLHNVRQTHAVRAQAEEAEV YGSIINRGLAILRRLAEKSKYADTDSALRFPSGILPKKESLLGALLMPNVDPGVVRQL ITYARLAE ANIA_02904 MGDIAVENPASVLSSYTKAAPLDTIPNIDSLEGTGADDGDEYAT LKKLQRHLEYIKLQEEYIKDEQRSLKRELVRAQEEIKRIQSVPLVIGQFMEAIDQNTG IVQSSTGSNYVVRILSTLDREKLKPSSSVALHRHSNALVDILPPEADSSIAMLGENEK PDVTYADVGGLDMQKQEIREAVELPLTHFDLYKQIGIDPPRGVLLYGPPGTGKTMLVK AVANSTTASFIRVNGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRF DAQTGADREVQRILLELLNQMDGFEQTSNVKVIMATNRADTLDPALLRPGRLDRKIEF PSLRDRRERRLIFSTIASKMSLSPEVDLDSLIVRNEPLSGAVIAAIMQEAGLRAVRKN RYNIIQSDLEDAYSAQVKTGQEADRLEFYR ANIA_02903 MKASLLTASVLLGYASAEVHKLKLNKVPLTEQFITRNIADHANA LGQKYMGQFQQHVLEDEPVNAMRGHDVLVDNFMNAQYFSEIQLGTPPQTFKVVLDTGS SNLWVPSSECGSIACYLHQKFDSSASSTYKKNGSEFAIKYGSGSLSGFVSRDNLQIGD LKVKGQDFAEATSEPGLAFAFGRFDGILGLGFDTISVNRIVPPFYNMIHQGLLDEPVF AFYLGDANKDGDSSVATFGGIDKDHYEGELIKIPLRRKAYWEVDLDAIALGDEVAELE NTGVILDTGTSLIALPSNLAEMINTEIGATKGFTGQYTIDCAKRDSLPDLTFTLTGHN FTIGPYDYTLEVQGSCISAFMGMDFPEPVGPLAILGDAFLRKWYSVYDLGNGAVGLAK AK ANIA_02902 MLLRLPPSLHYPITVTSLLKQPGDEVERDEAIFWYAYQTIVTEG DGWGNKVDVKRTFPTRFESTVDGNIVQWKISKGDVIDGPVDVVEIDEPCAHEVQYGGL CAECGKDMTEATYNTEVPGSMRAPIQMTHDNTALTVSEREAIRVEEDAKRRLLANRKL SLVVDLDQTIIHAAVDPTIGEWMADKDNPNHAAVSDVRAFQLVDDGPGMRGCWYYVKL RPGLEEFLENVAEMYELHIYTMGTRSYAQAIANIIDPDRKLFGDRILSRDESGSLSVK NLHRIFPVDTKMVVIIDDRGDVWRWSPNLIKVIPYDFFVGIGDINSSFLPKKQELETP GENQEQNPTPPIQQQVNGLAEKSDATELSTLEQLVTMGGGDNPRLLQEQTEAQDVTIL HQVEDRPLLQKQKELDAEDESADSRESGLNESRDSAKPRHHLLIDNDQELIKLSDRLE QVHRKFYEEYDQKRARGLGGRVAALRGERAISKEKDVDLKLVPDVKDILPAIKRRIMG NVHVVHSGLWKLNEDIANIELAQHAKTFGVVFADRITHKTTHLLSAGKRTAKFQEAMQ RPKIKIVRKEWLVDSLLQWKHLDEGPYLVPTHPNEQRSSKEVAESSWLSSSDEASGDS FTDTEDASELNDEILKSAGINDLGFDQDEEAAVHEELKEFLGSDDESESDSEISWMER NELPPTSNPDKKRKREDGTDNDNDENNSDTQGSGEVAGSRLSQRIKRSYERSTGLKEV ASATSGENGSNTDTGTATGTDTDTAEADDVPDVAFPETEEEGAASRNPDSSYPQDPAE EEDELEREMLAAFEEGGYDSNAEKAIGEDKG ANIA_02901 MTSPSTIKQRFLSKPNQLGVVAVGFNGGQCKLGVEAAPMALVEA GLLDQLRDDLDYEIHYDNTVHYYEKEIPAEDPDHRGMKKPRAVSAVTETLSSQVYEHS KEGKFTLTLGGDHSIAIGSISGIAKATRERLGREIGVIWVDAHADINIPEMSPSGNIH GMPMAFLTRLATEEKKDIFGWLQEEHKVNLRKLVYIGLRDVDRGEKKLLREHGIKAFS MHDVDRHGIGRVVEMALAHIGNDTPIHLSFDVDALDPQWAPSTGTPVRGGLTLREGDF ICECVHETGNLISMDLVEVNPSLEAVGASDTIRTGCSLVRSALGDTLL ANIA_02900 MFRSCLRHCRRATVRSRTCPRCSHHEIPQLQVVQRQISLSSSFP HIRRLQTSSTDTQERIPIRKQLKQEAKAIKSRKRERREQEEASRHKWELTVGVEIHAQ LNTETKLFSRAPTSPSELPNTNVALFDLAFPGSQPEFQVATLLPALRAAIALNCDIQP VSRFDRKHYFYQDQPSGYQITQYYEPFARNGYLDLFRHDGIAPEDGDRVRIGIKQIQL EQDTAKSQEYPPSMQLLDFNRVSHPLIEIITMPEIHTPATAAAFVRKVQAILQSCSAV TTGMEAGGLRADINVSVRLRGDGSGTHQYSGIGGLGQRTEIKNLSSFKAVEDAIIAEK NRQIAVLESGGVVEGETRGWTIGSTETRKLRGKEGEVDYRYMPDPDIPPLLIGKDIIS ALSNTLPAGPDALIDMLVGQYGLAIEDAKPLVELEDGARLEYYQDVVDILRNLQQDLD SKTQAGLGRVAGNWVLHELGGLLSKAGLAWDAERVTAESLAALIDQLQRKRITGATAK KVLAMLFDGDRRPVAQLLEEENLILRPLSREEYIALASAAIELNPQMVEQIRSKNQLG KLGWFVGQMMRMGEKGRVEAQKADAILRELILGLSQ ANIA_02899 MPTYSGFNRPRKSTKSSAASIISTSSKGSKAPPEKLKKESPKPQ PLAPRSAEPSGSSYTAKAPPAPTPQTEAKGKDGSALNVFEYLETDSDSDSESEVSSSD DDDLRPPFPPNTNPKAPPASRQPNTAVPVQTRNRTSSVKSKESQPPGAFEGSPVPVPV QLARQHRRPSTDAGNSVVGSVAESYDGTLPPEHRNLELVPEDYYPRTSTSLHRTSYPP SPPQSPEEDMHRSSRKIRRSTKPSRAPTGYGLLAWRLSASAENKEYTLPPLYRRFEDV NHRVLLYLQDEISQLEEELRVLDDYEDMQRRSIAEQGGTKVMPASRRMDVQAQAYSSL HCRREEVMGALIHKTEQYNNALTAYSKVLQTLPRAAASDIETYRKWMKGNSPIATNEM RFLDHRKDLVSLTPQTVSDPKAPSPVHSAIIIASAAIILPLLAFSMIAEFAGRILVVA VVGGAAAAIASHYSTGTENLVKSQDGWRVAGLYFGFMAITALFVS ANIA_02898 MSADEGGTSMAAPNGERSTATPDPSSLATPGKRKRVSSHDDKTA HETSTAVMPDERGKLQETLRNLVDILSKNDSELQLLSCPLPSSPVKPRSKRARIAGDK DEAPSIQARVASDRYSTLSEFLSDIEKASAAVIERNKTQASAPADGTPVTETVNRIAA FKKLLNSLVRQAHVSQANIKAETPGNETEISEKRRPEAEEVRNSGLVLTLFGNPANPK QLYSSLQKSVKVPLSSDKSEPQKYVEVQTPLPEVGLPNGITTTKVTPYDGDKKSNVAK RTLGEVFAPKSTLPQLELPRRARRASQITWIDPFDAVTNYKDFLGDRNNYSFAHLPSG NWLQYGGVTSSPSFWGRRQKQQSSQQLGEERYHEDPILWVDDDAAILQGVYSSFAPSF DSSGAVVQADSKNLVWWNKRGAKRLNVLLSLADGESAPDTTVQPGSIGDLDESTLEEM VKSFNPADFVDPSIPSDTTEDGPAQADKTSEEKDTDDLLAEVSNLLETLSSYQKIRHL EFPTSGQASAPNQNTEAKDTPSPQSAPDKPSDAEQEVYETLRSSLAVLVSQLPPFAVA KLNGDQLAELNISQKVLVESTDYPGSMEKDDYTLQQERAAAAATNPVAPRTSTPSRSG SYQQYNQRALSANAQIQPQRNFQAPQPYYPPRQPSTPGAYTPANPQHFSGTRPPATPG QRPGYLPGYGQPTPQFNQGNPVPQYQRPGQNGYNPYTPQPGPNPAQGSPQPYTPRPGQ PTSYNVASYGPGRSASPQKPPSYVAPRAPYSIPPGSAPQQRYVQQPQPQQPTPGYANY TPNQAPNTYSNSAAAVTYARSAAEQAALMDRNRVQLAAQGSPAPQSQSSLQDHRTSQD RSVTPGNRQNVNAFWSG ANIA_02897 MISTPDSIFMDVIGLESCPKPLHGRVFKSGAVQQPFVPKDPFAN AVYPSNSSQHLYERGVSGDPSSCEFWCSSRLKSRLNQPLPRLVNVPEPWAPIAGRGRG DHKPDSRLSRFSQPLRANCGVGLLDAKQGALKWSLQVHPSPSDDLIDRIQDKVLYHEV ENWTISEKSREPLITPLTSAQRSISRKKYKRTLQGITADFIQHVEPVLRNWKDASKLD EKVRGILRDNDFGYLESRQYDIADVVTWAWVLMSASTYEATLRIFLLETEGQGKEAVP KRNIPVFIPLLLLRQKLDLKTFRLLLVYSLHHITMASIDPDTCARFVVRLFSHARRLW PEVLLPIAQAFRFYLREYRRYRFNFVMAKLDRFIQLLALPPGPRPYVSASIRQQAQFE LLKAMAEMHLASSVSRRGYQALAAVQLAHKKTAAEREFAKLKTPSWPPWKEERSGIDS TKGAEGTKSRAMRVISQMSEAGHPRSLWEDVAGILAGWDTDNSPTIQTRAMVRPPKHL LGSSKQENHPAIWEARIRSTRTVREAWAAFTAYESRTRQPHATVYYAMGEKLVFERKE RNKRPVAKDIQTSLALPGDGPEVFPEPASARDWIYTPTDPPKLNHFLRRMISQGIRPS GRFLALLLQHATTFHDALHYLSCSDLTNQQMMALLSVDEDILGSDGEYKKVLNEVPEY LFSAFIRLLCRCSTSTKRSSPQAESQVANCFPVLTSNWANSQSQFPTLFSYAAQSRNT REPLNLKLLTHAVKLVRKRDSRNPQGWVQLLAGLCSNRIFSDNPNTHPRLTEMLLVWH EVLEVTNWMAERNIDLGSEGFRILCRSFSRAVAAGVKDETSMRKGQETVAKASRRRRV LPEVDPSSFEDFVNSGLTTLKRQFDRLVLVEPKTYILFDSFRESLETRTGSKVTVPVM HDIPSPAVLHAFVRALGLAEDSDGLLNLLRWMSQHALTLKKRSDEYTNGDMLMRRTIV AVRTFLEGYWGKRRSAPAAYEPAVADHITQSDSDGMPKFSDPALQEAYDIVTATEVWG PWPRDEEVWEYFEHAQG ANIA_02896 MPPRLTRLALPIRASPTVSLRVKVARYSTSPDDAVIQTQYVPAP GSGNIRVLLLNRPNARNALSKNLLTSLAQHVNSISAEGGNGPTRALVIGSNADSAFCA GADLKERLHMTKDETNAFLAKLRGTFRDLAALPVPTISAVSSLALGGGLELALCTHLR VFGSNSTVALPETKLAIIPGAGGTYRLPSLIGVNRARDLILTGRRVTGPEAYFIGLCD RLVEILPEEEQKEGAAREKVLRESIKLALDICDGGPIAIKQALKAVNGYEQGEAAENE AYDGVVETEDRREALIAFAEKRKPAFRGR ANIA_02895 MDIASRIRQYGLNVVHEAPDALVDIVFVHGLNGHPHRTWTSSKT GVFWPVDLLPDVLSSCRVRILSYGYNANVTSFTDGASKDRILNHSETLAASLAANRTI KDCTERPIIFVCHSLGGLVVKRALIYSRSLTNEKVEHLRSIYVSTYGILFLGTPHNGS EVAKWGLLLQNICSAVLPRKFLDSSPQLIKALKTNNETLQNINSLFADMMPRYRICFF HETLPMDVKGTRELIVDESSAAPHADGVERMGIEADHSHMCKFDDETAPGYEAVAVAL LRFAREAPATIRERWNEEERTRMIIRTQKAREIFGDVSPSGGSERLGDRSLGGNRPSD APVNPHVLYLPSNEKVSTSKSLENSTDLSMSLSVQRGPLWVVPPGFHRNATFFGMEKE LEILHTRLFKAKKRADHLMAVLICGVPGSGKSHLARQYVWNHRDDYPGGIFWVDAKSR QSTFKCFWDIAQAATLTEGEEFQSPDTKSPSKYVEAVRHWLQSRQEWLLVFDGVSFAH DDDINNFKQFLPFKERCGIIYTSVDKTLRKKQRLYEPYCLLVAPLQVEDACKLLFKDL GIKRPTKEQIRKATEVVTHYECLPLAIHAISHRLSATDKPIEKYHINSHFTDEKLAEP FLSIMHDLFRGGHFQALNLINLLSFLGHQVPVGLVLLGKSFLEAWNIEVFTSSRHGEP GDLDTTLGVLIRYGLIERTSDKYPLLQTPLSPISERYMVDPKAVVPEMSESQTESSQE GFFAQYQSSGVSDVVKIHSVVQGFCRDELKIMDKELRANGSRPGQNTGYYDSWLVVAT HVFCTSFENAKCRMDRVDDCGSVKDYREYETHASQLMKNFPKKTAHGIVSDSLKQLKE ALKTIRSEIDRISPSSSQDSVRKHRSVFDRSSSSSSFPDSTASDGPSRQLTLDFSDVA PVRSESPQDALAIPQQVNLGPIIPHIFRNSSGRGKDEINDDGLLRDGYLTDDESTRAR SPARSQASQSTERPKPSPSSPHQQTDDEGWHVVENPSRTKPAAKLKQRQGQKSKRRPK FPRVGNSEPAAPVPAAPVLERTPAWRSLSGGALSERTPRRTASEALSAVKKASPTQSS EHSVPRVSTNSSKENAPTYAFVASKLASAKEPISASKDRRSSSSPGGKQRPVFSIIQS RGSEESLSSYSVSTDYKQDPLSFSTYSEPDHGLLQQLNALDLSSQYPINPNTGRRIVP ADLSLSTPTLGLPYESNIEIKVPRRRFQGSAIPAFSSKSATNLSSIPGPHPSAIMPGA SPPSSDPASELMSRGPSSRSHQSWTTEPTSRRYSSRISPPPTLNPASVSGSAGQYHFI SHATPQALAGPAAWVPDPSMQTVQRPYSNLPSDNSYAAVVQRQYIPVPTPNNDPHAVM PPPPPPTLHFGTHRVDLQARLRSSPQYQYDIQQPQPQSQSPYHVYNHENHSGPLFPLP THESSASGSYAKEFSIGSANRARARSGSTPSHPYPPGLGLDF ANIA_02894 MSFLARATRTPAATRLSTITPTSSRLLSTTAIRSSGPIDATKST LKKADRKVADAAVKGIETGEAAANKIKEAVGSGQAQKEAKEKAGELKGDAAELAGKAK GKGEEVAGEAKGKAKEVAGEFKS ANIA_02893 MPTPREDDNLDRRRRRSSNSQSPDRDRDRDSRRRRHRHDDYDYD NSSRRHHQSSHKGGPRRRSSRSPSSRISHRKEYERRDPERSGRTDADEDRRRLHHSPD LPDRRHRDRDQDRDRERDRARERDRYREHSHRHSRQHRIRSKSRSRSPKRHSRTPSRS RAPARPKAPLPSQKDAYNTEVTGEGPPPEKEKPNFANTGRLAAESNAVTVNGDTVVLK YHEPPEARKPPPKESWRLYVFKGEDLLEMVELNERSCWLIGRERLVVDFPLDHPSCSK QHAAIQFRFVEKRNEFGDRVGKVKPYLIDLESANGSTVNGDPAPPGRYMELRDKDMLK FGNSSREYVLMLDKPNT ANIA_02892 MGGKDTEAVAAHIEKATNVTDDAAERGRLIQEQERQLSLWATVK LHPRAVLACSVAFAAGAMFGYDQISNGSTIAMPAFMMYYGDHNESGLYLPSIWTSLWT SMTSLLQAVGAICVGMISDRFGRKWPGVMAGILSIVGTVVLYYAKSRGALLAGKMVCG LALGAGMAIGTTYASEVAPLKLRVPIQTILVVFIVFMQGLAMGIVRIFVPNTDEQAFR NVFAIQWAVGGIFAVTCLFAPESPVYLINSGRVDAAEKVMKKLYSSKFSIDDRLAYLV KTISEEQEQQRISAGSYLDCLRGSNLKRTLTVMFLYSTANLGGAAFLSQSIYFLLTLG LPSVHIFDISIGGFALAIIVILLTGFIGKFLSRRRMLLMGCLINLAFLVVIGCLYYAS GMGPSWAIAVLMNILISIQTSLMQAVGWPIAAEISSYRLRVKSLSIGVFAQTLSTWVM TFTVPYMYNVDSGDLGARTAFPFAGITVLLVIGAYFLVPDTTGLSTEEIDRLYEDKVS PRRFSSFVTHDVPRVAE ANIA_02891 MGDASETYPLARDSAESARIWLLEARDLLSSRVPNQEPKTFHGF DISAAQFPGSPPEGVSFSCQDILKPFPPEYHRKYDLVHVRLLVTAFPEKEYETAVRNL VEILKPGGFIQWTDLNGSFLASPSSTELSDPRSSFLVSTWLGFIESQSLALDAPASLA EVFKKAGLADVRNTGYAQHDLSQALKLRAQEWQADSFGAVVKRLLIKNGDMEADAKRK VEAEVAKLRKYFEDTGEVLGIRFGVVVGRKTA ANIA_02890 MSTLNNPLALLWALLPRIPLILRTAVLHGIGQSAGSGKQDLRTE MTVAIIRSFLTGRRVPVGKQQKGAMHDPGIKGPLWVSKVRISQPEMDVHDAVIKAIEE LKVGEEKYDIPGVGPVEAEWTGYRRGVDKNAPEPQLSEEQKYAELKKENDADMVVLYF HGGAYYLMDPCTHRLAVSQLSKRTKSPVLSVRYRLAPQNPFPAALVDALTAYLYLIAP PPGSFHAPVPPNKIILAGDSAGGNLSLVLLQTLLTLHRKSTTVTFHNTSVPITPPAGV AVSSPWCDISRSMPSIRKNAPYDYLPAPSPFSSSEANGEPFRPPPVPADAIWPTNPPR VDYFVSASAILHPLVSPLAAPSDLWNNCPPVYISIGEEGLTDEGLVMARRMHKASVSV IAEQVEGMPHCFGLMMPGHRAAKAFYDSMGSFCVDAVAETLKERVDGKLRFLAFKPEN NKEIPLSEVASHLPDEEVDRLLAETKQWRVIGEKVLVDEWSAKIENRARL ANIA_02889 MATPERRPGQTSWARRQQQQHESPFSRARRERLHLDALSPPQKS PARRRSSFSQTKTLAGAFAHTVSRLPLTEIEDDLFLPEYARRGSPRKRGQSTSTMSGR SNPPDLENAYRQVEDAVSLTDIDPSDDENVVYMAGKDKLNKRISATAAQREQRLSTVS ESSFGTQSPRRRFDFTMDEERLKRATSSRSPVLDKAALGSAPSSEHLQRRDSEDNSTE AEEEEEEEDYGIEPPMKAPSTWGSRAKSGSAWMKSLTRNHERASTLHESGDTSSRLWG EVSSKRAQRAAESQEEGVENPIRSHHELPPPSPAPSSERKEEFPSGGHRIPNTPISIF PSSTFTKRSPTKRDSHDLIRKLSRTGSPGQNATEATQTPEQTTTGRRIYDKTPVAPGG WIDTPMTQRAPPSQPVIKALGSKLDNAWSLGRLIEEASNKKEDASTLIPNFEPLNDIE KEKPPAEQPNDETKEKSAGQVKETFELEHVEEKQPQKGGPTPSLSTHSTREMKRDLEP VELPLPDHPKSALETVLQEHRDNKDSLDVGDDTIESLQALVDQQPSEDTETQEQDDAA YEQQVMSELESAQSSEMKDFERIEGKLQSLSDNMSHLKSGLNQLEGRISQDTEQIIAS ITKNPLDITEGATRPQESCETCKKLKNVEVVHQCIPLPHLWDRGRVRWLPRPTRLGWC ILIPLAWYILENTMCDEFCHPVVAETCEGYCLEPDAPRYPFVIPTLLWRWLHLSDILA PLWAILVAFSRIFSQLLGLSDGYVDDVELPRLNLSGKVWINGTRMEDIPPLETSTNKV FAPPVVKFEWEKTTHIPKPISDIHSDTNSESEDWEDISMDEDEFL ANIA_02888 MARSARRSTKGKAPATSTPSSGSSTPSSQSGPIPPFTLAPECLT PFLKLLSPKEVYLLHIDSSALDLKKQAFIIPAVTNALIVALIAYRVYVGRTMYPELLA TVLGLTDSANLDTSSLSFTELATLILRRALPVLFDYFLVVTFLPWPLQFCKGPFQWRR RVGFRNAEIIVRRSQSALSATLERNRWIGEDEEMRDKIVAAVTPDRLAKTGYLLIDAD WDLDYEAMIKAHELTSSTNNPNQVPMDEFRTAVLVNTDNDGWIIWHVGDENTEEGRTR SKQRDQILAFKDKLTEMGHEELFFRWVELIQYESTQPGGFTPERQARAMLQAKQLFED AGVDFTRFWQEVGGMEGFGDADGEESEDQLD ANIA_02887 MSLHIYIAHTGEHLLADSVSFSTTEALRSWITQRTSIPSQRQIL MTARGKNVKIQTLATENEIFLYDRRYVSEQDNADLPKLPSPQPLVLDKPPDTLSDRND LQAWRNLYAARKTWAAELTERCEMADTSIRELNERTGIVNRAASVALENLKTHVAALE NRFQEAQAWAKELSREQKSALEEWKRALANLENIPARKEFSFLGRPSTPKKDADRATG TLLDYVDAVEVQKAGPEASAASSRFAQQIQDIERAVGEITAGTQRLLDDVPNSRTDTA DGLLQEIEPLSRKIQSDYEHVLGLSNNSKTLANISRLALNHTQDILPSMLEIAMEIRE SLAAAVRQYDAATKSALGRTKLISAIQSRLADVQAHIANLTFQSDAFDLLYSVFHMPL VYGSVLIESVRRHEFNEKMKSDSLTLAEELSIFQDEEQRRRKKWVKNMEDFLSVTDTT TPGIEVNLRGHEFDWPIVTRKDIETYIEDLRSNPGTANAAQELAQAFKELDAPTRVQR RRAKAFKQGSIFDLSRSSLLLHSDEIVRSLRDEKLKLEEKLRGSESRIRKLEDLLHRH SHLGRPSSGNFSIDFPASPASPHPDPMSRRSSVSSRRLSSNQTSEEKNLVNRIVHLEA DLAIERETVQRLQREADAERQSNTNKMQEAQSTKNDLIGNLEARQREFSDERRYLEGE VKRFKIRVEELEEELDRLTDSRDHEKQDADERMHQLELELQDAHARADAEMRKANNLL EQMQSHREAADRSKLRMDELEKQATERTQKDQEVRHALQAAFMNLSPGGSVPDEIVDI IKAIDVLSEGLTIHAKTAEDNAMKAAAENKTLIEQLEKMESNYENAKSASEQYQTQLT QAREEVEQEQSKVKAIESELNDERASLLELESKLAAGETGAGALREHVAEEEQKLNNM SQQLAETEARARRSEEEALQWRKRAEALSESDKQVAARIDIRTARLEELSRQLFGQVE KLERMLEQLGFTVIRQDGEIVVQRSSKVNALSATADTLSQSGVVSVKPDPSLLNWMQG EHPEEETERFNAFLESLHQFSVDIFGDAVVKRVKDIEVLARKWQKEARGYRDKYHRMQ SEAHDKIAYRSFKEGDLALFLPTRNQAIRSWAAFNVGAPHYFLREQDVHKLQARDWLL ARITKIEERVVDLSKSMNGGNPDRRSIGEASDGASIDDENPFELSDGLRWYLLDATEE KPGAPATPGLGKSTVAPAHVDAKGSIRLKRTPAGGNVTKTLTRSLDSRRNSSASASIK RGTPPSRANDSTTDLVRPAQAESESIAAATDSKSQSQSQSQERERRQEAQGTAVIFDE VRRDQLQGP ANIA_02886 MTSSKGRSLPQLSSGEITLLDLAADDPRDTLSFSDKEALIIQLY HQTQELELEKALLEQELEVISTNNVEELAAAERELLDARATYTVRKKAVSTVLITDPI LKAVHLKGTSPAERSLFRLVNRRDVLSLALENFTEAQASTLKKLSSLEVENLQLHRQN QELVRELLELTEDDESWREQLDDPELKQQLEQLEAEHKQSKAKWETMKSVASAIIVGS GVDWAEDEDLTALVLDDLND ANIA_02885 MPKANPTRKTKATRETGGRKKKDPNAPKRGLSAYMFFANDNRDK VREENPGISFGQVGKMLGEKWKSLSDKERKPYEDKAAADKKRYEDEKAAYKAGEAEED EESS ANIA_02884 MVLSDVAGVAEEVAAGFRRFRDFLPEHSTEITGLIADLFTISTF LKTLEELSRHHHHGAIFNVARSDVDLVSDSLQYTLDDIVEFFGDLDGRRGLTSRSAYK RAWAGMSQFFMDESGETLSRRLTNYKGFLRELEDLIKEAGPDYLFMSRLREQFQELLV KQDGHLARRLGALSVSSSSSSSNSTAPNSPMGDRKPRTRRSYERARPVHLSPVPTSPS SGSFLDIPLPRAPAVPSSPVTSSAASHSLGSNIANEHWAAQVFFQPRTETRLPARGDR CICYGDPQPDLFEWLDAEDFTKLLELSFGGNFRVLFYVRDDDHRARIVCGQSGDYYCL PLNLLEINRRGPSCLNLCRRRRSGSELVVWANLKFSTIEMMVLFFCTFLALRSQDSGR PVSQIRDYELFHEQELFASDTVTGAVRLQASVHKGEMKRCATRAFPEILRANNDRSPV WTTFITDLVRTPRWLRIVERDPKFIILRELSQTIFTFPDYTPPKTHKGGHLLKFMNRE DAEGFIHIMAELADGRI ANIA_02883 MSNPSLYGHKPSKQQSASTAPSSSTLAFTTTLSSLIASGSNERS HGHGRPRPSKTVKSDLFSRPNKGAQKRAAADLRDDDDTAVRQIHQSSKDIGSVDEATL HRSKRRMEEKARQYEDLKKGLHLAAGSDSEDEVTTGQGDAYFSRLRRKEKEGLVDFDR KWADEQDKSSGAESGSGEEDDDNASIISYEDELGRTRRGTRKEAAQAAELRAAATGDA QNTERWRPSRPENLIYGETVQSEAFRPTDMAAEQMAYLAKRRDRSPTPEQVHYDADAE VRNRGTAFYAFSKDEEVRKKQMEELLNARVETVKEREARRERRLERERLKDERRKEIE KLRAKRRAEMFLSGLTDVDIGVSG ANIA_02882 MSAPIYLGVIGVGGVGTAFLNQLARLPNAPKLILLARSSQTLLS PTPSYSPTIPAAEWKTAVETPSLTKSGALTPDEIATYLASAPGRSILVDNTSDPALAS NYPVFLRKGISVVTPNKKGFSSDLSLWKEIFAAAAEGKALVYHESTVGAGLPVISTLK DLVNTGDEVTRIEGVFSGTLSFLFNTFAPASGSSSAKWSEVVSQAKELGYTEPDPRDD LNGMDVARKLTILARIAGLDVQSPDSFPIESLIPAELTSLPSSADGIAQFMARLPEFD SQMAAIKEGAEKAGKVVRYVGSVDVAKKEVRVGLQQFDKDSAIAGLKGSDNIISFYTR RYGSNPLIVQGAGAGGDVTAMGVTADLLKVIERL ANIA_02881 MPTLDFVLARTPLVAFLTIFICFFVIYIRRKPNASRNHGVESPS PYPGKEAKQSRFGYPPVTPLPSFNWETTEPLVFRPFKPKFHLTMAITHLDLSDLIPMD KTYLSRLSLREKLLAEHPDVVRGVNIHTQNPTKNEKIREALCEWYAYVMGTYLPERYP TMFRLVDHLGTGNVKSEKMRKMMIESLVTGLKAPVDPEDLMRFCPTNIEDEDDTARTK TQLLYLLDTLGSWIDEDFLILLPSPVPPPSFEDHSSESDPELKSQYHLEAYTTYYPAG FDTRKKLSLSLSAIHAPLPGYKQKLSKSMDRFFERLEVGKAVVRVNWSIMPKGTGLFA AFGGLHNHSPNAERGNEKVEKLHPESFDGEDTFLRCERQTLHRLPNSKALLFAFHTYT YPLKDVKEEGLGEELAIAIDGLKEGSVPEIHEYKNGPYWGEAVKAFLRS ANIA_02880 MDYRALWRRDGAEEFLKLIQDPFKSAFQTNAVWASLATSAVVAI VLALLFSLFRPRHTLVYAPKVKHADRKHAPPPVGKGLFAWIKPVIRTKEAELVDCVGL DATIFIRFTKMCRNIFIFLSIIGCGVMIPVNLTQSQNTDGATAFVVMTPLYVKVNAIW SQVVCAWAFNIIITFFLWRNYKAVLALRRRYFESSDYQRSLHARTLMITDIPPDLRTD EGFLRLTDELNPTAALPRSSVGRNVKGLPKLIKEHEELVRKLESVLAKYLKNPDRLPP SRPTMRPPRGHRDEDGSGKVDAIDYLTDRIQRLEEEIRHVRASIDKRNAMPYGFVSWD KIEHAHAVAYVARNKHPRGTTIRLATRPHDLIWENLSLSKSARKWKAFVMFLWVTALT VVWIAPNALIAVFLSNLSNLGSVWPAFQTELDRNRKVWAAVQGILSPAVTSLFYLLLP IIFRRLSARTGDATKTLREQHVLRHLYSFFVFNNLIVFSLFSAVWTFIAAVIDLKNKN EDPWQAVQDGQFYIKAFSAMCNVSPFWVTYLLQRNMGAAIDLVQFVHLIWVWFAKTFL APTPRQTIEWTAPPAFDYASYYNYFLYYSTIAICFATLQPIVLPVTALYFGLDAMMKK YMLMYIFVTKNESGGQFWRVLFNRVVFAAILSNVIIGLVAKARGTWNMVYCVVPLPFL MLLFKWYCMRTFDDDCTYYNKANLSDTEALAASKSSKSAGDRLSSKFGHPALFKPLIT PMVHAKAADALKQIYRGRLGGNEAEGDYSDIVMDPMMKSQPGKTMPDTSAPFEVVPEH HLDFAYYKDRADFQEEFGGGIYGRPEDLMTERSHTPRSALGDWSPTSSRAPSPAPSVP SLPMLQPEAYDPRLNQGMHPVFRPPPFRTGSGMSRGLYQHQNESETELLGQVQQPAGV SMQPWRPGGGYGPVDQDDHAFTSYEQYLVSIVPENYTLAVRQQPQAPGACLPITGLGR SLFPDNTRTTDSRSLGSPSATVARPELYDAVAISNSRCLYKPALCKLNQQPIRRCLVQ HLN ANIA_02879 MAEETWQAAVAERRRILDLLIPTDWKLSGEFRASLPADGRLLQA DPVRKSGILSEDELDITENYSAGQLLQRLARGDVSSLAVTTAFCKRAAIAQQLTSCLT EHFFAQAIERARYLDEYLKREGKVVGPLHGLPISIKDSFQVKGLHTTVGYVSFLKNGP ATENSAMVDLLLDLGAVLYVKTNIPQTLMTADSENNIYGRTLNPHNTNLTAGGSSGGE GALIAFRGSILGVGTDVAGSIRIPALCCGLYGFKPTTDRVPFGGQVSGVAEETPMISP SAGPLAQSFDDLELFMSRVLAAGPWRYDPAAVAMPWIRHTEPQSLLSVGILPEDKHFP LHPPVKRALESAIENLKRMGHRIIRLPSDPSRDIADANRLAFEFFTYGPRLDHITPSG EPVVTSVATLPSPMFSGPPYLSQDLGVFDKIKILQIARKKYSESWRRTIVENEIDVIL APGAQNTAVPHDSYGWPPYTAIWNLLDYPACIIPYGKASKELDPEPMVVGGGQPGYDP EAVDGAPCALQIVAPRFQDEKCLAAARIIDRDIRV ANIA_02878 MAISPVSKRTEYPFDLGTFGRPITTTNPSTQTWFNRGLTWVYTF NHKEAVTCFEQAIAHDELCAIAYWGLAYALGPNYNFTWEFFGEDLNDIVRRTYEASKK AQDLAQSATPIEQALIEAIQARFASDKPAEDMEHYASRNRAYADAMEKVYHAFGDDLD VAALYADSLMSLTPWKLWDLKTGLPNPGARTLDAKNVLERALRDKNAANHPGILHFYI HLIEMSPTPELGLVPADYLRELVPDSGHANHMPSHLDVLVGDYRAAIRANQRATIADE KYLHYEGAMNFYSNYRLHNYHTLIYAAMFAGQKAVALDAVERMEKTLPKELLVSLADF VEVYLSVRPHVMVRFGMWEEIIHDLPVPTDQELYCVTTAMVYYAKGVAHAATGNTQEA KRYQTLYTAAAQSVPESRLDFPNKCTDILAIASAMLDGEIEYRAGKYEAAFSHLSRAV QLDDSLGYSEPWSWMQPARHAYAALLLEQNHVEEALAVYKTDLGFDNSVIRARRHANN VWALRGYHECLLRLGRRDEAAVIEPQLTLAVAVADVDVNKSCFCARASGTETGVHELR ASGKDGDGNEVSLSLLRLVTSLNHPLEA ANIA_02877 MSSKDTASGLPAQNKSTWSSFLKSIASFNGDLSSLTAPPFILSG TSLTEYSAYWAEHPALFVAPAREADPEKRALAVLKWFLSTLRQQYCSRSEKLGSEKKP LNPFLGELFLGKWDSDANIGETTLISEQVSHHPPATAYAIRNEKHGVQLQGYNAQKAS FSSTIYIKQIGHALYTLTPPGADKNDPAQQEKYVITLPALHVESLIYGNPFMELEKST YIVSSTGYISKISYSGKGWVSGKKNTFTASLYKESEGEKKPLYTVDGQWSNTFTIKDA RIKKDVETVSVQDLQTTPLTLAPIEEQDLYESRRAWSDVAANIETGNMDAVSAAKGKI ENAQRELRKVEKAEGREWERRFFERVDENQDQQFLELARKAGLTSLESDKTGGVWRFS PEKAANAEPPYHKVGGEGLGVSN ANIA_02876 MASVTEHPPTLEQIEADQDEYDRLFTAKVDSFDVPTTTRRELWS YYLYYNGDNGVGPLSYTQALFQWSLNGAGWQPGTTPRQPCTDSSPCVVPWAGGTRTVS SIVLIANGLSFTFMTIIFVWLGSAADYGSFGRWLLLALTVVCWALQYGTLAIREPTQW PAAMGLYIVTYVAYGATLVFYAAMFPKLARYMPHVRKAREEDLREGRIDQRDYDAVES LERNHISNISTAHSNIGYLAVLLLNLSVLLPMQGNNYANNLAICLTNSYWVVLGVWWF IFQQKRPGPRVPEGSNYATIGFKQIWLAMRKIRSLPQILLYYIAYFLLADGLNTTGTL VSIIQNDFIDFSFLQLTYLGISQAVCSIASTFGFWYFQRHFRVRTKRMFLVTNFFTVL IPFWGMIGLWTHRIGYHNRWEFYFYNVIFGLFQAPYYAYAQTMISELMPPGYDNMFFA LFGITNRASSIIGPNVIQAIINDTHNNWMGFPFLFAICTMAMIAIALVNVEKGRENAS KFAEAHRQRTTVRGRTDASDSRAGGKGASIGASERIEEVDNADCRYSGAARSD ANIA_02875 MGVLEKLSRKTGVIVGDDVLRLFEYAQEHNFAIPAINVTSSSTV VACLEAARDQNCPVILQVSQGGAAFFAGKGVSNDGQAASIAGGIAAAHYIRSIAPSYG IPVVLHTDHCAKKLLPWLDGLLDADEAYFKQHGEPLFSSHMIDLSEEPVDYNIDTTAK YLKRAAPMKQWLEMEIGITGGEEDGVNNESVDNNSLYTQPEDILAIHNALSKISPYFS IAAGFGNVHGVYKPGNVRLHPELLSKHQAYVKEQTGSKKDKPVFFVFHGGSGSSKEEY KEAISYGVVKVNLDTDMQYAYLSGVRDYVLNKKDYLMSTVGNPDGEDKPNKKFFDPRV WIREGEKTMSKRVQVALEDFNTAGKL ANIA_02874 MAAGNHADGNYDLDSNDPSDTALDWEDKSPTGAAFASAHPPAPR PRLPYFRRPSGPPSQPRLDSSSPPTPDRPRSLLSSPPKVVIAARNPTGGIRGKLSCIL NALSSALGLGRNGDGARARDSASVAADSNSALIAAEEAEAEVETADANNNGESDEGKD ENPEGNDNEGEREEEYTVSDEAGEQRETENLGTQSTGTAWNFAAPGMGYYTESEVAEE LEVDETGIERGTEDDEDEAGEAGEKRASAESNTDGQLPKTTTSHFYSLDIPLLEIEDG EVPIASPSLANPKSSWLSSDGGLRSAGVGLPSPSGSVANCRWSATLPVYTSNPPKQTK HEEYQPLADAASSDCAPISLSNGLDQPLVVPRDDEDGGADEDRGGQEEQEEKVGDRHI RTAGVNGPPEFNMLNSRIPNAFDRFLIEAPGPSIMPLPTVYGLSRPLQDQALQVWGDE NEQPLSAQGNDTALLFHDADRLIPSIEVDHDHDHDRPNSSDGLRSDSATARASGPTKP SGRRPGTKRYNGSRSNKGDIAWGKANRGSYSIQEPTTEDTEEDVGEEREKRQDGVTTD RLEGGPGGILGEGYPEGGSSAGGGPDNSLGYSQERDNDRDRFPEMSPDPPTPVEYPES PVVLDFRGQTPEVSPDPPTPREDLEIPISRELTVSPDPPTPVEFPETPILLAFGNHTP EVSPDPPTPKEDPELPVPGNSTISPDPSTPLDSAESRPTAVASTSRWSIAPPPPPTPS RPAVTRPRSRIAYGKFGNLTVPDASLSNSGPRASKRRRKRGQVEDGEYVNEAGEEDDF SDDDRNNYGGGYDAQKRPSRGSGGRKRKSPSSSNALTAQKRVLRNRTIGFSNTEVGAP GLGEKRAASPSDAGTNAKRAKPGTPAASGFNRYASTSLVENSVPSRKCSVYVLGENGN GQLGMGNGTPVQYKQPTKNTQLPDVVQVAAGGEHCIALTHDNKIYTWGDNSHGQLGRL TNSMTAQMPGEVDFSEVNLPDYTIFAQVVATRSACFVLTMFGDVYGWGTFSEIYEDEN GEQHCEPLGFRHQCKCQRTPLHIQELQNVKRLAAGSDHVLAQISILIGGNRASSSASK MKGSRVRKRKDTIAAEGSSTGSATKVRDVVRSWGAYKRGQLGRTAANIANCLNPLLCD FPGNSSRALKNPDNVSTIGSGKFHSFVIKESGDFLAWGYNKFAQTAFVPPAASVLRRD LKVDKPSTVASLRGQRVHFPTGGVDFSVALTAGGQCLSWGSFQDGVRSIPDTAMSDEP DFNKVAGRDEGTQIPAIMKVPTFVPGINAHDKGIKMVSAGWTHTIAVTNGGKALGWGS NDHYEIHPDETKHPINDPMEIPMEGLRVVEAAAGRFFTILLVQSD ANIA_02873 MGSNKIWLRAETKPAEARSALTPTTCKALIDAGYEVTVERSTQR IFDDDEFAKVGAPLVEEGSWVKDAPKDAYILGLKELPEDDFPLEHVHISFAHCYKEQA GWEKVLSRWPRGGGVLLDLEFLTDDAGRRVAAFGFSAGYAGAALAVKNWAWQLTHPEG EPLAGEKPYANQDLLIQSVKESLQAGQKQSGKSPKILVIGALGRCGKGAVQLAKDVGI PESDIIQWDMEETKKGGPFKEIVEDADIFVNCIYLSSKIPHFVNVESLSTPSRRLSVI CDVSADTTNPNNPIPVYNITTTFDKPTVPVTLPNGTQGTPLSVISIDHLPSLLPRESS EMFSEALMPSLLQLKDRENARVWKQAEDLFNQKVATLPQTA ANIA_02872 MKLTAPFNLNPLSKFHVPLPRTPRQSQQLLNALTSSFRRELDRA HPPTALSSDEGSGTNNAYSGDHLNEEHAHSAAHATDKHIRALLDNPLFRVSPSRNSVR SGPQSSTDGSRIQKEPMAIFDELVASGSATVGSVSDCLNWQLLLASRQSGERFVKELR DSRAGSRTFSWWSSSDPSTRVSFLKNNRAVRSTCKFMAAEGLRDSILAWLRVLRNRDF GDLEVEEDLAEKSFKYILGNYLNGEIECGGGLVSALRFYVDACKILMSTADETPTLPL KKSLGAPAGYLLSMIEMNSRRNPSDSIPADVYDKYANMVSALALDIEILCITLHRPVA PNARRMDSLGKLTLERAPRLEGDHAYPKLCFGAFSAHCLPCLRTCSPRGREGDRGTAE ITITPQTLLINVTAQPHHFPPTSTSSSAIIRTSNRFQRLASFAKLQTNLASSGNHFSH NAFSRLIITMSSTPSIAALVSDSAGSPSVNGAPQQNGSSHLSKPMEASALTETNDENL SEIRTPANDRSSVSISESKREQAKAGEKRELETPSITNSGGVESTEPDYKKPRTNEDA VAADSTSPNSRTEKADPGQAAPQQKKKGGRPRKTKDTVKKGIPTDGIGSRTRSRTKVV S ANIA_02871 MSEVQSRSSASRGRVSARGGRGGYSSRGGRGGSRSTKPDVTEPT YEDEGELGQMKKKYSDTLPMLKELFPDWTDEDLVFALEDADGDLEQAIDRISEGNVSQ WGEVKKKTTDRSRPKPKEAQSTPTESATTAVRPGRGRGGFEGRGRARGDRGRGGRGGR AGTHANGTRTEKSSLPAEITPIADSATTTTATSSAETAAPETVSTTKDTPAMPEGTKK GWASLFAKPAVPPPQKKPAAPAPAPATAPPPAAPATEEPAPEPQPEEKPAEPDAAPAP APAPAPVPVAVPLPADRAPQPAVPQPREEPQKATPTSADVSPAKDDLTKNNLAQIPDV SPPVPSATAASTVGSTVEPSAAATSTPARPTASALPTSAFKQNIRTPGTQRRVMEQQE AVVMPGNHAVDRAAVQFGSMGLNGEAADVDIDENREDTETRAQPPQHSPVAPRASLPP STQAQAPPEAAAVSRPAPGLPPVPQATAAENTFSDFARYDSQKPYDPFTQPLTQPQPQ VQEPFANQAPVQPTVTTGSEYSPFYAGDQRLPYNYYNAYGQSQDASLAQRAAGFGVSG AEAQPQIPTTQPPTRYGHVEAPNSGHTTPNPTLPGVTQTPAAHHMPTQGAHAYGYGYP YYSNPHYASYMSQQYGRNRPIYDDARRYEDQYMPHSSQYGYGSQYGPYGKGGMYGQPH GFSYDHSASPATAGSFNQGIPGRDSVYGRTGSAQPSESQQSAAGASAFGTGMTDVFGR SQAGFGQNQPIAQQTPVSSEETKAFDASKTGGPSPSLSQANRPGSATNTPGQSQSQTG LPPLQGQQTQQGFGGYPHLNPQYGGLGGLGGHQTAANQTHHQATGYGNYGGAGFGNYY GNTGRGGWGGNYGH ANIA_02870 MSRPQNPSPSQLPIEVTLSPFDVQDLPSISQIWDSALPQYSLPP DALAKIIPQPNAHHFVAKADGRAIGFCLSYQSKHLAQKPANATKGYIAVLAVRPEYQR RGVGTALLREAIAWFKNEFEPCCIEVGSAFPRFWPGVPVMDPLRNSSFPKGIASTEDQ DRHEDKISSSSVLDFFISRGFRMRPDPPRSVDLYRDIRTFSLTEMGHDRDYGQRAREA GYTFSPLQEAGYDECLAGQSRNFADNPDWVDIYRKLNPVSHPYSIMTAFDANGAQAGW TLMLPPSSSILQSNWVMPSVCGPNTGLIGCVGIDKGHRKSGVGIALVAHALQDMKSRG IEGVFVDWVSVEGFYEKVGFHIWARYRTGEIG ANIA_02869 MADEVGKEHPTEMPIEHVEEVRRRGEKLSSENEDIAEKGATEHV DLNKNTSAKIKNPLADLTEAQVLRDVEEFAREHDLTDLLPNLRKGALIARDSENYRSV PGITPQDIRAIQDETDHKWRQPRALYFTIILCSIGAAVQGWDQTGSNVSLGANLSMPS ALGIPTKDNPNATLNQWLIGIINAGPYIGSALLGCWLSDPCNYYLGRRGAIFVSSVFC LITPFGQALSQTWPQLFVTRALLGIGMGLKASTIPIFCAENTPATVRGGLVMCWQLWT AFGIFLGTTANLIVKDMGDLAWRMQVGSAFIPAIPLVVGVYMCPESPRWYIKKGKLRA AYESLCRLRNTTLQAARDLYYIHAQIKIEQEISGEGSYISRFAELFTIPRVRRATLAS FTVMIAQQMCGINIVSFYSSTVFSEAGASDTAALWASWGFGLVNFLFAFPAVWTIDTF GRRSLLLFTFPQMAWTLLACGFSFYIDQESKAHLALIALFIFLFAAFYSPGEGPVPFT YSAEIFPLSHREVGMAWAVAICLGWAAVLSITFPRMLAALTPQGAFGFYAGLNIIALF MIFLWVPETKQRTLEELDYIFAVPTRTHMRYQLFQVLPWWIKRYIFRKNVRLEPLYRF DHVQEAI ANIA_10373 MPCFKGLAVSIHTPDGPLPEYSIQRQSRASRITSYIPVPPPKIP DTAGGKPEQSTFAISITLLNPGQDVPYSAGKPTPDNPHPKPKVVGGLPGQTEQRGLYT STVAPYQALTNSPNETVAAYIYFDGRQKEEVATLLRRGEETWVNSRWVSVPESEGGGL AEREFLFREVGLERWLNGLDLEGNKDAAAKIERRRQKMEKRRAKRALDGNNDLDMDDK KRDKGVMRYGNDTRSPLEDVSDDDVSLASSDDDPVPESTGQIKVALFRVLASGEIKRG EYSPQFDAHDDDEDGQGGQGGGDEDIDHTTSFAKPKTLDPKSISTQTVTGIDPSDKPY AIFTFMYRGERQLQKMGILKDSKSQETPGSAKRRSQQLDFANLGPLKPGGTVGFLNFR DNTEGRRKSKKDKGVVDDDDMDSDDDEDDRILNQADEEEDKEDDQHLSPDDIRRQGEL AEGLRQIKLKRQHSAASLAGSSRRDTASPKTTGATPTSTNTSTTPPAATATSAPTTTG TLEGQSTNTLNGEEFLGSPLKKQRPSVSQADESLLRQRMGSGLSQQISDVLGSGAQSG SVTNNSKPPLGPSHDESLQSPKTMNPPDSVDEEL ANIA_10348 MPLTRSTDPLVWIDCEMTGLDPTTDHILQICCFITDADLNLLDA TGFEAVIHVPQSALDSMSQWCIDTHGRTGLTQRVQNSTVTPEAAAADLLAYIKRYIPK PRTALLAGNSVHADKAFLACGPYAAILEWLHYRIVDVSTIKEVVRRWGGEGLLSAVPP KKEVHEAREDILESIEEMKFYKEQLFGASKLANK ANIA_02867 MSVQTVSIQPFGDQKPGTSGLRKKVKIFQQENYTESFLTSIFLS IPEGAQDAFLVIGGDGRYYNSDVIQKIAKIGAAYGVKKLIVGQNGILSTPAASNLIRK RKATGGILLTASHNPGGPDNDFGIKYNLTNGAPAPEQVTNKIYEVSKSLTSYKYIDLP EVDTTTVGTRSYGPLEVEVVHSTEDYVSMMKEIFDFDLIRSFLKKHPDFKVLFDGMHG VTGPYGIDIFVNELGLPSSSTMNCIPKPDFGGGHPDPNLVYAHELVEAVDKNGIHFGA ASDGDGDRNMIYGANTFVSPGDSLAIIAHHAKLIPWFQKHGVDGLARSMPTSGAVDRV AKAQGLQSYEVPTGWKFFCNLFDNKKMSICGEESFGTGSNHIREKDGVWAIVAWLNVI AGVAEQKPNETPSIASIQAEFWETYGRTFFTRYDYENVDSDGANKLIAALSEKAVDNK SSFVGSTISGRKVVDSGNFAYTDLDGSVTKNQGLYVKFDDGSRLVVRLSGTGSSGATI RLYVEKYEGDKSKYQMATQDYLKDNVGLALELLKFKEFVGREEPDVKT ANIA_02866 MKQLTFLIIGAGSRGSAYARAITSSTRARIGAVAEPDPYKRRQL GQKYIWGDRAPSTGEEFDGWESWIQYETERRRRHQASANADNEGESEVKGGVDGVLIC TLDETHILVLKALAKANLLDLHVLCEKPLALSLDDCLSVYAAYTRQPRSIFSIGHVLR YSPHNLLLRRLVREEKVIGDIVSVEHTEPVGYWHFAHSYVRGNWRRETENGDGSLLTK SCHDVDFLLWLVEGAVTSPSSSFGTSDGDGDGERKLKLRTVSSTGYLTQFTPSQKPPA AGSATNCTSCPIERDCMYSAIRIYRDNQLRKGKSGWPVKIVCPDIEDYLPSPHGKDGN GTEDGNLENGAEGDLNAAEHHLLSTLQQDYDDNTPDEVIKRRPWYGRCVWESDNDVVD DQFVSLAWDVLPSSPSSQTTFRSTTKRNGPGITANLHMIAPTQDQCVRRGRIYGTHGE ITYTSSSITIYTFADNETRILEIPKQPPEEEEAHGGGDYGLARGFVGAVEKVINEGWE AEKAQRMFVGCSLDDVIKSHGVVFAAEESRRHEGVVKWGEWWAGKLAGVGL ANIA_02865 MSLPWAFDRSTEKIDQTETAPGASETVDIEPTETAETDKTVERT VPERIQSANSIEIDDPSKQLQGEPVYRILSIEELTSGMSRQSERLPPLDKGDRIKPVG VKYDVLDASNIRLWKKKIEGLLRLQKCWKVVENTRKMRIDGKTELLHQAMQDEDYIDH NLLAVTYLTAYISEEDANAVKNLEISGDIWIYLMEKYEAVNPRRKVNLLMRLFTWKMD PKMKIREAIQDLEKLHEEVKDVWEKEYLDQDALMVLFLCGLPPEYEAYADGLRSIGDT KRAVILSRLEEKEISTRAERRAEVISKSANRAEQRRCFNCGKKGHFARDCTAPEKNRE QSNSREDSQGWSHRRDHGKARAYGNGRDKSRRGHTGRQRGGARTANEETDTASFCPFP FEHLQQLIYLQMERFYENPHDFDV ANIA_02864 MVMANASKDSRTEAQETVVDQEIEQQKPYRPARWQSNLTILSCY IANFSDGFQNGLANPTNVIFKHLLGSSRYPSEMQTRISNSLIIGAILGVVVLGYVSDM VSRRAGLVFTSGLVTVSTLMAALALQVHPSYNMLWFFVIVRGISGFGVGGEYPPSAAA GLEESDDFRRRYRGPVFVSFTTLMATLASPILQIIYLISLKASSNNLHITFHAMYSIA AILPFTVMLLRFFMADSTLYHNSNFKQSPQNRSLRFYVLLVRRYWARLLTTSTAFFLY DFINFPNSIMSSTIISSLVTDNNIQTTAIWQVILSALPVPGVVLGAYLTNAIGRRNTG LLGFAGYTVTGFLIGGLFHKISKHMAAFVVLYGLLNAFGHMGPGATIGLISSESFPTA MRGMGYSVALALGRTGAAVGTQCFMPLRERGGDSATFYLAGGVAVLGMFVYWFLPESG ELDLENEDRKLEGYLRENEIAMG ANIA_02863 MTSHGTSRHSRYERLLRKHRLETREDRQESILDVGRAGDLQDRG VELHQKRQYQPNPSTTEDQPDTQTSVTAGAESIVTTTQVPQETASASESNILSSNSDQ QTTELHTTTMSDDASTTVTAVTTITTTVAYPSDPTTGSGVDLTTSISDHTAVAATAED VSDERSTTESAPSETSTMATEPSESATGPSTELASADTSTVPFSDSEEPATSPSSELD TIDTSTVPLTDPTESVTAVASMSAALSEAVTEVSGIIASLTSDIESLSASTQQILPVP TSAASPATSVTVSTSVPIISQPSGSSDTPMFSGSSPDIPTAVISTQTPSGTSTESIMG VGSDDGSTSSSEINTDSTTTSITSDSDSSTSTTASETSASNTDSPSQGGYSWGGGSSS DPTGTSDGSSTSSDSGSSSLSPQTTGKIVGGVVGGVAGASLLFLLLWFLLRRRRKTGF FLSSPANRSIADDGGAGGGLIGAPARSEMASRDSNKGSIFGAAYFAPALMKRWRQSQV STGEESFVSTTPSSERGFQKISGRKLQSGAQPGFDDGNGSLSPTESEISATLPPIIPR SAFSPSQPPPSNPFSMPLDTSYTREAPEEGVPVMRPSPARMPTSGSTNAATWAEASAR SVPMPFPMPPSGPIAIPKRPDALGRSHPSFDGSRGSRFTESL ANIA_02862 MGESRQELLAWLNNLLQLNMTKIEQCGTGAALCQIFDSIFMDVP MSRVKFNVNTEYAYLQNFKILQNVFARHQIDKPIPVESLSKCRMQDNLEFLQWTKKYW DQHYPGGDYDALARRKASGAPPGSAGTSRAGATSASSARRGTTPTVGRARPAAGGASS ATVAALQAELNSTKEAISGLEKERDFYFAKLRDIELLLQNAIEADPELEKDDDSLVKH IQGILYSTEEGFEIPAEGEVAGDELETF ANIA_02861 MPPRDTVATSPHRRRPSINAKSRSRPRTATVSTLPSSSTSDLDH DVWTGLPLVDSGDDMGGSALETMTPVCGQPGRRRSAKSFSGLRHSVDGLRALGRRLSV TIRSKSSRTSNSPFQHEHELGPQNSQDDEEDGFDHPEYVPASQGVSIPLPIPGKGLEP PIVPNHLCSGAAARAAAAAQNEMARKSEQAKLTRDSESGIGIVLQDRSDSDDETDVVR SDPAVAFPTEIMAQVLSYLDPESLMNSGLVSRAWSEQVSSQHVWRNVFRSYYGKRCHP GSGKQPAAGLGKNTFQQDWKRLYLIRRTLESRWKEGKAAAIYLQGHTDSVYCAQFDED KIITGSRDRTIRVWDARYPWACRKIIGPAPGGVLNNGPFHDPEQQALGSSPLLTIRPP PASPAEIVSLHEKPSNYHSASILCLQFDDEIMVTGSSDHTCIIWDIKNDYKPIRRLEG HTAGVLDVCFDHRYIVSCSKDNTICVWDRRSGALVKKLYGHRGPVNAVQLRGDLIVSA SGDGVAKLWNITSGLCVKEFSSRDRGLACVEFSNDARTVLTGGNDRAIYQFDANTGQL VKEIEGHTGLVRSLHLDDVNQRIVSGSYDTSVKVFDAQAGELSIDFPGWTTSWMLSVK SDYRRIVATSQDSRAVIMDFGYGLDGIDLLEG ANIA_02860 MPYPEEAEGFQVDSPETYTDFKKRFFKLKPFGDYDVDIKIEACG VCGSDVHTISGGWGSQKFPLCVGHEIIGRAVRVGPKVTLIKEGQRVGVGAQSYSCGEC KQCKNENETYCPVLMIDTYGAEWPDTGIVSQGGYSSHVRTHEHWVFPIPDVLETNLVA PMLCAGLTAYSPLVRNGAGPGKKVGIVGLGGIGHFGVMFAKALGAETWAISRSRAKEA DARKLGADGYIATAEEGWEKPHRCSFDLIINCANSSKGFDLEKYLSMMDVHGRWISVG LPEEEGQVIKAQNLISNGVLIGASHLGSRREMLEMLQLAADKGLRGWVEELQIGSEGL KEAMERMKKGDVHYRFTMTGYDKVFG ANIA_02859 MAPTPLRPGVYAPTMTFFDPSTEDLDVPTIRKHAVRLAKAGLVG LVAMGSNGEAVHLTLEEKQTVIRETRSALDEANFTNVPVIAGASENSIRGTIQLTKDL AAAGAEYALIVPPSYYRYATGNDETLYEYFTSVADGSPIPLILYNYPGAVAGIDMDSD LIIRISQHPNIVGTKFTCANTGKLTRVARALHAIKPESPLAPTKSPVPVTKRAANHPY VAFGGIADFTLQTLASGGSAILAGGANVIPKLCVKVFTLWSEGKFTEAMEVQSLLSQA DWVLTKAAIPGTKSAIQSYYGYGGYPRRPLARLSDAQVEAVAAGIKEAMDVERTLPDV A ANIA_02858 MAPPSVLMVGTGEYTTGYVGGGASGSDKKVGVVGLTLFDLRRRG KVGDLSMVGVSGRKFPGIREHLHKNITQVYNNLDTSFTSYPADDATDPDAYKAAIDAL PKGSAITIFTPDPTHFSIALYAIERGIHVLVTKPAVKTLPEHIALLEAAQKHNVFVFV EHHKRFDPAYSDARAKAKNLGDFNYFYSYMSQPKFQLETFKAWAGKESDISYYLNSHH VDICDSMVGNDYTPVRVNASASKGTAVELGCVPETEDTITLLVDWKHKTQPGKVATGV YTASWTAPQKAGVHSNQYFHYMGATGEIRVNQAKRGYDVTGDDSGLAWINPFYMRYAP DEEGNFGGQTGYGYISFEKFIDAITALNEGRVTLKELDNRPLPTLKNTIATTAILHAG RVSLDEKRAVEIFEKDGKWELR ANIA_02857 MAEFNETGGVILDGPFDPDAQATVTDFIDYTEYLPADLLRSLTL IRGLDKRYLDSAQSVHDLTKTYGQLPDLPPDVRPDPTALRRDISAQLDSAINARESAY AEACRLYDVVDRHFNRLDSIRQKLEALPKPAEREPTPPPQPLTNTKRPRTSKKEDAPP TTTRITLRLDGARSYKKSRTRRSVVAAEHLAGLHPDSPIASTEHSDNEAESKTTPSEP VAQTKKEKQARRSRPSGEAPHSLKSAGMSTSSALALLKPPPEDAKPGSEDLPWLRLTE WEMTKLRKKMKKNAVWQPSEVMIHRELAIRGRGWEAYRAAKAEAEANGTEFLDCDDIA NNNSNIPGLTRRDGSKEVDGIIEMKLSNRGMKLNEAKKLKRENQAREQAALAAAEAEA AKRLGQLGSTSQGFVSNGADRPQESSAADKPSRSLKKRKPETNSPPAPELAGPQEDGE SRPTRSTAKRRKRDRESSPTEASIPEAPDNAPKIKVDSSESQKPAAAVQSTVTRSKGG ATPVPSESRPPSRGFSNTTAEPVLNAARELRRTSATPARKTPEGSRAPSVSAPTRRRK RPAPGPVSNGPDGGAAVSYGRRKAKPGKKRVGPREPGLNVGQDIRIDEDGVLEEIDPN EPRYCICGDVSFGTMICCENPDLTCSV ANIA_02856 MGIIERSQLLLAQEDVIPQLDTRLRHPQPAATPAAPLLTNKAPD HLKEDSTQLASLSIPTAKDENLTATVRGTDIPTHDAQFAVCGCPLLLIPPTQVRTLFR QINKALDCSLHLPTEELRGIVLNFNREGFPQPTFLRQSDSRNMKDRLEATIPPKLDIR DGSGDMDKQEMIVSEKMMEAAVSSTKFQVQSQEAASPYSAHSQVTEIGISALDTRDLI GVAPDTNGEEWQSRIKSRHLRVKEYGNHAKHLEWVASDDLSSAVQACFTLPSSLYGAD KKQLRPLVFVGHSLDSDIQYLKLANVHIQGHSGISQFVDRIDVAASFQLLRGEKEQRS LGTVVREMGMTGWNLHNAGNDARYTLQALVAMLINHGVGGLTGGSNVGETPHICVEMG D ANIA_02855 MLGAMAEEAVAPVAVPTTQEQPTSQPAAAQVTTVTSPSVTATAA AATAAVASPQANGNAASPVAPASSTSRPAEELTCMWQGCSEKLPTPESLYEHVCERHV GRKSTNNLNLTCQWGSCRTTTVKRDHITSHIRVHVPLKPHKCDFCGKAFKRPQDLKKH VKTHADDSVLVRSPEPGSRNPDMMFGGNFKGYAAAHYFEPALNPVPSQGYAHGPPQYY QAHHAPQPSNPSYGNVYYALNTGPEPHQASYESKKRGYDALNEFFGDLKRRQFDPNSY AAVGQRLLSLQNLSLPVLTAAPLPEYQAMPAPVAVASGPYGGGPHPAPAYHLPPMSNV RTKNDLINIDQFLQQMQDTIYENDDNVAAAGVAQPGAHYIHNGISYRTTHSPPTQLPS AHATTQTTAGPIISNTSAHSPSSSTPALTPPSSAQSYTSGRSPISLPSAHRVSPPHES GSSMYPRLPSATDGMTSGYTAASSAAPPSTLGGIFDNDERRRYTGGTLQRARPASRAA SESMDLSSDDKESGERTPKQISASLIDPALHSGSPGEDDVTRTAKAATEVAERSDVQS EWVEKVRLIEYLRNYIANRLERGEFSDDSEQEQDQEQEQDQEQEQDQEQGQDRVSRSP VSKADVDMEGVERDSLPRSPRTVPIKTDGESAEDSVMYPTLRGLDEDGDSKMPS ANIA_02854 MPLSNRRRARRKEIQLQETSDAEAPDSSPTRPSNKKRKVDNRAS PQPPRPVKAESADEADGSSPDRDLSENQDLVDLVISYLPVSREPLRVQRDHSNANTEN KQSIRAYAKIAGRNWTYYVKSLHVNIGREPDREPKLDEQSSPVTIAARALPEVHVDLG PSKFVSRLHAEIFYDGENTASWHIRVNGRNGVRLNQAILKRGTDAVLSCGDIIEIANT SMMFVTPGDKAKIHPSFVERAQRMANGEEDPAWDASHHAHPQQTPTIPKTQEVVPHST GAPSLAPAPQFLKRQVTPPPRSPDTVGARTAKQSPLYNRGMMMESTEEIDYSKDSAKD LKPPYSYATLIAQAIFSSEEEKLTLNNIYNWIMDKYAFYRHSQSGWQNSIRHNLSLNK AFQKVPRRTDEPGKGMKWQIAAEYREEYWKKQLRKGAQSSAPSSPATKDPTRGTATSG MESVFSAGKKSPPVSSPSFSSFPVAPVEAYTPERGSRAGRNGAIEPPSLRPPNPRDYE EPSPLPHRSATKNATGLSRAYGLSDAATRSPPVLSSSYYDDGPSSMITPAPQRHQPRL PPPSTAQIPSKFMPMSSPAQFWKFADIGSTPARPIADMSPLKGDPGDVLGNIPSSSPP PPNLVSPSKPGTANGAGLGRPLSARREDGPGTNGVDGRQPPAEEDDGDDDDDADNGGF DLARGFQPIGSYHRQLNNAAARASTATT ANIA_02853 MKLTAHLPSTTPAALHSATTHPFLRHAGSGTLSKTTLAAWLSQD RLYAQTYVRFIGLLLSKIHLPNTPSKPNNPSITEEVLEILIDALNNIHRELQFFEDVA REYGLDLNTNTPREESDPEAQRKFAAAPITQGYIDMFMSAASPGNSLLEGLVVLWATE VCYLKAWRYAADFLRKSAGAGNRSEEDGGALREKFIPNWASDEFEEFVNKIGDVVDEF ARQELGEEFKEGAEGLDRCERWWRQVVWLEERFWPQVQE ANIA_02852 METPAAPFLADNAGEEDPSPDSASYLQDEGEFSGTPSNNVSQDL SAEDAAPDAKQVKAPLPMQKRRRVTRACDECRRKKIKCDGKQPCTHCTVYSYECTYDQ PSNRRRNPAPQYVEALESRLHKAEALLRVVLPDLNLDDPQFDVHATEQMLLAFKREKL QQPSQPPAQSSQSSQPSSQQSHAIGSADAPGTDETGADESLLESMVDKSGSLDLDDQG HWDYHGHTSGIIFLRRLRKQLGVYQPGTSEIPLRTRPALQQFLESPKSTSESPQEPSL PPTHDLPPRDVARRLCHNALEDGCALIRFVHEPSFYALLDRVYDTPPEQFTNEENSFL PLLYIVMSVGCLFSDDGAGTLDVSGYESAIGQGFQYFKAGRHLLEITDCRDLTSLQAI CFMVIFLQSSAKLSTCYSYVGIALRSSLRLGLHRSVTANFNPVERELRRRIFWVVRKM DVYVSTLLGLPQMLSDDDIDQEYPLSIDGEFITENGILPSPEGRIHAMVGANAHTRLS VIVLKIVKYIYPLKTAQHRSESDQRYVVSHSKIREIERDLQNWMEELPAALRPGTEVS PQIERIRQLLRISYAHAQMVMYRPFLHYVSSGSQARGVDRRSYACAAACVSVSRNIVH ITTGMHKKGLLNGSFWFTMYTTYFAILSLLFFVLENPDSPTAKDGVLKDAMEGKNTLA GLAKKSLAADRCSQSLNCLFKNLPEMLKNRQSSKAQVNLKRPAPSNQPAPEPQPGSIQ RANTFPVQLLSRPSKPDGTQSPKSVDDNHAARSPAKPRTNRRSWVQPSEAPASAVSTP PEATPPSGTPIISQTLPIREARAGQYTQSLPNTTGFPDLMPIMFPSDDPFAYPAQPMS TLENNHFQFDASATTAPFMSAIPTTVDQAGVNNFGGLNMFQNGTPNSMGTALSGRMSG SHLQSPVSHGSTPGEAVNSPDLVSIPNQNFVWQGYGIDQQQNFVPDATIQQMSTGAEQ SFGMADDNAFNAGLDLPGVPLDEIFGNTDLKGGFASDEWTQWMNIG ANIA_02851 MPLNISLSSVFYLIPLYILVISPILRQLFPNDPQHGSYQFYDDE EALDEDTSLDLNSEVLSLDDGVNVVCPDETDAYRVHILSQAPLVIYIENFLSAEEADY LVDVSIPNYTPSTLYSSSLTSANDTSTPKTDVTKRLSDRALLPRTQTVRCIEARARSF QGWKPNLYIERMWAQRYNVSGHYTHHYDWAGTARGRGGDRISTFMVYLKAECKGGGTN FPLLRKPKDSKWCEFIECGEADEGRKGVTFKPIKGNAVFWENLRADGSGWPETWHAAF PVEEGEKVGLNIWSWYQPPKGRWR ANIA_02850 MVNPYETDPARIPEDDPFYQRSPQYGRYLPRDDDFQPRYDDWCQ FDPQATEYWESTVKALCTPENSLHLHGERQAYAAGRVIIRVDQEDAVGAAAERFLCLN ANELSASRKADNAFKHLGVDVPKIYYCGIIDGKNVTVEARIPGVSLDVAWRYLNPEQV EVMKQQCRIVSQELSGADPGLDHPSYVCNKVHRQIRVPRLMAESESGPLQNVQTWANL YESLPGTVLAEPVYPIKIEPSSTSLDRVPLDAEEVKNGIDALEEHPTPKKVVSLKNRG NSRASSSDRSSPANSTKAPGRRAGPGTKKGIGRKTSTKKSKLDNQDNESVSSRRSQTP SSSRTSKVPVKKQSSASLANSPAPEPKKKGGRKAKVEVEDEEMEEGEEGDEDEEESDP DAVFCICRKPDNHTWMIACDGGCEDWFHGKCVNIDPRDVDLIEKYICPNCKENGKGCT LWKPMCRLRECRKPARVSGPTPSKYCSDEHGLEFMRQKTRHLNFIPPQGIQKPKTIFR AALSGTQTPRDDDSEYDSQMEDEQDNSMEDLGSRGGVLTLGDLTAVIMGVSSVEEFRK LGAHIVAPPAEPAPDQVPPSDTTDAGTPPSKKLGLDVGVDGLHYSPDEAEKLEKLRKQ RAELFHRRDMLTARNTFLTLVRHRSKSILDILKKKDPKGGWKDICGFDTRLAWSDEEF DEWRLSEPGKKALEEGTPEALAASYPTSNVDTDGDTAMGVEDEDSSASLTRGVCLKKR CERHKQWVKVQQQDVAFEERTLESDLAECEKEAREVVERAVLRMWAEDGNAQSQLENG W ANIA_10359 MPTTTYKVAPASPILASSLLGNELSASSDQTRPDAVSKQSNEWD LRLDIENGLFPTSASSSEHHIFRPGTVIGFSRLRGRSPEGNEDEFVGEVSIITLILYK TNSQAQTRTRAYIIYPLHNAIFAPAKLLSSLLSRSEPTQTEPTQQAPPISRSEAINLL DSVQLFPVFDFAGAVDAISEVSERLHKIRDDRQENENRNETENQQTVVVITGLDTLTE AVIRSSNAVRGTAVLMSTLRKITQLSLLRRAYTSVLLVNTSGVGPSLSVHQHSNQIQS QAHRENETHHARDDGSSIQSMFYTNAPLFPSLMMKTLDQGVDTHLLVSISDLSMEMHG RSVAVPVVEVIKDRVGCGLGRWCVRNKKGAK ANIA_10347 MESLPNELLDEIIYFLSASPPSLKRLHQPPSARITKLSNRVLKN LSLTCSRLCTLVRPRLFSHGCFKLKDLEEYLSFVSESDLGRYVTSVVVEIDGPDEPRS CKWWQRLLSSLRPRCLTVVAPPSVIGEMFGMEIPQEHSWAFEIRHQVVQLSCGSQSYD AISQADEQGNILDYPPWTSMTFNESSSLKAYNHYEYFLYQVPSIFHRWSIWASNNAPS EQAPSYSHPPSPLRNLTAFTYIAVFPFYNHVQLVLDVVENMPNLHMLKIQLGPSQNDR VTELEQRGSMDPSDPWMELATGYSLIAHVVRNLGRRGCLTSFTACDYEMDPVRAEIDV ILGDILSDGLWTHDGRGTWTKRVTEMNSVRPL ANIA_02848 MGSVVLPHLRTAWHVDQAILSEEDRLVVIRFGRDHDVDCMRQDE VLFKIAERVKNFAVIYLCDIDEVPEFNTMYELFDPMTIMFFFRNKHIMCDFGTGNNNK LNWVLEDKQELIDIIETIYKGARKGRGLVVSPKDYSTRYRY ANIA_02847 MIDRVIFENLQAKIDEEAAVRDELRDIVQNLSRKGRSTQAVLSR AHSTPEAQLQPVLDDATKEILAQKEEITRLKAVADRHPFYKYNGVWSRDLQNLVASIE LCAWLGGLQEFKGSESASFLTMEEVGKFLESMALLCHFDCVSAWYLTRIRAVPVNLKE EDAFHLTLEEYLLALISMIEELARLAVNAVTLGDYGRPTVIGNFIKELFNGFQLLNLK NDVLRKRSDAIKYSVKKVEDVVYDLSLRNLIPKGQGV ANIA_02846 MPFTYCGLVPRLLSFRSSSSSTRQVRLRIPIQKTTASPGRISGA TISSSFASRTSACILHFPSHRTLLQRQQPHLRPSQSTARYRFSTMASATTFYDFEPVD KKGEAYPLNQLKGKVILVVNTASKCGFTPQFKGLETLYQSIKAKRPDDFVILGFPCNQ FGGQDPGSNDQIQEFCQLNYGVTFPVLGKTEVNGDNANPLWTWLKESQPGLLGLKRIK WNFEKFLISADGKVVGRWASTTKPEGLESRILEEIEKAEKQGLLASKAMQPEAAGTDG ETAKLA ANIA_02845 MAANQPSDTEFPCTLTISLPLPSHRLASSAMRALEVDAELSPHV RRCFALKSSEPQEQSTGGDEGKTVLETTYKATTNRMLRVAVNGFMESLGVVLGVMEEL DVDVLKGEGDE ANIA_02844 MKFTGLVASFAVASTATAAAVPGLNTVKLNSTVAQLDKVLDHVD GAILGPDTQEVLADTKNGTVIVNHANKSHANCPELVGIRNLLSDVLGGVVDLVGNTGI VQDVVHLAGNVVSSVVDVVQPVVNVDGIVSNVGDLAHSLVDRIQSGEVDAAGLENLLT ALGGSAGLSNLNHVIAEAA ANIA_02843 MVDMQIRPKPLPPILPPRRRCGPTQAAWVHKRATGHSLGPLHCR ASTGGSETATQRICTHTFATARMFCFTNEPGHGKPGHGNHGLHSVGFCSQGELQRGYV WNSRYMVQMSRLPLPQDWLGLDSLQLLSQESLQLPSPDTIK ANIA_02842 MCHCGADRDPKTAVVVTKWAELGAGLDMDDPKWKRLTTEEHVHG RSTITTEPGTVLRLFRDASHDQGWESDPTERNESLLVMEKYRRHLHERGGIFYSSVPG HWRRALAKVGRLLLDEVYPEPYPEPLRDGQPEYDVAGLILSDSDRLIMECFLGYALF ANIA_11371 MTLPGRYVLKRWHPATSLEIHGSASGTREIITVPAVALETKLMG LSLYAKTIGVYLLGCLPEMGKYLIRQHPDHGRYVTEPHQP ANIA_02841 MAANPEEHQHEDRIHSEAASRGLRRTGSKTMDWDRSHEDFPRNW PVPRKVYDDLIILFWEFYTSLLSTTGASASESAMTEYGLSRVVSLTGYQFMYGMGQAF GAVIMPPFSEALGRRLSYLISAGTYVVACIITGVVPSPAGAFIGRFIAGFSAAVPAIV LAGSIEDQYSSRPRLWFLWLWNCCTMLGLAAGPIYGSYIADAIGWRWIYHTGAIVSAV VFALMLLIRESRPTTLLARRFEDLKSRLQEDNIDIKMDIPSQDRIRNIHELVQTIIIR PTKLGVTEPIIILVAVLSASAWGMLYLFTESFTVAYGGFGFSSRATSLPFLALIPGII TSGLCRHWDHHRLNKREKQGQGPVPEDKIDGFVVAAPALAIGLWIFGWTVPPIVHVHW IGSMFGVALIGFAATEFSYTLSGYLADAYTVYAGSAMAASSVLKAIASGCLPLFAYPM YSGLGSNVATSIIAALGTVYCITPWVFVKYGLRLREKSPFARYSAEISDG ANIA_11370 MGKEGVRERIRTLLDPQPSMPRKAAAEAASDSDSIVCLIEKEPR RPQKIEAAQLPYNGLSSPPSS ANIA_02840 MSRGRVRGIPPHPKAMLISDLESTKYPEGGLEAWLIVLGAWCAM VPSMGLLNSLGTLHAWTSSYQLTDYSESEIGWIYGAYAFFLYVAGAQTGPIFDCYGPL YVVVPGSIGMVASLLCFSFSTGASSTSDLNIWHEAVADPVSEYYQIFLSFSVLGGLSA CTLFNPAISVIGHWFNIRRGLATGIACTAGGLGGVAFPLIIMYAAPKIGFGWAIRIIA ILSAVLLMVACLLMRTRLPRPSGKSAAIDFRALRDARYASTTAAVFLVEFAVFVPITY ISSYALHAGIDTTLSYALIPLLNAGAVPGRFLPGLVADRLGRFNVMIATSLLCSILTL ALWIPVDASPAGVICYAILFGFSSGAAISLTPVCISQVCRVEEYGQRNGTTFTIASVG TLTGIPIAGAILVANNGQYDALIGFGGGMYFLATVAFVVARGICVGWNFRTRF ANIA_02839 MASPIRRPYRSKRHPPCDRCRQKKLRCDPDDQIGCRRCQASGTL CSFARLHRAVSMPVAFTSPTPGSSEPSLQPIAPAAYLPEPARPLIFPVGERSSCQQAI QTLDLLPDVSAQLVGSSGESDPFLLRHCKFDDYGFLHFHQVRFRNAGGVPLNEKIPVH YLVTDDALYESAAASTKAARGYSTPRQELDVLVPVDHGQRLISLFLTHVFPSLPVVST FIASQDLQTIPVHLLAALYASALPFAKYDEYLSIIYAYQAPPAVQLWRLTLDLILEEI HRPHLSVLQAGLLYLHKPCESAQAAALASTPFIWSFVGMMVGLAMSLGLQLECRPMGI PFWERRLRRRLWWAIYSEDKWHALLMGRPPYINEYEWDVTDIDEADFESAPADRFVRM VKMARIVDEVQSSLYSLRAAQRLSSNFSESLQISRMLLRKLQEWFSLIPSSQDGNPGS PELSTCVHFAYLLLEVFIFRALLRPMVPNKPPPRLIDENEQIPLFSELTVDDYIAQII GDENVAEDIPATTATDDDKSIPMILNAAETCAANMLRLISRIESTEMGSFWYSCSSAL PQSLMLGALTISAGCRIGFATVSNFMLLLLIQAPSKEHAIRSKRLVYGWQQALRSQSR NWNMMDLGLVRLHGPLGLGLGNAFWLPDHVREVIESVE ANIA_02838 MATLSKHHLQPLGPIHPPRLGSARANEVDAQPIFIPVHDPLDHE APAILHSPRDYDAAEARNSAVILVSGAGGGVSGPSGIYPSLADKLAILLGVHVVRLDY RVAARTDYCVPDIAATMDYLQDNHGSTRFVVVGWSFGGSPCFTIAAQQPDRVLGVATV ASQTAQTSGVRKLSPRPLLVLHGSGDTCLPQRCSESLYQQYGDDPSGSREIKIFKGDN HGLSRNAPEAEGMLLVFAAKALGLEDELTAASVRIAAQDWVGSEGERMKEMAEGHDFE GGEALNHNSITSPQALFDHVEREDRQGGSGLSGIAGSYELYSLSHRQRMAHLPTARTY FADAIAPEGFYLVYMTIVRKQKTARMYLKLDL ANIA_02837 MTEFSFLPVEILHHICLHADLAGRKALCLTNRLLANIAQPWVFQ TTAVSPLKASCDRLQNILKNPRLAEYVKKLYITTYNLDKDGDYMYYSDDEGEEDELED ETSLPLRFWNFFDSLKDLPRLQSIALSFHPEHTNHDWHPVPQEMFFRSAVMQRAFAAF AAQPQPLKELALAELHNINETDPRAVSNIRKVLSALQSLRLNITNEHREFHGELDYQR TEAHEFYQTLPSHWLEPTTANLRHLTIYSSLYCGFYPKLDFRGLHFPHLKSLSLGNYG FVHDSQLTWILAHADTLTELYLDDCGILYEVSIKDFENTYLSPGQYTQRGGLLGALYA CYTKRWADYFRAFKDGLPHLRRFRYGHSPEGWEFNSTPFEREAEIVIGLHEESYLVFC DGIGPSPYIEELIYRYPRNADGGGGGQCFVQAQTLTPSDEDKMALEELCRKLGQSVPL REE ANIA_02836 MSSTALEGWTFNDNSRSSWDIVWTCLTTIFACTWTVLHMSVPRR NGSEGLILAGKLILWTIALFAPEEIVLITSGEFWRVWSLRAGCNVAQAASGSRTGELD SLLYLRTKPLAEVTLAAGCEDRELKLPPVPARWTLAQCCDFTDREIKDHAKADTLAKT FTVCQSAWVTANIVARAGYGLPITTIQFATLSHVVSPNEPVSAEFALIGSNMLRMIVD GSASGVMRREFRGLSLWEEWWLNTFAGLAAFLFCGIHLATWNFSFPTDAEAIAWLVFS LVALATPVIIYLVSQAALVARWLKGRGVPLPSFLDGFPEPQGKFYMFEI ANIA_10368 MAEPTNSGSCLCGAVQYLLYGKPLNSAVCHCDSCQQFTGSAFVA NCWYKEENVKLTRGHDSVQTYDEQGTTTGQIMKRSFCKTCGSSLFMQTAELRKQNVIS VTSGTMDDRTDCQPGLEVWCANRRGWVTLNHQGVRLERQ ANIA_02835 MWYDTMCSDDPNTVIIQTENVNNIHDLDLEAGTVMIEAGVTFLQ LAEYLHERGASAGYTLVNWNITLAGCVAMGAHRSSIREDSMVAAGVLALDIIDGEGNL RHLERDDSDEWLAASTSLGLLGVIARMKFKIYPDFKVYADQKTLDEAEVFDGDIYGMI APYATANFWWWPYKRKFHWRYYDVVENSINEQQGFQNTFSVTGVEAAAIKVLWNSGRW LATSNMLAEEILFGQWEAPNFREKTTNKAIDTWPVYGWNYDVLIGGLYPDQKPVWEYG LSGYTLELAFPVTQANAVLKRARELFDAELKKGLVMTSTYRSGINIKFGRPYYDLLGQ VTYNTSDGADWSKGAIMFDFPSFKPTVGDGSRFNEPFYGTLEKALIEEFPCRPHWTKN TREVFQLAKKNLDPDHIARFKAIREKFDPNGVYRSVVGEIIGVY ANIA_02834 MFSPLILPTLLLAGATTAIPAPARRALPPAFFLAGDSTTAPNGG WGDAFVSSLTGGSTGQNFGDSGATTFSFRNEGFWAEVLEAVETASGSYTPYVTIQFGH NDQKTESGLAAFKDNLVQFDADVRGAGGIPIFLTSLTRRNFGDDGLVKDDLENVRNLT IEAATETGTLWANLNVASREYVNEIGEEDSHTYNLSEGDNTHLNEEGGVVFAGVVGIL LKELDADFDQYISIDEALVQAVEEGVYYWPEL ANIA_10346 MPLPLLPPLLLPLEALLDLALHLVDSTGVAYSARQVTPTAPLPR LRGSSTSNDVTDNSGCKELTFIFARGTTEIGNMGTVVGPKVGEALKSLTGNKAAIQGV DYPADAAGNAALGGSGGPKMASLVETALKQCPDTKIVLGGYSQGAMVVHNAASKLSSG QVVGAVTFGDPFKSQKPDNIDQFKTFCASGDPVCLNGANVMAHLSYGNDAQTAAQFLV SAAGL ANIA_10372 MKVAVALTLVAGVLSAPTPTFWGPGWGDGSASAEGSAGLSGSAG LSGSAGIGGSAGLGGSAGLGGSAGASGSASGSADASASGSADAEGSGDAEGSAGLGGS AGLGGHAGLGGSAGIGGSGSATGEAGASASGAAGLGGHAGLGGSAGIGGSGSATGEAD ASASGSAGLGGHAGLGGSAGIGGSAGIGGSGSAGLGGSAGVGGSGSASASAGLGGHAG LGGSAGLGGSAGIGGSGSATGEAGASASGAAGLGGSAGGSAGLGGSGSGSAGIGGSAG GSAGLSGSASGSAGGSASGSAEGSAGLGGSGSAEGFTSGSASGSASGSASGSASGSAS GSASGSASGSASGSGSLGGSGSFDGLFPSATPIWRGLWL ANIA_02832 MRPTQKEPRLPSPLGRPHVLFDGSFINEFLQPGPELDASVLMRA TYVGGHELAERGKDHPQHHPRQGLSKNSRRLENRPAHLDFSSDMDAAFFLAILASVDA VASRRLAMTPATAANMMSLQTASASVLILCPTSWWLGPLRWMVPWSAQKMMERARTLL ESSNVVDVVEQIIDGEVVKRQ ANIA_02831 MSEREVTHLDEPKLHEKHTESFVEHAQSVIDEEKASRVRGDYSG AVTKTDPREIKLVRKLDMRVMPIVWAMYFLNYVDRNAIASARLNGLEEDLGLQGTQYN TCISILFVGYLLMQIPSNMLMSSGKVRASVYMSICMASWAIVSACTALTKNYTGLVVV RFFLGITEAPFYPGALFLLSLFYTRKEIALRISILYSGNIVATAMSGLIALATFETLD GSHGLKGWQWLFIIEGAVTFGVAMLGLLMLPDHPLTTGWLTPEERELAHGRILADTVG SESSKGVLAGLKEACRDPRLYLLAFMQNMHLSACSFNNFFPTVIGSLGFNSTITLALT CPPYLVSGAFGVVVGITSGKWNERTWHITVTMGIAVIAFIVSCATMNTAARYLCCFLF TSGAYAVNSVILGWVSATLGQTAEKKAASLSFVNVIANASYIYTAYLYPDSDGPRYLI AMSSNAAFGAATVMSAWALRWWLQATNRKIQRGMLAGGEQGVFYAY ANIA_02830 MSVPRNPKDSMKSTWRKTDRSQWNIHHWLLEILNIHSVSLDKDI PVHPKTDRVPYLPESQQHRWVITHSLLPLLIHHVYTSYTGQNFTPLGAVLFYSVAFKL IAIHELHVLRRVGHYTGFLDGDSHERDGVPDVSVAKVVRSLLSTSTFRPIFTVFLAYR TSQPPSSINWYWLPVEAGLYGIILDFWFYWYHRLMHEVGALWKYHRTHHLTKHPNPLL TLYADLEQEIFDIAGIPLMTYFTMKFMGMPMGFYEWWICHMYVVWAELAGHSGIRAVA IPPNTLSWALRLLNAELIIEDHDLHHRYGWKTSGNYGKQTRLWDRLFGTCRDRIECQE VNIDYENTLSLPWF ANIA_02829 MDWQQKAQRKRDELAGKIPSGWRISSDLLKKADELDNILDIPRQ SGILTEREIDITESSDATALISRLKDGEISAYEVAVAFCKRAAIAQQLTCCLTEIFFD RAIERAKELDRQYAVTGELVGPLHGIPISLKDSYNVTGVQSTLGYVSFLDRPALTFNS PMVNILLDAGAVIYVKTHLPQTMMTADSHTNVFGRTRNPYGRNLTAGGSCGGEGALIA MRGSILGAGTDVGGSLRIPSLCCGTFGFKPSVGRLPFAGQTPPGRIGMAGGIAVSTGP LCTSTRDADLFFRTVVSSHPENLDDNSLGFPYLEPLKLVSSLTIGILPEDPALPLHPC MQRTLITAARKLAAAGHRIVHLPKEELPSLMDACDLAFRFFNMDPDRTPLRIVKDGGE PYIPSLSMIYNVEGTDPEPTLRQLYDLNVAKAQITAKMRQAWLKNRVDVVLAPAYQSC APLHDTYGKNIYTVIWNMVDYPACLIPFGHANKAADAEFIRDVQYSPDYKPDEIEGAP CHVQLIGRRLKDEVLLQHAKVIEMVLADGK ANIA_02828 MRSLIRSGALNAFLAASLATGQVLTWDEAYTKATSDLSLLSQEE KVGIVTGVTWQGGPCVGNTYEPTSIPYPSLCLQDGPLSVRFANPVTVFPAGINAGATW DRELIRARGVAMGAESRGLGVHVQLGPVAGALGKIPSAGRNWEGFSNDPYLAGIAMAE AIQGMQSSGVQACAKHYLLNEQEYNRDTISSNADDRTIHELYLWPFYDAVKANVASVM CSYNKINGTWACEHDALLNGLLKGELGFKGHVLSDWNAQHSTVQSANTGLDMTMPGSD FSTPPGSIYWGDNLAAAIADGSVPQERLDDMVTRILAAWYLVGQDQGHPPVAFSSWDG GAASVNVTTPEHGELARTIARDSIVLLKNTNGSLPLAKPASLAVIGSDAIVNPDGANA CADRGCNKGTLAQGWGSGTAEFPYLVAPLDAIEEKLAGAGTAIITSTTDDATSGAEAA AAAETAIVFITSDSGEGYITVEGHEGDRNNLDPWHNGNLLVQAVARTNTPTIVVLHSV GPVTLETILAEPNVVAVVWAGLPGQESGHALTDVLFGDYAPSGKLPFTIGKSEEDYGA DWTTSQVDDFAEGLFIDYRHFDQYGIEPRYEFGFGLSYTSFNYSTLSTSISTTPGPTT GETIVGGPSDLFAPIGTVSAYVANTGHVAGAEVVQLYIGYPDSAPSIPPKQLRGFDKL HLVPGESGIATFELTRRDISYWDVGLQKWVVASGTFEVFVGASSRDIRLTGSFTV ANIA_11369 MAFDDFAASSNCSESTWHFADAIQRWRAAVT ANIA_02827 MASPGSVPAITFDRVSETPTAENDIPSQSPDGTRERSHSNLTAI GSDFTGRDRAMSGSTMATSSAGLEVPKGKEKLSSSTAPSVFSSDEQEGALRPDPGTET DFHVDNNPFAYSPGQLNKLLNPKSLPAFVALGGLPGLANGLRTDLSAGLSTEAAGGEK HTQSRNELFADRIRVFKENVLPEKKATPLWKLMWLAYNDKVLILLTAAAAISLALGLY ETLGVDPEPGSGMPLDWVEGCAICVAIVIVVMVGSLNDYQKERAFVKLNKKKEDREVT VIRSGKAVRLSVHEVLVGDILHLEPGDLVPVDGIFIDGHNVKCDESSATGESDQLKKT GGEQVMRLLEQGHTKQQDMDPFIISGSKVLEGVGTCLVTSVGVNSSYGKILMAMRQDM EPTPLQKKLDRLASAIAKLGASSAIFLFLILLFRFLGGLSGNDRSGTEKASQVTDILI VAITVIVVAVPEGLPLAVTLALAFATTRMVKLNNLVRVLKSCETMGNATTVCSDKTGT LTTNKMTVVTGTFGDEDFDDKNQTGKTRRSAAFASSLSPQQKCMIIESVAINSTAFEG EENGVPGFVGSKTETALLGFARNVLGMGPLAEVRANATVVQLMPFDSGRKCMGAVIRL SDNKYRFLVKGASEILLRYSSFVWRPSGPVDLVSSERERLEQVILDYAKQSLRTIALV SREFSEWPPRHAVDPDDPSQADLGLLLQDMSFIGVVGIQDPIRPGVPEAVAKCHHAGV AVRMVTGDNMVTAKAIATDCGIYTGGIVMEGPHFRTLSDAEFDEVLPQLQVLARSSPE DKRILVTKLRALGEIVAVTGDGTNDGPALKAANIGFSMGIAGTEVAKEASAIVLMDDN FSSILTALMWGRAVNDAVRKFLQFQITVNITAVLLTFISSVADSEMRSVLTAVQLLWI NLIMDSLAALALATDPPTEEILNRKPIKGGAPLISITMWKMIIGQSIFQLIVTLTLHF GPRQNFLDYSDDVRRSIVFNTFVWMQIFNEFNNRRLDNRFNIFTGLHRNWFFIGINCI MVGCQIVIAFYGGAAFSIVQIHDEQWAICILVAAISLPWAVVVRLFPDAWFHAIANFV GKPVVMVYRPASRGARRLGAKIRVLRRKDGNDDDDASESEEELEPERANTAQSPAIEK SGENNV ANIA_02826 MSVSRTSAEPGKSLTQSSSETGYGRGKTVTSACERCRRRKIRCD GETPCATCRRFRINCVRIQKNDTQALEQRVRQLEAQIAEFTGGISSTQGQEASKHSTQ TWPNIPVATDFGSPGPAISIDELGQIQPPTLSHLEIPSIQVVDYADTLSPVSPVSPVS LSQSPLLRPLAPPQSTPLDSVDSLRPPVTVAISPPMAACPSPNQNIMPYLSPRSLPGP SRSRSSSISSLGLDADWTSAPGDISGMVLESDIDPSIFDLSPVSPSVETSWTPSKFEA EMLLDKFFDRVQSSPQNLAPYPLNRGQLFEFLDICLFGVNGSLPCIHGDGGWIKNAGG GKKHDNAHVAELLPAGDEGDAFAVFLETSVLVRSWDTGHAILAGQQPEFGGNIGPSQV DTTK ANIA_10358 MGSITPLEIPTHCKAGVIYNPGPNFTVKVEDVPVPQPGADDILI RLNVTGLCQSDLHYMLDDLGISMSTFGVRSPGHEGAGVVVKVGENVKNFKVGDRAGIK PMMDTCGACTSCWSDKETYCAGAVHTGLMVPGTYQQYVVSPARYATPIPEGIPDEIAA PIMCSASTIYRSLVESRLQAGDWAVFPGGGGGVGIQGVQLASAMGMRPIVVDTGEEKK NLALKMGAEAFIDFKTVPDAAAAVVEIADGIGGHGVFVTAQAAYPTALAYLGTRIGGD LMCIGIAPAGTMSIPVDPNLLLFRNTRIQGTLVGSRHDTIKALDFARRGKLKQICEVW PVERLPEAVDRLRKGLVAGRMVVDFNQ ANIA_10345 MKILWNLLLIFLIPVQGVELDLDNTVSVKKACYAIAKNMLSHYT GYKPGDVPGNLPDPYYWWEAGAMFNALIDYWFYTGDSRWNNITSQALIWQAGESGSFM PANQTRTEGNDDQGFWAFAAMSAAERNFPMPRDKHETPGPDWLAMAQATFNTQAWRWD AQNCGGGLRWQIFTWNAGYMYKNTISNGCFFNLAARLARYTGNQTYADWATKVWNWTH AVGFMTDDYSFYDGASIDGNCTNFDHVQWTYNAGVYLLGAAAMYNYTNGDPLWKQRTE GIINAAHIFFADSPSSKDIMYERACESINTCKVDQRAFKGFLARWMAQSTQFAPFTYD MVMPKLRSSALAAAKTCTGGSDGAECSLKWTEQKYTGGPAGGDVGIQMAALEVLQSTL IQKVEPPVTRKEGGLSMGDPAGGSEPPVPTPALLVREIETGDRVGAALVTIFMAGCIV WSVKWAVHDPGT ANIA_02824 MALRNRIVMPGWHIQNTEVLQGPISGWSLPNADVSNWSRIGSKG TVMGGLIESGRYNEQTLFRSDTLSTIEKSHFQSPWLFREEFSIPELDAGHHVFLNLHG VSSKADVFINGHLVVSCEDQKGAYAGRTQEVTAFIRPEVNCLLIQAWPTDYLKDMAIS FADWNPAPPDNGMGVWRHVVIKVTGPVSVSPLRVTYAQDEANPHSRVTVTVKTEIENH ENAPQDVAIHCQIAVPGNSQNVALSRRMYLLPHSRSTVALETTLEDDQVQIWWPAAWG QQPLYRVDLNVSLPPSFISDSAWAGFGIRKVEYTLNNHGDGQFFINGRHFQVRGAGYA PDIFLRFDPERVEAILRYAVHIGLNTIRLEGKLENSILYGLADRLGLLVMAGWECCDK WEGWTYNEDVDGVEVWKDEDYRIGEASMLHEAEMMQTHSCMLAFLVGSDYWPDERATA AYLGALNRMDWSNPVIASASKRGHPPQLSSSGMKMEGPYDWVPPGYWWGERLGAAFGF ASELSAGSGTPELSSLRRFLSDVDLASLWGKPQAGHYHQAPSGSVFHSREIYNKALKR RYGSPTSLEDYVFKCQVMDYEATRAQFEAFAARQNAARPAAGVVYWMLNSAWPSLHWQ LFDYYLYPMGAYYGAKIGARPEHVAFDYHSSSVYLINHSLSAGVRRITAECIDLRGRR LYHREETVEATEITSKQVFSIPEVTTLATVALMRLVLSASDVEISRNVYWLNGRMDRL NWDKSTWYYTPTTRYADFTSLSQLEQAKMNTSIDMLAHPDPSMKQLRVRLQNMSSIPA FFVRLTVHDPMTGEQLTLYYTNDSSAYSPVCPTLQIGHHQQKTINNATMAQTATLVSE ILQSSPLDLVPYENLYKHFHANPELSLQEQRTSQRIVDHLASLNAYDIHTGIGGFGLA GVLRNGPGKTVLLRADMDALPVKEETGLPYSSTATATDPDGVSRPVMHACGHDMHITA LLAAAEQLVRVRDKWNGTLVVLFQPNEERGAGAQAMVDDGLYDKIPVPDIVLGQHVMR LRAGTINCRTGTIMAAADSMKITVFGRGGHGSLPHTTVDPVLLAAHIVVRLQGVISRE LDPDDVGVLTVGSLQAGQTENVISDKAEIGIDFRSVSLETREKIVGAVKRIVKAECMA SGSPKDPIFTPTRRFPPTVNEIALASKLAGSFSAHFGADFDPDTPRTMVAEDFSILAT ACGRPSCFWFLGGIDRDIWDALVKDGKEGEIPGNHSARFAPVIQPTLKVGAEALAVGA LTFLCRED ANIA_02823 MRGLEERTSEITRFGGEAKSHVHRAWDGFIDFAARDNVLEVALG LIIAQAFNSVVSSFVTDIVLPVISLLPFINRNMNAMFAVLSKGPNYDEMGGYNTLEQA WDDGALVLAYGSVHPLRPDPTSHTGQLDRFITEYFDGR ANIA_02822 MSRQHTASSRDLNNTSTSQARPRLDVRAGNGNSSGLNRSAMNAN PAISNHSSDRTISQNTFMTQADGSGGNPTLYSLAGPQYSRNQGAAYIDPNYRAHNPNY GKAQDAPVWSLTSPLPHVVRNGRWGKRKKPRQDRPEEGDTQAGGEGTGGSYSRPNANA PRQPASEEAPAFEPPDQADEEGKAEAQQATEDNREFFNYWGKIRHYVRQELAEWLGMT VAMLLGICAGLSTFTSSNLAGSFPSLAAAWGFGFMVAIYLTGGISGGHLNPAITISMW IWRGFPARRCLTYTIAQVIGAITAAGIAYALYHDAIVQLAASSQVPQPRTDAKQAMVV TPKPFVQPVAAFFTEFVGSAILIGTILALGDDSNAPPGAGMQAFIIGIMITVLVLALG YTTGGCFNPARDFGARVVTVMAGWGGQMFTEYHIWWLWGPWVADILEGLFASERFMI ANIA_02821 MSPDKVSTAPGPVDAEPGWRDEDEKAQMPRYVDAFGDESNAEVK YKTMEWWQTGMFMIAESVSLGVLSLPATLAQLGLAPALVLIIGLGLLATYTGYVIGQF HQRYPHVQNLADAGDVLLGAFGRELFGIGQLLFSIFIMGSHILTFSVMMNTITEHGTC TMVFTTVGFVVCMLGSLPRTMKNMTYISCMSFASIFTAVIITMVGVGVQGRASTTLKA TVDTDLFHAFTAVTNIVFAYCAHVAFFGLLAEMREPRDFPKALYMLQTFEIIFYVIAA VVIYYYAGQTVDSPALGSAGPVLKKVAYGIAIPTIVGAGVVNGHIGLKYIYVRLFRGT DRMHRRDFVSVGSWIAIGLTCWIIAWIIADAIPVFSDLLSLISSLFASWFSYGLGGVY WLHINYGKWFSSPRKICLAIINVLIVLVGGCMCGLGLYVSGKAIHDDASTNTFSCASN A ANIA_02820 MSRKQPFMAPANGDNEDSLRSLSIRRRGRDGRSLSRDESNIHPA FRDKPYFSPSSSHHETGAESLGQGGTETTISDLGTNSRKCSSIDSLCSECRNYLECPP NIHPIIVECLLTGTSDDAIAIVRNLYKRIIRYATRPFYLHAAVRRSAIPGRKSGHWYD ITAEGLPHSRACSTSRHYDHEGPGTIQKVFYSPYSWAKKQEKKKQKRIKETHAKLRDL QILMDFPWESERAGMVEKRIFESLPKSVKLIKLEHISAHHTLHRLELWARTHLIHHHA TETSWGCGE ANIA_02819 MCPQCTALLRPIRDISILREKSLELPLATKPLIFSTNPAIKFSF HVLRNGKQFIATIYTAILSSIGGGIRHPFQIHVKADVDPTSKDGKMITPIKVLADLPI TVDLGPQAIQELFATLPEFDVEAGMPEPEGTVRLVQTYASSHASSHAVLDRLIWMKEN VVEGNRKLWPQQCITLPACL ANIA_09456 MADTLPNEAKGPDPDETVKECVPGRIELQSMSQEEDKRILRRID LYLLPIMAVSYMFQFLDKSAMSFTAILGLEEDLHLEGTDYSWASSIYYFGYLAASYPA AILLLRFPVGKMISISIIIWGAVLMLMALAFNDKGLIAVRFFLGATEAAIAPGLSIVV SMWYKRSEQPFRHGIWFQGITIAGIFGGLVAYGIGHIRSIAPWKAAVFLIFGAVTIAW AFVLFWWLPDTPMNARFLSADDRRKAVSRVSENMTGIKNDKFKLDQFVEALLDIKCWA LVLIQITGSIPNGGVSNFGSIIIEGFGFSTLNTLLVQIIVYVFQGVLVHLSTAGCSWF ENSRTYWMVWNSALSIGGAAMARQITPDNVWARFMGYCLANAYSVNFPLTLAMSTGNI GGFTKKTTVNALIFIGYCAGNVAGPHLFFDDEAPSYPSGFLAMLICFGVSLALALGLR YYLIWENRRRDRLGPVDTDDALEELDAAVLDKTDKQLLEFRYVY ANIA_09457 MIAAFKSQEYLWMRRSHLPWFEKQQSSSIKHSSRHRSDWLFALT PGLDEDYFDGVMNTLVQIMYYPDTRSTLRQINDNKTSELESAERQRLSNNVPGARGTG PTMSWGAFTGSPSLDKRSMANPPRTPLSQLLPPLILGGAGFSYQHTQSPNVEQTREVV SRAFELGVRAIDTSPYYEPSEALLGEALSHPDFTTRYRRSDYILMTKVGRVSATKSDY SPDWIRSSVARSLQRLRTSYLDVVFCHDIELVEEESVLKAIGVLLELVDAGTVRYIGV SGYPINTLARVARRARKLYGRPLDVIQNWAQMTLQNDRLEREGLQAFKEAGVNCVCNS SPLASGLLRGEGVPIAALGDWHPAPEGLRRAAHAAAAYVASQGEVLARLALRYALRRA QHCSTSDVRVGTIMGGTTVAEVEENVTTALKVLQRSNGRLQWSSQGIGGWTENRDAQL DLRLSRDVQQILGKWRNYSFSDGKTERKEDVVQTKL ANIA_09458 MPDGESRLRVLRACDRCSQSKQRCDGRRPCQRCTERNTSCHYEK LVRKRGRRRRTKPAPSAHRALAPGPPSLHDLINHTELNPSPVYQEKGPDEMQRRNTNS LSSPSYTEDDSAQTVETRGTGDRSVLVEKNDHLRLGGGLHTAEGQRESPFAQLLSPFP LQEVLFGMPGRPNSQAAFTPPMVTPPVEHWLDRTTSFAPSIPRSICPSIPHNPHEALG QGLVQGQCYPCLHHLMPYLKGILSPEDASEMLEIYFNDQRNPIFKASSPYTLTHIIHP SSILHPTSPRPTSSVLLLVMLLCVAHTADIKIFDPPGARQRIVLDLYRLALDLLEPVD WDNYFRTSDGWQFHPRGGFTDKDGRSSVSHAARTGLIPDFLGSTDVILAIVILALVIS GGHFKADCLKWWSKAVRLTRASGLSMEDQGMQPGSNPSQPHHPHKSHREWVIAKEERR RLFWLIYCLDRHLGLSLNAAVNFPEGTFCVAEPLPEAIWQNLETIPLTSLSPPRLGPP SRISGYGFFEYFLPLATVLGHIIDLHHIQQHPLLGDTISQAAVHKIEALISQREQDLA ELRAQLENPLLSQRPVDLFNTHSPVSTVDSKKPLVIAYSSHMLHVFYILLHGKWDPIS MIEDEDDWITSDSFQACASHALSATAAVHQILTLDPELTFMPYLFGIYLLQGSFILLL FVDRMPELGFNKSVEEVCETIIRAHEVSVVTLDTTFQVWLPSHP ANIA_02818 MRWQGILLSLSFVAGPVLGGCPYASQLGLEARGAAESPRGHPPA HLQAPQSPSISSRTNSTKKGVFYMNRIAPGTSELYISTANGSSERPLLPNPIYEYHAS FSPSGDWITFTGERSGDGNSDIYRVRPDGSDLQLLLSTPSMEDSVVLSPDGTLAAYVS TENGYRANIWILDIETGKRWNVTDTPLSRRSVNETLMNGYFRPSWSPDGRWIAFSSDR NTEWRGHGDDTYLGISGWEHTQELSIYAVRADGSGFRQLATKPGYSLGSPSWSPDGKR IVYYEMTREATWGAHRPESIDSTSSIIVSVDFKTGKDRRVEVDVDGVKAFPQYLSNNT IGYHLKGTSKEGIYTTDGVYLNKTIRSPAWSPNGQYIVYEKTSWDIRPMAKELYSWNE DWDYRFTDVFPQISNQNRIAMTEKQLGNSSIITVKPDASDERMAYDNAKSDLVSSSLV SQGLAGAFQPSWSPDGEWLVFGEGAWFQSRASTGGWLVRATANGSEYEVLTASNTSIA NTSIMNSGFPSYSHDGSKIVFRVWGANSTNGDTGQLGLRVMDLNTRNITALTNEWDNL PFFSPAGFEERIVFTRKTGVYNYDVCTIKPDGTDLEVLTSSGGNDAHAVWSYDGRIMY STGMFGFQYECALYDDTFQPYGQVMIMDADGGNKQVLTDSIWEDSMPLLVPYSDF ANIA_02817 MDRDEAIFLPRDRTELRPGMIDEPPLSILNRLSDNQTESGRYRS ADHEYNADIESLVHDQSEIGSIFSEGSILSSQSSQSEITSIAVSELAETSDRQ ANIA_02815 MPPLKLNSKNLAAILNAGKGQIKVPTYPRNGAVKEGIVHIGVGG FHRAHLAVYIDRLMQNHGVTDYAIAGVGLTPFDKKMRDILRSQDHLYTVIERSAKGSF ANVVGSINSFLFAPDDREAVVAKMAHPDTHIVSLTITESGYYYNENTHELVSEHPDIQ FDLDPANEKTPRTTFGFLYAALARRFKQGLNPFTVMSCDNMQKNGAITRHMLESFARL RNPEIAEWISKKGHFPNAMVDRITPQTAPADITALANDFGIQDDWPVVTEPFMDWVIE DHFCDGRPPFEKVGVKVVKDLRAVEEYEKHKLRLLNGSHSAIGYAGQLAGFQYVHEVL QNDTYKKFLWQMMQEEVKPLLPEIPGVDIDEYCRTLIERFSNPTIKDQLPRICLNASG KIPQFIMPSIAEAIWVTGPFRRLCFVAAAWFRYLHGVDDAGNKFDIEDPMLEELQAKA KAGGLEPHEILSIKSLFGDDLRGDKRFLQEITTAMQLIDRDGVLKTMPKYVD ANIA_11368 MPPGQPGTVRHENAIDGPRRLLALRRNAKYGL ANIA_02814 MGEINEEKHDISVTEGAKVATMHGMTAEKPGATTKSVFNAELFA AINETKIERWSKTSIHLYCAVCIFVSFCCACANGYDGSLMGAVFAMDHYQATFNTGMT GQKVSVVTSLYTVGSMVATPFSAVISDNFGRRKCMFVGGWVIIIGSIVIATASTLAHF IVGRFILGFGIQIMVVSAPAYAAEISPPHWRGRAVGLYNCGWFGGSIPAACVTYGCNY IDSNWSWRVPFLLQCFASVIVIISVWFIPESPRWLIAHGKEEEAIAILAKYHGNGDPN ARLVRLEADEMREGIRQDGIDKRWWDYRPFLLSHNGRWRFAQVIMISIFGQWSGNGLG YFNPAIYEALGYTSSSMQLLLNLVNSIVGAIGALTAVYYCDRMPRRTVLVWGTLGCAI CMAVNAGVSQPLIPQRNAGETLDPTFGRTALAFYYLFQVVFSFTYTPLQGVVPAEALE TTTRAKGLALSGFLVSGTSFISQYASPIALGNISTNYFWIFVGWDVVETACWYLFGVE AQGRTLEELEYIYNQPYPVKASKKRDRVVVQQDGHVTEKISADEA ANIA_02813 MAGVLFSPEKDIPNLSGKVILITGGTAGLGAAAAIHLAKHSPSH IYISGRNAKSADAVIQQTQKSGTKVSFLACDLASLDSIKKAADTILAQESRLDLLMCN AGIMAVPPGKTSDGYEVQFGTNHLGHALLIQKCLPLLQQTAALPGADVRVVILTSLGF RMHPSAGIVFSDLKSEQNFPAFGSWIRYGQSKLANILYARELARRYPSITSVSVHPGV VGTGLVENLGWAKRTFVYVTNLWKLMKPEEGKLEERAALRAGWDPVVQVG ANIA_10344 MIAKDGETRQLPVTLLSGFLGSGKTTLLEHILKSPSHGLRIAVI VNDMSSLNIDAALITHHKVSQTKEKLIQLQNGCICCTLRGDLLAELARLTKQKEVDYV VIESTGISEPMQVAETFTAEFSAAMLEVEGAQDVEGEGNSGASGLDEDDRKVLEEIAR IGGLHTLARLDTTVTVIDTFNLLSNFDTAEFLSDRYGSNAIIPEDERTISDLMVDQIE FADVLIMNKIETVDEQTKNKIRRLIGMLNPDAKLIETSYSRVDVKEIIGTGRFDFLKA ASGAGWLRSLHEMSVLTTGVGKRVAPRPETLEYGINNFVYMARRPFHPRRLFSLIHDK FILLQSLNKDEEDEKDEEDEYSNANTSESGEEDSDTEITDFSQPDQSLILANKRKSPF GPILRSKGFFWLATRPSHFGEWSQAGSMLTVGCGGPWFAEVPDKAWPEDKDVRGSIER DFQGEWGDRRQELVFIGEGLDVDAVTKLLNGCLLDDKDMARWEKVMRSKKLSMSEKAE KLAEMWEDGWEDWPEVEMEM ANIA_10343 MALHQYDWILALGTIFAFLDAWNIGANDVANSWATSVSSRSVKY WQAMVLATIMEFAGGIGVGATVSDTIRTKVVDVDLFENNPALLMLGMLCALVGSSTYL TFATRIGLPVSTTHSIMGGVIGMGVALVGADGVKWWGGNINSGVVQVFLAWVIAPFIS AAFAAIIFLLTKYLILLRSNPARKALYTIPFYFFVTCTLLAMLIVWKGGSSRIKLEGN EIAGTVVGTGAVMAALAAFFLVPWLYRRVILDDWAIRPWHLLLGPLVLRRGEVPPRPE GVKTVQNYYRGHKTFEQIQAERAAGNDVETANKSTPATSTEGSPEIEPKADPRVLASE PDSEPDAINISGPRPEGGNFHPAVLFWQAKRLFFRGIEKDVVSMQNKRNILTGDIEMT HAHADHFENRAEYMFSFLQVLTASTASFAHGANDLSNAVGPYATIYSIWRTASLSGSG GSGKTDVPYWILAFGGASLVIGLWTYGYNIMRNLGNFITLHSPSRGFTMELGSAITII MATKLKLPVSTTQCITGATVGVGLCNGTYKTINWRMVAWIYMGWIITLPVTGIISGCI TGIIINAPRWGYQG ANIA_02811 MLMWHLCQPGERDIVVVQARTLTPSQISTRRRRPNHKAGLQTCT RGTLLRIGLGKWRRSGSDRETQQAEMSRVTIPTSRRPRNEKPLAGATPEKSHAQAMPT MSNELDYRSASLGRSSTTTAIESTSKRSSFSIPSKKQNQKLTELRPKGPLVLVAPASS IEFKRKQHAQAQKLQRDRMKSALDRMARMLATGTGGVHAGTASCGTKAELVEAAVEYI ERLHGQLEELRASHATERTSINAKQAKSPYERSREDTDAQITGFPEDGPRFPFMDRDW SRWPSK ANIA_02810 MKVLVLSLSVQLVYIACIFLSGLVHAYSVRSVSSTNVTNAVLQD IAIYTFGQRISSTTPFNLSFTLDSEEWIVKLALEPNHDLIIQEPHINFHAGGEVQRTE VIRREHHKVFRGAAFVQSARYQWEKAGWARINIVRDGLSPLFTGAFTISGRQYDIKLE SVRPGHFAAETETQIVAYRDTQDDINPIHASTTPSWSSPDVLQKRQFILDASDFVDSI GDDSGCPTNRQIALIGIATDCSFTASFDSSEELIQSLVSMVNTASEVFESSFNIALSF HNLTIMESGCPSTPSDDEPWNAGCSAGDLNWRLNEFSSWRSQLRGDDNAYWTLMTGCP TGTEVGVSWVGELCDSDMGANVVASAANQWQVFAHESAHTFGAYHDCDSSTCSLSGSS RQCCPLSESSCNAGGDYLMNPISAASQSEFSPCTIGNVCSSIGSRRVSMRCLTTNTNT PTISAGECGNGIVEAGEECDCGDECDGNECCDGSTCQFRGDAVCDSSSGDGGCCRDCQ FLSAGTVCRAAVGECDIEETCSGNSGDCPDDETEDDGSSCGDDGEELFCASGRCTSRD LQCQEQISGDNSTISSCDNGADTCILSCSSPFATGSCSNAGTVLDGTPCDGGLCSGGV CQSSTRDYADVRSWVDRHRALVIGLSAGIGGFLVLVILVCLVCCCCRRRRPKSMSPVL MQPPLRPAAAARQMYMPPPAYSPRPVNGVEMASTPYFRYA ANIA_02809 MAEMNTPSSLQRDRPIIPLTHMPQQANVWKADDDWTGVVDRGER RKLQNRQNQRKWRQRKKASARGFRTSTSPLSSVASISYEDPTETAKQEYEDGSDVFCG HAPPNALQYLRSFEATLRQSYLTNSPQLDHLIGLTRLNVHKAIRENIRAIGMTIEWTI CEDSISIFNLAPPSPNLNIDRIPVSLRPTEIQKSLPHHPWLDFFPFPAMRDTLISAAH LFDDEELCHDLMAFWDTRNTQATLVVWGVSWEPGNWEVTEGFARKWGWLLKGSPELLV STNIWRMKRGERPLDWRRILAGV ANIA_02808 MQFQDIPEEILLSIIDTVADEGIHYLHSLALTCKRCNVLCNIDE RRSYHCIFIHSFLDCNAAFKKLLAILRKPRLGRLNVPFAILPPIWERNLPDEDMKLLR AAVRNAGFEGRHEQRVMQMLMQRDAHSHFMAPAYSLDIARERGVYIGQAIAAVLLTVC TDIENMGIGTPAARELTWDQPPRDGVLVHAFPLCRIMKAIHKSPLQCGYLSKLRILEL FTPRPGSRMYDQADIIGRMEIFQGLPSLETLVVEGASWGTRSTTRLVERRFTVGACRA KNVYITHSKFGTDVLAGVLSAVSELREFTYCTGGRMGHPHFRHANDFNPCTFFKFLLI HKLTLRTLDLDCDAQLGESMNTYYDGGETILEHYPDELNRTCGPYCRHIENLHWIFKL DGGLRDFTALTHMRIGAKTLVLFALGINTRLSRARPYLDGFMLLHALPPNLQVLTVRG FRVPIPGAYYSVDWALLRLAGLLARHRPDLVVEGLGPLVQSGHDLTRQSLPADVYDQL IGS ANIA_02807 MSQAIIRRWRAVIERLVCCRSFCIYHRTDPPGSYWDPDLTTDDE YEPVWRVSLSVSDIVAIMLNIFSASQIPVLSFALGCGRYFSKNPGHQIDTTRLDPVLL RTANFKYAWSNLTALVLESEITGSSTVQFATSLVQAATRLRRLTINFDHGHDALALME QLSCTDFEFQLEEIHFEAGWLGSGEYLERFLLKHDRTLWTLSLVLIGLRQEAWVPMLK SLTDLRALRAFRLVCPTASEADKKSCVKFPNVEKNCIVDEASGTQFKYRRFWFHESHM TMVSYRGSKMKVALQTLVDGIEFAPKRDLSSTESFKSIDQRRMSVSSMVTEPGSS ANIA_02806 MPIGQPSVGPAADAAAIHSGGLRSRMCPIMASFYDLPPELVESV ASFLWLSEDLCSLRLTCRYFYLSTLRYFRKSQFETVSVDLYLSSLHRLEGLCTRPDLV RNIQRLVIWTKWTAERAVETKQFWQRYPSGRLIMSQAIIRRWRAVIERLVCCRSFCIY HRTDPPGSYWDPDLTTDDEYEPVWRVSLSVSDIVAIMLNIFSASQIPVLSFALGCGRY FSKNPGHQIDTTRLDPVLLRTANFKYAWSNLTALVLESEITGSSTVQFATSLVQAATR LRRLTINFDHGHDALALMEQLSCTDFEFQLEEIHFEAGWLGSGEYLERFLLKHDRTLW TLSLVLIGLRQEAWVPMLKSLTDLRALRAFRLVCPTASEADKKSCVKFPNVEKNCIVD EASGTQFKYRRFWFHESHMTMVSYRGSKMKVALQTLVDGIEFAPKRDLSSTESFKSID QRRMSVSSMGVWD ANIA_02805 MLLLKPFLLFVWILPATVLGRIDRKSIVSKYNVVRTQLIDNETT PLQVGNGDFAFSVDNTGMQARQLASFHSTRSQAGAGIMTRSPRTGRFCISLRHPHLTS PREQLDDYTGVPMLTHGRNVSYDIPDSDLPAVSQWLIGNPNRINLGRIGLGYKNATLP AEKITDPWQELDLWNGVIISTFKIDEKRVKVVTQGDFKSDAVAFEIESDLIETGDLTV QLDFPYPPIHSTKYKYEVFVGAYDFPDNHTTSLLPYRQKNAAYIYHELQETSYFVSLR WPNSSPLHLAQPQNATGPAKHRYTLQPRSKTSTLSFSALFSPEKSSPALPSTIRRRNR LAWNNYWQTGGFVDLTSSSNAAANELQRRIILSQYHVRVNSAATAQSPQESGLMNNGW YGKFHMEMVVWHNAHWVTWGRKEFFDAIFPALYERLLPSSIERAQKMGWDGARYPHSR ALYCKMLTPSPGGINGLLLWQQLRSETLISTASPNVPRQTRLQSLSDTQDTGEMGSRN NGYSGLLGFVRMGKQEFRIAYWRYALDVAIFWKTTLDKPVPSKWKTVATRLAPPPIVD GLYAVYEGLDSSWWNDISLTDDPRSLIMLQGILPDTPAVDFEIAKRTADKIDEIWKDE DVRGWGRVVLSINSARIGERERAIRHLTSERWVFDDAGMASYNFVAVIREGGTPPPFM PGNAGYLLAIAYMAAGWEGSEGHAPGFPEDGSWVVKHEGLLRAL ANIA_02804 MSLPHLAQTPNGFQLVVKDKPVLLLPGELHNSSLSSARYMSTVW NYMKEQCINTLLGAVTWEMVEPVEGQFDFTELDSVITDARKHGLYLVLLWFGSYKNGV STVQVWDADKGRKRTIEMISPFTEEGWKADARAFGKLMEHLKEFDSQHSTVVMVQVEN ETGLLGDSRDRSTVAERVFAKGFPPELLRHLSTSKSLHPRFIERFGNRLPPSGEINDG QTYAWESIFGPGTAADEAFMAHYISEYDYTVPTTNPLFIPEQRRDDHGARRVWLAYAS YGALGTSPFGVDTEATKIGKEYRLLSQTAGYLLNSPPRQRMGFFFDELPETGSPKGKQ KWTKVFGNIEVIIERAFVFGKPGPGGGMIIQLYDETSYRFLVVGRGFQVRFRGLDDTV TFTGILEAQEKEVDSETGELRTLRVLNGDETRSGEFLIMPNEDPDYGGFPIAVTIPAK TYIAEVEAYTICEKKI ANIA_02803 MACGAIHCAGKAIAAPADGFRHGLDQLRYIEMNGGISGPGGSLE PSMGLEPNTCGEVYCFYSTSIRWCNEDKKHYKTMLYQHIREGAQDVYHKCLTKYKGKD VSGGVIDHNDNWSVIVQEDARCKH ANIA_02802 MYFNNSTRALLVVVAVFAVMVSGHHVRCAGKALAAPTKDLKDAI TYLRAMADGKLWHSGDIPAPPKVHTLRPNSCEQIACIQNAEVRWCNDDTTKSRSMEVK HIAEGASVLLNECKDIYKGEEVAGGLLTHPDKWSVIVQEPAKCKVHTWQG ANIA_02801 MARNLSLKSTQKLLSGYEIPILGYGVYQTPTNVAENVTVEALKL GYRHIDSAAAYKNEKEVTAAIAKAGLSRSQVFLTTKIPPRANGYEAAKKSIEDSLKQA NTEYFDLILIHAPYGGKEGRLGTWKALVEAQKAGKTRSIGVSNFGVHHLEELQMYISS GGGGQIDVGQYELHPWLARPDIVDWLRKHGAVVQAYCPIVRNQRGDEPVLKELGKKYG KSAAQVLIRWSLQKGFVPLPKSVTPSRIKENAEVFDFELTEEDMAKLETGKYEPCAWD PTVEKD ANIA_02800 MPLHLNLNIQTDRAYKLASHITETASMAILTLAGYLSSFVLSFT TIRLILVFILLPLYLYKFLLSLLPATIKNSIHLLVGPSGWSRLIKHTETYRNKSDTLA QAQLDGSYRFKPEEVFSIARNSRGWMETAPWANETEEFEVCGAKVRYIHLHASYSSVL QDGKREHRPIVFLHGNPSWSYAWRNVFPSLLNRGHDVYTIDWLGHGRSDKILQQEVIT PELHIHTLVKFFEVTGLRNAIVAAHDWGGCIALCTIPRLPAETVDKLFLLNTFFPPRL SDSSLHYRLLNRIWYCTTGLLGGYLPESMIHRILEPSLSKADMHAFAAPYADVPRSAK SSITRFSHSVPSLPRFVLFQLRQTRAWKLIEGLTGPANWDSLTTQARLSAQGDQVRRY WGAKDAVDKGCEIAVVFGDKDPLIRDYKAVLTRAIHPDRMVAWAPRGMWIMNAGHLPM EGKAGEVAGLIASYIVTLYGMRYALAAIRTCGLFI ANIA_02799 MALSATASATARSSSAGLEERASASYAIDGFFSEGCTEDRMTVR KEWRHLTGPQHQAFLDALQCLMEKPAQSGLTATTSRFSDLQALHRGMTNTAYADIIHH VNEQLDADSGNMWQSPMWGADAFGGNGTGSDISVTDGRFANMTLHIGPGDEDTEYCLR RAWDNENAIANANSTMLYMCNSYNTYLLAMMADIKSSPGDSVFFMHHMYVDRIWWLWQ KQDPINRLYDISGPTLNHTANIEPAGGWQNATLHYELSSFGIMPNVTIAEVMSTQGGY LCYGVWQTQWVALIQRDSQPLYQRALAASSEPRLRPCNLDQTEAILIDLVNWRPDGAM GGTLQEPARLGDSSLPSPPVPSVGDRPCTGGTQVPAPRTGELTDRLGNAYFAGMKEEL NVTGNQYQSFTTMWTMRNAKFRVASFFICSENIGSYMNFWLKSLNRYSVSQMNTYPTV TNAITILTSLLYGWTSDLFQIRYPIVFFSLTIRARSWSGFLTRVNEVCAGDSPERKII LGSTNSIAEGTGNRNRLELEAQNLELGPASARRFNLKRGLYVSIACWAG ANIA_02798 MATENNIYGYSPSIPAAGIFIVLFGISTAYHVYQLIKARALYFI PFVIGGIFQILGYIFRILGHNSPDSVPLYALQTVLILLAPALYAASIYMVLGRLIVHL AAEQHSLVRVNWMTKIFVTGDVISFLMQCGGYSG ANIA_02797 MAEALGLVVNIAAVIQVAAEVAQLSYKYARDVKNAPRTQKEYLQ EVSALMEVLFRVEQAVQDVEITGLLPDRPSSLSADTVMDCYRALSGLYFDLQKRRSRF LQPLHEREWRAHIDMLTRYRSLFVDFLSSCILTTGTATYNKVSLIKEDQDRSLLLTWL PAPNASARRRPSPCPGTGSWFLGKGSVRQWLEGSKSFLWCYGVPGVGKSFLASHVIDH LLEAPTSPDYLVLYFFCDFSSQDEQRVIDILHHLLRQIIEQGSSEVLTLLKESCKDPG RLQQAAEVTQLIATAGSTRQIYLVLDAVDELREPTSFLSHITNLVPSGINLLIMSRDV PHIRKKMTLATHLEVDSNPGDLKVYIESRFRDSDFSDEVEEEDKMIEDVASSSGNLRL KLKEILCAFSVEEGEEFDPDNKPNSDVLLRACHGLVVVDRVDSTVGLVHATAYEFFRN GNVLGQEGDHDIARTSLQYLIMSNISPCMTSTELLKRLESLEFLDYSAKYWGQHIRGP DEECQLEGLITKLLRNSKTRNGAFQVLQYRQEFSDVSLGGEMLQSIPTDLGTLHVAAY WGLAHTTEILLTNGASVYEVDTHKWTALHWACSRNHANVAAILVENGADVNARDIQGW TPLFWAAFKGNDQIISLLLDHGVNHLSRGTYGWTALHWAVSSRHPEAVKILLEHHARS QAKDTELLKMSIQDVIAYAESAQPVKVAADSQDVEIFTLLAQHLQTPKGIVGDAQFNE IWANARFDQPASGNPWRTLTKSEEFNGLESRLPRFTGPFADDSEPYREDATEWKTALL TSAIRDGQLSSARILAKTGADVDSALFAASCRSDPEYVRCLLENGADPNKPSYGKIPL HEAVLNGFLETTAALIDGGADVNQRVPLRRDPYRTRYERGPATTHVGATPLIQACGFL FLSDPELSLQMARLLISHGAVADAKDDSGMTALHYAVMRPYLPLIELLVSSGCPVDAC DMKGRLPIHCLGSHMHSLYRGTHQAQENNSLLETARVLIRGGQGADSVSMLNMPIHRG MSAMKDQKNSSSDEKDSLECLTPLDIALGANRWEVAITLYHLGARIPDGLDLGPIASA AIADFVANAVDLLLDNGAQVSPSAVHSLLGALRHRLSESKRVNEDLSQFRHILGRIIL AGADVNFRSENGDTALTVAARAAGPVDVLKDLVDMGADVFSASGTSFDPIITAAVHGD TKCLDYILHVAAARPREGHWTGILGKLGEISDPITRVCVCLEKVGALDRVNDKGRTIL HLAAERGNVPLITSLLAHGARPDIVDADGRYAVQYAGANLKTDALQALLPLRTAISGN AETIAFWLNILSQPVEIPSEYGQRQSMFEMAVILGNLQMTQHLLAYGMDPNTRLSGWW RDGKPLLYYAAERGHSGLVELLLTQGASVDLTDLYGWTPLHAACYFGHTDTAKILIEA GSDVHAETSQWNNDNVKPTGLYRKDAYEAQPLHLATMAGNSDIVKMLLEKGVDAHAQT KNGKERCGSGHGPTALHLALDTGDFYCRWGLPLDANRLQIAQWMVDRGLMARGVVSKW GLREILKFRDFPDLWDALRAEEIKARG ANIA_02796 MLPKPGKRDYTQLNTWRPISLLSTLGKGLERLLAQQMAVRAIQA DLLAPCHFGALPGRSAIDLVQVLVHRVEEAFQQGKDASLLLLDVKGAFDAVIHQRLLS HLRLQGWHKGLLQLLEDWLTGRSVSVHIKESTATAPIKGGLPQGSPLSPILFLLYAAR IISTLESSFCYADDMGILLTGNTLEESSQQLVEAYKQITVLGTETGLPFSIEKTEIQH FSKKQQQHFPIVTLPGIGEITPSLYTRWLGVLLDTKLTFKAHINWVFSRGKQLAQHLK RLSNTQRGCPVASMRAAVIQCVLPTALYGAEVFYTGKRQQWVVNSLLSLFRTAALAII PAYKTTPTAALLREADLPDPEALFNSILRRAAVRYMSLDIKHPIAQIAAEATTSRPKT RLKRILQLLLSPLPERTIIGLPLPPLRMLPTDNKDYSPAPLQISVYSDGSRTGQGAGY GYAIYFGPILVTKGHGPAGPRTEVYDAEIMGAVEGLRAALGQPCHRKPLQVRWIPGHS GIAGNELADKLAKLGSSIYSPNIPPSPAYLRREAKQWLRTEAYTAYASKAPQAYKTLD IRPHTKESRTREHKLPRWVLGRLVAARTGHGDFTAYHQRFNHSDYLESCSCGKTKTPV HFFFCPYTRKRWKDRWRCIRDGPSKTIDWLLSTAAGAEEFSRIVQESSFFKDICPNWA RRSA ANIA_02795 MEVDISPPGGTRPATPLLGENSDPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHTPQAPSICEQVGMVADDQLALLHDWKLAMTSLAKALDLTVSS LQGRPRDLARELAARFVTLAKQDSPQQISQMPVVAPPQPPRQMEQPNHPPTPEASKSP LNRQTSQPTTWASLTAPRTGQGNWQTIAPEHHMQAKQTAQRRLKQSNKTDHRIFLRLP ASSSLRAIGPHGIRVTLAGKVPDGITQVQVISTGYAITTTEQGKAFLLSEKAASLAGD GYFEIPTEYHQVIVSRIPKQLWSLDGWIDTTIADISMEAERITGIKPLMAKLSKHPVE RDSITAVIAFPKKLQHPLQLFGLSGLSRPTRPKQRPLQCTRCYRFHDTRACRSSDRCI SCGSSKQDHNCHVQCINCCGPHAADFPKCPARPHVQRNIITRLSKDALAAIRKAGRLA FQQEQKKAESSKQQADTHSLPEPISPDITTIYTAGLTIINVYRPPNDPVAPAGAGSTP STLSTLLGYTPPEITILAGDFNTRHPFWQPDTESHAVTPGATGLLDWLDAHELELRLE PGTPTRGPNTLDLVFSNIPLRALVEDHLKTPSDHATIGIILEQDEPSPIHKLGSTNWE KARTLASPPDPTLPINLLAEQLVQISQLAIQGASRYNTRRLPRTPWWTPELTDILHQT RYQQNPDYKQLRKAIVRAKAEYWKQQIEQATAPTDAFKLAKWIRHPDQLAAPPLNVQG GSGLYPRRAKQMPSSVTS ANIA_02794 MMVAARVILGVGVGLEGGTVPVYVAETVERRIRGNLVSLYQFNI ALGEVLGYAISAMFLRVPGNWRYILGSSLVFSTIMFVGMLFLPESPRFLVHQGKTLDA YKVWKRIRGIQTVEARDEFFVMTASVRQEENEVHEGAHNKRFPWMDFFTVPRARRSLI YANIMIILGQMTGINAIMYYMSVLMNQIGFNEENANYMSLVGGGSLLLGTIPAIFLME SFGRRFWAIVTLPGFFIGLVLIGASYQVDLKTDLMTVEALYLTGLIVYQGFFGCYACL TWVVPSEVYPTYLRSYGMTTSDALLFLMSFIVTYNFTAMQEAMGKTGLSLGFYGGIAA VGWVYQVFFMPETKDKTLEEIEEVFSRPTMDIVRENWAGVKETCGNLARGNFRKVFAE QTKRRRSVHETELKGLA ANIA_02793 MSEKSYNILVLPGDGIGPEVMAEATKILSLFNTSTVRFRTQTEL IGGCSIDTHGKSVTQAVLDAAVSSDAVLFAAVGGPKWDHIRRGLDGPEGGLLQVRKAM DIYANLRPCSVDSPSREIARDFSPFRQDVIEGVDFVVVRENCGGAYFGKKVEEDDYAM DEWGYSASEIQRITRLSAELALRHDPPWPVISLDKANVLASSRLWRRVVEKTMSEEYP QVKLVHQLADSASLIMATNPRALNGVILADNTFGDMVSDQAGSLVGTLGVLPSASLDG LPKPGEQRKVHGLYEPTHGSAPTIAGKNIANPTAMILCVALMFRYSFNMEAEARQIEA AVRTVLDKGIRTSDLGGSTGTREFGDAVVAALKGEL ANIA_02792 MTVIWGLDLHEICFSKFRSANMLNRAYHLRRTKMVVYQLAMILC VCSESVGTAAFSDYLDQQSYIQGQHPGVKIHNNSFIGAFSYNVFVGVAVAFIFGAAFF FDLFWPERHECKHVRISWKISAVVVTVMMLSSALTITCIGALHDAVVTGTDRDTAQTY RLEFSKKPSYTYRDNPKVIAAVVLAWPGWVFCVISTIVLFMSQKHDDKYGPMSKYGRS QEDGSIVVDKEGHNSPEPTAA ANIA_02791 MEEYEYGHEGALQKFLENGRKPQPTYVELLPPASAAEHADLDRR WKLLMLSRRVSGMQDLLESTAGANLAIKEDRLLKRTGAQFTQTIWPVHYLPNITWITE PKHEKMAFS ANIA_02790 MRLNPIFCFFFSVLALTVDSHPASYPSKAIYLLTNNAENAIVGV PIHKNGLLGDAQATLTGGTGERALLNDGQLAGPDSLFSQGAVAVTEEYIFAVNPGCNT LSMLRHDKTSLSKLTLVGEPAKIPGDFPNTVAVSVKGRLVCVGTTGTMAGVSCTTYDK HGLGSFDDLRPFELGQSTPPVGPANTVSQVLFSADESVLYATVKGDGTANNTGHLSVF PVLYGDSPETPPTLSREDTRSSPNGTGLLFGSAIIPSSDTILVTDPGFGAAVLSVNRS THEAGLVAKTIIPGQTATCWAAYSAETNSIFVTDVAVNRLVELDAVDARILRITSLFN HDPGLVDLVVVDRLVYALSPGNGTTDAAITVFDIEKNQQVQHYSLKALGVGPAAMGMA YLEL ANIA_02789 MDQSVPFQITRKVERLGSLRYAEHAVPIEHFLFPDNANVPLHPL TTTMTKWHIPALICIVHTTLCLAAGNGPYDASYSIDPSLPNHTIYMPRYLPSNTTLPA LIWGNGACSANGTLFGNFLTNIASYGFLAIASGAPDGHGTTDVQLMRDALDWIETNTN KTAKHRAIDRSRIAVAGQSCGGLEAYQMRDDERVRYLGIFNSGFLDLGFFGGLLGLPN EDPDTIKDVKKPVFYFLGGKKDIAYKNGMADYAALTGVPKWVGNYPVGHLGTYAEPEG GAFGDAAVKWLQWVLKEDTSQANWFAGGGAQEDGWEEVDSERLEDLLTFAGV ANIA_02788 MMFSALMKESPGQQRLRARFEGWMKELSRDKALQEKLISKFEAG CQRVHPGEEYLIALQQDNVRPVFDPIREVGQIRHLEWELDSRLYTRMLRKTVRQQIQS FSMRLDAQTEFDAHTQSYMSSMIWTGTCRSWYKNSTTGKITALWPGSSLQYMQTLAED RWEDFHFTYRGNRFAYWEKGLSWVENQDIDCLGREERKAREASTVPKVGGDLGYYVVD GEALSSLDIKSLDMDGDSNEDDLNAMARL ANIA_02787 MTETQPSKRFRVTIISARVSGILMKDKILQQDYFSQHVDFHIYG KTPEVGGTWFENRYRGYATSLEIQSYLKAVAQNYNLNPRISLNSRVEHALWDQDRGIW RVDIANYGIIESQILINAGGILNNPQMPGLRGMDTFNGPILHTAAWDHTLI ANIA_02786 MANQKTDSILVIGAGVFGLSTALELTKRGYTNITVLDRHVPPVV DGSSVDISRIIRADYADPIYAQMALEAYKGWKRTYADFYHESGFIMLSETASNQYIEK SKKNIVEKGGHIDEFSNVSQMRALYPGIEADLPSAQGYHNPRGGWADAVGSIGRLATE CSVAGVSFITGRRGTVHSLRRSSDRVVGVNLADGAYLLASQVILAIGAWSNRLVDLSH TASSSGQPVGFIQLTPAEAAKFANTPVLINMTTGVFSFPPTPGTNILKLARHGYGFAT EVQSEATGRIISGPRRDSSNAASGYVPDDADAALRGGLKDLFPSLGDRPWLNRRLCWY TDTPNGDFIIDRHPTLNGLFVATGGSGHAFKFLPVLGKYVIDCFENCASSELRQKWRL KAPEKESAQGPVVMSGDGSRGGPPLRKLSVLEQSKL ANIA_11366 MDARLAPAKVHRDKKLPPAAGGSLLSLLILDPQGWSSGINGKLA NAPRYQELDGFDKEKSGLFPIHVQIDKLGFI ANIA_02785 MHCSMDMSRPPKRIRQACEQCRRKKSRCPGERPNCSSCLRLGQI CEYSGDEEADYARKMEQRIEGIEGKIDALMACLSNQNQPFPSPMTTFQPRQPSSEPPS GISATPSASFFANENRDQELILAMKALGLRFRGNGIADPGIDRQIRDWTEQSRQVVMA RVAEGSTELSTLQTLCILTLIDHTAGHTLRAGANIRLAVYILQNLRFGSTEFTNLEDE QDERVLLRWSFHMLASLIGEPTPGPSLRTAYNGNSASTANDIGIVGCAIQLSEVWGLA QNYAVTAITSDAPPPWAPHSDYSRITFRHTEFESVTPLRYRLHETRLDELTGADLHRQ RDFWAHCLFFQLVFHAIPCLLNHPLLLSARLRNFRLTMPQSFLQHSYEQITLHAGWVL HFIELLESKNYEVSDPTIGQAVVIVATIYLQHSFVEDIFFREKAQSGYDKCLVFLKRM ALRWPNIKRQVDNLGKLRNSISAGEVQNSRQTWSVNVHLLRDILVAAPPKQTAASIMG PGLATDCALQTDSTTPDPDFGLIGSAGISGHKTVARNLVTYYPPEQVLQPTPPDLSAL LGEPGLAVTGSATAFLQPQDYARFIDNWLNLPPA ANIA_02784 MPSVDTYFLLPTPYAPNSQLPVLHYRNVLPSPLTEETVTRFLTA NQWEKRGTWGHIPIRHFHPNSHECYGIFRGSSKLLLGAGGGDTAAGLELSVQAGDVIV LPAGTAHSCVESSENYQYIGVYPQGCPRWRNEMGNRPIDLPLLREETEMVEMPEADPV YGTGGPLLALWKKNCKAKL ANIA_11365 MPNAAKEFNSQYQTLLSGSLYAGFLVGANACGLLADILGRKTVW QLSIFGVSTVTLLAASFPNWKAVNVWTVLCGLFGGGNLAIDLTALTEFSA ANIA_02783 MGHSPVTVKADADPEQNSDQLVQKPVSRLTRWYRSALFNVIIVG LISFTQPGIWNALNNTGAGGQQKPYLVNGANSLTFGIMVFGCPLFSILANRYGLRRVL ILGTLGYAPYSASLYVNNRYGTEWFVLFGGATCGIAASALWSSEGAIALGYGGVRDRG KNTGIWLGLRELGQLIGSSIQLSLNVKDGERGKVGYSTYLVLIALQCLGLPLALLISH PSKVIRSDGSSIPDPTRQKAVLGEFRKLWAQVKKKHILLLIPILVGFNWNSTYQGIYL TNYFSVRARTLGSLTSGISATAANMFWGWFFDTQYLSRPKLARITWFTFATIMLALFG WQFANEKLYDDTQPTIDWAQPNFGRGFAVNVLFRFMNESHYMFVYWILGVFNDDLETL TLTVGIVRCFESVGSCLAFGIGAAKVSPMVNLIVAFVMFVLCIPSTSWVVFMVPEHPE TTPKDDESSQEPRR ANIA_02782 MALSSPRAFQSTFKVTLPDRQVQTPYHARRAHKKSRNGCLVCKG RRVKCDERKPTCLRCENYGAACVYASSQATSSSSSSSPSSSRSSSILRSATASTSKST PPNNTLTSLSISDMVNRVRDTLGNDLALAPRTIGNRDEALDLAVDSFRFFLTCSVNSI STPQIYQVMKREVVHVAFDNPYLMYTLLGCGVLHMNRVSPGNESRELGEAYFWQRAVQ LYSAALQHPINQQNISGLISASILIGVTSLAPLKFEMQDSWVFTGRGSDLNWLAIQGG LACILKHAGQYVPGSIWGVPFSQSHEIESQLFRYEITKGREGLRPDLADLCGITDETD EQTSLYWAPIKLLSPFMELEVNAQIASQCTTWMGRLEPSFVNLCRERDPRALVIFAYW MGLMCSMSQESWRSSYTAILGVSGGCGGLYLDLLMINVDTGFNKI ANIA_11364 MAKKVEAEEDCREAKSILKIAASTAQKGSVEAWKPCLSTLFYLL KVIVTGYCYRAIDDGGPRIHRANLGAQY ANIA_02781 MDQDKQPAVAAPASETEYFHQVAVQHDRLKRGLSARQVQMIAIG GTIGTGLFLGTGKALATGGPASMLIAYAISGGIVFLTMLSLGEMAAFIPVAGSFCTFA GRFVDDAFGFALTWNYWFNDAVSTASDLVALQIVLQYWTESDNFPGWAFSLIFWAVLI GLNLFAVKVYGEVFIVLGIVVNCGGNESGRYIGGENWHIPDAPFVGGIGGFASVFVTA AFAYGGTESIAITAGETKDPARTIPKVVLNVFWRILIFYILSSLIIGLNIPHNYPSLN DGTVKTSPFTLVFEMTGTKAAGSVMNAVILTSVLSAGNHALFAGVRLLYTLSVAGHAP GFFGKLNRNHVPWIAVLATGAVSGLCFGSSKIGAGQLWTWLQKYAPIPSHSCYTRYTD KPLPQHRRRLQSTLMDLYRYNQHQVPRRTETAKQDTPAAVSQLHVPVIVLVQGWSCFS PTFDGVSFVSFYLELPIMLGMFIVWKVWKRTTFVNSREVDLVTDTYTINDLGDGEGKK KGWKGIVEWIA ANIA_02780 MSSKRIPISCENCRQRKIRCITSSYQAPCDTCLRRGYASSCRFR RQDSYDPRGSGPEKLVDVSALEDLLRQNIAVTSALLSKRSETRLPSPDSSPGALLHLD AVERRETPEPARQSGRLVTTPSDHVRFVPTNGSEDADLIDAMHGSTPDCSTGFPFFEL SRLSGVSEELLDMLPPLPQVEELKSVFFQVFSPLFHVLHDPTFHARYEEFKQDPRSVS LSFLALLFVTLSLSVTALDDDNPLLRNLGRDPSPGENIRSLSAKYRGAAMRCLVADNF MFRHNLCTVQSLVLLIYAINHAQGPAWSLLGTTLHIAVAIGCAVDPSRLNVGRVEAEE RRRCWAALQMLYTIQNTCLGNLMPFRINSQVDLPADIEDDDLSLDQCPNDDPSAFPTK MTYLLYKFRLYNLAFDICQLSSPAEHAKVSALDTRIVNEQQSHFVRFAARPITDLDPY HQAHYYILANYTNHLTLLLHRPYISTANFSTPFSQSPERCEHAATTILSNFERLASDP LFQSYRWYVDGLGSFYAFFSITTLLILHGNGQLDIQSGSLILNLVRRCVDILMHRAPR SSVCSKAAAILEPIAQRLDPLGLRTGQANAEQMSPVIEEETILSAFPELGGLFFDVPC EQWLTPAGFPWVAS ANIA_02779 MPLTWSSPDYPLEPYNGPPRNIAYIEQLDFGKEVQPKPYNIAGT HPDSRVLITDIKILDATGREPYHGDVLITGERFTHVGTVPNKEDLLRDPRVRVFYGNG RTLIPGLGDAHTHLSWNGGDLGRLGELGVEEHTLLTARSARCFLDSGYTMCFGAASAK RRLDVVVRDAINAGDIPGPRYLANGQEMARRDGDLVPGITAYADGPEEMREVIREHVK LGVDQVKLSMSGESITEIRDAMDCYFSDEETKACVDEAHKHGIRLCAHARARDSVRQC IKHGVEIIYHGSYIDEEVTAAGMDALEKKRSQHVVVPAINWLYATLYEAGAFGYATEA AEKVGYKKELEAAIRGLREMHRRGIVVLPGGDYGFAWTPHGTYARDLEHFTKLLGFTP HEAIIAATYGVAKLFMRSHEMGQIKAGNFADCVLVDGDPLDDITVLQDHSRLNVIMIN GRVHKAGRRELLAPNIAASQELTQRITQAVDELEIKTPMQKAY ANIA_02778 MGWLTLRSRTNNQYRDLKTNPVSASVSASEKTGAYSEHTENIAI ENSINTQLQPQLKVTPSSSFLGQTYPFHSTTPDSELPYIDSSIISLVEQHWNKATTTD SARAREQLPAWIVIDNIVYDCTAFQHSHPGGPVVIRSFVGQDCSWQFWRFHGREHMMK FGKSLRIGKTSGIRNRFAEPPRYCRWRGSNTIVNVRTMPRST ANIA_02777 MVFNYCAYADPATGEDRIGHLDHQSLQIQPLSFTSGTRITNLYQ VIEAGQASIVSARAEPLPLSSVKLLPTISGRDILAVGKNYVEHAKEFNSSGFDSSDKV DQPTAPVIFTKRATSIIAHGEDVLLHPGFTETPDYEGEIGVIIGKAGHKIPEDQAMDY VWGYTIINDFTARERQRDHKQFYIGKSPDTYCPIGPVAVPKEQLPEKENIRLQTFVNG EKRQDATLDQLIFSIPNLVSTLSQGQTIQPGDTIATGTPYGVGFGFRPMKFLKAGDEV KVSVTGLGSLVNRMAALDAPNPTIERVQASTSIHTANQKARGNNGLVKVGSKQLFYQF QGQKDGTPVIFIHGLGGSSSYFTPLLDKLSATHALHLTDLEGHGLSPTSALSSLTISS FAGDIRDVYILAAGSNPKPATVIAHSMGCLIALKLALENLNLVSNLVLLGPPPSPLPE AGSNGSFARAETVRAQGMAAVADAVVNAGLSSKTKSSNPLAVTATKLSLLGQDPEGYA KACMALARSAEETLDVEEVAAKTLILTGTADAVSPPALCEKYGQRIKDSTVVVLEDVA HWHLFEHVEGVRGAIYQFLGV ANIA_02776 MTTPTLINLPPPPSDPVTPSEMGPGTPNSTTTSLSALSTTAIKD GHQGQPLSHGRHAHHSSNASMSSTNTLEAERADRISRLAGLERVATARAGGTHPATAT SFPYTPGYFDTGAGLKERSTVGSASATGSVGARTTWASGSDAFDADKMSEADDGVSSV GNLSDEGDASLVGFGEGASTMSGPISHSGVNRASPSGRPTSSVGSPRQSRANPPASYS PHLGSATPEPAQDARMVDGMTYDADVVDTTARTPRLATPGFESASSEHH ANIA_02775 MAAPTQLAYRTVKGVGILDASPVYEPLAGFERYSFASLLQGEHV TNANPDRPEGNLRCSAYSPDGRYFAWASPEKVTIIDPSVGHVVSTIPAENVFELGFSP LGTYLITWQRPTKDPNGDAVKNLKVWQVAELESGENRIVGQYVQKSQTGWNIQYSADE KLCARVVTNEVQFYQSDDLSKVWNKLRVEGVADFALSPGQNLSVAVFIPERKGQPAAV KVFLVPQFGAPVSQKTFFKGDKVQLKWNASGTSLIVLAQTEVDKTGKSYYGETTLYLL SSSGQFDSRVHLDREGPIHDVSWSPTSKEFGVVYGTIPAKTTIFNVRGVPKHSFPLTP RNTILFSPHGRFVLVAGFGNLAGQMDIYDMEKNYNKITTVEAANSSVCAWSPDGQTIL TATTSPRLRVDNGIRLWHVSGALLYNEDINELYDVFWRPQSTIQHPLGDPFNPLPAPH ASAIAYLSTRKAPVKPAGAYRPPGARGQSTPLAFRREDQGGAAYVPEGTATGGALNGP ARPRRRVVPGAEPVEEFLPPGAAPGGGVALPPGADQPEKLSKSAARNKKKREARKLKE GQPEEGANSNPSPPGEKKKEGARKNGQQQQKQPNGTKAAPAAAATPEPVEEGAPTVQD KKIRGLLKKIRAIEDLKMRLAGGEKLEDTQMKKIQTEDSVRKELESLGYTGAA ANIA_02774 MARSSEPKLQPRKVWASLITTLSYLPGLLTLHHSLTLSKTAYPF VALYTPSFPPSGLEALRRRGITTLAVPFVKPKSTPKHGYAHDPRFEDAWNKLVVFSLE GVFERVVLLDGDMLVRRNMDELMEVPLDGDDQIGERIFAASHACACNPMKKAHYPAHW IPENCAFTTQHQAPDLAQKAGVPCTSGVGMLNSGLLVVRPSHAHFATIQRFLDDAGKV DSYTFPDQELISEAFREKWVPLPYVYNALKTMRPRDVHGAIWRDEEVKNVHYIFAVKP WQEVPPAVDQETGESTDVLNSWWWEVNIDRQKYEKERGIEDGY ANIA_02773 MPSFPSRPLVRARDDGLQLSFQLPHRVYTAQGYPIPAPNGSSVV VYGYEDGLKVIWRGGRSFSNKKLQTKQEKQQKPSRNNDDAVMIIDSDDESMADTQKAE EPVADTYEFEDEDPEVDPAEPYEGVLRQIDIPLGSRVINIAVPRVLPETARSSLDPFP AILDQLIIVSAICADYSTRVVTVPLTPPHPTQTDIAALGIQTFAIGGGFSHQELPQGV SITFTYQESDPQQGGEGRWDLLVATHSAESSGLLVIHRIPITEDKKTTNKVYRLSAED VESKRRYLPSPAQNIAFNPSSYPAARHSTLLVSFHSGCVKVYSCFSTRPSKSSRRAVA AQNDYETLKTEGEWLISLYPGFEQPLSNLPRRKSITSAEWVLGGRAILVLMADGEWGV WDLEGAGPGSAKGPLQGQSSVQGVTGGSLTAFSVSGRILSFPSGGKSETAVERRPKFA PMTPSTKRIREDTLLKGNVAGTSTSSLRGGISVYQMNSTQDPLPDESILIRHGNQSAV IPSLLTLWRSAVRSTGTLDASNRCRVTPLQDIALMGEPLKGIGHVPAPSRRSHSQIRA FDILVTAEHRLLILAPKLAEPDAASTSRTLVTESPTRAADQLRLRQGELDVDGMDRVL SGMAHNRSLHMGSPIKRTRIFT ANIA_02772 MSTVRSLGLHDSSAISFLIAEDLLPAEPSEELYSWTTSINNGPN GPVEDELVWTKSCVVWTRAGVIKRVFRLDFEKEDIKYALLTNFAVNNVKRTSDLSSLA IPQTTSQQSNRPSGSESLDGKESRRSSTSKHSSRAVVVVLKTQAHIFFLEGNSHVVPL PFEVDSVFATPRGLLFQRKVADESNTSSYPMVPPNSFMSFSQDFCASQFLDYTSGKVQ RPSLSTIPAQSPSWKSRPNKRADLPRVFSLMDPHSEMGLVVTNQASRWLHTSLSGRPS GFDVLDPADEIVYVSPRDELAGTFRADPKSPLILVLTVNTITGLYTLWTARYRDNESI PSHRKKKRRDTGGTRSKRRSSHFGMATGTTTPGARPSAGRESFGPRGDNWNASVMSHS QYSTEGRPDDDEDDFASKLGQDFGEIGVPSKTSRRVSSMLARADLATSQDRITFSDLV TGSQSSTIHPGGLRQSIGAGSTRGSFGFNPRSSLPPGAGSIYSTTSSFVDPPVDKLLE ELNNESLFEGIENMDLKESAAGLPEEVFLSKVESFSSKFSGSFLAPSKIKSSKRLKVV TLCPTDYASSHVGESTSMALYLVDQEAKSLTVVNIRVESVKKPAKDVVFLKRSKNKAT SDERALLVQASGIQHVSGTLDVCKVVDGGLSRIITLSVNDAGATVLHLQMPWNDPIII ELPSKFMLHEMDALSSIMTVNTSREGSVNRVMADFSMTMTGLDHPAVDGKFDVVDSAK RRHKLQLCMEPTNPLVRRAFGVCRFALCGYFPDKVADGLLMGWWKTLKWLQEREVCEN DLEWTALVVTLFAQAIPFIEGDQSGGTTRLTRRKRGLLRSSSGSYVDTESWESMLEQE SGSAGVVASWMNTASWGWVVEQDAEDESTASYGRKPNKEPLSSSRSTCRKNTYLLRCA TLTREFLRTPQGIAAVGCDGHLRKALSNSEHSIHTPLCTILVALHLLREEQKLSACDE EQSHKTLGLLAPVLAQLGGWLGWPSWNWAEDSYYGSEIASINRWQFENTRMAGPDLPA EPLPPPSIFAYLEKAWRGESCQFWTLLNLVNFDGHPRRGRLWQWCSTLTPRTLALEGF VSEMRHKLSVLERIQLLHRWGLTRSVIETFPAGISTPLYEAIIESQTHASTSWSSSFL GLIDRDDLNISSQSSTTRPPPPLSLNVSHDAIRDYHQISNSTLDIDAINSFEASAEAD RFSVTRLIFREDKRFIEAARLLNQSKAPAAECHPEPEWTDSDLLEAQKEVVQLVTLRT LSIPTGRAMLAFSGRLPLLTEKLPIPSFSLQCVMKPSNVTISADRASFHEEKICWAFF HNGVSTGLAISKNSKGIDTSWILFNKPQELTNRHAGFLLALGLNGHLRSLAKWVAFKY LTPKHTMTSIGLLLGLSASYLGTMDTLVTRLLSVHVTRMLPMGAAELNLSPLTQTAGI MGIGLLYCNSQHRRMSEVMLSEIENADQEEGSATNDYLRNEGYRLAAGFALGFINLGK GKDLKGMRDMHIVERLLAVAVGTKNVDLAHVLDRATAGATIALAIIFMKTNDETLAQK VDIPDTTVRFDYVRPDLFLLRTLARHIIMWDRIQACDEWFIGSLPENIIAGLCFALGL RFAGSPDPTVRDILLSYLDQFIRISRLPAPNYDARLARNSVRHCQDVVALSLAAVMAG TGDLALFRRLRSLHGRVDPDTPYGSHMAAHMAIGMLFLGGGSYTLGTSNLAVASLICS LYPIFPTTVLDNECHLQAFRHLWVLAAEPRCIVPRDLDSRRPISMPITVTDSDGVSGT LTAPCLLPDLNRIAKVEVLSPDYWPLVLDFDSNPGVREKFQQGDQSIYLRRKATYNPT GSSFFSSTLAGLSSAQDILPSASTSASNQGKGLSPSALPNVSALLSKESHRFTPKPAA QSIWDWIFQLDSLHGILDAREKELVLPSSFPARPRPSSTPHDAPWLRQSAVDSKLLAE SIAQNVIQSATGRGGNPDEVRDRLWQLRLLFAWIDAGSSFPSESDEEKRDRQETGSMP SSGHWLRRDYVEDLRWKIWGVQARGSEGS ANIA_02771 MAFHGERFEIDLGSDSEDQAPTLGIPGVIGEIQERSPAAPPAPP TLKSANGFPAHRRRTPRQSTFKQRQTQSKASAADLPKSTPGLQDEKAAIEEQNRQQLA SMSDAEIQKERDELISTMNPSLLERFLRRARIDDDDSAPLSPTAAANDDNGTTQARAL EPDPAPAPAPAPFVSPAPQSKQTAKAKPSPDDIPPSKIPENLHPAADFPPSGSVHFPT PPSRGTPPTLDPSSPTFLSDLQAHYFPNLSHDPSSLAWLQPPSSEPDEPGAPPSSAYH PGSSAEAVHPASLRFSLVGTILSPSTSLSLPTTLGLHHHGKDPHAAGYTIPELAMLSR SSFPAQRCIAWQVLGRILYRLGRGQFGERGSTLVEGLWSVIEREGVVAGMLQEADSSN VSSTRTDRGFGAEGDEPQEYSGVNRSGVGRHASASAWAVEAVWLWQMGGAGDRGILKP GAVRSQ ANIA_02770 MSTGPPVWPAQDEQESQPPAETQTRARRSRRKKDDAVETDRKEP TKSARSRDKDKDKEKDRDKGKDQDKEKETKRPSRRPRDKSSSTSNNPTSTQSNPRKKP KLEDDPISSSRSDLSVDSPFSIRYSPSFGSYNVAAIPDDGICAAFPSPISAIGTTPSA KSGQNYDPIRSAFGTSSSPAAPPPAPASTSFSPPARPISPRPFRASASPAISSIIDPP QTTPPAQYAPRTYGSPSRPASTFPQTPVAPPAAPTHLPPASAPYRHQSPYGPPPQAVP TEEAQAHPGVVPSHINVPPSVPDTKPPPPQTRQTSSGPVPTEDESERSAPAAVEKVTK KEPKPSTAPSSKPPSPKPSRPAKEAPPPLPQGSGLISNALFGVDDNTSDTPKQRTPNI IVHIPLKGQPNQIVNFARLAEEQYGFAALHPRLAAHKERMARVAAAGAALERNDRSGR GISAGESADEDLSLDAERDSEVDGDGAMSAAAAKTSEPADGTKKRRRRKIEEYDRDDP FVDDSEMVWQEQAAASKDGFFVYSGPLVPEGDKVQVERADGTIKRGRGRGRGGRSRAP ATTHQQLPLAAAIPISQETGLPIRGPGSRGGNVNRRPRTSKKAEQDKAGSTPTSQGRG GGAAGRGGSNSTRGGKAQMVELAPRPNIAPAPPGLNSVAGQEITMK ANIA_11363 MGQKTEPKLHKPRASARSIKGRGLLRIIGYEENPAFHLKVRIQT KHLQGQPSKGTTPCVP ANIA_02769 MEGNQASSPQQMVPQHSNLIRTDQVQKLPHLNDQQKVQHTQLVR NFWEILNSRDAQSPEYQQAHTRLSQLSQSLMKGMRMYQQNRQLQHQQMQAASAAQGQP VQRSQSVNPQNFAQLLPQFQQKVNSLQLFLPPNISSEQAGTWLPEAKLRYGIALQKQE IGRARLSELRQQHSQRQSAGNLTQEEMQEFKNRQVQAEKLFREGSEFLAKFKEQQDLF KAQQQRAGTQHSSQQLPQQSGARPVTTAPTTSAGAPTAPSAVHPGPTPAAHTINSAVN AARNQAAQAAMSPTPQPGQASSNQGPTPAPAVAAGTPQQQPPQSQMSAQQNASGFNQV SAPDGSTPTGSTAQATNVQGPPRPLSQQAAMAQAAQNYSNNTNANNTNNTNAVQQQNM NQPSVNSHAHPQGYIQNRSTENSARNVNMVIPKNLNVPPPKPVPMAPDRPTLSSGPSH GAMGMMGQPAIQKHPGYVLEGEGQRVLSKKMLDVLVRQVTGGGEGEGLTPDAEEFMLQ MADDFVDDVITAACRLAKLRHSSSLEIRDIQLVLERNYNMRISGFSTDDLRTVKKPQP TQGWTQKMSAIQAAKVTQGKAE ANIA_02768 MSLLESHLEQIMLSSNAIAELPFPQPRIFTNALLGSHDITALIR DTEAHERALFQSDPTVKASNSQKRATRRATQFQPEAETESMASRIYSARNSRNNSAVA RVLGSDMMDEIKRSARTSTNGSRGEVNIDVLLKGAEILCNVYPVAGAQDKIASLRYRN QVISESIAELEERVARNASELESMSHLHDDDYDELDNASSPSAGVLDVTDADIERELK EIRELERRKRNLEDRVNGMERDLGGLS ANIA_10337 MPAERRSLRSNNKSDTSSPANGEKTRSGSQSSSSNKDKPTTRTA ASKAKSTKVASTNTASDSGMGEQRDQPHTNGSDPTKNGVNGSEDIEMDEDTAGGPTSS FNASKDRKGDQKMTVVVPPTKGPRVTGEKSQEQEGDVSMEGAEDEKPGSEIDPKVKAI QDIKTNFIFLERAVTHFDPRFTLRVLRSISAMRKQITSDVLAEAIVAAYPTSSATASF LLDAIDQPGASENVGSSSNMEVENDKTKPSSKEVLPEIDIYLSILVQIYLYDRKEIQK GAKFSTRLIERLRALNRRTLDSLAARVYFYYSLFFEQIAPLPPSPAAAITSIRQPLLA ALRTAVLRKDVDTQATVMTLLLRNYLSTSHISQADLLISHNQFPPSASNNQIARYLYY LGRIRAIQLQYTEAHGHLIGATRKSPASHSARGFYQASHKLLVVVELLMGDIPDRAIF RQAALERALHPYFLLVQAVSVGDLDGFLNIVNTHSSTFRKDGTYTLILRLRQNVIKTG IRMMSLSYSRISLRDICLRLGLDSEESAEYIVAKAIRDGVIEASLDHERGFMKSKDVG DVYATREPGEVFHERIRACLGLHDESVKAMRFPMNQHRLELKSAQEARERERELAKEI QEGDIDDEDAGGDFDAI ANIA_10340 MKSRTVPAGKLVLASPVTPQTPICWQCLRNDLSLGQSKFQNRKY HPSRRKDASPFGAAVSAAQTLFKGLPKAPPGISVDPLRIVGKELKFLTKNIRQLLGSG HPTLDKVAKYYTRSEGKHMRPLLVLLMSQATALTTPRSNRSTSDAALSSVTVNDPITS PSVLADTNPDLDRLTSQSPTEGQYDFAGDENILPSQRRLAEITELIHTASLLHDDVID NALTRRSSNSANIEFGNKMAVLAGDFLLGRASVALARLRDPEVTELLATVIANLVEGE FMQLKNTAEDEKNPVFTDDTISYYLQKTYLKTASLISKSCRAAALLGHSTPDVVEAAY SYGRNLGLAFQLVDDMLDYTVSGVELGKPAGADLELGLATAPLLFAWKQHPELGPLVG RKFCREGDVEKARELVYRANGVEQTRALAQEYADKAIAAISPFPDSEAKAGLIEMCRK TMNRRK ANIA_10342 MNALSPYPTSESVNLMFPRLTQAEVFGLSSTLLSSHQNGCGAEL NVLFACISSWLYNFGRVLVVPLLHYHQHVQTMSGLTLLTVLISGFCFLYSHMFLPCQK RLAYRLRMKPLPQWALSEIFRLLRTLDYPISIIKH ANIA_02766 MPSFTTDYPSQTATATATHPHSFGPPQDRTHEKSPVGNTFVWTN WPNGDSNHLETPSDRNLANGSTYSLTGPRSSASSYNREFLQSKDGSLHSLGNTSAREQ REGRPSSITTDRELPRKSFDTGVGSATTSIASHQVNGKHMVNGDYSRPSVDELVCSDT TGNTATARLSTSPPDNSGRLSPDHGSLSPTQKGTYRHSSPPIAAGVKRSNTQDSANSS IRQRHTLQVPRTTSGRRSSRDQPDDVAYSSGRLSPTAGARRSSLGLARRTTRTNQSEI LFDEANPDEDAARWAEAIKQRRASRRRRRDEEDDERVVVGTKVDRNHVNWVTSYNMLT GIRFTVSRINAKMDRELTPADFKAKHKFSFDITGNELTPSAQYDFKFKDYAPWVFRHL RAKFRLDPADYLMSLTSKYILSELGSPGKSGSFFYFSRDYKYIIKTIHHAEHKLLRKI LPEYYKHVEQNPNTLISQFYGLHRVKMAYGRKIHFVVMNNLFPPHRDIHSTFDLKGSM IGREVSEEYIKDHPRSTMKDLNWLRRNRQLECGPEKREFFLEQLKRDVELLKRLKIMD YSLLVGIHDLEKGNEEKLRDKTLQVFQPGADREEDIHPNMLMRTPSKLENERKARELR MLIQKERPVPLDKATAKMPDEILDERKFHVFYSDDGGFRATRENGQPGQEIYYLGIID CLTHYGTVKRIEHFVKGLTHDRTQISPIPPEGYGDRFVNFIRRITMSKEEAERTRDQE VPEKHNSQPISSTENNTSDHISQGPTSGHQPAVAALGPSTLPIVDEAGEASSVGGHSQ CSPQSLSLHQNQVLPSPPNRLNPGPSEKRNGTLQNGQNPSISV ANIA_02765 MPPKKAPTTAKKAASGPTHTSYRDMIKDAILNLKERNGSSRQSI KKYVLANNKLAPASQNAFDSQFNKAIKAGVEKGDFIQPKGTSGPVKLAKKQAPAKPAP KKPATTTKTAAPKKTATKKADKAEKAEKPKTKKTNAGVKKPAGRPKANTAKPRKASTA APAVVDKPKVVSVTKSGRKTTTTAKPTEKATKKTAKNKKA ANIA_02764 MGIKHLYQVIAENAPDAIKAGDIKNHFGRKVAIDASMSIYSFLI AVRSEGQQLMSDTGETTSHLMGMFYRTLRMVDNGIKPLYVFDGAPPKLKSGELAKRSA RKHEATEAHEEAKETGTAEDVEKFSRRTVRVTREHNAECKKLLKLMGIPYIDAPTEAE AQCAVLARAGKVYAAASEDMDTLCFEAPILLRHLTFSEQRKEPIQEIHLNRALEGLGM DRNQFIDLCILLGCDYLEPIPKVGPNTALKLIRDHGTLEKVVESIEKDPKQKYVIPES WPYQDARELFLNPDVRDANDPECDFKWEAPDIEGLVDFLVKDKGFNEDRVRNGAARLQ KNLKTAQQSRLEGFFKPVAKTDAEKATLKRKHDEKIQEQKKRKKEEAKAKKEAKARPR AAV ANIA_02763 MKRSRPHLEANGVGSSSSSPQGGLPQSATDNDSRQHPLHVPKIS RRIRACTECKRHKVRCDMNVGETVCQRCRRMGLECVVNKSLQTLLDDEAEWKSTIELA MADLLRKAQLPDLSYYQAAGKSAETPPKKRGRKESTVSTEDTPPATEINGATVSFGAA VRPGAEKPSLPTTSPEQQPQYAIDREENGATSLVTAPMGSLYEVTQLSDFRATSPQRQ HAHDLVTDFVSRGAIDLQEAEELFDHFDRVLNRYLWDGILLVHKDLTSLRNSSSMLSA AIFAVTALHLPHKERTFDTCYTEFARLASESMLDRHHSLDDLRALCIGAFWLADVSWK LSGYAVRIATERNLHQAYRKATLGSPEHKEQARLWYLLYTLEHHFSIAYGRPPIIHED YSITNHHTFILSPSVSQSDLRLHSQVDLFIILTRIYHAFGPDVDLEVPESEFSVIDKF DADLGEWRSAWLPRLAGSRYVGAYPYKAVYLHYNFCRLQLNSVALRTYHSSNSTRPMS VERKKRANLAIESAIGTLMVVLDEPDIQRALVGVPLYLHSMITFAAVFLLKIAAKGCS SAVPGSQNQQNSIASAGLHIDVSYVRALVGRIIDLMVSCSKRASERHLSHHISRGLKK MLTGLEEWEKRGCGNQQPSKQGSHDSISMFKPVLIPGAQTLGERDTILNHPPPLLGVA PLSAERGNGVELGLEQQNGLSEGSIDPMMADLWGFDEEYFPTGVFDFLQSQMPA ANIA_02762 MNPSSFFRTASRSAGRLSKIPAASRGYATAFKWEDPLLASELYT EDELAIQETARQYCQERLQPRVLDAYRNENYDRKILEEMGELGLLGASIEGYGCAGVS TVASGLITKEVERVDSGYRSGMSVQSSLAMTAIHEFGTQELKDRLLPELAKGKLAGCF GLTEPNHGSDPGSMETIAREHPTKKGVYLLSGSKTWITNSPISDILIVWAKLQSTGKI RGFVVERSKCPPGTLETPALKNKSALRASITGMIQLDDCPVPVENMFPDVEGLTGPFT CLNSARLGIAFGAMGALEDCIDRARTYALERKQFKGNPLAKYQLIQKKLADAVTDATY GTLAATQVARLKDAGKCTPEMISLVKRQNCDRALANSRTLQEVFGGNAASDEYHIARH VANLFVVQTYEGQSDIHALILGRAITGVQAFV ANIA_02761 MALKRINKELTDLGRDPPSSCSAGPAGEDLFHWQATIMGPGDSP YSGGVFFLTIHFPTDYPFKPPKVNFNTRIYHPNINSNGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPEIAHVYKTDRPRYEATAREWTRKYAI ANIA_02760 MPLSHLTLTVSHLPTSTSFFLSCLQPLGYKFIGRHDDYIGFGQD SNEPADFWITEQKPGCPAGAAHVAFPAPSKDAVNSFFICALKAGGKLHGEPKTRDSQS GYFSAAVMDMDGNSIEAVYRPDTDMRSSSGSTVSGSTVARSAVSKSALALLENGSVVS KASSAKSNAKSHVSRALTAVDRPAPSERAPSERGGSIVSSREIQQAPPQTYVVHTHTT QKNDDSKAAKTIVGTLIGAAAGAAIAYAMSKGDSEQSPATSSLSPSQFMPQEFRQLSS HETSPAQSQFQDYQGYRAIEAPPPRSVYSTAESRPALTRSVTSKNPWASTVYEGTEFG TRGPKGSVYYDEGGRRASEGSIYNQSEIPLRAIEYPPASEAQQYPADVSTLISSFREK SRISEKGSVYSTSTIKASKSHHSHTQSSHHSVSKSHTGSTASSTRTARQIPLPEGSTV SYSSYRSATKSTPAYDDVDVETHVTPDDSISQVGDSSRRSSYSHRSHHSHKSHVSKRS SKFDEPVRPSDSVSQVSSHASRRTVKASGSVAGGGSIAGSKISSSRRASQVA ANIA_02759 MSESVQVAGKRKRARTQSCPPPELPQLVAEQHVPIAPNDKETQR LIVVLSHASLETFKASHGGRNGTARDEKYSLLNSDEHIGVMRKMNRDISEARPDITHQ CLLTLLDSPVNKAGKLQIYIHTAKGVLIEVNPSVRIPRTFKRFAGLMVQLLHRLSIRS TNSQEKLLKVIKNPITDHLPPNCRKVTLSYEAPVVRVKDYIESLGPKESICIFVGAMA KGQDDFADSFKDDTISISNYSLSASVACSKFCHAAEEVWDIL ANIA_11362 MTFLADGKECWDERSACNGTSSCWNKGSSMAACLELASTDMIQE ASGHPKTHRERIEQAGTMYHSGGQPKLAIGNS ANIA_10336 MPWTVTNSFKRGLMMKTYGRSSWRVYDDDQRPAAKKRRVLSDCE SDAAEKSLEYAIRESTANVRSSPSRRNSLALSDGSQDTDLSTPPSSPPPRLSPPPQNT RKPTFSFLKRKSAPKDGANGSPLSEVNSNSVRASLDPPKKKAGTPVSQQPALKQMQLD LGHEVRKTCATCGMEYVPSNSEDASLHKKFHDMNSTGVDLGKAFMRANASRWVYEATR FEEGYVVIVDRKSSPTAKNQAKKVLEVISKELSSPVIEDDTLWSQTEPPKHLRKNGAS EKVDRYKVFLHMKDSRCVGACLTERIWESHAVDKASIQTDGADSAVTVRDDTHPAIVG ISRIWTSGSSRRKGIAMDLLDCVVSNFIYGMEIPKEQVAFSQPTNSGKSLAQAFFGPE NEWHVYKES ANIA_10339 MSGSNPFRRKKSRGDAPFPPLPNHSAHQYQSHFTPSISSQSTAT DPTSLSRSSNDVVATEQADFPPPSAGAPLPTANARGLDDPETSDDHSDSDPFGQDSDV SDDDVERPATPVNPVIALYPQETVGVSRPSVSSQASTTTAGAYKEQFPTTAASHVNIA EVGGLRETTDISSSIASSLSSVSLEKSSSDNSGKERRPYVHARSSARPVPLGTNADSD ALATRSANNRDRVPPPPPKSHHGKLISPGLNNASAASQTTPSRATNRVSFHGSSPGSL VSPRMSQENTDYFGIPLSHSASPADSLRRSQSQHKRPPTPPLSRRHGQMRRSKSTLSK PSSSQFSTPHYTTSIPSSPSTRSLAPSVRSQDSKNDPPVHEKANLKPGSQAENLAPAS YSDESEKSGLSVQSNPLTTSKRASLTNQLPPPPPPRRTRVTNLNSDNNKCSSVASEHR TDQPENFVPHPSNAKDILADLSRLQKEVDDLRGHYENRAAR ANIA_02757 MGLFNSFLFVYLLGGFTFLPLVLSLFLLYSYLVLPPSSPQSERV SDSADTSIHRPNDDQFSLKSGTDELAEEFYRTHDTDVAAGYFVVCREYVPGGINGKPP ERTTPAGEVVGPESPSVYQTMYRSLFDRKQSPTIEPAKNMARNGKRTRNMFYIVLRHG HLMLYDDADQVEVRYVISLAHHDVSIYGGEGEIPEGELWLKRNAISLSRRLDSLGDLG GPTPPFFFFSENLSDKEDFYFAMLQNQSKMVHFPKGPPKHQDIDVKHIVTLVQRLHSS EEQLQTRWINAVLGRMFLALYRTPEVEEFVRTKIVKKISRVNKPNFISKIGLRSIDMG NGAPFIINPRLKDLTVDGNCCVETDVQYTGSFRVEITATVRIDLGQRFKAREVDIVLA VVLKKLEGHMLIRLKPPPSNRAWISFESMPNMDMDIEPIVSSKQITYGIILRTIESKI REMVAESIVLPFWDDVPFLDTMAQRFRGGIWQRDSSEPGSTVEIPDESGSRPQVPESS GTVKASKPADDRTMSAPALSESATNTATRRKKSEASEMLDKGSSTALSSPVGKNPNSP PRAIRSRTFSHTADPVLTADHGQVDAILSDFKTDEKGNATSSMIEISRRSPLVTPSKT PGSSPPSDHQSLSDIVHPGCESFNASSVGNLGETSSDPFKSPSSTQAVHSALDMRDAA SQSSSSLNSNKTRRSSTLDSSAVSSASHISSDKKFQSSLSLGAATSIAKKWGLNMFGS KESHTNSGVGLSRPAGTPEEPIGRGHPFPPPGIPLPTPAKVNNKRNSVSVPKRKPVPS TSLQEEMTLDGNGRNQLPSKFPPSRRKTVLDFDVDAARSDELLVVEPPYDSTPNSPAD FTPASGLPDQRRFATKEPRDLAQVDTKVETRQNHAEEHSTMDRLSALDRSENKERNPT KPPATDNCLLEKA ANIA_02756 MGPQIICGVLGRDESGVRLLLGVQVGFSQKSPRIQWYGERFDLT RAITEAAALGLFEYEEYISLKTINDPNEGYETITDLMGLQDQIDAFQKLIFSHFQSGT HNECRISALVPLVQESYGIYKFITSMLRAMHTATGDADALSPLHGRYDAQHHRLVRFY YECSNLRYLTSLITIPKLPQDPPNLLGDEEERPALPKRPTKEVEAAPSPPPKSVAAEP EPINDFWSTEARRQQEEFEAEQLRLQQQWEEQQRQQLLAQQQAQRDFEEQQRLQAEQQ RLAQEQLMREQYQSQTQGRLAELERENLNARAQYERDQLMLQQYDRRVKDLEEQMSQL TSNLNMQNASKDDQIRSLQEQVNTWRSKYEALAKLYSQLRQEHLDLLQTTKSLKLKAA SAQEAIERREKLERELKTKNLELADMIRERDRALHDRDRLTGSNKDELEKVKRELRFA IERAENAERQKGTEISSLLSKYNREMADLEEALRNKTRALEEYTKNNEMRNGDHELAL REKETELEAMQAAMDEALLELEELKLSQGDADKALDTQIDHVLHGTVSKINDIIDSVL QTGVQRVDDALYELDSTMQAGNQNASPPYVLSQIEKASASATEFSTAFNNYISDGPNS PHAEIIRTVSTFSGAIADVLSNTKGLTRFASDDKSADQLLSAARKSAQATVRFFRGLQ SFRLEGLEALQKADVVINNNSEVQRDLQALSKLVDAFAPKSSRLQTNGDLGDLVDQEL SKAADAIDAAVQRLAKLKSKPRDGFSTYELKINDVILEAAIAVTGAIAELIKAATASQ QEIVREGRGSASKTAFYKKNNRWTEGLISAAKAVASSTNTLIETADGVISGRNSPEQL IVASNDVAASTAQLVAASRVKATFMSKTQDRLETASKAVGAACRALVRQVQDIIAEKN RDDNEAVDYSKLSSHEFKVQEMEQQVEILQLENALARARTRLGEMRKISYQED ANIA_02755 MENALSPLQRAFNAFLLSMPPQQLDDLVKHIQDVKAQEQKPPVF RNEIPAIRANTTQDAHHTFPTFPSSKHRPASSRGRRVHDGKRRPLNSFIAFRSFYSAI FPDITQKSKSGILRFLWQNDPFKAKWTILAKAYSIIRDKHDDEVSLESFLTLNAELIG VTQPDRYLDAMGWELTLNDQQQYTMARVKSPVATEAQLSTHFSVDDLIKHCYATGYVT EDKRKKEIRGHNAPVMTFATQPALVIHKNNSLQISGNHTVVSTNGSESVTKETPAFEP TEATELPYPSDIVSPVTGDTSFESTDATRIYQRPQSRTSLAENYLDMANMQFHTWDDQ TALLPYNTGPLMQESFDALDFKPFLNI ANIA_10335 MSFSVQGKTAIVTGAGSGINLSFAKQLLENGCNVLIADLALRPE AQSLVDQYTAKIPRAVFQRTDVREWKQLEQMFEVAEKEFGEVDIVCPGAGIYEPHWSN FWRPPGTAVSRDPPDGDRYALIDINLTHPIRTSQLAISHFLRSGTDSTRRKAIVHISS IAGQNPFLAAPIYVATKHAINGFVRSLAKLDERCGIRVTAVAPGIIKTPLWTDHPEKL KIVDDTKDAWVTPDEVATVMLALVQQDQANEVIGDSLEQGREGGISYQRRHDLGGVED GKVCLAV ANIA_10338 MPLAISHMGIVLGISVILWSGVTAGFGLYLQSRCAQYLDKGSAS FFALSQITYPNAAVIFDAAIAIKCFGVGVSYLIIIGDLMPGVVQGFVGEAAYDFLVDR HFWVTAFMLIIIPLSYLRRLDSLKYTSIAALVSMAYLVILVVYHFIIGDTKEGRGPIR VIRWAGAVPTLSSFPVIVFAFTCHQNMFSILNEISNNSHFRTTAVVLASIGSSATTYI LVAITGYLSFGNSVGGNIVGMYPPGVWATIGRAAIVILVMFSYPLQCHPCRASVDAVL HWRPKRFTRSRSEGSPHRHPLLPAGPRGSRTPEPMSDLRFSVITTSILILSYIVAMSV SSLEAVLAYVGSTGSTSISFILPGMFYYKISAPDSAAHQRLMKEDDEAEDGYISGASE EDGIQSRSLTESGILRRHTRNWRRALLRKLSLGLAIYGVIVMITCLVTNSVFLASH ANIA_02753 MCFRDSRNSSISAWEAPQPHYGYNPNFSYTDHKKQLKRIRRIRY GYGNSYSYGGSWGGFGGGGGGVIGGGGEEEEEEEEEEEEVVSGKGLKVRNIPTLEPRM LRSTIVCAGAQTVYAMQVKSSQPMTPNTNALS ANIA_02752 MPPIQGKYLRYLIFTLLGLALFLLSRRSIPVPDSSSSISTPPPP PSDRPVNAFGYSLNPQSSSEVVRFAASHPGGRANATFVTLARNSDVWEIAQAIRQVED RFNRNFHYDWIFLNDQPFDDNFKRITTSLVSGTTRYGEIPKEHWSYPDWIDQDRAREV REEMKEKKIIYGHSESYRHMCRYESGFFFRHPLLQEYEFYWRIEPGVELFCDIPYDPF LFMKENDKKYSFVMSMYEYEGTIPTLWDSVKQFMDDHPEHIAEGNSLRFLSDDNGKTY NKCHFWSNFEIGSLDWLRSKEYLDYFDFLDRAGGFYYERWGDAPIHSIAAGLLLKKEQ LHFWDEISYYHIPFTHCPRDEQLRMDLRCSCDPSGNFDWRGFSCLSRYYKTNNIPLPP GVDEYSWLAIFGIIVGLLAVAGIVATAVNHQARSHALQEVQYLYWQSQTLWERYQKEK REWERERMGRAWSP ANIA_02751 MSFFGFDTTLPRDRAPQGGQRGIFDTPDPFAEVARARAAAQHED DDDVLNFEDTYDGLGDQLDDDQDAFNEDTFGDVGSGPVGKDFDFFGKTAQVSDVIGEE QVRYNLKNPQASVAPRATEPQKTATTTAQQPKRTGYEKYSDPDYIPDLQAKSSVWGLP SKPESAPQATTQTKKMMSLEEVEAQIRNQGAAAPGFGPQVSLPQHVPEPPLPLQRPPG LPEGFPQLPPEYLEAQFGRGVPPQFLHPRTIAPEPFPHPAQAPNIPLHLLQNPIQNPN APPSHMGPLQHQAIPSRDQPPQRLPQQHLPQHGRGLSAGLPLITNPQQLMNLTEEQRV AYLMEDAKRAKRNHKIFLLSKGNGLMTPQDKNFITRIQLQQLVAQAGNMADTDSDAVL AEDFYYQVYSQIRGAPRQHPHQPLGHFAQTYLLQTGNRIGGHSRKHGQSADNHMQRMQ QQVQRAVEAAKAKPKNKQLIIEGSLGKISFGNAKAPKPSLNIKRPESSEGGKATKKLP TDLSPSDRKSILANLEGVYNTLMELEDMARTMPPPPDENDSEAIQTHMEWRQKLNALN QKLWNQMKVMEAIVPNSNTPHPFIALLSYPKGKKAIPRIFRHIDQEQRITILTMIVVN LDNLDVVRRALPAPGEAQPPLAAREAIDLFSQAVMPCLLGYVNEAPFNIIIGLLGLVL THTHAQFVARTRIGLGILTMLLSRAEIVKEAGQASEQDWKQWVEKFNILFDTLEPTFA DIFPNSINAGDDMYVWQFLAAIGIGASPEQQQRLVIAVKDRVMETVTHSKTLPADMAS QRLGNVNLFMRAIGLDVELLG ANIA_02750 MHVSGSSPAPAEHASEGSVRPVDIPFDSQSSPYALVDEKASQIV EAENQKLDDLIGRFTKGFDPAAFSTVITASIPKLIKDLFPGSYAHLLLILFETFEAVQ TIQLGLETWFKRRYSGNPIFFPSETNITDETFFAAEIETLRKLLEPIREGKKSSIFWV NTRRTDPSRTCEQLEASVRVIESFKEDVCAALISGNENRTIYSPLPVSVERTAMYSMK QLRMDACRATLHWDNFNGSEIEFTSFYRFYKAHISTTEPSRARASGWLPPMSPGTPRL SAREASEGDLANWISHGIGDDIVDLLLKTALWLSLARGLAAVHLFCDVARLFVLHPPP MIISKEYRRFLLHLIRLRATTGGAQFSPSPIVPRDNKVFPGRNTDYFEDIWRTSHQLS AGLCDEISLFVKHNIPNFKLSKAPPVRRRSGHGDMHLITQGHIRGSFASNACGSDEES GGNNTTDEYDFLDFSSENSSIFRSKTV ANIA_02749 MGRSRVLSFLSSFGGSSRKTSPDVGNRSASATTAPPSRFETPSP DTPSPHDGPSSKVERRMSRPGSTIFTHNPPFMQLAEDTPAELQRIFSYMNSHANKLYY EGYFLKLNDLDTYGRPCADRQWVECYAQLVGTVLSLWDAAALDAAGDAAEVPATFVNL ADASIRSIENLPTQNQAGPQSLQNVLSVCSAGQNRYLLHFDSYDSLIHWAAAIRLAMY EHTCLYEAYTGSIIAAKGRELNNIRTILERSRFKHEDWARVRFGAGTPWRRCWFVITP PDEKQLQKAKKTMKKKSAYDRAPRLVIGNIKFYETKKTKKVKPIATITDAYCAYAIYP QSKALIDQSTLIKIEGNFILHSQSESKVEGFIFVMPEVHPAVSGFEIMLQFLMPTFDT FNLYGRPTRLIAAVNHVKSIMFAFPDQERYDYLELADVVNLLRTPGSQNWSEAEWRKQ LKDATARRMADASSRASSIASRPRFRASLPNRYSQVPAAPSSRKGAFPEYMSTFNQSV DAVVQQVPPEEPFTPAAHSRSMSDTAGFAPKPLLGVAMEDSAASSAQDLTGSPAIESS PARSSSDDEQNLYSAQGPYRNPAQMQQLPPDVAPPPTLTHDPISRPPTRPQPSADARR ANVRMSDATLAQLVSASGGMNFGHRPTSAGSSDYTGNNSPAPPSSSHGASDASHFAAA FAANQPTAAHEQGPPVPEHDFGNSNSSSVLKAHRLSTQAITVDTEKAVKRKPLNRAPS PLVSPQSSTGEPSFDDLRHTVDEDAMNLIGLVDPPKYTAAYSVPTKSTPQEEESVYDD DVSTASPDYASTKGSLYSKDSVKSIPKRMGVKKTVGSEPEPKDLVIGDARYTVESKPE HNSDIPAIDFGPTLTYLPTTGRPNTSDTLKKFEHHRTGSDATERQRFSLPARQNDRNH SRSPSRDEHRRSVLWQPTAPRPVTPGGGLTPEQFVQQRAAPSPPIHVHRRSPSTSTTT ITAPRPVSGDWTAHTRSQSQMSMARPKSRGASSMFNYNDVSSHLSAREQEHVARVTGS SFFNLSSDKQKTPPPVNPMGLVGAIDAREQEKRMMKEGMSNQMVQHAIAQRQYHWQQQ PQQAPQLAPATPPHSYGFQTGSNDVYNMPTASRTWDALNQPYRPEEPRRQSWFSQYPS QPPQSSSAMYQTNPHNPQSHVGTNATYY ANIA_02748 MGQKRSSSGDYPSPKRLQKQAADETDPIYEEAHSDVDYSPDDSS VTSSVHDTPATPFSTASTSVRYPSELKTHRCPFEGCTKAFNRPARLQEHLRSHNNERL FSCTYEGCQKTFLRASHLNHHVKSAHTGVRDYICDRPGCGKSFVTGSRLRRHLAAHDG RDKFRCTEYPPCNETFRKHSTLQKHVLSVHLKQKPFQCQHIDPQTGDKCLMAFESAGH LRAHESRVHTAKRFSCAECSQRVEDGSMIPPATFPTYSLLQAHIRTAHPPQCPTCSVT CSTARELRRHLEVAHGNVSLDDRRIFPCTVPGCDRSFTKKGNLTVHIRTVHDGEKRFV CGETDLSTSKRVTGWSAADGCGKRYGSKLALEEHIRTAHLGFLNSKAERRQKLGQPRK SSSINKNLSALTGEGYGDETGRQITCFVDSCPHRFHRNYDLWVHMGSKHNYTEDDIRG FFLQRALLGDSSEPVPSDVFGIYGLEFDNEEHTYNQDLRMGAYPPTPAETSGAGTGSY TAGQDQHQLPGMNIEPYTLEPTEFSMLPQSVPVSGCLDPDIMMQESASVSASKAQIPS LDNDDMLNDSFLDFGMVNS ANIA_02747 MSDYGGDHEAEETYDYEPDQTYDDIEPEDFLNPEDIEGEEGAEG YEEGYGGAVNGERVVVSGDPNAGYSGKVVEQARAKKIPNDQRTTTPYMTKYERARVLG TRALQISLNAPVLVDLEGETDPLQIAMKELAQKKIPLIVRRYLPDGTEAAYGRMLQSP QARAIDHGALMPYRPFSGRLSKYGILKETYRDLTGNRA ANIA_02746 MGANVDAQERTVSAMGGSQDVEKTSAAPVQPAEDKEEPTFDTGL ACWLQVLGSWFLFFNSWGVVNTWGVYQTYYEQNQLSDISSSSIAWVGSLQSFLLMLFG VVTGPLFDAGYFRLLLGFGTIMLPFGFMMVSISSKFWHFILAQGVCVGLACGCLFVPA VAILPQYFRKRRGLANGIAATGSSIGGVIYPIMFNELQKKAGFHWATRAVGFLAFGTC LISFSLMRMRFLPTEKRKLIQLGAFKEPIFVLFSIGMFMGFLGFYNFLFYVQSYAIET GIVDGNLGFYLLAMLNAGSTFGRIAPNFLADHTGPLNMLIPAVSITAILSFVWIGVHT VPGIIVLSVLYGIFSGGFVSLPPVVMASITKDMRELGTRMGMVFAITSVGLLIGTPIG GAIMSNTHKYLGVQLFTGCAITVAAAIFLGVRLARTGVNLAVRA ANIA_02745 MTSIRRMSPTDLFSLNLTNLDPLTENYDLGFYLNYLMRWPSLFS SVKDRREGIAGYIMGKLEEQHPSLKASEHYTPWHGHITVLTVAPAWRRLGHARRLTER LERGSDINNAWFVDLYSVFRRVVNYYSDDPTGMSEKGEDAFDMRKPCSRDKKLEHIRE NGENFPVSPEHVS ANIA_02744 MPSSPPSPLRLSHRHTRRRSSNTDMDPMSPADYTPNGYSLNSPR SPASPRPHYAQSMNRMSGDFGAATEASGGLGNLADELADAWEDEENGYGYASGQEAAL MDSQPLERMRTRTPSPGYLSERDSLQPPRPKLRNGTHRHRRHESQYDGSDYGPDSDLE EVADMSPALENQMAEIESLARRGLENNGSEQDHVIERAVTALQDLGAQSGIENNAMRL ITAHSSITSYLTHQTRTVQSLTHPLLFAPFPLLSDDAIDALIPLIDEGLLPNLPYPFP EQHQHQHHHSSRPNTPSQVSPSPTNNPLTSLQTLISQTSDITLSLRGLSDTLYESRQL TSTASRRLRAARELVADLRREEEGREEGTRWIEKGDWDRRLREREAGRECGDVVSGFE AFCGEWREKLFGAAGAAEAVVA ANIA_02743 MPPPPHIKPENVLKRAQELIAVGQAPAALTVLHEHATSKRTRSS PIASLEPVMLLFVELCVDLRKGKAAKDGLYQYKNIAQNSNVGTIEIVLKKFIELAEKK VTEAQAKADEIQSSLESAAPSSNVEDLEAIETPETILLATVSGEQSRDRTDRAVVTPW LKFLWETYRTVLEILKNNARLEIMYQTTALQAFQFCLKYTRKTEFRRLCELLRNHVQN AAKYSAQMHAINLSDPDTLQRHLDTRFQQLNVAVELELWQEAFRSIEDIHTLLSLSKR PAKNVMMANYYEKLARIFLVSENYLFHAAAWSRYYNLLRQSAVTLSTNQGSKKDHPSV TEADMTKAASFVLLSALAIPVISTSRSRGALIDVDEVRKNKNTRLTNLLGMLQSPTRA VLFRDALNKGLLKRVRPEIRELYNILEVDFHPLSICKKVTPILKKIGDDPEMEKYVVP LQQVILTRLFQQLSQVYESVELKFVYELAQFPDPFQITPSMIEKFIMNGCKKGDLAIR VDHISGVLTFDTDVFSSAKALHSGSAAGSAESELGSVQRLQNTPAEIARLQLTRLAKT LHVTCMYVDPSYNDSRLQAKQAALTRAAAGAAKEHEDTLERRVIIEKKKEAATDALQR KQKEEETRKRIRTQQLQEAEKQRLAEEQRERELKRIKDEQDRIRQQELKKQLEELKSG VKGIDLNEIDLEDLDANRLRAIKLAQLEKEKNELTERVRATGKRIDHLERAFRREELK HIAEDYEAQKKVDMEIYERQKAQTLAEAEAKHKEAVALKHRLSRLIPVFSSFRKEVSE KRHEEFEKRRKAAEREFEAKKKQRVKEVQERRRREKIERENAERAKREEEERIKREEE ERAARDAERRRILAEEKAKREEERAKMDEIAAKQRQREEEAEARLRAKRAGLSEPPRT ESEVRTAPRLNIAPRTSGGPSWRERQAAKEAAGGAAPEAPKAEPEPPRRTGGYVPPHA RGGSDAAPPAGNRYVPPSQRSSQPPSRTQTPPTSSPKPEEPKPLASGTGGKWVPRWKQ QQQNQ ANIA_02742 MPAYELRSGGDVKNKKQSVADLKYRRLTELNARLKEDLDRPRVK VSEAAMSLINYCNNTRDFMVPSVWGQVDKREDPYAPQQQGGCLWSEADLSR ANIA_02741 MTEPTAPIETNPPTQSPEAKYSKPRITIQYCTQCKWMLRAAYFA QELLSTFNTDIGEIALIPRTGGIFTVTIFPSISASTSTTSDSQSNYESESHFSKEGTI LWDRKRDGGFPEVKELKSRVRNVIDPGRDLGHTDRALKKGANASSSTPATAITGTSTD ATATPASLSARAKIVDETAGGAERKSDKEVCEDCL ANIA_02740 MDHIDCAAIYIDSRIQSERWVYQGSPPESLIFPDEPESLRSDVE VLLGVARRMYLCQAGASLVTKLAELSESHSNNCTPIFAFLDIDLHGEEPAALSRRSTS RGSWQGPPSPAPLRRGFTFSSQSEGMSDLRLLSGLSTDIHVHDGPNIVIPVAILRPPA REPTSAAADQQQPYIPHPQHISRCLDAGAVDVLTAPVDRVRIQSLVVHAYRTRKTALK EQSRFMSRRKLRKHSWVGVHDEQPYSYLREAMVSKLMKGICNPEDVVEELHDGDYYVS EERESYVKERLGEWDFEAHEFTDDELVFAAHAMLQHAFTIPELEEWKLTPGELQTFLL ACRASYNSFVLYHNFRHAIDVLQSVFCFLLHIGALPSYGSIGANADKNCPIASMLKPF DALTLLIAAIGHDVGHPGVNNFFLVKLNAPLAQLYNDNSVLEAFHCAAFSQILRRYWP AAFKDKALRKLLISSILATDMGVHQKFMERLGALQEKYSENNRTTDGWKPQDIDMYKT LVCGLLIKCADISNVARPWEVAEKWTKILQEEFANQGEMEKEVGMETALFGGPPELGN VYKLATGQMGFMSIFALPLFEGVSDLLDGLKFTVDHIRRNHTRWHYLANLEKGKPFLM VGPGPDGHVSPRSHSPSASYRDTQATPKVPTVTPPTPVTSHPKPTASFPAKEPYQQRI DEADDYFGPRRSARTSVGSAYNENQISPVVSPDTPGPQMDITGDSYPVDEPSRKSSCA VPDMGPGSITEPMPTKTPTSGDEQSPSSAVTYDNSVEGTGERANGTRSIYRHHANTAS SGCTSAPSNSQRNSGTHSNAMTPISPSTNATSVLSADSDDHGYVRDIPRSDYADWDNR SPRAGSPKHGDRGSENTMHSGHSQLFDGSDRNRSGNGSSTHSVNTGSSTGQTPHWDEL GPDQPTRKLPKRRSRLRLAFWRRKNYQSHQHELPGESR ANIA_09517 MSSDRSPPPNSQSPFQSQLQSQQPPVRPDSPSSTSTPLPAAGCR RMPTPGQASRRGSVDSSTPQDPADAVNDAPAQPVTASASPSEATVTEPPSTSATPAPY GTRSRGRNAAPRPNYAEDRDIDVDLEIHNPAAKSNKRGAASSNLTNGAKPDSEKSGSR KSLTAANGSNSAAAKDGIPGTSSFLAKPDDTPSSSNSSRKRKQPASLTTNATNENAAK KVFTAAPGVAPGQSDSNMVTFDDRGAYLKDGKLTADDGTTFAVNDHVYLICEPPGEPY YLARIMEFLPNKNSPSGPIEALRVNWYYRPRDIQRNAADTRLVFASMHSDTCPLSSLR GKCQIRHASEIEDFDGYRKTRDCFWYDKMFDRYIHRYYEVIPTSKVINVPANVKRVLD ERWRYVLVEVGKRKELTSAVKTSHREKRQLTYS ANIA_02739 MSAHRAIQNSGFQTSVDIARQQPFAVAPLAGQKRPPSGALNEDT DNPSSHAINGTSRQNLPNGQGLDFTRPQVHLPKSRLIASEICTVAGSENEQKPRPEDP SKSQGSLQSLNDPKFGLPPALVANFAAAGVTSIYQWQASCLLGEGLLKGKRHLIYTAP TGGGKSLVADVLMLKRIIENPTRKAILVLPYVALVQEKLKWLRRIVQDVEKYTVDDEH PDASHHRWRKMQKSIRISGFFGGSKTTASWEDTDIAVCTIEKANSLINTAIEECSIGE LGAVVLDELHMLDDENRGYLLELMVTKLLLLQQDIQIIGMSATISVKNTELLADWINA RYFVSTYRPVPVDEYLIYDNAIYPAATSRQLFQTISKLTATGGPFLSEAVPPQRTIKP SAFRELSNPMSNAMVAMAIDTVTAGYGALVFCGSRVACQVHAALISEAMPDPGTLGAE DLGKRLDLLAELRSLPSGLDPALEKTLIKGVGFHNAGMTTEEREAIAQAYDQGVLKVL VATCSLAAGVNLPARRVIINGARMGRELVGPAMLRQMCGRAGRKGKDEAGETYLIVGK SDLQAVCDLLEADMPAIESCLAPEKRGLKRALLEAIATGLVSGVAAIKEYVKCTLLYR TVDKKLSYSIMDSALQELAEEKLIQLNEDESYVATQLGQAVVASAFAPDDGLFMYEEL KRALQAFVMDGDMHVFYMFTPLQAAAQTQIDWPTFRDLLDTLDDSGIRALQFVGVNPG FVNSMVQSGASLKEDTPEQVTQARIYRRAYTAFQLRDLSNEVPLPVISSRYKIPRGTI QTLAQQCHGFAAGIVKFCQRMGWGWTARLLRDNGFRNLRALAEADPKDVVPVLKMVNP RKTQRNQLHPTEAERYAGKLLAKAEVIVASANRIWEREMQVDLDE ANIA_02738 MAAKSRFTRLDAFAKTVDEARIRTTSGGIITIASLLIIIWLTWG EWVDYRRVAVLPELVVDKSRGEKMEIHLNITFPRLPCELTTLDVMDVSGEQQVGVAHG VNKVRLAPAAEGGRVLDVQALQLHAEEAKHLDPDYCGECGGAPPPPNAIKPGCCSTCD EVREAYAQKQWGFGKGTNIEQCEREHYSERIDAQRREGCRLEGVIRVNKVVGNFHIAP GRSFSSNNVHIHDIANYEERGLSPAEQHTMSHIIHSLRFGPQLPDELSDRWQWTDHHH TNPLDSTSQEAPEPAYSFMYFIKVVSTSYLPLGWDPLYSASLHAAADTNTPLGAQGLS AGSQGSIETHQYSVTSHKRSLRGGDASDEAHKERIHAAGGIPGVFFNYDISPMKVINR EARPKTFTGFLTGVCAIVGGTLTVAAAIDRTLYEGVSRVRKLHSN ANIA_02737 MKRGRSSRGRTSTRANTGDRGGIRKRGAPPTKVDRDGDLAMGAG AGAIRGQKTRSGSGRPASSATRANTALDRSISQIQKALSSSNAQANIRQGGRSSPTEQ VAVRGWKESKAASNRDGGVESLVAFLEKKLTSPDSKSGPRVKISKSRVEGDALIVSIR PESLERMLQLNGFTFAGAPLTIEKYDQETAPLLNHSIPAQNGTTPSTADTKSKMTAIL GRRYYQQSKLLDLSKLGTDPDLVAMGIFGTTSTESKFFPALMKVWELNFDNATARRDA VESVSLADNQLSNISVVTTLSQTFPDLKNLDLSNNNFADAQALIGWRWKFRKLQFLDL TGNPFSADPNFKDTMLKWYPELKTLNNTQVRTDEEIAAQKKTPIPVQAPHFHDEGQIA ENFIRAFFTGYDNNRAEIVSGFYDNNSTFSLNVNTSAPRALQTEPAPWDPYLKKSRNL LKISHLPARMSRTYTGVEKIKELWTTLPPTRHPDIAAHPEEWLIECFPIPGLPDISGQ SSTGVGGFLIMVHGKFEEDNSGKVETRSFDRTFIIGPGAGVGGIRVISDVLCLRAYGG NEAWQLEPPPVAAQPAAAPVAARVAAPAAPAGYGLPAPGKADVQVQQEQLVMQLSAKT MMTLQYSELALSGNNWNMDAALKNFEELKTQGQLPPDAFLPGVTA ANIA_02736 MPVTTISHSTGQMASNGAAPLDPLDAAWSLMVIASADYDPINHL NEIFSHPSTLSSVSDVSQRLRDYETELDNEIGALVEDQVTSNAESVERIQAAKSDLSE LFKKIDDVRDRASKTEQSITEMTADIKQLDNAKKNLTQSMTALKRLQMLTTAYDQLRV LSRTRQYRDCSQLLQAVIQLVAHFKSYRSIDQIALLSRNVADIQRDLLEQICEDFELA FAKGEVGARKTALSEACSVMDALGDHARSRLMTWYCNFQLREYRQVFRNNEEAGSLDN ISRRYSWFRRILRIYDEEYASIFPASWRVDEILANAFCEGTRDDFKGILSRSVRNGQT IDVSMLLSCLQETLDFEHSLERRFAIDSRPSTDTFASAETPVFGQAISEAFEPYLSVW VNAQDQQLAGLIPKYRQQPVKPPGEEFDSHIVISSSMELFTFYRHALQQCAKLSTGAS LADLAKVFGKYLDQYAQQLEEKIKGRLDKNLKQSVDLQSQADSFMGIASAAVRGLVRK VETELEPCWREMRNTPWNRLEGVSDQSSYVGELLSKTNSKASEILQLIHKQQYARAFA DHIVELISNIFLQNIFHCKPVSETGAEQMLLDTYTLKTGLSSLLPAPPPAGFVKRVNN SFTKIETLLKTLQVQPSPPEALVQAYLIHIADRNNNNFRKILDLKGIRSRQEQNHLVE LFQIHRTSDRYASNIQETNPFLGALQTTSASSSTSVSQGLGLGNLGTSAAASTSRFDA SLLGSALISAAKDGVDRLGTPMSSTPVNPGAAGAGNLAASTPAAIPGSSGPNQSQAGE VGSNLNENLKNLGKFFRRDLGFGGRFGRSGDDG ANIA_02735 MAENFQHPFQCIQFIKKRNGEHRDVFVASAGAKLFSYAADSGRR LSVWPQDGADNNIHGTNCAGSNPETEGPPEKKRKVEPSSEKEGDAGTAASKKSCTWTN IPILTSTPDGEYLVALTGEDKCIRVFQIEEDGSFVHLSERPMPKRPCAITFMEDNDIL TGDKFGDVYSMPLIPSAEPRSTMKTLAQRSTPIAATNLTVHTQRNLKSLEMQKNMQNK QKKEVDKPVFDHDLLLGHVSLLTDVVFASLPSPDPSSTKRRTYILSADKDEHIRVSRG PPQAHVIENHCFGHTAFVSKLCIPEWAPEYLVSGGGDPHLIVWNWTAGQVLHTVPLVE QPAEGEAKKVAVHGIWAATLGADSRRVILVALEGNPALLPFTLEPNGSMTAHTSIKTS GNVLDVQVHKDIVVVSVDCIRTPGSMQEWRSSPIASITLEAFQLKQGTTEWEAVTDYQ LVTTVNATGTLPVILADGVEEKEKEKKALNDVFYGLEHLRKQTSWEGEATVF ANIA_02734 MGGKSATKAAYFEKLKNLLDEYKTVFIVGVDNVSSQQMHEIRIA LRGEGVVLMGKNTMVRRALKGFINDNPEYERLLPHVKGNVGFIFTNGDLKETKDKILS NRVAAPARAGAVAPADVWIPAGNTGMEPGKTSFFQALGVPTKIARGTIEITTDLKLVE EGNKVGPSEATLLNMLNISPFTYGMTIQQVYQDGQTFSAAVLDIEEEQLLKVFSSAIN TITSISLAVNYPTLPSVIHSVVNSYKKVLAVAVETEYSWPEIEELKDRIANPEAYASA APAAAAGGAAPAAAAEAAPAEEAEESDEDMGFGLFD ANIA_02733 MTQFAPLKNDLLLRAAWGDKVHRPPIWVMRQAGRYLPEYHEAKG NRDFFECCRDPEIASTITIQPVDRYEGLIDAAIIFSDILVIPQAMGMTVEMVDKKGPH FPEPLRSPTDGQYEKVMARDVDVKAELDYVYKAITLTRTKLNGRVPLIGFCGAPWTLL CYMVEGGGSKMFIQTKTWIYKYPKESQALLQKIAEICVEYLALQVAAGAQLVQVFDSW AGELSPASFQDFSLPYLRHISANLPRRLKEMGLEPVPMTVFAKGAWYALEDLCESGYN VVGLDWLHDPAEAFKIANGRVTIQGNADPGVLYGGREAIKQAVETMVAGFKKGKQGWI ANLGHGVTPFVNPDDLKFYFEEIHRLTAD ANIA_02732 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNRDNGLRWLQKQF IPSTEHRTRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCF AVLKRSYASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNP EPVLSKLSIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPS PSHNALNQLIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQE ATELEEAHNASFQAIPGPCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_02731 MVKDTKFYDILGVDPSASEAQLRSAYKKGALKYHPDKNPNNPEA AETFKEMSKAYEVLSDPQKRNIYDQLGEEGLEGGGGAGGMGAEDLFAQFFGGGGGFGG MFGGGMRDQGPKKARTIHHVHKVNLEDIYRGKVSKLALQKSVICPTCDGRGGKEGAVK TCAGCNGSGMKTMMRQMGPMIQRFQTVCPDCNGEGENIRDKDRCRNCHGKKTVVERKV LHVHVDRGVKNGHKIEFRGEGDQLPGVMPGDVVFEIEQKPHPRFQRKDDDLFYHAEID LLTALAGGTINIEHLDDRWLSVTIAPGEVITPGVIKVIKGQGMPSYRHHDHGNLYIQF DVKFPENHELRNLELLEQVLPPRQETNRPPADAMVEDFDLEEVDNSERSQARAHGAAS MDEDDDDVPPGAERVQCASQ ANIA_02730 MPGDIPTKVGHGLAKVLRLKLPEKPQPDAVTRGESTFSVGTFDT YSYVEPEPTAAEWFAEITPSWKEIGLYFYRLFPFLSWITRYNTTWLIGDLVAGITVGA VVVPQGMAYAQLAQLPVEYGLYSSFMGVLIYWFFATSKDITIGPVAVMSTLVGNIVTE AAETLPDVEPHVIASCLAVICGGIVTFMGLARLGFIVDFIPLPAITAFMTGSAINICS GQVSTMLGETDKVNTRGATYNTIIQTLRALPSSTLDAAMGVTACAMLYIIRFACNTAA KKQPHRAKMWFFISTLRTVFVILFYTMISAATNLHRRDNPAFKVLGTVPRGFKHAAVP TVNAEIIKTFASELPAAVIVLLIEHIAISKSFGRVNNYTIDPSQELVAIGVTNLLGPF LGGYPATGSFSRTAIKSKAGVRTPLAGVITAVVVLLAIYALPALFWYIPKASLAGVII HAVGDLITPPNVVYQFYRVSPLDCVIFFVGVIVTVFTSIEIGVYCTVCISVAVLLFRV AKARGEFLGRVTIHSVVGDHVLEESKPGLGDADRTRSIFLPLNHADGSNPEIEIEQPY PGIFIYRFSEGFNYPNANHYTDYLVETIFQKTRRTNPFTYSNPGDRPWNNPGPRKGKQ EEDRSHLPTLRAVILDFSSVNNVDVTSVQNLIDVRNQLDIYASPHTVQWHFAHVKNRW TKRALAAAGFGYPTPQSEDGFHRWKPIFSVTEIEGQSSAAAHAEIVANENTRQASSHG HDLESGIKNPSHTAERETHGIEESSNSSIGEDDKLQRDLKDSKAYQNRRKMAVVQGVN LPFFHIDITSALESALANSQEEPADVSSD ANIA_11361 MVDERRPHGDVGTVDELCTACLAGCTIPYGALCHGDTYSKRIYR RGSRCCTSHTLRPEWRDQ ANIA_02729 MPSGTASNIESVSPEKELTMHVEHSAHGLSPGDEEFLANFPDEE KKRVLRKAVSLLGHVDIRLGCDYALHRLCPKLRQLTCDSVPAIGLFEFVPWRSGFLPG AVLLISKWYLPGETQTRIAILYTSAASGGAFSGLLAFAIAKMSGLAGYEGWRWIFIIE GLATIVLAILTFFLLLDSPSLSSSWLTPSEIRFLELRQLANSVQSPHNRKSVNWSAIN SVLTDWKIYLLILGSWSNAVPNYAMKFTMPQIIAGMGFTSARAQLLTIPPYALGAFSA FVFSIFADRYTWRMPFIVVPQLAQVVAFSILYTHAANIEENIALCYFGVCLACFGYHP SILFLRANLLTFPTDSMYPILPGVNAWNVSNTPHLAKRAVAIGYLICMGNVGGLIGSF IYKQDEAPRYVTGYGNSFAFAAAGIVACLVLEFALFRLNRSKERFSEDEVRERYTDSE LEEMGDRSPLFRYTL ANIA_02728 MVGFDMHGLTPAPVTPFTPTGEIDYDAIQRLGSWLSSMNGVKGL VVLGHAGEGTFLTAEEQVAVIKAFVKSVDDKIPIIAGITGEGTEVAALEAQRAKAAGA KAGLLYPSHGWLRFGYQDGAPQDRYRRVYEVSNLPLILFQYPDNTKATYSLQTMLDIA AQPGVFAMKNGVRNMRRWDTEIPVIRRERPDLQILSCHDEYLLHTAFDVDGFLVGYGN IAPEPLIELIEAGKAKDYRRARAIHDRLLPVTKSVYHRGSHMEGTVALKHALVARGIL SHATVRSPLRPLEAGAEQEIHAAIGTAALGKVA ANIA_12314 MSDSHSSACSPFENRRKDPKVSRACDSCKAKKIRCSGTLPCNIC SRRRLSCSYASRYARGRPPTPPPHTQSHLGRSTDSGRELTPNIQTNAAESRATSELVI EGQYFDLTSGLSFLHRATSKLSAQRGQYVAHGYLDVQRNQLLASAGDQPFYQGDSSAE ADVLPDDATTRERLSLYFDTCVVTYRMLHRQTVERWLASMLQNREQGRSIANSLGNAR TASILAILAIADLRCFKLKRKHSNSALNDPQLESCGLRESDPLFYASMMRTESETGFP TLESVQARLLQVLYLLQTGRMNKAWYTFGNACQIISSLGLHRKQYRQHNALGPQADYI EQQCAKRVFWTAYTIDKYISVVLGRPCLIHNEGIDQEFPDLVNDENTGPDGRLTSDAR EECHVSSLIHHAKIAQLIGRISTDVYYKNQTDHAAAANVLVRELQEWRAELPPHLGTV KPSTLIPSFRREATALRLAYCHALIHVTRPFLLGDGKHSFDNDPASRTKISECLSAAR NALELIGTIVDDHELSHSFWWTQYVLFCALAVVYVWEIQRNTHQSLEDSGGLTHASHE TLFELAERSRSYLRGGAGSLHLSNPNSRYGLILEEVRLEAQRQVSQIRSRSTRATLGT EKEAENRPHEAWSDQPMPIPGPNDELDITTSAILNSGSSMLESWQTTDWLDLDSSVSL ALMPATYSHYLLISGRLSTRS ANIA_02727 MGLSLGNDLWILLVSLLVAIVTSLVVALAPYTWASLRPKNFPPG PKPLPLIGNLNLIPPSKAFLLFHQWTKKYGPIIGLKFGPTNVVVLNNWKDVQELLEKR GHIYSSRPDNYIANELICKNHTHILFAPYGDGWKALRKAAQGLFTPRELAGVLPIQEA EATQTAFDLIRSPDRYYEHIQRYTTAVILASVFGQRGADFNSPNVQALYDVQNRFTAL LEPGAAPPVDGITFLRHIPEFLAPWKRRAKEIRRDQRALYFRLYNATKERMAKGIRTG CFMEKLIDDQVKNGLDDEHTTYLGGILMEAGSDTTSSTLLSFLLAVLENPGALKRVQE DVDRVVGTERSPTMNDLENLPYIEACMHEILRWRPVAAGGIPHMLTQTDTYKDYIFPA GTIFFANTWAIHHDETEYSNPAIFNPDRWLDGNKYGTKDNNSTLDSTAQRKTSYGWGA GRRICSGQKMAEASLKINIAKLVWAFDFERVKGEENVDISVDTGYEGGFLVCPKKFPI KITPRSEGRAAVIEKEFEGLKGFYEKLAA ANIA_02726 MTLNAAQKTNLSVTWILGGIATITVFTRMYVRFFQQRTPGWDDY VMILCWCLAITSASLASTAIHYGLGVDLYGIQSPDDRVNALKYLTLAPNPSILSVAFG KLSIVLFFHRLLGVSMTRTLSTILWILLFITAGLSISAVVAVLAFCTPTESIWDKTIP PKRCMAPETQLGIGLAQASFNAFTDIILGLLPAYSLYNLQMPLRRKIGLMLLFGVESW SPITIWNTYIPLLSITNKTRAANSLSPSRQPLVLK ANIA_02725 MDTSSPTQQIPPVSKRATACVECQKHKVRCVLLGRKPPCQRCSG KHLSCVFKKGPTYPLPSSENRRLLNALLDDLGVLHGAVNELRAAGDLPDLPALRSIAV LSELRGGDRTVREGDMMPVDISTELIDKHPEAPSRDETTATQPPIQSLYQITRLRSLR SQGLATAADNTATATRSQTDLISRKVINVDDAEMLVNRYLRKTDHYLYGIASEYKTLQ EMRQASPLLLTAVLTVEALQSADSEQLFRLCYAEFRTLVADFLFSHTVTLEDLRGLCI ACFWLSDISWSISSLAIRRAVEMELHKSFTAAVDSLKSQQALEQLDERSKRLVDSVRI WYLLYICDQHLAILYGRPYIMREDEGIQNWPLYLTVNRQPTDIRILSQVALLQILRSV SETFGQDSKRRVPILLKPQLDAFNQQIDQWVNHWLGLSQDHPTIGAYPSKAIMLHHRF SKLLVSSHVFRGLGRDPVQDPLPAEFQPLALVAINSAKSVLDLTVNDPDIVAAFAHIP HYYHTMIAFACSFLLKTATIYGKHISIDSGAVINSIAPVISLCLGVKCTAYHLAHWIG RGLQALLNKYIKSLPMETAPGHAAEVSFHMQQQQPQQQHSTPSSSSNVNMAFGNSSGV WETGAVSPYGDHILSSTLFETPLQPSQDSISEFQWDPSMSFASLEHMGLGLL ANIA_02724 MEVDDSPPGGARPGTPLLGENSEPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHLPQAPSICEQVSMVADDQLVLLNDWKLAMTSLAKALDLTVSS LQGRPRDLARGLAARFVSLAKQDSPQQIPLMTAVAPPQPSRQMEQPNQPPTPEACEGP LKRRTSQPTTWASLTAPRAGQGNWQTIAPEHRKVFLLSEKAASLAGDGYFEIPTEYHQ VIIPRIPKQLWSLDGWIDTTITDISNEAERITGIKPLMAKLSKHPVERDSITAVIAFP KRLQHPLQLFGLSGLSRPTRPKQRPLQCTRCHRFHDIQACRSSKHCISCGSSKQEHNC SHSLPEPISPDITTIYTAGLTIINVYCPPNDPVAPAGAGSTPSTLSTLLGYAPPENTI LAGDFNTRHPFWQPDTESHAITPGATGLLDWLDAHELELRLEPGTPTRGPNTLDLVFS NLPLRALVEDHLKTPSDHATIGIILEQEEPSPIYKLGSTNWEKARALASPPDPTLLID LLAKQLVQISQLAIQGTSRYNTRRLPRTPWWTPELTDILHQTRQQQNPDYKQLWKAII QHPDQLAAPPLNIQGAQVTTPQGKADAFLNHLLEKGALLPNQTEEGPPNKPLSSLHLP TKEHCWAALCAPPLSAPREDRLATTAWRELWPRDYTQLNAWRPISLLSTLGKGLERLL AQQIAVRAIQADVLAPCHFGALPGCSAIDLVQVLVHRVEEAFQQGKDASLLLLDVKGA FDAVIHQRLLSHLRLQGWHKGLLQLLKDWLTGRSVSVHIKEGTATAPIKGRLPQGSPL SPILFLLYAARIVSTLEGSFCYADDMGILLTGNTLEESSQQLVEAYKQITALGTETGL PFLIEKTEIQYFSRKQQQHLPTVTLPALYGSEVFYTGKRQKGVVNSLLSLFRTAALAI IPAYKTTPTAALLREADLPDPEALLNSILRRAAVRYMSLDTKHPIAQIAAETTAGRPK TRLKRILQLLLSPLPEHAIIELPLPPLCMLPTDNKDYSPAPLQISVYSDGSRTSQGAG YGYAVYFGPILVSTGHGPAGPRTEVYDAEIMGAVEGLRAALGQPCVGYSTQLVILLDN LAAASLLASYRPTPHRHGLSETFSQLAAQWMESPSILTMQRKPLQVRWIPGHSGIAGN ELADKLAKLGSSIYSPDIPPSPAYL ANIA_02723 MPITLKSANPSSGASNNLSLDVPSIVRSVIEDIRQNGDKALRSY SEKFDKWSPDSFKLSKEQIDEIVSTVPAQVIEDIKTVQQNVRTFAEEQRKSIRDFEYQ IQPGVFLGQKNIPISAVGAYIPGGRYPLLASAHMTIVTAKAAGVSRVIACTPPIQGKI PASTVAAIHLAGADEIYILGGVQAIAAMALGTESINKTDFIAGPGNPFVAEAKRQLFG EIGIDLPAGPTEVLIVADAKADPFVVATDLLSQAEHGPDTPAILITDSRDVAEKTIKE VERLLPILPTRALAAVSWERFGEVQLVEDLNEAYKLADEYASEHVQILTENPREALVK MKNYGALFLGEKTCVSYGDKCIGTNHVLPTKSAARFTGGLWVGKYLKTVTYQEVTDAK ASGELGRLCGRAARAEGFEGHARSGDVRAHNYLSDSFEWLAEPSGV ANIA_02722 MTYKDSFGHPITSRKASLIVVYAYARRILAPVELWIELHTSPQT TRSPVPVCHLAYPPQRPPPARAVTAATKSHPVVSSSARAVSPLLLTSLAKRPKPLPDH LLASDIRSVKILDNKNLNIFDHKIRATVDRDRTAAHSITS ANIA_02721 MSSSSLKVALVTASSAGLGAAIAKVLAANMRVIINYSSDAQRAE LVQEEMSSIAGSESVLNDQGEKQPRFAAIRADLANRADIKKLVAETVSMMGRLDVVVS NGGWTRIRKFDDLDQNVDEEDWDRCYEINVKSHLYLLHEARVHLDAAQGSFVTVASVA GVKPSGSSIPYSVTKAAQIHMVKCLAHAVGPNIRVNSVSPGILLTDWGRQFPEEHLQA AKDGTLLKRFATVEDVAQAVKLLALNSSLTGHNLIIDSGFSI ANIA_02720 MPNTKTVRVAHLGGIDAAYQMPYPYDSTKPTVVLVNSFTTSSEL YRAQYNDKKLTESVNLLSIELLGHGQTRTARQHWAYWDTAEMNFQVLDALGIDKAFVL GTSQGGWITVMMALMRPEKILGIIPLGTSLDSESERTRLLGCWDGPKLLKPFIEQWSS REPTPDFTPDNDYCDVLINIGFNDCSTEVRDFWRSTIKQNYQGDDGRRRIRMAAINLA ERNGLHLRLQDVQCPVLWLHGTADVVYTVANAKEEIGLLTNSPDAQLVLVEGGAHFLS CTNPDAVNKALLDFVNKHK ANIA_02719 MPSTVNLLLSALPALPMALAACPGPDVNTATTDLMKAFESWEPD VYDDGYGNPTIGYGHLCSDWSCSDVAYDIPLSEEDGVKLFAEDIAVYQDGVVSALDSS VTLNDNQYGALVSWCYNVGAGAVAESTLAARLNAGEDPNTVAEEELIKWVYANGEVSE GLKRRRNAEIELFQTSSDGEALPVSC ANIA_02718 MYECETCTAFFYDPYERDDHMDEYGHWPECEICPRTFRTQRACN QHMNNAGHWALRYECETCTKSFRSLNAAHQHMDSKGHWARRWPCETCKNTFYTEEAAE QHMQSLGHYRYYCKTCDRRFQNENNLQMHLNSQIHRGRNVQCPFCKVTYTTASGLGHH LETGACPRAPTLNRESIYRLVRERDPHGVITYKQIGWRNEENSTYSATVQAWNGFGYE CYLCHRQFIKLSTLNQHLNSPAHKQKVYHCPNGRCAKSFATLAGLFNHLESESCGHMR FENVQKQVGEGLKGVTRSQHRPNSDPTETCQEAGDYRGIIPDFARFFIDTQTPNQTPN QTPNQEHELQHVHKTEIQSRYSSAFPGINVLLLNRAMGANDGVVRHPAPGGFVVKPGF ACNSRSQDAFSSGYGSDKPI ANIA_02717 MEVFVHNLPGNFTKDSLKHQLEPFMRSLAITDYDCEKPRKKRFG HILFLNQSDGKRFLAHHGEERRAGRRRPLSKLNLLGSQVLCKPSHRKPDEFDLRALEN EVQERTNPSRIIEEEGGSVSFILSTASCGYTVFVDNEFSYVPEVELQQYDSIIFRKRN ITVHLGNTPRIKIPLNTIYELVWSKHGSLLLTLVTVPLFFQCDGHSRIRLTSPGGPQA AVVGQCLVYQFQVVSSSSNLRARMGKVAEYDLSVINYEFKTVHNFDSWQSQLTLLRDR LGEYTRAGTLPFGILFQLQALVYNGYLPPNIVLRLTRKLASITKTRKVQGRRPISVNS MKRLFNLIDWPSPFGDPEEFSIKRLLEVITGNDKDLGEGASEAEALFQPAPHLAHIHR VVVTPSRITLHGPETEPLNRILRRFPNHHEYFIRVQFCDENGEDIYFNPRINNSLIFA RFKNVFANGFQIAGRTYTFLGFSHSSLRSRSAWFSAPFIDDDGSLQSYFTIIKAIGRF SEITSPARCAARIGQAFSETPFAVNLKENGIRVLRIPDVQVGGRVFSDGVGTISAKAV DIIHGVLPPRNGAPTCFQIRLGGAKGMLSLDPRLRGSKICIRPSMTKFQTDDQVNVEI CGSGSKPIPLFLNRQVIKILEDMGVDREWFMTLQELRIEQLRKATATTKNTATFVKGQ SVADCVKLYRLFLLFHNLKLDYKKIPFLRAVVEAMVLRELRLLKHKARIPVHKGITLY GVMDETGFLNENEVFVTYQAMDRLAAPPQNCRLLVTRSPALHNGDIQYPYNVVPPKGH PLQQQRNCIVFSQKGTRDLPSQLSGGDLDGDLYNIIWDPAAAPKRVFTPANYPRNPPL DIKRVVEREDMANFFVEFMQSDRLGVIATKHMILADQMELGTSHSDCKKLAQLHSTAV DFSKTGIPVRLKEMPFVNRSRPDFLAPGPLAKIHDRQNIQLEARYVHPYPDDDIDFSP VHKYYRSEKILGKLYRAINEHEIWTKDIHTTVNSNPTAVWKRIIDSLTGRCIALGAPV NWKAHVETARQLRSLYYILPTYEDSMSNAMANFSKHPIYPLTELEVFIGQVLNNSGAQ TNRQRERSIKLNDEFERIASFILSQMRPSGLITGYVVSACALLMEVEELEKSRRLYGE NRRPLLDAFTSSRKEKNDYEPKGLDRATQKTTFEYGERWTAADVEAMLRQLELNTIV ANIA_02716 MPSVYTHITLDITDQIGVIKLNRPSVLNSWNEAMLGEMISAFRE LDQHERTVFTVLTGEGRFFSAGADIRQDIPKAPENATAAEKKLFYMRKFSRDHTKILV LALNGPGVGGGAAWFTGLADIILAVSGAYLQVPFNSLGLVPEFGAAQTFAQSIGVRRA NDLLIFGRKCSVEELENWGLINRVFPAQGFVDQVLAYLQGQLEANDGGSMLETKRLTN GPLRAERMLGMIDAANALAERFVEGVPFERFARRNEELKMARKARSGKEKASL ANIA_02715 MVSFDQVKQTNSSLKSYGAGLVGVFVGGTSGIGEATARSFVRNA TAPQVYLIGRNESQASKIIQELNALNPESKNTFLKCDVSLLKKVDEVCKEIQEKEEKV NVLVLTTGMMTYKGRDETNEGLDKKLSLHYYTRMRFIANLLPQLNAAANSPPSTSTGA AEEFNPHGLASVVSVLEAGGEGQLIKDDLSLKSNYSLANARTHAITMTSLSVTELAQS NPSISFTHSFPGVVKTGVIRELGLLGRTIARAGWALARPWMVPIEESGERHLFAAVDQ RGEAGQPHLVGSDSEPRGNWNLLEEFKAKKVGEDVWRHTLNVFEEVCG ANIA_02714 MRRPFTMTMEEESVTLLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALVRVNTIKQGRRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAIN VELLKAMVGIRQEDSYDNYCNQLREINHNLQRVARLTRKGSHAAVPMHVARTRPAGGS DRTGTPDQMDWEATHAQIAALQKEVAALRMKGTRTPRKASQAPAEEKQKRLSEGKCLR CGDPDHFVQLDIGAHTEKGAYFYVIPDNLGYDLILGLPWLEQHDGRLEAKRGRLYLRT TGVRLWSTTKRPLPKLDIAQISAATMGGFIQRKRCHGQDIEIFAVSLADIQKALAPKR HIDPRTKLPRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVREESGKDPEVPWGPLY NMTQEELIVLRKTLSELLQKGFIRVSHSPAAAPVLFVRKPGGGLRFCVDYRALNAITK KDRYPLPLIHETLNQIGQARWFTKLDVSAAFHKIRIAKGQEWMTAFRTRYGLFEWLVT PFGLANAPSTFQKYINWTLREYLDEFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEE AGLYLDIKKCEFECKETKYLGFIIQAGKGIKMDPEKVKAIKEWETPTTIKGVRGFLGF ANFYRRFIPNFSGIVRPLNNLTKKGTPFLWTKECQDSFDLLKEKFITGPVLATFNPSY RNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGEFQVITDHKNLEYFFSPRKLTERH VRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDMPDDKDDRVKSRTMQLFSKKHLGK MVVATLQPTGEPPREPCEKGDMWKEALKQG ANIA_02713 MVITDRLTKGVILEGMSEIDSESVAWALVRVLISKHGIPKAITS DRGSQFTRINRRLSTAHHPQTDGSTERMNSTVETYLRIYTCYDQRDWNRLLPLAELAI NGRTSTATGVSPFYLSHGYNLSPFSPTEEVEQLAEEPAKSPIQKGEAIVRKVKEALDW AQASMAYSQQNAENQANKHRSPATNYQVGDKVWLSLKNICTDRPSKKLDWKNAKYEVI GLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDTQPPGIIVNGEKEYMVEK ILDERPRRYGRGHRLEYLVKWSGYARPTWEAATALEEAQALDEWLDRTKQYRLQDGSL NRDAYIKAKAT ANIA_02712 MQAKQTAQRRLKQSNKTDHRIFLRLPASSSLRAIGPHGIRVTLA GKVPDGITQGKAFLLSEKAASLAGDGYFEIPTEYHQVIVSRIPKQLWSLDGWIDTTIT DISMEAERITGIKPLMAKLSKHPPFQKSYNTPCNSLACLAYQGPLAPSKGLCNAPDAT ASTIQGPAALVTDASPADPQNKIITAMYSVSTAAAHMQQTSQNAQPDPMSRGTLLPAS RKDALAAIRKAGRLAFQQEQKKAESSKQQADTHSLPEPISPDITTIYTAGLTIINVYR PPNNPVAPAGAGSTPSTLSTLLGYTPPENTILAGDFNTRHPFWQPDTESHTVTPGATG LLDWLDAHELELRLEPGTPTRGPNTLDLVFSNIPLRALVEDHLKTPSDHATIGIILEQ DEPSPIHKLGSTNWEKARTLASPPDPTLPINLLAEQLVQISQLAIQGASRYNTCRLPR TPW ANIA_02711 MLPKPGKRDYTQLNTWRPISLLSTLGKGLERLLAQQMAVRAIQA DLLAPCHFGALPGWSAIDLVQVLVHRVEEAFQQGKDASLLLLDMKGAFDAVIHQRLLS HLRLQGWHKGLLQLLEDWLTGRSVSVHIKESTATAPIKGGLPQGSPLSPILFLLYAAR IVSTLESSFCYADDIGVLLDTKLTFKAHINWVFSRGKQLAQHLKRLSNTQRGCPVASM RAAVIQYVLPTALYGAEVFYTGKRQQWVVNSLLSLFRTAALAIIPAYKTTPTAALLRE ADLPDPEALFNSILQRAVVRYMSLDIKHPIAQIAAEATTSRPKTRLKRILQLLLSPLP ERTIIGLPLPPLRMLPTDNKDYSPAPLQISVYSDGSRTGQGAGYGYAIYFGPILVTKG HGPAGPRTEVYDAEIMGAVEGLRAALGQPCVGYSTQLVILLDNLAAASLLASYRPTPH RHGLSESFGQLATQWLEAPSILTRPRKPLQVRWIPGHSGIAGNELADKLAKLGSSIYS PNIPPSPAYLRREAKQWLRTEAYTAYASKAPQAYKTLDIRPHTKESRTREHKLPRWVL GRLVAARTGHGDFTAYHQRFNHSDYLESCSCGKTKTPVHFFFCPYTRKRWKDRWRCIR DGPSKTIDWLLSTAAGAEEFSRIVQESSFFKDICPNWARRSA ANIA_02710 MKGLQIFVSSVLTLGALAAPTTDMTKRADRGSYTVSGLGQRKQA ILNAGGNTLDLAIAMLETEGMTTDYTYGDGKTYDAANFGLFKQNWGMLRVCATRYGLA GQSEADWNNGAILNSNVYADVASRWDCQGYYGVDLWFAGHRNGASGLSNPNTDDINNY KSAVYWIQQQIDSNSVYKTDDTRFWVDVQAI ANIA_02709 MKYSLTLLPTIASLALATPVARQSGSNPSVDGLNFVIDGQTGYF AGTNAYWLPFLTDDADVNLAMSHLAESGLKLLRVWGFNDVNTVPADGTVYFQLHADGV STINTGGYGLQRLDAVVTAAENEGIKLIIPLVNNWDDYGGMNAYVTAYGGTKTSAFIK AIDSNHLVAIGDEGMGLDGGSEYPYTTTEGNDFALNLAIPDIDFGTLHLYTTDWGVSD NSWGNQWVQDHAAICDTLDKPCLFEEYGIKNNHCTNDLDWQDTSLAATGMAGDLFWQF GDDLSGGQTADDQYTVYYGTDDWTCLVTDHVAEINGA ANIA_02708 MAKYTPRLVPTITNDFITYLSFSWLWVLILLAETAPLSLARVFS IPGFVALAFMGVASNARTRAHRGSGDGLACLVFLFVLHFINLLCITRVDCRIKRGSTL KENRLSRYRQAAFYMSCLRGTQTKHQIARIPPAPACLRKSTRWGFIGYQMCVLLWQLL VISLVSDIMSCIPQSIRDQYFGQGAELHVFTLDRANWFARLIVTLVPTFLYAYLCIDI AYHFLSIFCVLLGLTSAEAWPPLFGSIHDAYTVRGFWGKTWHQNVRWPLTSCSTFITL RVFCLRRPSILERYVHLGLTFTLSGLIHAIGAFIESDNQEALSTLFFFASNAAIIIIE DALQHLCTKLGPDSRRKYTTPYTTQPPSVFKLILQYGWVLSWLTLNCKLHSEHRYLVL L ANIA_02707 MNSPTSTINFPLLPEREPPYSAFSEPRKRFYLAIVTAAGFFGPL CGAVYLPSLNLYEDVFHAPGTVINATVSVYMAVFAVAPLLGAALSDLGGRKTIYIVTL ASFLIANILLSTLPPNIGALFILRIFQAFGACIVTSIGAGTISDIFEPARRASVLAIF LLGPQLGPILGPLIGGQFATEDKWRWAFGFLALACLPVYLAILFCLPETLRCLVGNGE VFRTRPLFTLPRLRQKPLVDQGKFPKPPKPSLRNWINLLIQPTQCIVFVNGALSFAGL YLMYVSFPDVWGEKYGFSTAEVGYAYLSPGIALFIASLLTGRFSDWHRARLVKATPDI KIKAETRLPIQIMGFVISAAGKVMFGWFTQHKLHPVAGLVASALAGIGTAIIFVTSTS FQTECAPAQSATIVALAGLLRNIAAAIAAVIVDGVVKKMGYGWCFTGLGALDGICIGG IVYIIWKGWKRDEKREGRGWLRINSFKV ANIA_02706 MLVTLTVLFLGLVGILASFLTYLFTPPQFFPKGLPTIPFYYTLI PLLNQTLKRAPTDQVTLYHRYLSTPLRTHGAVKLFFGGRWNILITKPSYIAEVLKNED LYAKSGNQKKIPHSVLAQYTGDNIISSHGENWKLYSSIFKPGLQRDYDPSGIWRNASL LVQMISQDIKRKSPVDINPLMQRYALANLSEVLLGTTFDTLQKPAASLHAFQLLIKPK IFDPIFLNFPVLDYLPLQTREEARKLVTRFTDELIETVRKGHTTCDHEKEHTRNLGCR LLYACESGLFTEMQLRHNMISAFLAGHENPQLLLVSSLFLLAEHPEMQESLRAEISAL NDLEPAYNALSALPLLTSTIYEVLRLYPPISQLINRRTTAPTLLGGEIPIPAGTYVGY NAYATNRDIGFWGPDANEFKPSRWGNTMEEINALFRRANAKGAFISFHGGRRTCLGQR FALLEGRVTLAKLLMCVRWEIDPSWQRGMTPAGPLYARNLQLRFSNISGAGKAGAS ANIA_02705 MSINVGTSVYHHVAAIYQRDSTGNLLGADGRYANQIRSLWGEIH QTILDQDPCEVSRLQSGRTIRTTQITLPLTSSEGQTVLIHELQEIDASTIRGLLTFTP SSSLSSNFFAWARTYLLLNSRLLTTSPSNAGPKNPAHLRLAEEITTLFETTLKNTSHD DRWDLTGREYFIDRVYLFIKDNKKIEFCLPAFPCKSSNPDKVAGVVPDAAEYLALEHL NDFVQKVGSIYEPGATLWVISDGHVFSDCIGVDDALVDSYGAILASQYKATTKNQPSN SNIQFTGLEDLFFSSPESTSSFSTNMLDSISIPQPIETVLTESAQKCRLLLDTVGGID RKHLRGLIDRKHSETLALYQGQSRFMLEDLGAYLSERNIGSKARKRIASKVAEEMIAR NHAYSNLVELLFPHHVRLSIHAHTNAGPKFGIRLFPRGAVRAAPTAQAILSISQSKSE SESGAITNPLYEFQIPTPWHNCLVRVEGLEGMLLTRSGIIRDAISKGLFEGGWCQREG GPGGYFVIRKVKQEAAPATAMEEVHLSTTQGDTRTQTETKIEPRNMEPMYLLADKSPL RMLGVFVWDQLIGFWTTIRSVVGTSRCCCRTETTFFQDDSPNKGSKGTNRSTNEKQAK KDITPVYVIADLSPRFTKTSSSTQTLTTDNAHAQVSQTTE ANIA_02704 MRLFHLLVTTGISASLTCAANPKSSWSDYVIIGAGPAGYVLAAR LSEDPRATVTLLEAGPDGGNDPNIYTPGFAGRLQNTQYSWNYTSQPDPRRGNIPVRFP QGHALGGGTSINFMSYSRGAASVYDQWAEESGIDGLRFDKIIQQFRLSSSLTIPSDIE YEIAANSTVYENGPLKVSYERRNTGTEPFWADALAATVASSAPLIDPTDGRSIGKTIG GPHTINIRTGRRSSAQEAYGPILATRSNVKILTGSEVTKIHIQNRRAVAVNYVSSENR SNHTIWAQREIIVSAGAIGSPKLLMLSGLGPREHLEQLGIAVVRDIPEVGNNLHDHHN AVVMAQIPENITTSFTLRANSTLLAEAEAEYNANGTGYLSQTQTSSWVTERPSDTFLD SINATFHKKLPKDRPILFYQYTTSAMAPNPQNKNVISGYVSLIQPEGHGYIRLASADH RDAPLIFANYWNTDADLALELYGYKQLRRAMASDILSPIVQGELFPGPEVQSDEDLTQ AMFASAWPFHHPSGTCSLGKVIDSHFQIPGLIGLRVVDSSVLPSQPTSHMSGPLVNMA LSPPLGGEPLSLKARRLSKNSDYVKGVMTIEEYLDRVDPYTSSTLRWPLLFDTKPASP EVTQKLELQREEILNILDAHSFPPRATSALLSKPSRKAVMPRRNQKHSSP ANIA_02703 MASTGLENDPLAQKIDALGSNPRPLGLPPVLAPGVKLDPKSICH PGLETLYIGFFGTRNYHRAVVRRLAHKLEIGSAIVNRPLTQDELDFYVETISQATSNN RWGLITGVEFGMLTGLVLGQRKKEFQQYAPPLDANRPAIFTRYVETLKAMRVADPAVF QRTIISLCKTTFVGGLCGWFVGSAYAMSRSAAAASTDPRIKQHREEFMKVDQRVAERR RRAAMVARVQGAQGKLEDDLYNQEGLYQGGFEESSSTTASQPADTSASPTIQSQTYPS NTPAESQSTPAWPTPHPDTYSSSVPTSGQNNDSTFFDDDASPIAPDYRDTNTAPQGSA WERIRQQNQNPSYNPSVTQPQYQRAPPAAEATGNDSYRERERARAEFDRMLEAERNQH SDSDGGSRGASGWWK ANIA_02702 MLLFKNDKCEGEPAGMATESFAKEASVDLKGFQVVEYGSSETGS GNATTTKTTTDGDADGTVTLPTVSSTSTSTSTDDEDGDDNEDEDGTVTLPTVSATTTA TTTDESSTAEPTTTTAMESGSDSETSSTEPTSTESAGAEEPTPTGASSRLAPISLAGL AAVVLGAVAGGF ANIA_02701 MVEVAWNRNPMPDTWSSKIVSVPPPMPSRTQGAVARFEACASTA SLFLYAQGSAILCLHHDTLALERRFENHKDDIIFISVDNVSERGAGRLVISYDASKTA IIWDLFTGSVIARFASFEQLKAASWMRNGNVAFGNEKGDVILFEPSTSEHVSCRTIFD PITALAPASDCRTYAIGYQNGSILIATLLPTFTILHTMTTSRGPSPIVSLAWHASSSK QKSDMLATMSAIGDLRVWSIAKPPGKDVPRVIRVLKRSDTSSPSEPKWMAWSKNGKII QYLDGETWAWDVKTKHITYEPVPTIDNPLGIANYGPTATLFTLGPQFTVQQYDLENPA MVANVQHPPTNSMTAALDQMRARTKSPRTLQDAPEMRESGSYSRRRAPFDSSGIEAVK QQRAEMSSPESSRGRAASVSSKASSDRYKPPYSPPTRSAHTATSFSLTSAGGGRETPQ PSFAYASSVSMSSVKSSRPGSRLRNEVQFSPADKNVDLFPFTRARLNDVPYRSQQPLD EARLTPDDLRRQMLSMVFGWDGDIEGLIKDELYRHPPGSPAAILLAQWIDESDTDHMV SMISAGPTSLGDWMLLALSQMNGQSQANKVGQAFVQKLLELGDVHTAATILIGLGDRD DAIEVYVSQNYYMEAILMTCLVMPTDWQRQSYLVRRWGEHVVAHSQQQLAIRCFMCTG VEPSDPWASPAVHQAHFKDMIPGRSPVGSPEPAYQNPASLLHPTTTAGNRQSLKTPSL KLITSFDGQPNQRFRFPGLKSDDRTPTNAPGITPIADSAAGSSALSPGGFGSYKLNNI QSLNNAMNSRTGTPGFSKQRLPSIGETPVDVHPPTFLFKENKKLVDYGSTSENDDTSQ PQEPEDDLGLLPSARYDPEEGFKPSPQTAVQATADKFATIKGLPSPTPGIFEALRERS ESHLSTRDRKPDNLHLNFLPGESSHDEVQSGSLAASFRSPPSTANSFSTTKSPSVSGR SIDQYINSLDEASYHSRKTSGHRRGRRNTDDSTMLSTRKTKSRAASNDTTRGRNEKRY VQPAKRSPSSPVSMSPEELAQYAVKQTSKARSTSRVRKPRSRNSSERRQNRSTSRHKA SRADTTEQSTRGRSADRQGLRNTSPPSPEPMTNPEDALKFVAGDRDRRTRHRSRSRRP SASRRELSADGRRTRPGSRTRQDADSKTNETNPAVNEPAEKPQAVNEANHNVSPATAE MRRKELAAAELEARRLSLARNPSAPNIPFPGEIQYTRGVLESPPFSVQSFTPRTPGRT VLPPKTSPDYPSSSESNSSRSGGPVGLPATPRAMRHPKYSGPSESEPMPPPPPPPPSA PPQTDTAPLLLSDARYQGEAERIPRSMSVPVPEVQPPSRPLDMPLHPHYNPNLPRSRS SDRNRSIHHRRQSSRELSAAGGSHDYGSSPVTVNIEEALEKSLPPILPELQHLNNNTP PPPPPAPVSMASLSGTGSQRESSGTIDIVIDNENLGRLLPRAMTAGPALNSNTANTET RPSIGRRMSLEHRRNRSVNESFSSKIRNLTRRSSRGSDAWGSPTGPGPSSSYGNGYGL DNRVSHEGVPAPDADGRI ANIA_02700 MALSEYRFRALLPDKSAEERRFFNYFYSFTIPMMSGWLDHRMWN CLALQMCQSEPAVCHAVIALGALQEVSETAAVPVIAEDMSNRTQRFALVQYTRSIGYL VSRMRSGSNDPHVKSTVLLCCLLFIAFELIRGNFGRAVTHLQNGLRVLGTRKLNYHTL YQTHPAFEQDIDRSLAAAMVHLDLQSAHFGLSETHTPLDLATLAVDQVTRPDPTIMEF NCIQDACFARDRTLHQIVTFVNFCETLSAAELAANYAVLLKEQQKQQVQLASFAQGLR HFEQKMLDLTALRSKDLQSLDLLRMHHTGVSLIIDVCLIKDPGVIRDMYSERFSEVVD LAEKITAGVQDRAREAGPRPTLMMETAVIGPLYYIIQKCGNKEIAKRALRALEEWPHR EGMWDSVQAAEMAREAMEVYEGNGS ANIA_02699 MAQDKLKNIPETQVSRPSSPSNPPSSTQGVHSRRIPIFFRSTLF QILTVGLCAFCAPGIWSAMNGLGVGGSQSPNLVNAANALLYAFMTVTCFLGPFLTNLV GFRYTLSLGSIGYPLYAAGLYLNNRTGATWLVYLGSVTCGISAGFFWSVEGAIATGYP EDRKRGRYIATWFTFRNFGNIIGGAISLGINVNLNQQGQVGYQTYLGFIAIQCLGCLI GLLLSNPEKVVRDDGTEIAASTDTIHWKTEAKAMWKRGKSKPILLLTPLFWYFGWVQA YPGTYLATYFTIRSRALGSFLSAIVGTIATWLGGSLVDIPWTLNRKHRALATWTLIAA LNSTTWIWAVIIQNEYRHTNPVLDWDDQRTFGRGFGIYLFERLSVGIVENYIYWCIGN LSDSPGEQIRYSSLLRGIETAGVAVGFGVQAVPTTLIVTAGINFGLWFFALPISFWAT LMVVRKFEEAAWNSAVR ANIA_02698 MTATVEQLDLLSLNLEDTKETSVLTFEPFSLPSTNQRILGPPHP PNTPIPLALQPSGSIKPTLNLAIATVKSLQRTKILTSLLAQHGTLLFRGLPIHSASDF SAFAHAFGYSPHEIIGIVVDRPLLAPNVAPANEAPKEVRIYNHNESPQVPHAPEYVFF YANRAPKKGGETPISSSLELFHRARAEIPEFIDLLVEKGVKSSVTYTVERQYVGGSTL RQAFGKEFVDEDDEQAKRRKVEAQIARYGRGKYTTFEWSDGADGQGQVLTLTHHLPVI RTQPGTNLPTLFTGLAAYYKNSLEAKKGSGAGRKNVAVQTFGDGTPIPEEYLATLARI TDEIRVLHRWQDGDVLVFDNVIAQHGREPWEGEQGDRVVLASLFDGETVPGPYGFGEW ARVVQALDG ANIA_02697 MRQQSNALLALASTASAASLSDVCTVSHVKNILPSDGTLLGINL IPSAVTASVYNASSSSSSSPSGAMGGAMGMGGGGSTSYSYCNITVSYTHPGKDDTVIV KYAFPSPTEFKNRFYVAGGGGYTLNSDATGGLEYGAVSGATDAGYDAFNNDYDSIVLK GNGSVDWDATHMFGYQALGEMTLLGKELTRGFYGIGSDEKVYTYYEGCSDGGREGMSQ VQRWGEEYDGVITGAPAFRYAQQQVHHIFSSVVEHTLDYAPPPCELAKIVNATIAACD PLDGRTDGVVARTDLCMLNFNLTSIIGTPYYCAAQTSTSLGFGFSKRGHHGRNRKRDD HSSTSYQPAQNGTVSAKGVAVAQAIYDGLHTTQGERAYLSWQIASELSDATSTYNSTS GKWELSITSMGGEYVRKFVQLLDLDNLSTLGRRYLRHRHLTRFKEAGGKLLHYHGESD PSIPAASSVHYWQSVKSIMYPDVEDETALLDMSDWYQFYLVPGAAHCGTNTLQPGPYP QNNMEIMIDWVENGVKPSRLNATVSSGDYEGEIQMLCQWPKRPLWKNGSDSFSCVDDK ESIESWTYDFPAFKIPVY ANIA_02696 MNSILPWSLVLLALGFAECVLSNPRQNASIAAIGTVNLQTGVDY QILFPNLADYTTQTIQMSGQALARHYPGSNNIDGGVLLALNSMKDYKVDNEAITLCHV ATRPLLNPQGRRQQLRRSDKIPTTDILDAHISTTIQQFNETGIYDFVRASCNLVLADD DASTAAGVILTITYNVTTSRASAVILGVQEGIISPPPRFANFIAIPGTSKAHNVTTSK QWYRVRPSLHVRDLESSGGADRRHPGPVPNLRVNLSPASAASVAKTNQIGNTWGVFEE PLIWWQVTTGWDRAEDSLRVEAWVRHLVEHLHANNKRNNLAREFIYMGDAGEWQDPFV GFPAENVQRMRDIRQIYDPSGTFSRLNWGGFKLGY ANIA_02695 MALVLNCNGEHVCQPSTSRTALSSKVTQRVSAMQAQESEASVRQ VQKIWGRLFFCSSKSHRDRRSISLIQKIHLLRSEYNFLLKLQDKPTEVAALDSSRSST CATVCRIRACYRGPGAAPSLHDLNRLHILSPSSTANPVARRGAPDRIHGVLHPACDPG YLCSTSRCSPFTRRELYIAQASEHHGAALRLATPAIPNINHENAPPLFLFSALSSFIC CAKPLKLGNFLLWEDHEIASWLRVPHDFLLLLKGHQPHALVIVGYFCVLLHQLEWMWW MKNWGTHILSQIYHLLPGPIYKAWLQWPMEQIGFVPFEEKL ANIA_02694 MATRPFAVIAGVGPGTGASIARKFAQAYSVVVLARNPSNYHGVV DEINSSGGQALGISADVSDSASVQSAFEKISQQYKDTPLAAAVFNSGGGFVRKPFLEL TEEEFAGGFKSQGIGAFNFAKATLPLLQKATGLQHPPTLIFTGATASVKGSANFSAFA TGKFALRALAQSLAREFGPKGVHVSHVIIDGVIDIPRTKGWANEHEDGKLDPGAIADA YWHLHTQPRTTFGFELDLRPYVEKW ANIA_02693 MSEEDSLLTNLCAICHIQPPKYRCPRCSTRTCSLPCTRRHKLWS QCSGVRDPAAYLRRNELATESAFDRDFNFITSIERRLERASRDAENRGVVVDGRQGVR EPGIVGLDEDELGQADAMDGRKRKRAGPGAGNGIGHGFERGLAKGEAGFLKRAAEAGV RVIKAPKGMSRAKMNGSRWHTKQKCLQWTIEWVTDNGTKRMNCAETATVAEAYDRAFP LTREERQVRTEESTFKSEPKLASAQEPAKESTQETKNVEPDKNAEPSQSQSQTHQPPK SDMSFSESMPETAQPHVLSSNNHPTPAQDDTTQPTSELPSPSTEPPLPYRSVFFYIHR PRTTTKRPVLAPLSPGMTLTSALQDRVVLEFPTIYVLQNPLMPVPDTSCVTESGPGPL GKSNLKQRFILEAEYLRAHPDEAAAGTASAVTAANDGEQLEDTEALFGVGAVNIPNVD EGKILEVLEKDLLGSS ANIA_02692 MTATLERSLSRTSSVSMPLSPMISFRHEATTPISESSLSHIHER LSAIESRLLELRSTALTKDGYVDRRNREDEHIRREFEAHRSISNRIDLNVVALRADVG QLKSGILQLKSSLGQAGNETVFLRSDVDRLSKNVDQIQVDLEHMQTDVCGCRVEISKL QSAISQLRTELITLQHETSRHLNSFLERFSLIEARMKHSERVRFNSLAHTTHAPITPV PIVEEDGSLRWPDYFPRTVWRFWCLKKRSRHNRLAQLAEFYQLGGYEYWGRMHQTDGM FSDSDSSDSSDCPSNLTRAEAVRMFPEAAHQALAATLGLVYYKIRNEVGDHPLSPIQR PPKRHQEEVASVSSSSKQKPVKMARRPTNLSPTALHKLITGGPSLESKSLTSEESAKL GWNANATEISDDTMSKLRGIVSEEVGTILRALERGRLKIVPSRSERMEMSPTGSRSSS RNDKAPAVKDVEPTVPDTVPTEIISLSLRKDVQKAEVGIEPTIPDTASETTSVST ANIA_10334 MENRVAPYGSFMSLQHLQAEDERGVTAPAAPAGGGWPTEEDIFF DWELYGIQDPSCNPEFLPYEQASRSTGTLDFTATQFEPQRASLSGGDSASAIASNPEP EGWGCLQVGPGTTSTPIVPSGFNNVAEWLDGAYRPPTPCDHCRRHRLQCLVLRRTSHN PNPVPSCSSCVGLFRPCSFGRGEKRQPSGFETLSPVLGHLHGVIEEGNENECGERKLD SKESKQFVRKGARVLRDWFYQNEHCPYPSEEEKARLAAETGFSRQRISTWFANARRRH KQQKQARTSTRVFRAGSPMPTSELASMTPMERWQASPPDEEPVSEAMIREAIATSSGS DVSGCRSRFESPLTDFSSGLEGSSLASSVSSFGVLASDASDSSSSAWSYKSGDGPLRP RPYRRPSSGRRGGRKRVTEDGHYQCTFCMQSFKKKHDWSRHEKSVHLPLDVWICTPNL SELEDTNIPFGKCRFCDHQSSSPEHWESHDFRECASKPLPERSFSRKDYLWQHLRKFH GCTRYPVPNLEAWRSAQTDIQSRCGFCAASLPSWSARADHLAIHFKDGCRMSQWAGDW GFEFSVLANLRNAVLPMERSLLSMAST ANIA_10333 MSSRRPIFFNPLASSQRSEFPQIDGLSKFHKSLAGYSPTPLTSL PDLAAELGVRAVLVKDESNRLGLPSFKVLGASWGCYRAVAAYLNLPLTVSLEEMAARA QEKSVTLLAATKGNHGRAVAFMARTLGIESRIFVSETMDGPTKDRIRSEGAKVTTIKG DYDAAVLEALKVSTEMDGALLIQDTALEGYEEVPSWIVEGYSSMMLEIESQLAEIGLR ATVMVTPVGVGSLANTVSTFCKSRENPISVIVVEPDTAACLHSNLLAGKLRRIETSFT IMDGMNCGTVSTTAWSNLQRLVDASVTVSCYESHQAVGYLASRSIKAGPCGAASLAAL RRVKECSESLLTEDSVVVLLSTEGPRDYPIPLDVSSDNAVEIASTLTRINSSNPTLSL ADGVGETQICDYLQAWFAHRGIESHRVEPVPGRPSVVGIHRGSGGGKALMFNGHIDTV SLASYETDALSGAIGTRGGKQVVLGRGSLDMKGGLASALAALSAIKASCEPLRGDVII AAVSDEEDASQGTRDILAAGWRADAAVVPEPTNEIIAHAHKGFVWVEVDILGVAAHGS NHIDGVDAILHAGWFLQALEKHASRLPIDDILGPATLHCGLIQGGEEASSYPAKCTIT IEFRTIPGQTPQSIISDIQRLLLEIQSSKRNFKFAEPRATLARPAHKIDVNHPLIESV ADCANMVTGIRPGIQSVPFWCDAALLSEAGIPSVIFGPAGHGLHGKEEWVEVDSLLRL RGAFEKLIRDFCA ANIA_02690 MRLRPSLHPLPVLSLVASTVSIVLPPANTTLTTTAANVPNLAPR IPSHYPCDCYIVSGDEPGYFTDYQFWDFRDVPLPQSLISDGYGPSTVSHWEAETVPLS QTPFSKDWQTQSWSRQETTDSTVPMVNDDANAFFAKHPNLPAASQLVLRTTRLEDYSS SAEVESQHGNYFHVSIRVRMRLMSGEAISRRPWDETPDVNEVPKGACAGIFTYRSATC ESDVEFLTSDPPNTIHYANQPDYDAENDIIIPGASEVVTTVPVPWSEWVTHRMDWFAN ETVWYADDELQAVVSKSVPDRPSILALNLWSDGGLWTGDMQVDDSVYMGIEWIEIAYN TSAAGDAPIETGQRHRVRPSERTKRSSHRKRQTSGDDAGESDGVITQLSRAQKSIYKY FVRLLSLEFDVEQR ANIA_02689 MDFVTNGQPSPKDTVMNDASPYDALHHQRTNRQFTSEDLADPNY VPNPVPFGAKKITPDETHRPFYHIHSRKPSQRPDMVLKHQKTAYSTTRRRYDSLSPPQ FQFTRGRTGSQHEQAPLVLPRVNEIQPYRLTATTASRLNATTYSSSLMNPMRSSGHDS ILGAGLRGRDRPLSLFGSEASRQAAMIRPRKRDREGNILDTTGSIFVRNNNANDGRNN DQQHIASADADSPVLKYCRGGTESSFSAAVDNIKKVNGDPVQPLAQRPSFHWQRALPS KTTDPATPGKQTGSSTATGRIPGCWPSASKHGSMPLLPEPQQTAQTQHQTESPVTSQE ICGQVDLPSNANPEPATVNPDQAILDETPSWTQHYSGVYGTLRIAYSFQCGMVQTVAN AFHVALAAASTITHQTQQALGTVTQRVMAMYRQRRFDRARSRARASPAAPARQPPTTI ASPARVNVATLPPGQQERVRINQWRRRRGFPVNEELPFPNMTTPMGALFYDPQIITTS SPSVQRSLDLVVDNASGATLHRHPAQRRTSVNDRDDKNRPQAPKAGILKKNSLVPTMS PATRRRLLPGYITPRDRRLGLQHRVRFRSPIVQPSPLRLRQWANSSAESGPGLDELLR TQLNGADAPSTVASDQRTGPDEQLYAQLAASLEPYVDPWAQPRDFTKGTPRSAVKLVK PKIEPVPDGRSESIYAKEYEEMQKMKKLEYGPVGRQVPEGVAVRPLPDNWKARLKDLK KKAHWVEVATTPSGESLTRDDIDTCLTPMAWLNDEVINSYLGLIVNHMRHENGNAGRH DKPRYHAFNTFFFSNLRDKGYDSVKRWAKRAKIGGKDLLDVDTVFIPVHNKAHWTLIV VKPSARTIEHFDSLGSLSRRHVETVKGWLRGELGDLYDDDEWEVLPSESPQQDNGSDC GVFLLTTAKAVALNIEPLAYGARDTPLLRQKIVAELINGGFEGDFTPDGAL ANIA_02688 MGRPVVEGQPDAGLRSLDHYRNQLPPWRYWPRQKLLPLIRYETP YLAWCQEKIRTPALDSYFAFTANLGTHTFFMVFLPIFFWSGYPSLGRGMVHLLASGVF FSGFIKDLLCLPRPLSPPLQRITMSGSAALEYGFPSTHSTNAVSVAVYALYLLNSPDS TLSDGLNFFLQGITYLYVTSIVLGRLYCGMHGFFDVVVGSALGVLLAVLHCTFETAID HYVQVGSGKQVALVVLVILALVRIHPEPADDCPCFDDSVAFAGVMIGAQVAYWNLARA NATWTNPHPATLPHSRETMKPTLLRILPPVFRGLEKLGLVLPRRYFTNASQYTTVPSQ LKDHEVMPSFSDIPSIVDNIRHPRRRAISIGPQSEADAYETLAYREKRRRDSLSSPYR GSPAIDANNDDASTSGTAYPKLSRKPSKLDEYEHMMGRGTTAIDRSQSPEGTEPFPEF VDHERDEEELFAQIKRPRVRYDVEVVTKLVVYSGIAWIVIDGANVLFEWIGLMSS ANIA_02687 MSGSMYDDQYYATSRRHSLVTPPPSVAPRQNRMRSQSVRVSNGT VSTDNSMSSGRVSEATNITQPPAYSKKFVVVGDGGCGKTCLLISYSQGYFPEKYVPTV FENYITQTLHRRSGKTVELALWDTAGQEEYDRLRPLSYPETDLLFVCFAIDCPASLEN VMDKWYPEVLHFCPTTPIILVGLKSDLRNKRTCIELLKTQGLTPVTPEQGQAVAGRMN ASYVECSSKEMRGVDSVFQLAVDTVVSLEEQNWDTRLPSSSGKPGGKPIGGKKIKKRS CKIL ANIA_02686 MSTPSSKTRAPRTVFEEQREELVREIANGMEEVLANINRLSRNL DSVIAVGNEFGSVEALWSQFETFMGRSQEEVEGNLRQKEEQDEGQSELHQ ANIA_02685 MRDMPSKFVEILDFKDAYPRISDSDVRLEDVLADHEAIVSRPVS STHTSKVSLDRERVDRACPSSPTSPTSPSQRWKRLSQILAVARRPD ANIA_02684 MSSPVASTEVRNRRHGHIHDEPRPEAKPVEKLRYEFGGPIGVSA LMVGFPLVMYYMFIGAKVYDGKLPLPESNQSITDFLVHFVDLAYEHAYPHKKAWTIYW SFLIFEGFAYLYLPGVYRVGKPLPHLNGHQLPYYCSAAWSWFVTIGLALGLHFTGIFR LDALITEFGPIMSVAIASGWMVSVIAYVSALARGAQHRMTGNHIYDFFMGAELNPRLF KWIDMKMFFEVRIPWFILFLLTLATALKQYEQLGFVSGEVCFLLMAHFLYTNACAKGE DLIIPSWDMYYEKWGFMLIFWNLAGVPMSYCHCTLYLAYHDPSTYRWNPVTLIIWAGL YLFFYWIWDTCNSQKNVFRAEERGASVDRKSFPQLPWRSVKNPKTIKTADGGLILCDG WYGIARKMHYTCDWFFAISWGLITGFDSPFPWFYSIFFTIMIIHRARRDIRRCRERYG EAWKEYEKQVPWLFIPVSLPLFLDSVVIARRLGWRAEV ANIA_02683 MSTESMSRDRPDILNTVNLVTQYSGLGLRIILFMGYCAIAIVVG KYGGGYHYTDVSSTDMTLFRKFCYIATVLYCPMALLTKIALLSILVRIFSPYKHRVRF IYGFLAAITIYYVIAEIVKIRMCDPVPGYWTLDPHAKCLDQRAALIADSVISMVSDIL ILVLPLPLTWSLQMSRNKKLRVVGMLSAGGLATAFSIYRLILVLRDGSSPDITIFFVC VILSGNAEGGVGLICACLPSLNILIAKVRNHSYRSKYGYYEQQSGDVQLSKVKGGTKN GASVNLSRRDPEEQDFVSDESGLISHAGAAGDVAVVDRHGDPAGRRSKGGIHKTVDVQ QTVEVLAGRVQAGKKGSTY ANIA_02682 MAHQDSKLFQPLAINNGNITLKHRVVHAPLTRNRGVPESPVSTP EKPNRVWYPGDLVVEYYRQRATDGGLIISEGIPPSLESNGMPGVPGLFTPSQIAGWKR VVDAVHEKGGYIYAQLWHAGRATIPQMTGSPAVSASATVWDDPTECYSHPPVGATERV PYSAHPPIELTVDHIKRTIADYCAAAKAAMEAGFDGVEVHGGNGYLPEQFLSSNINKR TDEYGGSPEKRCRFVLELMDELAKTIGEENLAIRLSPFGLFNQARGEQRMETWTHLCK ELKRTHPTLSYVSFIEPRYEQIFSTAEKDAFLSSWGLSNVDLTSFREIFGDTPFFSAG GWDQTNSWGVLESGRYDALLYGRYFTSNPDLVERLRTGTPFAPYDRSRFYGPFEDNRI CYTDYPPATGHTDIRIEIQQ ANIA_02680 MDGIDEADQMPVEAAPEDSDPLCYICSCGLRRGKEMTTDRKSLV LFHPLPWDENSGIIQSKKGHIDKALCATALRDEASAAGVGHALKQDRLRGLVIHARCW QVLLNHKIWALTNGDIRLIMEALRRKSVRDWQWKTPLRPAGMKLHEKASAVGLKKGDP FYSPRVLCMIRHARRRTRARLLIDRQSNNTYLRLDLLPTEILYLIANRLSSSDVVATQ EAMGLYFGDAYWRSRIPSNFFHEVKGLREQTLDWEYLCLKLELLASHRYWGYAHNELL GRHWVLTQLDEVAKLVVH ANIA_02679 MAVGDAYKARQAQKQAAEAAAKANSSVVKGKGAEIEHVEALASG GSLVKGKLGKRQRLKRHFARFWCCYLLAGTIFLAIFLPVFFLVAIPAIAQRLVEDTSL PVYGAHITNPKPDALTFTLDSGLSVPLGLSVRLDPFKLDLFNRDSDPEITYLTIQVPE YKIKGKTNLTVTSENTPVWDEDEFVKALTKAVYSKRFTLSALGKTTGHLGAIKAGLTL DKDVELDGLDKLSGFSIDEAALLIPAMGDGSNLRGKATLPNHSVVTFALGNVTLNLKS GDIFLGTALLPDVTLLPGDNSVGFTGKADITSALANIGSILSSQADALRNGEVELSAS GNQTIFNGEHIPYFERVLNDLTITARVSILKILLDTVSDFLGEGSEGVIQSLTEILNK IDFAALFEGVDFDSLVGSVGEIINNLNLGQLLDGIDLNEFLQGIDWAKVVHGLGSILE QLDLGAFFEDLDVAGILQSIDWTSLLQGVASFLADIDWSSLATTLSTIVSSVDWSSLS EQLQPILEKLDIGSFLSNIDWDAIFDSIGPIISQIDLGAIFEDIDWSAVLRGLGEILT NVDLGQLFRSLLDALSELNLGDLFDIDIGGVNISDVLGGIAGSANGTSVDEAWENLMD ALENFGSSGNDTASS ANIA_02678 MSPSTPSSDSHIHSEKSTQSETDLIVSALNNPQHIQKIVSEAII LGGGAAAILLQVAHPGVAKGVNYHSSFATRPVDRLRTTMTYVYSMLFGTPAEKKKIIE LVHRAHAPVKGSDYEADDPSAQLWVAATLYAVGVDLYESIFGVLDEETAEQAYREYVV LGASLRVPVEMWPKDREAFWIYWDEQLATFDICDEAKNVAHDLLYNPKIPFYFKALMP TLRLVTAELLPERLRKEYGLKSSKGRKRLYKSTMAITKATYPFLPRAIRTYPVKFYMK DMRKRMKKYGETQRKI ANIA_02677 MLRRTRRAPKACSWCHHRKVRCDASIRGCPCTRCRQDGRPECVL RGKMPRNFTGFIGQSNAGNNQDQDTINATNPHLERIRALALEADGVDRDLAFSSTSKS SSFPGHISFTDYPFLELRTLTTLDRADLAFLAAKGCLSVPVETLLDEFVRQYFLQVHP SSPVVDEAEFWHIYKNSQTAAGRGKKISLFVFQAVIFAGSPYVSIETIRQCGWSDKRA ARNGLYARVKTLYDLHAEDRPYCLAQGAVILTLHTSASEPQISSLWLTRAIQNALIIG SGCRPGALEADAGSETEVVKASMKKRLWWSIILRDRSLCLGLRRRPQVTSFDMSMGVV TELPDEADFADEIVDSPVYSLEIKRMLLKVLQEQCRLAVLLSEMITFVFASHGIAAPS LSLEQFHEELIKITRTKNAMGRWEQCSSLNQWIGIEGKGNGKEKAPEAVMLFVNFTYM YYQTARIDLAHYEALLLENHPLFSGKNYFNHLFSAGNTLRDAMAKLTAIMEYFGREGR AQNLPLSVLAYVAMPLVLTAIDLKLSPTSAEMVRRRRRLDALGEIVRHSGRVYDVTDF VTAGTNHILRLAYITSQHLFLRWDENGGQQTRMPERSGSTASSNSASSSSPSSSPSPP QDLSPSASASAGLEVDKSSYTGMGCGRANTWHEAFLRFPRAYLLISTSVDYSLAVGRL PYDNALPELVRCIPPIGMAIRLPWTIEGATPRIKMPMEMNNTRRDSSPYLQAGTPGSA FGLRAERGNDYDHDVRTLPDDVSVQTNMNTSTNINMKMPAQATGTDFSFPLLTSSRQP TENFQPQPEQDQINLDYLYIEPDANAQAINQGVTDFDRINLQHLAQGFDPLISSWVHD YFGESAPPPVVSPGMDLDMQVDGLGLA ANIA_02676 MRPIYLFLPVGLPIPRAVHCDAQHERPGAEEDIEALTNLKYLVD FTSSLASLLAAKNDESPSSDRAQLAVSFEQGLGEHEEVTRHMKDDPAVTVDWLVSLES GSMDGKLSNNMGNTPTPVPLVDSVACSGMDAATATNVDANANNARDILQQYAVLADGF RSGESDSGERGLAPAQDDSVVGTTGTRAGSPLLKECLPSDSVPPPASSVNILDGLEAL QHLTWLVQPGSVDSTRCRPSTSERPLSQAGKQSRSKSTGSPTTRQKNAQPPTQDILTR LEAMRRFSRLAQKLSKLSEEFRSLSPLERIHHMISDPEVAPTVQWMFDNAGKVLTPEV LSALKNFIRSSPLVPDEYRSFALLAADSLSTVLNPSLISHYRNFKTFFERLGADLGPV LGSVYRGLHWLMTTFQPSYIQALTDRVTLWRKALTSPEVAEFLIVVNDPVTLNGISAS MGSAKKVLTAERVRRLRTIFDERGLFDLSRDEYKAFGDLLGTNIKTQFATAEGISEAE SFLDAVHSLFKSQTINAVAGIMQKRSVPLTAGLAEDLHFFFDQVSLAAVTVPGMLEVL RDFLDLIRPLLPPGTRDERLPARVWDMAGFIMEKVSLFQGSALEDLQSVLDAGIRLTH PERLEELRLVIADLQRSEPTSSYLISVNNGIARNASVGASPFEFTFGVFDIQLGPILG PIVADDEKISRLIQILNARLAPGEVEKTRETLQSLNSMPDDVRYDVLMNEMFNTWRDA FRPTPEDCAQLGNGYALYPAFGKRHSLFAALFCLALCANEAGRSAVEGGFISSFSPGS RSAQDSPSSGSELSAKAEIFVPGAGTGAGVGAGAQHRTLDESLCSTSTGSNHFSFVDI QDTIDLSPQARLAAIFPNEPFVSPHTPCHNSWTSIYIDPVHPRMGGGLLCTLPAITFY ADPNSSSNSEVVLAQHAPILLALVKDILKNHNPRSRTMICVCTRQSYNLYEGRAVPTV LVTLDFEQDRCKAEAALPEILDLFHGTLGMVDVTVEIIDREFAEWMEGHLRRSIELNR CLEAFQESRARFVGELPDQGH ANIA_02675 MSSATLATEVDRAEKGENGPSENSDEKVDWDGPDDPANPMNWST SKKTAQLVLMAANTFITPLASSMFAPGIKGVMMEFHSSDTMLASFVVSVFVLGYVVGP FVIAPLSELYGRVPLYHACNVMFLVFTIACAVAKTLPQLIVFRLFAGVAGVCPITIGS GTIADMTLQEKRAGIMAIWALGPILGPVVGPVAGGFLSEAEGWRWVFWVIAITTGVIS IGALIAYRESYAPVLLARKAARLRKETGNPSLRSVHDTGRTPKQVFVDAFTRPIKFLF LSPIVFLFSLFSTISYGYLYLMFTTITSIFEGQYGWAPSIAGLAYLGFGIGSMVGLIV SGAIGNKIAADHTAKGIFKPESRLPPMIFGSLAIPIGLFWYGWSAEAKTHWIVPIIGT GVFAVGLMVVFMVANTYLVDSYLLHAASVTAANTALRSLGGALLPLAGPDMYDALGLG WGNSLLAFIALAMCACPVLFWKYGEMIRTHPRFQIRL ANIA_02674 MIFEPDLRVPVPNTDILTYIFANPPYDPNKPVYVDVSNPARSIS LAQARTIIRQLIAGLRAWGVKEGDCVAIHSFNDIYYSILVLATIGVGGIFTGTNPSYT SHELAHHFRTADVSFVLSEPEILGPVLEAAKVVGIPESSVRIFNPLPEQAVPEGRASW KELYNHGEECWVEFDDEVKSRTTAAARLFSSGTTGLPKAVTNTHRNLIAQQELVFQIH PRDYERRHIFATPVFHAAVAPSTHVGALKSGHTVHLMRRFDLALYLQACLKYQITDLM LVPPLVIALLMNDMAYEKPYLRSVRSAACGAAPLDKNVQGRLRKLLGPGASFTQVWGM TETTCIATSFIYPESDDTGSVGRLIANLEAKLVDDQGKNISAYNTRGELCVRGPTVTP GYFNNPSANAESFDEDGWYHTGDIAYCDAASRKWYIVDRKKELIKVRGFQVAPPELEA VLLSHPLIVDAAVIGIKDKREDGSELVRAYVVRRPGKGEGLTEDEVKEYLGQRLAKYK ALTGGVRFVEAIPKNASGKILKRMLRKEAERESKGRESAGENKAKL ANIA_02673 MARTPAQCSPHHLAPDPSATPPRTQLLANIAALLFTETRETSLS FPGTSEVDQKRAEIRGQASLIARDSVQLLLVHWIIAYPVEGQGPRALSPENSNDRSNA AMSSLFKTGSSFPIASGQSYHDFLYIDFNPESSAQLIFARDFFPHLEAGFDTGRLYEQ LIFTLREYSSLILQRDHWSPFIHHGSYRCPMGGMARPMGVALACVSAYTGSFGSNYGF VDTLINKERDKLVRNFQSFLGTPENSLAAVHAVCIYQTPGLFGGNFLPVAVKLSRQVE EGLEKQKEASENFAESLRRNIFFANVINILDARAGKLSSAYFEPLDDEAVLTLPLPAP ESPTGQKARLTQTLKELLMAEEAGTLDVSTLLPLTRVILASMKIIPTRVVT ANIA_02672 MDKSLDRVRRACDRCNTSRTRCSGDLPCRRCDKLNYACQYERAV KKRGPKPRSQSSTHQARRSANAGIRVRTYPSPVSTASGKSLPGSDRGSPCAKSERVEN GSCPNTPVRADGLYFSANVQDDHLYLDKATTEGSVTSIPNRTHFPLNLSSVAMSSHKI YSTPSCRYPCLNPVLPLLQGTMTAEDACKLLDIYFADPETGGSISGCPYVLSPIIRVQ SLLRETNPRPVSPALLMIILWCASHTAHLGIFSDSSTRLRVTQRLYFLSMKLLRARDC ETWHQAAETAPRWIWLTVPPVGECVPLSDMPLYGTSVDTGPSAVCDGKVEQGVDDVLS YVLLTCVVSVTEFKDEALTWWNKAVRLVKRLGFHSEARIAENTPSWQQMSLTAREEQE ERRRAFWLVYSLDRHLALSYNEPLHILDRECQVLCPLPERVWQNLDKIPLEDIPPRVF GPPTCITGTTFFEYFLPLMAILGDIIELRSRNQHPRLRGFDGSYLTGTVETALADCEY SLHVLQAVKESTKICSNELFMLPNPPSFSGSPSRASSTKTELRRVEVAVAYGQYLIQV LHILLHRGWDLVTVGLFDASCAPLAYTAHSVAAGYTINQILNLDQDLSFIPFVFGTYL FHGSLDFLSVVHQISQAGAIDLAKQGCGAVLHAHEVALKTLDTSFQVGHKGKRLSSQT ILYSMICEDISPRNTTRAKMAQNGYRTGSYL ANIA_02670 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALVRVNTMKQGKRDFEEFLNEFDEELLNAGGINWDDNQKKALLDTAIN VELLKAMVDQQEALTGPGPLIKWTGKPPMLKLQPYKRKSRPSVRKGPGPQEKLVRRLQ RRSKRGCLRANAYAAVILTTLYKSALQNLPGALGRWPQFRKNKTKWMTTARASCLTYG VISDKFVKIHQIPTIPIHPKPFKGVTGNIEEINKIVRVQLDIGAHTEKGAYFYVIPDN LGYDLILGLPWLEQHDGRLEAKRGRLYLCTTGVRLWSTTKRPLPKLNIAQISAATMGG FIQRKRCRGQDIEIFAVSLADIQKALAPKRHIDPRTKLPRQYWKYLRRLFEQDKAEEL PPHRGDGIDHEIELVQEESGKDPEVPWGPLYNMTQEELIVLRKTLSELLQKGFIRVSH SPAAAPVLFVRKPGGGLRFCVDYRALNAITKKDRYPLPLIHETLNQIGQARWFTKLDV SAAFHKIRIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTFQKYINWTLREYLDEFC SAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKCEFECKETKYLGFIIQAG KGIKMDPEKVKAIKEWETPTTIKGVRGFLGFANFYRRFIPNFSGIVRPLNNLTKKGTP FLWTKECQDSFDLLKEKFITGPVLATFNPSYRTVVETDSSGYNTGGVLSQYNEKGELH PCAYFSKRNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGEFQVITDHKNLEYFFSP RKLTERHVRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDMPDDEDDRVKSRTMQLF TEKHLGKTVVATLRPAEEQPWEPYEKSDMWKEALKQDERYSEAVLCLKDGARRFPPHL QLKVGISECQLDAQDHILFRGRRWVPDSEQLRTSIIQAAHDSILTGHPGREQTYLLVS REYFWPNMSRDIRRFVRNCDICGRTKSWRDQRRGLLKPLPVPDRPWQEVSMDFITDLP ESEGCTNIMVITDRLTKGVILEGMSETDSESVAWALVRVLISKHGIPKAITSDRGSQF TSDTWARICTLTGINRRLSTAYHPQTDGSTERMNSTVETYLRMYTCYDQKDWNRLLPL AELAINGRTSTATGVSPFYLSHGYNLSPFTPTEEVEHLAEEPTKSPIQKGEAIVRKVK EALDWAQASMAYSQQNTENQANKHRSPATNYQVGDKVWLSLKNIRTDRPSRKLDWKNA KYEVIGLVGSHAVRLNTPPGIHPVFHVDLLRLASSDPLPSQKNDDSQPPSIMVNGEEE YMVEKILDERRRRYGRGHRLEYLVKWSGYAQPTWEAATALEEVQALDEWLDHLSDSAK ACAVLKAVSHLQDFLATTILLSHFFFSDSFLYVRHV ANIA_11359 MPIKSLTRHQKQPWKASTAYYINNFRYPWDNLKSPCYYQRGDFN MTFTGLVYQLPLFEPPNSTSQRARIDRADEPESTEPTSQYCRAVRA ANIA_02669 MMETLPTNQTLLEDPSLCTLDTCPLSMASFEYIPKLWAQILFMA LFGLAFVVQLFLGIRYKTYTFMVAMVLGLAGEVVGYGGRVSLHDNPFNDDAFLQYLVS LTIAPAFLTAAIYLTLSRIVVAYGERISFFKPRTYTITFITFDFIALLLQAVGGAIAS TADDDDRDMTDLGINIMIAGLAWQVASLLIFIAMSTYFFLRVRKAPGADLNLNFDTLR RSRYFKGSLWGLSIATIVILVRSIFRCAELSEGFDGELANDEVTFMILEAAMIGIAAI CLTVFHPGVVWKGQWNQAVWSTRGKSGKTYAKASTADGDSEVSLRSMGMQARTAYPET SYQPYNP ANIA_02668 MADTFSSIPIIDWRRLQDPSTKAAALDDLREAIFVVGFLYLTNH GLENLISKAHAKLPELFDLPADVKAKCDMINSPSFVGYTRLGAETTAAKTDWREQYDF GTPGMKTWTEDKDIWWRLEGNSQSIQRQYPDVPGVKELVEEYIARSAELSQQFMRYVS ECLSLPPDTFAAFKGNMDRLKFIKYPRSPPNSQGVGPHKDSSGLFTFLSQDDTGGLQV LNKNGEWIDAPPIEGSLVVNIQQGLEAITGGICAATTHRVIAPTTKTRYSIPFFLGVR MDLTTEQLRESAAHIVARIPVSDDRKKRAVDVPSEFLSPLYSCFGEAYLRNRILSHPD VGQKWYPHLYEKYTKQVLS ANIA_02667 MRSPLPTRVSSACERCRRHKTRCDPFRPCSLCVRAQAHCRPLSI TRPRSTTRISLAVSANYDHATPAQHTERGRDEHYADGRDPSGRIEYGEAESTMGIAQK IVGLDRQLIDEHATSAIPGYQASTNVPNRRTLAIGQRIPISSILGQALPATETIYLLL EDYFDAVHWFSLVIYEPTFRRNLNSIADGLACSSQKSFLLLLAVVLGMGAWYRSQKEP RELTDNDNWRRLSTELMKLVESHLIELMDQPSVTAAQVLILFGSYCVYHGRPNLSFSI LGATIRISQAVGLHREPSRGTFEDNEERNRVWWTIYTWDRFASITYGRPLGINDRDCN ISSPADTWENPYFVAPLAEQGHTICYSAYQRELNRLYLMASSALEVIFGSRTSGSSKD LAGDAYHALVKEATQKLHRWRNELPDNLVLNLEEDFHPDGTPSARAHALQSLSLQLTY DNILIVLHRPLLARQVDHLSTDHSTPGGRGGVDQDNGRFNNISQSQKGSPFEALSPGS SVASSVHWWNAALRTSRVTELPVLAQLATDSHLVAFLAINLFNAAVVLAVMALSDPLS DTAQVVKRTITRILRLQDLLGRRSALSKQSTTILKRVVTLLLRRESEAILAPIAENTR DDVQQSYQGSGRMSVEDTLRLPLDVTLEPTNTHIGRQAWPDLATVIRLNESLTSVQNV VPSGNDTLPSDWYAGRDGHLGDTVAQPPGRTHTWPPSCETEWEIANIQSNDQFSPTQD NSGDQGLYWLWDMSWNGAGL ANIA_02666 MTIPLYDQLLSHQAVNEAFVLYPGGSFGYEKRDIPTLQAERDVL VRVVATGLCGSDVHYWQHGRIGRYVVEDPIVLGHESSGIVVQCGSQSGLTVGDRVVLE PGIACNTCHFCRAGRYNLCREMRFAATPPYNGTLATYYRVPAECCYKLPSHVSLRDGA LIEPLSVAVHSCRLAGDMQEKSVVVFGAGPVGLLCAGVARAFGASTVVVVDVVMSRLQ SAVKYGATHTHQATSESAEENAIAILGTAGLGLGADIVLDATGAEPCMNSGIHALAPG GTFVQVGLGRPNPSLPVGQICDKEIVFKGSFRYGPGDYKTAIGLVSSHRIRLEGLVTH EFSFSQAEEAFHNVASRAGVKSVIYGPGVDEHVARATLS ANIA_02665 MTDSKVSSRDQGHDAVIQRRSRAVAEQLAEQESWLEKTLKPKKV SARYPFKGKPLLYATCAFGSLGDALFGYNSGIMSGLLVNPVFVSRFFKDYGGGDGTTS AVNSSITGISVACLQASAAVGALIAGRLGDIVGRKKCVRLGGFIYFFSAFIQMFAPGF ATFLAGRTIQGLGVGFLSMTVPIIQTEIASPHSRGLMVGIEYTILIAGYMLSCWVDYG FNFMLPSEMSWKGPFIVQIGLSFILLAMSFFLPETPRWLAKNGFMEESLQTVADLHAN GDTQAEHVQQVFTEIQEAVIYETNLGKSTWTEMFTRYRKRTIVGITVQMFAQLNGINI ISFYLPSTLASAGFDNRKSLLFTAANAIPYTAATIATWWLADKWGRKPLLILGGLGMA VLLGIVCAFTEASLPVQTKADGQYAFVMLYNIVYGFTWGPMPWLLPAEIFPLRGRSKG MALATTSNWVFNFIIGMVSPDAFSGIHGYFYLIIAGFCLFSAGLVYFYYVETANSSLE EIAALFGDRAFEDEAVVGGHIDEVRKDEGKEVV ANIA_02664 MRLLESLSAILLASAAQAKYIVPGGRWQDTEGNLVNAHAGCVYF DKESSKFWLFGEYKTEGQEEGGGVSVYSSDDLATWEHHGLALEPIEGHPYISPEHIIQ RPKVVYSEEAGQYHVEQMLWHADNSTYGWLLQGLAAADTVAGPYEFVSATSPLGNWSQ DFGLFTDRTDGRSYALYSNGDSVDGRDVYLTRYNTNITALEEVVYRFPKYDLEAPTII QTDHSYWALMSHKTGYRPNNVVAFRADELSGPWSQPFIVAPLNTRTFNSQSGFTIRID GTKQTTYLYLGDQWDSNSLWESRYIWLPLQIDERKKTLELEWHDVYDLNVKTGEWRSI KGKTYTASKAKTNGDAYLQEANFGTDGVIATGIYGNDSTITFEGIEGTGKPQWVSFYY QNTDDMGFGDQPGGTPDRIGGSWQLRRISSVVVNGDTENVQTLYQRDTHKGIILSTPL QLTLKKGKRNTITVGGLYNGFDYKGADLDRIVVYPPEE ANIA_02663 MLSKIAAYIRGHETVPGEAKLLRKLDAVILSFCCLCYFVNYLDR TNLNNAYVSGMREELDFQGNQLNQINTIFTVGYIVGQVPSNLALTFISPRLFFPAVMV IWGGLTMITAAVSNPQGIMAIRFFLGLAESSTFVGTHYILGSWYTEKELGKRSGIFTA SGLAGTMFGGFIQTGIHSSMDGMQGLSGWRWLFIIDGLITIPIAIYGFIVFPDTPTTT KARYLTAEEKALAIARIPESGTVKVPLNWAFLKSVFLQWYWWAFVVLWIIAGETESFS TNALLALYLQSHPTNSYTVSQLNNYPTGIPAVGIVSTLFWATLTDFMGGKRYLVGYFI AITGVVTSAMILTCFDSTATVMGAYYWAGAVYACQATFFAWCNDAMRYKDERLRSVVI ASMNMGSNAVNAWWSILFYSADMAPKFTKGMWAMIAVSIALAFWTMGITFLTVREEKR QPDRDVRVIDLHLQEKHVSMKA ANIA_02662 MSWESVAAKKRQALKNAIPPEWVIPAEIFPPEDQLDVTNFPKDS GFFTDRELEITSTPAQGILDRLASGSWTAEEVTRTFCKTAAVAQQLTNCLSEILFDRA IAQAKELDAYYRETGKTKGPFHGLPISIKDNFNLIGVDATVGFTSLVDDPATYNSTLV DLLLGAGAVLYCKTNVPTAMMIAETVNNVFGRTVNPRNRKLTSGGSSGGESALIAFGG SRIGVGTDIGGSLRIPAACTGIFTIRPSFGRFPNFQTRSGLAGQEAVNSVNGPMAKTL EEIVLWARTVVGQQPWLTDPKCLPIPWRDVEVKKTLKIGVLHHDGLVTPTPPVTRALN ETVQKLKDAGHKVVTWAPTEHKDLLTTLAKFFVADGGKSIRKLLEPTSEPFCPEMKAY EDAAELGVHEMWQLHLKRNALCKSYLDRWNASGIDAVLCPVTPYSTVEHGKFAYVGYT GVFNVLDYPGVSFPCGVKADKDIDKSYVNHQPLTDIDAQIQNDYSAESVHGMPVSLQL VGRRLEDERVLAITDAILRAIASSNGAK ANIA_02661 MEVDISPPGGTRPATPLLGENSDPPSGPTTPTPLPRNSLKRRAL FSPQKTPTAAPVPVSHTPQAPSICEQVGMVADDQLALLHDWKLAMTSLAKALDLTVSS LQGRPRDLARELAARFVTLAKQDSPQQISQMPVVAPPQPPRQMEQPNHPPTPEASKSP LNRQTSQPTTWASLTAPRTGQGNWQTIAPEHHMQAKQTAQRRLKQSNKTDHRIFLRLP ASSSLRAIGPHGIRVTLAGKVPDGITQVQVISTGYAITTTEQGKAFLLSEKAASLAGD GYFEIPTEYHQVIVSRIPKQLWSLDGWIDTTIADISMEAERITGIKPLMAKLSKHPVE RDSITAVIAFPKKLQHPLQLFGLSGLSRPTRPKQRPLQCTRCYRFHDTRACRSSDRCI SCGSSKQDHNCHVQCINCCGPHAADFPKCPARPHVQRNIITRLSKDALAAIRKAGRLA FQQEQKKAESSKQQADRRGGAAHDLLLSFEADIILVQEPWTNTAKHLTKTHPRYQLFS PPTRWTARPRTLTYVRRDLPAHSLPEPISPDITTIYTAGLTIINVYRPPNDPVAPAGA GSTPSTLSTLLGYTPPEITILAGDFNTRHPFWQPDTESHAVTPGATGLLDWLDAHELE LRLEPGTPTRGPNTLDLLGSTNWEKARTLASPPDPTLPINLLAEQLVQISQLAIQGAS RYNTRRLPRTPWWTPELTDILHQTRYQQNPDYKQLRKAIVRAKAEYWKQQIEQATAPT DAFKLAKWIRHPDQLAAPPLNVQGAQVSTPQGKANAFLSHLLEKGALLPNQTEEGPPN KPLGPVHLPTKEHCWAALCAPPPSAPGEDGLATTAWRELWPVLGDTITQLYYRCIEEG CFPLSLKSAKIIMLPKPGKRDYTQLNTWRPISLLSTLGKGLERLLAQQMAVRAIQADL LAPCHFGALPGRSAIDLVQVLVHRVEEAFQQGKDASLLLLDVKGAFDAVIHQRLLSHL RLQGWHKGLLQLLEDWLTGRSVSVHIKESTATAPIKGGLPQGSPLSPILFLLYAARIV STLESSFCYADDMGILLTGNTLEESSQQLVEAYKQITVLGTETGLPFSIEKTEIQHFS KKQQQHFPIVTLPGIGEITPSLYTRWLGVLLDTKLTFKAHINWVFSRGKQLAQHLKRL SNTQRGCPVASMRAAVIQCVLPTALYGAEVFYTGKRQQWVVNSLLSLFRTAALAIIPA YKTTPTAALLREADLPDPEALFNSILRRAAISVYSDGSRTGQGAGYGYAIYFGPILVT KGHGPAGPRTEVYDAEIMGAVEGLRAALGQPCVGYSTQLVILLDNLAAASLLASYRPT PHRHGLSESFGQLATQWLEAPSILTRPRKPLQVRWIPGHSGIAGNELADKLAKLGSSI YSPNIPPSPAYLRREAKQWLRTEAYTAYASKAPQAYKTLDIRPHTKESRTREHKLPRW VLGRLVAARTGHGDFTAYHQRFNHSDYLESCSCGKTKTPVHFFFCPYTRKRWKDRWRC IRDGPSKTIDWLLSTAAGAEEFSRIVQESSFFKDICPNWARRSA ANIA_02660 MGSLDTPTGDYAWTEVSPARWERDIDEVEQFYTSLAKTYEGCGR CCFAITGYISFSVQATDIAVENALRKAWLRLRYENPTIASRVEYSEQQQKCRKVYEAI SSPEVQNMWLQETFRVIRTKSSGLQWCNSDPPVPVLPTLFLIKRPGRHRGVMTADVVL RCRHDIVDGVGTLMLLNTLFAHAAQALHEGDDYTVPNFDNEWTRLSPPLRVAADISPT LSKQQEARLTRTVAWNAALKRDVEIAGFPHRKYATRPGKHQRVAITLSTESTHRLLKA CRSLGLTLTHAYHTAIGIVLRDLQKRQSRPRTVRYVNYSLLDERPQCKDPYNTPAHAA SVYHSVSGECLAVDLTVPAASIGAPEQLFDHSIPRRQEYMRIASAVRRFDLQNRNDKQ RIQMVPSHWAMITPPYPADNATPSVPVPYNKPSVSLSDLGAIDNTVSPTHGAFTLDNP WVTREHLVTGLAVFLGTWNGRLTLSATYNDAWHTRNEALAFLDRCNVVTIQALGA ANIA_02659 MRLRSLVLVAAPSFAGSVWAQLDYGASSVAAPGATTAAETGSEA SLTSETSESLVTPLGLDASVGVGANVGIGDLDLGATVGVGASLGAGELDLGATLGLGL TLGPDDCDCTDGPPTKPPITSSSSSTSTSEDPTYTECPEQPPVTLPPVTLPPETVVIT VPGQDTCSASPQPPATETETVSITVPGEGTCPTTTPPASTETETISVTVPGGSTCSAT PPVTLPPETITETSVTTVPGETETITETSISTVPGAGVTITLGPGHPHTKTVTIWEPT TIYSNITVPTTIYSTVYSETTAWSTTTFPGEETTVWETTTLPGEETTVWTETTAWSTT TLPGEETTIWSTTTLPGEVTTLPPVTTTISGTTVTIPGSVTTLPGSTITLPGSTVVYT STVSGPTSIETVVVTQVISTTIVNETPGPATTLTITSTAVQTLPPVTVTATATETTAT TATETETETVSISVCPSLTINPTYTPATPLPTDYTWGCPPGYLCRPRRQGTVGGCNFE AGLPAETYYCSPEECIEAPPLYDEDQYWGEPVVSDEVGTYNVSKYYFNLNPEPFGLNY SIFRFPEKDYGVDYFKRSFLGVGLGKMLNKLLPRQELLQDVIRFSDVCYDECNGAALE AERLGKTPSLCEATSAFMDKLDQCSRCNERYPAEDEEDPQVIPPDLNQWLGYCDGLGN DEDQTSTREPITTSSESTSSTRTSAVDPTSTGGDGDEDSTSATDSTTLTGTSSPGDDE STQTESGSDATNESSGSGTSGPSPSPTSGSGGSDDGSGGSSAPTPGSTPGTPTDVSPT GTSSPSPSPPVFTGAAARFGLPVHFGLVPVVLGLVL ANIA_02658 MPLDSGSLAALAVLAAVATLVGRSQAQLDVLNQINSSICTWGQL RAAVIRDTVYLDGGNLVYQRGFSNGQVQVDNNDNAEGLLYTLDLSQSFNTENDNLTAL FDTFEKAGGIAGNIAPTYHDGFMFANDNLFYLYGGLLDNTDDFDDPAENTALAYQAYQ YGGQRPGWEPRFISINLPDGVTRYITNGAGVNAPSENMGWYISGMRAPGWGPMWDNET ATNVSQRMITVDMSDMEKPVFENVSLHSDDVPGRANAEAVWLPVAESGVIVLIGGVTE PDTIFAAGLSDEQMEKSERESPALMKTVSVYDVAGDRWYNQNTTGDIPPQLTQFCSVY ASASDGSSHNIYIYGGYDGLGALNQPSDDVYVLSVPSFEWIQLYDGNGTANGRKEHKC VKPYPDKMLVLGGIHIGSSSCIPDIIRVFNLNTGRFQDTYNPRDWDDYKVPDLVAGRI GGDADGGATKTAPDSWTTTALADVFAASYTRTIETYYPYNSTNDNITTTTVPSSGGGG SSFPGWAGAGAFVFWFLRRRKRKQSRRGSEISQSSRVKKWVSSAGAFAPPGPTDPDRS TIVSGGFTNESTVAPSEQPVAASQATAEVAGDPVYEVHGHSAAQTAAVELPTSYNEGS LPVSSPTMSVAMSFNSPISPEVPQEKEGDAPMRPSHTRNVSSLSSVQSYTPTIDDGSL QRPRYVSGVSEASVSSAGTRIESTTGYRGLGLEDIPDTEGQNATGATSSDPNRNATLN NDSNNS ANIA_02657 MTTLRIPASSPYYNLGAYTLPISTANSNTQVWFNRGLIWIYAFN HGEAVYCFNQAIAYDPCCAMAHWGLAYAVGPNYNKPWERFDQRDRLASAKTGYEAAQR AARLAEDKSEAESEAEVGADGASSTRLKPWEKAVILAMQSRFENGRPPKDPSAVNRRY AAAMGRVYEVFGNDIDIAVLYADALMNVTPWALWNLATGAPAKNAPTMLVKPVLERAL LQEGADKHPGLLHLYIHYIEMSPAPDSDRGLDVADKLRDLVPDAGHIHHMPTHLDVLV GDWKKSIESNYKATLADDRYLAMSETRGLIYAAMFAGQQKTAINAVARMEKSVPSWEG VLSIRSPPLADWVENFLATRVHVMIRFGMWRELIGMDLPHNQRLFCVTTATTHYAKGL AYAAMGNIEKAKRERFLFHKSKALVPASRRAYNSKALDTLAVGSAMLDGEIAYRQQKY KDAWRHLRRSTKLDDQLPYSEPWAWMQPSRHAYAALLLEQGYVEQAAAEYRADLGLDT TLIRPRRHPRNVWALIGYKECLMRMWRLQEVNEIEKDLREALEGADIPIRASCFCRLD AAETEDEVIPTDTKPRQRSHRACGQRDKERGSRL ANIA_02656 MSSSISETAPKKGQSKITTTSSTTSSSLYIPKISGHHTAKQYDA EFEKRVIRNYANAAIINLEEGNGIKAELDFSPSQWSWSQSIFSYSYMLFEPTNTILLK KITPSKWMFIIILTWGICATSSGAAHNFPGMMVVRFAIGLAEAGFYPSVLYHMAFWYK PSEMPWRVAFFYSLGQLSSALSGLLAYAISFMNRLGGLSGWRWLVILEGIPAIILAFV ALWAPDYPETAKMLTPEEREWVANRLVNTAPRGKDRSWSWQNIKQLFRTSTLYTFSIY WIGHGIGGFGINYALPTVIYELGFTTTAKSQLMNIWIRPWTTAVAIEITIIVCYIILI NVSKPVVKYIALIVATACAGSAYPVIWPERIRALEGTVAAGIGIGLTNAMAQFSGICG PHVYNSRFGPTYRVSYIICLIFLCIAITGILFSWVLVRRNDKRKNKRVVSGTLEAGES PVRGEGGDSKKGTSAGG ANIA_02655 MTIDPVPVKPWTRPPPTTEDLDWAPLAKIDLSRFNEPGGKEALA KQLYDALTRVGFWVVVGHGIDDERVLRQFSFGNAFFEQPLEEKRVFPCNFALGEYFGY RENERWIGDTGVKDNIEMLNIPKAIPEWDHLPRHRIVRENYEEIASFHRELFDKVARK LFVLMSIILDLPENFLANAHAYDKRSDDHLRYMIYNVRSQEEWDRAQVYTKGGHTDFG SLTLLFSQQVAGLQIRTPEGKWKWVKPVEGGITCNAADTLTFLTKALTDAGFIKSTVH RVVTPPRDQINIPRLGLLYFVRPGDSTIMKPVPSPLLDRLGLLTDEDKDPNIPAPTGT EKQEEVVECNRAGQLGLEKMYIVSVAVLISKYAT ANIA_02654 MTERKEPEVSKRTKLALKGLRLVSHRDNSGTGDTRHNCTVNAIS LINCFRLALSSNGEFLRVNEREEHPLNTAIYWAALQLHDSVETAQSRVFTIIEVVSRQ PRDQQDIITLLKHLSVVFGAGLVFHRGLFWWKKEEVDLCAIEGWGWHQQHIWPIRSAV HYVNTFRNFRDSQKDVFGLPCLEKSRISLPLIALYSIEKSSKIQERMSYKVIQRTIRG LKNSACKPRGVV ANIA_02653 MGSISGWKRLNVAVVGGGIGGLAAAIALRRAGHEVTIYERHDYA GEVGASISCAANGTRWLHEWGVDIPKGDPVVLKKLINRDWKTGEPVSVYDLDDYEERW GYVYNMFHRQYMHAMLKDCALQEEGKGVPVKLLVNHSKIDLESGVVTFENGVTAQHDL IVGADGIGSAARRIIGLNPEKKAAPSSCLHANVMTEDAVRLGLVDYSKDSALEYWGGQ EGKWDKIVLSPCNGGKLLSYYCFFPREVGDYTSHTWGGEDRPVEELLAPYPELDKQVK DHLAIGIEVRPWRLWVHQPYEYISKNLVCLLGDAGHPLCSQMMPHQSQGACMAIEDAA ALGILFNETYFSGDVAETLQLYQEIRLPRATKVQAASAKAAYNINERIGFSSNTNIPK YRVEDEKKKLTIEEMNAYDMYKDIEEVVAQKRGVPFTEKFMRGLPIGLKLSNGVTVGE EA ANIA_02652 MPDLRHGQDPLLTLSRFAYLRPLPAAEQTVLFSAGSNTPDMVEK GDSHSPLEVKAGDVIDKGLLSLDEAAALLASFMQSMMPNFPFVVLPATTTADQLRQSA PFLFLAILSVSVTNDSTLKRALREEVRAALAERTVLMHQPPSLETLQGLLVVLAWTQH QVRQRSSPRDFPTYLHLAIGLVVTWRLDQPIGMRRRQTCRVNISEEGIIERPPETLRA EQRAAIGCSFLSSWYFPCSDRSVSVEPKLTLSSSAIITQERCTFPWAPELEDFAVGLA ENPEYDSDQIIIHLVRLQHVLEEIDRVSKDPDSFETVHSGRAFHPSFRTFKARTQDYS NLLSPKLAGNFTLSTQLHTIHLYLCQVGLFDRKATSRLPVDVRAEILCHGLTAAKSFF TSFALIGPGAERRFSYSQWLQSGFNLIVSCKLTLMAVSDEALRDSFPQVLTLCNELDM PQVLRICVVRQDQHSSYSGAAPPATGFDYKGWLQWIEEWFLRQYNGYIARREAADRAV TAASSAPVAPQAYFGVDEPNTGHIYLPASASSMLFDPFPDFVMADNPLLGWMDLRQLP M ANIA_02651 MNPADCTLETCPIEDAYIHYQPTIAGNSVYLALFGVLLIAQAIL VPVYRTWGFSGSMVAGLVLEVLGYVARILFHDDPFNFDFFLMNLICLSIGPVFFCAAL YFLLGRIVIVYRGENISRLRPRTYAIVFISCDIVALVLQSIGGAMTSTADDADGRETG VNIMIAGLAFQVAALTLFIALAVEFAWRQRRRSEGQVPIGEDDVKDQYAEIRRDKWWK VFLAGLIVAAITIYTRSIFRVIELNGGYDSELANDEVTFMILEGAMVSIACICLTVLH PGRALGHRKKEDTGPMMEME ANIA_02650 MRVSVSCDACRQAKVKCVHDGSPPCRRCQRLSRHPCHLTEPRSR SSLSLSSLSPRRTPRAQRTRPTPISSIPSIPSNSSILPDPSHRSDSSNPSNSLNSSNL SSSRAETPFSSLRSTTLIAACDLYRRKFPVVNFLHYPSLIADLARDAATVEPVFLAAL LSLCARFMSDPALRPSETYAEYARTQLAHRSFESPSLPLAQSLVMISLYEWGTGRPYR AWMYSGMATYMIQSLLKTADDHMEHLPEDFHTHADTRIAYEQLVRTYWCCFAQDCELS SGARQHFALSFRQISVPLPMRDSDFNFGQAAQRLTPADINGAVAGGVRLGIEHGLTIV TRGFDIFVRILRFANESRRDRARGAVRSSASMWASLKEELDEWRALQDTTVRYPETSA PAHVALGYGELFAYINLVYFMSILFLDRDRFLASPRTPDSSGQLDSDGDTIDRLFATA QHIGAILSSLESCATPVITPYAGFSVFVAAHINMYGTVSPTRYPGGLERAEQEKKSNL EYLERLCRFWDVGTSWWRTLQEANRFYESARSHQGLESQSSDHLTLAGTFDEYGDIRI SRPDHSTSTSRGGTSPVEHGAPTAVRNRGNSVLDAEQGAPGVYDLEAEMMQWPFLDEN WSVGFATGYENTWPGLG ANIA_02649 MAFDGKNDSGFALARGMWAANAIAAVILILRVFAKIKLRQFRFD DVLMVVAWAMTLAATVMLQKSVEHGFGTNLELLVGTSDLKVVLKSIAIEIPLVTISTG FARSAFALYIIAILGSKRKYQIPLWTVMLLQLAGNIVSGVLPLSICEDVRILWDATIK THCGDSASVIKFAYFSSAVNTASDLFLAVFPTVIFWNLNLRLRIKIGLIVLMSLGILA MIASIIKTTKYGDIPGITNLGATGGIELIRWGFAENLIIIITSSVPCIRPLLISSVRK ISSAAKSRSYELSGPFSGNKSKGRGYTGRDGETGERDVEGDQDLPDEADSIERILRDA EDRERNREMRRGITKQVEVRVLSQHSPSLHSSQGSGSPSQSNCKKRDDMTQYCPLCTL YSTVHRSMLHGSHAIQA ANIA_02648 MKAVWIAALLFGATATAAPAASSSNTSCHCLPGDACWPSAAKWN ALNSTVGGRLIATVPIGSVCHEPTYDAEACAQLQEDWNLPQTHYVSSSSIMQQFFTNR SCDPFDEDSSCELGNYVSYAVDVASSADVVAAIKFAQQNNIRLVIKNTGHDYLGRSTG AGALSVWTHHLNSIEYLDWSDSTYSGPAYKLGSGVMGYEVLEATHAQGYVLVGGECPT VGLAGGYTQGGGHSALSTTFGLGADQTLAFEVVTANGRVVTASRTKNTDLYWALSGGG AGNWGVVLSVTVKAYKSAPVSGAYLAFTTSNLSEDVYTKALTQFHELLPAMVDAGTTV IYQILPGYFLIKPLTAYNKTTAEVKAVLAPFLSALDGLSIQYSVSYTEYETYYDHYEK YMGPLPNGNLEVGTFTYGGRLLPRSVVESDAASIAQVLYNFTSQNVVAVGVGLNVSNT NDVDNAIFAPWRKALVTMQFGITLGNEKPWSQILADQQTVTNELAPQLEALTPGSGTY ENESNFLQPNWKQVFFGENYDKLAKIKKKWDPNTFFYSFKGVGSDYWTVSESGRMCKA ANIA_02647 MSENPKGINLSPENLKCEEYQLRITQLEAQIQDMSADHDQSTKA IKDLTSELRQQQVITEKHSDELKLRDETIEALRGELSACREEQKLNNVTTGPQDGLQS LTATKWHAPKEDRYARDELFKIGDRIRQWARNNSASFTDLDGVATSDTDSAVKYLSGY CAVRDWKALINKFPVSKDKAPALLVQAILAKDLFERLFKDPFFAFIAIERDEAMPRPA QMRVLQDGITRVSNDDAHIWRSQTIRSLSTAKDPETQPFLAARIEPVCRQFISELLSS PIRFLLRTSEKSTQSYNKWVEELLSLYKVAAQLALILWGQRASIAVRTLDELPLFRIG DEEVSAHRLHHLDEEDTRLDGKKALLLVQPAILAFGSESAEHYDQHKVWAPAVVLLDT Q ANIA_02646 MSSDKIFVFGGPHLSGENTTFTAPKMLSRDAFVAGFGGISLENK DRIIVGVDYGTTFTGRDHPLVQSCEITVITNWPGPSRDIDTVYKTPSRIAYAAENSRL NKDCWGFQVEPGMISYAWTKLLLDRGTPLTKYDDSALEKASNVGIMQLPENKSPEDLV GEYLAKVYEHILKIIGKSITEETLQVTPIEFWFTVPAIWSDRAQRATRDAARRAGFAG TLHRPSDRLFLITEPEAAAIAVLSKYASSKLGGAVKPGDGVLVCDCGGGTVDITTYLV NDVEPLKFEELCTGMGGKCGSTAIDRNFYKLMSERFGEAFDKLPMKRKGPGSEFMRRF ETLKRDFGVSDEQDVHELPLNMTLDDPDPKYFDEDERMVIISSDDLRTVFDPVVDQII QLVRKQIDDANTEAGKDMINRIILVGGFGDSEYLRKAFKSTFASDGKIAITVPEIPQA AIVQGAALRGLEGIRSTTKRCRRHYGFAVDTEFVPGMNKLDTYWDVYNNRKMVRGFMK WTIQKGQKYAENHTEFFPLNWRKFWDSELSPRLPLYACEQTLAPGWNDHRGDIAVDLS NVDLSRFNSKLIDDRRAYDIQLHLKVTFGAQEGLLKYEAISQGKTIGVTSIDYIIPVP ASDYPASPWRHSPLIHSRHWQASIVVDDIDPRPVLNEHPGGMYNNATRASCRMFLVSE YRVPE ANIA_02645 MASWSANCTQQILPFSLGVPASSRAEAQQVLPKLIEVLINYKAK IRKVVHDADRGTAALYVTSKADSRSRETSSERTTSITRNVDQSSNVVNNAVLILTK ANIA_02644 MDRRPSIEDAPPLKRKKVRRGTRSCWECKRRKMKCVFERPNDAV CVGCHRRWTQCVSQEFPEQLSTPIDSTRQLRDRLRQVQSRLNQVLHQDASYTPACSTD QDQLYTEDAAVQQPAPPLPSLSLAERFPAGEDISHTLYNALPSPQDTTRIAAASSHQS VPFHEILTTPYSILDRDGLRAYSPLLPITGPGVHPVLIARHMLHLASFLQHLHPDLHD EVKGLSEPPSVMRERMAEVAIRLVTTQDQFVGSVEFLECIMIQSLYEANCGHLRRSWM TARRAMAIAQSMGFHQSGARLQYQVLHPDTKAYPHFMWFRIVFYDRQMCLLLGMPEGS PDRTMGSDAMLAQDTPIGRLERQHCVVMSRLLESNHAGPVSCSDYALIRDLDRKVHRL ARTLPSRWWLTPNLSKEQNKETLFWDMRRLFAQLCHYNLLNQLHLPYLLRHSAELKYD YSQITCVNASRAILSRFIIGRWNRVAFSCRTIDFIALMAAMTLVLAHLDRYRSPQIDN FLAAKRLSDRAMIEQAQEHMEELDRLNADPLSARSDGRRRGNLHSAQAVRVQNSESEP QPEATHSDDKHVYIPYFGVIQAAAQLVSAVAHPPTPTVVPPGAEGQAQTRPAAAATTP SLQATSNNIHSFSGPFFSEVLSDDPVQLFEYPGMAGVEDGTFQDMELAFLDNLMRGAG DM ANIA_02643 MSAPAPEIPETKNDMDYGHESPSPITSVNGPDKEQGDEETDITY AEPLRLTIIMCTLSLSTLIAALGLRFQKITSDFHALDHIGWYSGACFLLVGTTSAPWG KMYRFFSATYTYMMALGFYSVGSVAAAAAPNSIALIVGRALQGWGCAGTLGGSVLIIN FTADPKFRPMLIGLWMGVFMIATTIGPLLDVGSLAKQWSDGAVIATLALWVALSIAFV AIEWFQGEYAIMPLRMLKPRPFWSHLLYAWIANLGNFQILFYLPIYFQSMHGSPAIMS GVYSLPFMAFYTLGAIISAILVGKTHLLQPIEQVRGLIAVLGAALIYCIDVGTPKAWW IGAQVPSGLGTGLGNQVPVTALQGFATPETVAATMGVAFMCQSISGAYFVSAGNSIFN NYMLKTLSVTAPRISSFEILYMGVTDLKNAFHGKDLALIRQAYMVGIKDVFAFALAGP ASPSSWP ANIA_02642 MMRFDRPIVQHRYYGKQSQWQMGETLQHQFIVVGDPHEFDPEKW TCNPKELPKRHPETNISVLLVGAALERFRPSNSRRLIHQHAASLESGAYIAQLRQVHA HCSISAYSDLLNLQWLLLMCRIRCELVTLEGSFAEHC ANIA_11251 MKLLRSPGLTLTTASTQVNRQAALPYISIQVRQATNWQKNTATT LTSTQTKVEKSNKLDYVLTKTDALISWARNGSFWPLSFALACCGVEMMHTSMPRYDQD RLGIIFRASPRQADVMIVAGTLTNKMAPALRQLYDQMPEPRWVISMGSCANGGGYYHY SYSVVRGVDRIVPVDVYVPGCPPMPEALLQGIFTLQRKIRRTPVTRMWYRK ANIA_10331 MPPPKTKVACKSCHSRRVRCDRTQETPCSRCRSTGQECEPIVSK RGKHKRELLRRSGFRHSFGPVPGASESGTDVTSLSTPATFASERSARESIATYTSRAN TTEYKSGRTIYYGDYFNLEYTRRELDESHEDYNPRMSNTRLAHVDRLGSPTRRFVDDY FCRERARLDELGAFDTLNRNTRDKLLCTFFEMINPVVPIIDRKDFLSKLETGHVSQLL LQAMYMVSFLHSDPSIIADAGFGNRYMAAFTCYHRAKALYDAGYETDAVAVIQALLCL SFWWETPTQQKDMWYWTGISVNLAQSLGMHQEYTYLSLDQRKSKLWRRLWWTIYGHDI STAVQLGRIPHVNDAYCTTRLVTEQDFDDDDDNDDPPDRFGGNATKETRLESVYLADL CLRVSGCYRSLYTGNSDPSTALGNMKALAEWKTSLPLELQCRTTLTLHNGLFAALLNL TYFCFEIILRRNHFRNPEMMTPGTPVFEAAVEIVRVLENILTAELITACPLRLLPPTF AALSVLILNMRLPAREVNEVSKHRARLCMLVLSKLVDHWPPGLLYYRLFARILAARGC EVPDEPPPPAGINEQPTEFCPPVVEGHYSFPTTSDFLISNTMAGEAELIGVNSLFPFS AFLNEEFIENDLNPMRSANNDSLQP ANIA_10332 MVAPDVPEVATARVATGRFISVFRSIPFQIAIASGVSFTAPGMW DALNNLGAGGAAEPYAVSAANALLYGLFAVVCVAAGAINNRIGLRYGLALGAIGYPIY GAGLYTNNYSPTTWFLLFGSALCGISAGFFWAAEAAIIIGYPSPQDRAFYLAIWQTAK AAGPIVGGAINLGLNAQNSGQGSVSASTYIVFIVIMCLGLPIAILLSPAHKVLRKDRT LVLVHKQASWAAEFKAAFALIASRRVVMLLPAFFISYFYNGFQSTWLTSYFTVRSRAF SSFFTNFAGIISSFLIAGLLDRQSIHIKTRARVAFAAIVLVLTGTWIWATILQYQFYY DLPESPVFDWFKGGFGKTYALVFFWTFAGQAFQQFLYWLVGQYATDLSSLSYHCGILR GFEALGQTVAWAMQSEGNANHFVSIGLNFGITLLSVIPTWIVISELEHSHEVQVVVED TKTAGEPERTV ANIA_02640 MSVFENISNVSDRHSQILAELQTVGNAPSDLKSHRAFLSDLKRR LAKTNRQLDDIRQRTQIERERHEKYRDSTVRRLMYRATGKRAAFEEKADQEMRDYYSA LETENKIKGEKEMLETQIREATEEQKELEAASTKCASLNEELEAMYRRLFEGPTAEFP EEDEQENVTKAAEIHHRELKSQVNNTGKAAQCLARAQLTIKEALLHIFQARRACERDM FGFGGLLADFQQQNHLSLAQQKVSQTQLLISQAIRLDPEVRPLPRMGIVQIDMISGIL CDNYLFNLGFLKMIQDSYDEVQNAEGFLTGQLRQAKSRESTLRSQLQDAARHLENAQK ELRRIREEAFMKAADPPPPYVENAARTMPVGE ANIA_02639 MVELPSSTNTVSVSVIDPGVRLDLPASWFVVPQIKGHDRLIVPS YSFLINHPSGQKILFDLSLRTDFENLAPAVAQRLTDPTKPAKITAPGDVSKILVENGV QLDEISAIIWSHFHFDHTGNPLLFPQSTTLVVGPGFTTSHGPGYPAKPDSPVLSSDWR GRKLQEMDFDNDPNGTLSIGKFKAIDYFGDGSFYLLHTPGHCPDHMCGLARVRPDSFV LMGGDFAHHPGAFRPTELNPIPDIVRPNPLPRNPRYASICPGDIFESLGHQPGNTCNP FYRPTEEFNHDLDQCNATIEGLGEFDENDDVLVVIAHDHSLLPIFTGEEDGDQGWLFP KRSLDSWKEADLKNRGMWRFLGDFEEAVADV ANIA_02638 MTVINEEAISLPILKEINNIKNQFKVDTVSLKHITDHFVRQLED GLARYDGEIPMNVTWVPSFPTGHETGRYLAIDMGGTNLRICDVTLTEEKGAYTIEQDK YRLPIHLRKGKGVELWEFIAAKLEDFLAKHKLAREDGEKLPLAFTFSYPVTQDHIRHG VLQRWTKGFDISGVEGEDVVAHLEEVFEKRNVPVRLVALVNDTVGTLIASAYKNPAIK IGSIFATGCNAAYMEKVSRIPKIADHGSEFESDALVSINCEYGAFDNAHKVLPMTRFD EEIDQTSARPGQQAYEKMVAGMYMGELLRLLLLHLHESSGFFTDAEIDRLRGYGTMDS ASLSRMEAGGSEAERMSDAKCILKDLYGIEATDEEARVCCLLGEIVCTRAARLYACGI AALCRKQGISECAVGVDGSTFEKYSQFRERAVDALGEILDWPEGQQLVKLVTAEDGSG VGSALIGAITLNQ ANIA_02637 METITAQAIRDMAALRKPPAPWTLDEPYPQSYYSFNPTTSAWVS KTPSTAAEQSSPHAGAVAAIALYTWNIDFMLPFAAARMRPALAHLHELIRDLHQNIAP VIFLQECTPSDLGTIAATPWVQDHFHVTDLEPTNWATSQYGTITLISKRVPIVSVFRV HYSHTRMDRDALFVDITIGHEKRMRLCNSHLESLALDPPYRPLQMQLIAKYMHQDDIN AAVAAGDFNAIQPIDRTLHTDQNLKDAFLEFGGKEDTPEGYTWGQQAATAQREQFGCS RMDKVYFCGCLKLLKVDRFGEGVLVEGDEERRQIVGLGFEKPWVTDHLGVMAVFDVGL TMDSQL ANIA_02636 MSVIAKLFQSSDVVPTGLIIRDGFNIARYRTAGEPEIATWDYTG DQDDEPAIECLVLEECRKNSCTLALRHPKERGDDDAVHDPDFEPVEMDFSNDPYECDS EYESADAMSVSESEEENEECSDRKVYEDFLSRTIDRPHQLRGEPVGAFAYSTAVKMDT LVPITSGELPDNYDPEGLEHLPGAACGEANAYSGAAISLAEMRGCRTAQFLVHKSCAL GGWQPDGLHEAWEMTEDWFLSGVCDGMISRDCGYPTVWPARGGLEKVASDNVNFDPEH FDSNKLAMPFHPWCFDTFSRQSKALFNRVNVSGLMKWRNAECSYEAFFEFPRIADVFE AQEQFWRHVPGQEYLSANPLYVPGLPALLRAAERNDTTGYETASVSASFFQTNRLASL PLDVRLHIIDFLESADILRLRVVSKAFAQLPNSVWHRLVRGEMPWLWEAWDDSEIDHT PSPWTTMTANEVKFFNQTRKRYHKVLRNESTTVDTTVEHLLPRPILETESVNLTKANT NWHTVYTTIKRNWACLKGLRNRKRIWEDVAEIIRRIQKYDEQ ANIA_09531 MSSQTKTIAVIGATGNQGFSTAESFLSIPNWAVRALTRNPDSAT AQKLASMGCEVVQADLNDMSSLDTAFKGVHAIFVNTDFWATYTSDPARDSFAAYAQEV RQGKNAAIAASKVPSLERFVYSALPSFSKASGGKYSKALHCESKAAIVEYIETSRDLI ELAKKSSFIYLGAYSTHPIFTPRSLSEDGVYQFIIHVRPVAKIPIIDAPSSTGRFVKE LVLNEEPGTKLLAYDTDSYLSVLEAVEVWSRRTGEKAEIITISAEEMRERFGIPMEVL DAPLAIDEFGYMSGVEGYIEPKDLTSTVVTRSFEEWLNTRDWRELALAGEKELEGVKI ANIA_09532 MVESGMSLIDVSEVEKSQESRIRVILRVRVYDTRLTQSEYRDKA RSSETDVVVGSSGLPWDAWQRARLYYTHPQGGPTLDHHNPSSISPTGDLRGQTGAVYD VV ANIA_02635 MDFWGPYSKAKTLERYYLSLTDDCTRFSWIYLTKDREAATVKAT LEQWLALAEREKGVKLLIIRTDNAREFKALEPWALKKGIQIEFTEPDTPQQNSMAERL NQYLLEMTRAILIDADVPKEYWPVPEASSGTRGGIIEALGANNTGDRDDSIDTAGTGG IGGAGGAEDDAGDNAEDNTGNIKNNSKQTEAIYGQKPRAQRHREEREVLKDPSLHLSV EQQ ANIA_02634 MVATLIARIKYTFGISLRASMLYKKIILGSLTCLLTSLQQEEKA DLLIQADKQKASIIFHLGAKVNYLASYSAHCKDNVLGIVNILKFAAHKRTKQTYYTLT IAAYSPTGFVSDTKFLPEDTCPVSHSTALSYNTGYVQSQLIAEAIAWNTIDNGLPITI YCPGFVLGDSRTGACNPDDFISRVFTSCMELGSYLLLQSQRKEFVPVDFVAKSLLHIS KEPGENLGHAFILIHPDPKSTIDMCASFALLNHISPCSMHGVPYARWVQSLSMRSADP LYLLMPMLSETVLGERTRWELYEGMAEYGRGNLHRALTGAPDIRDCIDIDQLFEQCLK IWLALVDRNRLYDLPPDHGAMLEGK ANIA_02633 MVLPLPTYPTPTPEFACEKVRGRHSLRLSVYIGAVKGYLGWDIW GPYETHPELHILTAKDAPFAAIQRAGHGDLVQTPEERTYLAHLMGRPVGQKRMCVLGR ETSIQEVY ANIA_02632 MWREVAAQCALPSSYSWSSTNALASPKSGWAAIKDFTSVIYNGQ HLVYASFADTSGNYGSMNFSPFSDWSDMASASQNAMSQAAVAPTLFYFAPKDIWILAY QWGPTSFSYKTSSDPTNPNGWSTPQPLFSGTISDSATGCIDQTLIGDSSNMYLFFAGD NGKIYRASMPIDNFPGDFGTESEIIMSDTSNNLFEAVQVYTVDGQNQYLMIVEAIGAN GRYFRSFTADSLDGAWTAQAATESQPFAGKANSGASWTNDISHGDLVRSNPDQTMTID PCNLQLLYQGRDPNASGDYNLLPWVPGVLTLQ ANIA_02631 MDNYRINRYDLNNNFSVYTNRLIQGGLRYQKLNLFTVGTLTLSK VGFLPAVDDTPISKLPGVFRCSDPVLTRICEVGARTMQLTEFSAGSLPDFWMITEEGA LVESQTPQPFSSDYAPGLTAFELFFPVKVIRNGFEFTVLSGILGVGIYIFANIANCSI SAHTGSTVLDSVPLAFAALPASVALMLVTLISPRQFLCEYGWSRMLPGFFSPTAKVRQ EQRLTDIPANVTGLIGYSFNLVSAMSRYYEQTGDRSILVEWAPRDVRVSDWAHSQLLP NRLLSISTAAIGRYWNYYDPQVGGVVAKFNLAYAYALSESIHLLADVGLNVTKHTQRL CELRASVNKHLWSNNLQAYYHSDSYPGFISQEANALAILSNTIPTAAFHAKDSESVKN LLKTIWAPMRNPQNVNYTGCFWEDLNEDGTPGLGLGTTLCHAWAAGPTADLSRYVLGI MPITPGFRDWKAEPQTLDLTWAEGRHPVPQGAIGVRWRFSQDGLLQMTVTSPPRTKEI VYLPAPLKRDMREYRVTGGRTNEDGSFTVQSRTFISPTNCIRNIAFIDILPHYFTYPA TL ANIA_02630 MDDNIDPVNWEEDINDILSDMFEEEAREDVIEDQPTTLTDLTDA KLHPLQILYIEYIDDLPEYPKTYINSHMYIIAADKMSQSEAEQQVQDYSHSSLYRLRH INSYFLNSWQEIQIIQKDIDLVENDIQKRNVFRNIITAL ANIA_11357 MLACGVAWQVWLIINQKRIMISYVTYLQLLLIKAVQNSAKLDKQ DII ANIA_11356 MTYKGLFSEQDAHQPVAIKDKHEAVQQQIISNLQAQSKSDLSAA LPSLPRKKDGTVYISNMRKKIKELGYIIPCNKYPSAKDCCKDQNTSLRPAAVP ANIA_02629 MEPRTIDLVIIGAGWHGLAAAKTALALNPDLSLLVLDSATSIGG VWAEERLYAGLRTNNLLGSYEYSDFPMSDNVMPGLVEAGQHIPGRAVHEYLKAYADRF GIRDKIWLNCKVESVEYIKGYAERKEWVVRYINAAGTGHAKDGCIRTRKLILATGLTS QPRIPIFAGQDSYGAPLFHVKELALYQNILFSKTNDKTEDQNMGTEEEEEDYEGTRDD QPPLTVLGGSKSAWDTVYACASRGHRVNWVIRSSGTGPSWMSPAAVFSPLNLLLESLP LVRALSWFSPCIWVSSPIRRFLHGTWLGRIIVRLFWASLEWDILRVNRYSDHVETAKL RPWIKPIWAGTAVGILNFSHNFFSLIRQGLVKVHIADIERLERHTVVLSNEDKLYTRA VILCTGWKASPGIRFLPDGIEQELGLPWTADSLDPELIEQTRKNIHTRLPMLGTVPEA RSYHDKKDVNETVLHPFRLARFIVPPSLWDDHSITVLGTVTTFNTPLVTEVQALWVLV YLNHGNELHYDCHDKESLLRETALHTEFCALRSPADHGARNADFVFEVLPYLDMLLLD LGLRTARKGSWWKNLFVPHQPRDYAGLVEEWKGRVSVANKGGRIKID ANIA_02628 MPAPHPNELRVQVLSYWALGIQPPDIAKMLQINVRTIWDMIQKG QDRGYNPAQSMRVKLEYVEDGKRSGRPKEISEATDMAVLASVKQDRNGREKSSEILAF EAETAYQHKKSEVEIAALNAELEPILREEWEIETRLKRLHLRGVPGRVPTWRFTEKTG KLVRKSKGGVDWWRYQQEILLPHLIPFAKACKIERPDTKVLEDGAPAHKHHAQRRIYS IHEIEKIFDWPGNSPDLNAIEPCWMWMKKRTTSRGAPRDKKTGKTAWIKAWNELPQEK IQGWIERLIRHIQEVIQHDGGNEYKEGRTDHDARSWKGRRIKGQLSARQDLSPDP ANIA_11355 MNILSIMCEKSIMKAKLFQSLCSFEVDMSFKRRIMEKAVPTIFY FKKVFEIIHDLSGYLVQFFYLHRTGIETIIVDMDEGQRDVRFGKMPTRA ANIA_10330 MPSIRDNDLRKSPEYCHYLEAVKDRELTLPDFKIDDNGMPDIHP YKVYCQVKGCLKHTVPSANRNILVKYLKDKNSHGMEFTLHNRPPTMKELMEAKGKSTS KLHKFLNEGEAGNDQDNGKGL ANIA_10329 MAIPRPSTPPEAPLEVTEISERSQSSRWLSRDDRIRILTLRDAG FTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKLSEEGMDNIITFISSSQRTRR LSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPPLSDDTKRVRLAWALEHVNWT IEQWNRILWSDETWVTPGFHTRIWVTRRAGEELDETCIRLSTPKKRGWMFWGSFYGDT KGPCLFWEKEWGSINVESYCERIVPIIDGYLRLNRQQGNYLCLMHDGAPGHASKDTIA ELHERSIYPISWPAFSPDLNPIEMVWNWMKDWIQERYPDDRQLSYDALREIVRASWDA VPTDFLKGLIGSMQARCQAVIEAEGGHTKY ANIA_02626 MLLPRHFRRLVAVAVLLMILISYRLLRKSHGPSAADARAQYPRI AKYIEDAKGSGGAWHLPQTWMQDSNTPLNDIVAAAQFALNRSNTEPSGCLPHSNIPRI IHQTWKDTQFETWRPKYQASVEKWLGVVEDEDISYIFWDDAGVAQFMRVFEPDLEAEF YGLPSPVERSDVFRVLVCKWIGGIYVDMDTEPLQSPTEWITTTDLLPWTDFKTNKLYH STQAVNAIVGIEADTDPDTDAYWRMGYFFPVQLTQWAFAFAPHQPILQLFIDRLLETI RLARDQQLPDSEQQQAGHVLDRIDPVNLTGPIAFTDSVRTYLGQKADLRWNALTGLHD DGKTKLIEDVLVLPITGFSPGRPHFRNMGSKPITDPSARLYHHAEGSWRHWSLRVEIG KFCRTAFGLCRDWSKVPDADSWIF ANIA_02625 MTTASLEGQGTAAHHSEISPTRHGDDSGVGSAQNANDEHVYIAD GYHIVRRMIFIMTVCSSMFMNQLGLCNTLTTPEIIGESFGVTDPGNLSWTISGYGLTL GTFILIGGRLGDELGNKAIFIIGMGWLALTSMMAGVSVYSSYPVYILARVLQGLGPSL TVPNALAIMGKCFSQGPRNMGFAWFAASAPVGAMTGLLFGPLFAMAWWPWIYWSQALG VAFLFVISFVAIPNMPVEGEPKRRTIREVLNRVDLLGGASGVTALILFNFAWNQSLIT MWDEPYVYVCLILSFVFLAAFFYIELHLARYPILPVAILTSDIAFVFGCTAAGWSTFG IWLFYVIRICVNIGGQTPIQLAAWLSPILVTGISTALIVGKIVDKVPASSIMFFAMFC YFLTSLLMALRPVHSTYWTYFFFATIIATFAMDSSLPAATIIFSNAVPRHYQGMGSSV IMTIVVYSISLGLGFAGTIELQINNGGHTKADLLHGYRGTLWFSVGLTAFGTILALIF LLKDHRRRQLMQ ANIA_11354 MAPIVHFASSLKKSSESFYTWCNDFGRVWPKAHVSCDMSNAINI LENSVPDAGGIPI ANIA_02624 MAESAFLLFLRLSAGFTSASLPAQYPSLFKKRIMSKKTIYSIWA RMDIAGCATGICGHIIFNFAENIAPEAQWQGAYSYILLVVSLCGHDDLCSLHTRHFLC HHAYQTELLGAAVCIDISNALRNGNVFSRMQCSSFQRDTSRTSRSHEAKRVDAI ANIA_02623 MLHVTCQGTPSEIGYHHGSAAKGEIAKAIDFATGLIHGKTKKTQ AELEQLLRELEQVMKQRWPRYYEEICGIAKGAEREVSEIVMLNTRTEFAYGLVEARDG CTTVYCKTPNGALQGQNWDFFTATKENLIQLTICQPGLPTIKMITEAGIIGKVGFNSA GVAVNYNALHLHGLRPTGLPSHLALRMALESTSPSEAYEKIVSQGGMAASAFIMVGNA HEAYGLEFSPISLCKQVADTNGRIVHTNHCLLNHGPSAQELNPLPDSWSRHGRMEHLL SGFDGTKEAFAKLWEDEDNYPLSICRAYKEGKSRGSTLFNIVFDHVGRKATVRLGRPN NPDETFVMTFSNLDTKSAIQANI ANIA_02622 MGSVSKANVPKIDVSPLFGDDQAAKMRVAQQIDAASRDTGFFYA VNHGINVQRLSQKTKEFHMSITPEEKWDLAIRAYNKEHQDQVRAGYYLSIPGKKAVES FCYLNPNFTPDHPRIQAKTPTHEVNVWPDETKHPGFQDFAEQYYWDVFGLSSALLKGY ALALGKEENFFARHFKPDDTLASVVLIRYPYLDPYPEAAIKTAADGTKLSFEWHEDVS LITVLYQSNVQNLQVETAAGYQDIEADDTGYLINCGSYMAHLTNNYYKAPIHRVKWVN AERQSLPFFVNLGYDSVIDPFDPREPNGKSDREPLSYGDYLQNGLVSLINKNGQT ANIA_02621 MSPPGLLSEDGPGYSGGYADPTVPKVNWKQSNGKSAGGNGDVDA GNGNIDPSKSGVGVQVCFAGGLEGWKAGISKITERCDLSSIATNSTKYQLAVTGFSDG PDDYNEYSVPFPSEVLVAMEEMCLARDISMRSVIQFAVHYVLKGFGGGSHTVAASIDV GDDPNNIATSYTITPSIVCHESRQGQTVMQEIQSMEKLNQLRKQEMHPGEAGLSLIRM GLFDILVIFADANKCEGLIAGLPLAVMVCEGGGRLQVRIHFSGSLFRQKTLVDIAEAL NVLFAKAASGGATPVRDLELLSAEQKQQLEEWNKTDGEYPECKRLNHLIEEATQLHED KVAIVYKRRQLTYGELNAQANCFAHYLRSIGILPEQLVALFLEKSENLIVTILGIWKS GAAYVPIDPTYPDERVRFVLEDTQAKVIIASNHLAERLQSEVISDRELSIIRLEHCLS AIDQQPSTFPRANLRDPSLTSKQLAYVTYTSGTTGFPKGILKQHTNVVNSITDLSARY GVTGDHHEAILLFSAYVFEPFVRQMLMALVNGHLLAMVDDAEKYDAEKLIPFIREHKI TYLNGTASVLQEYDFSSCPSLKRLILVGENLTESRYLALRRHFKNCILNEYGFTESAF VTALNVFEPGSARNNTSLGRPVRNVKCYILNKSLKRVPIGATGELHIGGLGISKGYLN RPDLTPQRFIPNPFQTDHEKELGLNQLMYKTGDLARWLPNGEIEYLGRADFQIKLRGI RIEPGEIESTLAGYPGVRTSLVVSKRLRHGEKETTNEHLVGYYVGDNTSVSETALLQF LELKLPRYMIPTRLVRVSQIPVTVNGKADLRALPSVDLIQPKVSSCELTDEVEIALGK IWADVLGAHHLSISRKDNFFRLGGHSITCIQLIARIRQQLGVIISIEDVFSSRTLERM AELLRSKESNGTPDERARPQLKTVAGEVANANVYLANSLQQGFVYQFLKNMGRSEAYV MQSVLRYDVNINPDLFKKAWKQVQHMLPTLRLRFQWGQDVLQVIDEDQPLNWWFLHLA DDSALPEEQKLLELQRRDLAEPYDLAAGSLFRIYLIEHSSTRFSCLFSCHHAILDGWS LPLLFRKTHGTYLHLLHGHSLRTLEDPYRQSQQYLQDHREDHLRYWAGIVNQIEERCD MNALLNERSRYKIQLADYDKVEDQQQLTLTVPDASWLSKLRQTCSAQGITLHSILQFV WHAVLHAYGGGTHTVTGTTISGRNLPVSGIERSVGLYINTLPLVINQLAYKNKTVLEA IRDVQAIVNGMNSRGNVELGRLQKNELKHGLFDSLFVLENYPILDKSEEMRQKSELKY TIEGNIEKLDYPLAVIAREVDLTGGFTFTICYARELFDEIVISELLQMVRDTLLQVAK HLDDPVRSLEYLSSAQMAQLDAWNATDAEFPDTTLHAMFEKEAAQKPDKVAVVYEQRS LTYRQLNERANRMAHQLKSDISPKPNSIIALVVDKSEHMIATILAVWKTGGAYVPIDP EYPDDRIRYILEDTSAIAVISDACYLSRIQELAGESVRLYRSDISTQTDGNWSVSNPA PSSTSTDLAYIIYTSGTTGKPKGVMVEHHGVVNLQISLSKTFGLRDTDDEVILSFSNY VFDHFVEQMTDAILNGQTLVMLNDAMRSDKERLYQYIETNRVTYLSGTPSVISMYEFS RFKDHLRRVDCVGEAFSQPVFDQIRDTFQGLIINGYGPTEISITTHKRLYPFPERRTD KSIGQQIGNSTSYVLNADMKRVPIGAVGELYLGGEGVARGYHNRPEVTAERFLRNPFQ TDSERQNGRNSRLYRTGDLVRWIPGSNGEIEYLGRNDFQVKIRGLRIELGEIEAVMSS HPDIKQSVVIAKSGKEGDQKFLVGYFVASSPLSPGAIRRFMQSRLPGYMIPSSFIPIS SLPVTPSGKLDTKALPTAEEKGAMNVLAPRNEIESILCGIWAGLLDISAQTIGSDSDF FTLGGDSLKSTKLSFKIHEVFGRTISVSALFRHRTIESLAHLIMNNVGDIQEITPVDY DNRRKIAVSPAQERLLFIHELEGGGNAYNIDAAFELPPYIDQSRVEEALYTILSRHEA LRTFLLRDQATGTFYQKILTTDEAKCMLIIEKSAVSTIDQIDSIVGRLSQHIFRLDSE LPWLAHIVTHKTGNLYLTLSFHHTCFDAWSLKIFERELRVFCASNEKGGNMPILPMPQ VQYKEYAEHHRRRLGKNQIQKLSDFWLQRLDGLEPLQLLPDYPRPAQFNYDGGDLSVI LDGVVLETLRGIAKDHGVTLYAVLLAVYCLMLSTYTHQVDIAVGVPISHRTHPLFQSI VGFFVNMVVVRVDVKDFAVHDLIRRVMKALVDAQLHQDMPFQDVTKLLRVDNDASRHP LVQTVFNFESDMDKEFETTPSIQDTATIAPYQSVQRIKSVAKFDLNATATESGSALKI NFNYATSLFRKETIQGFLETYRHLLLQLSYLGSQGLKEDTKLLLVRPEEMSGPHLPLA GLSNGAETLEAISLSRAFEFEAFRVPDRAAVVQGDKSLSYTELNKRANQLARYIQSVA HLRPDDKVLLILDKSIDMIICILAIWKTGSAYVPLDPSYPKERVQCISEVVQAKILIT ESRYASAWGSQTSTILAIDSPKVSNMVNNQATHNLPNIAGIKNLAYIIFTSGTSGKPK GVLVEQGGVLHLRDALRKRYFGIECNEYHAVLFLSNYVFDFSIEQLVLSIMSGHKLII PEGEFVADDEFYITANGQRLSYLSGTPSLLQQIDLARLNHLQVVTAAGEQLHAAQFNK LRSGFRGPIYNAYGITETTVYNIVSEFSAQSQFENALRELLPGTRAYLLNHATQPVPM NAVGELYLAGDCVARGYLNQPVLTGDRFIQNPFQTEQDIASGSYPRLYRTGDLFRCRL DRQHQPYLEYLGRADLQVKIRGYRIEPSEVQNVLASCPGVRECAVVAKYENTDAYSRI AKFLVGYYTPDTETVSDSSILAHMKSKLPAYMVPKYLCRLEGGLPVTINGKLDVRKLP DIGNPQHQISYNPPRDVLEADLCRLWASALGTERCGIDDDLFRLGGDSITALHLAAQI HHQIGRKVTVRDIFDHPTIRGIHDNVMVKLVPHNVPQFQAEQQTVLGDAPLLPIQTWF LSKSLQHPSHWNHTFYLRTPELDVTTLSTAVAELQLYHDAFRMRLRQIDGRTVQCFAD DISPVQLRVLNVKDVDGSAAIDQQLQKYQSDFDLEKGPICAAAYLHGYEDRSARVWFS VHHIIIDIVSWQILARDLQILYEGGTLGRKSSSVRQWAEALQSYQGSASERAYWEGLL AQTAANISALPPVTGTRTRLARTWSDDRTVILLNEASNQNASIQDLLLAAVGLALQQV TPGSPSMITLEGHGREEIVDPTLDLSRTLGWFTSMYPFEIPPLNVETLSQGIASLREC LRQVPARGIGFGSLYGYCKHQMPQVTFNYLGQLTSKQSITDQWALAVGDGEMQYGLTT SPADRDQSSFAVDITASCVNGALSVEMNSAWSLEKSMRFISRIEEVLNMILSGTLAQQ ATPVLTPQVFNEEMYTPYFEFSKTPRRGPILFLLPPGEGGAESYFNNIVKHLPTTNMV VFNNYYLHSKSLNTFEKLAEMYLGHIRQIQPDGPYHFIGWSFGGTIAMEISRQLVGLG STIGLLGIIDTYFNVPGATRAIGLGDTEVLDPIHHISQPEPADFQCLPASTDYIILFK ATRVNDKFQSENQRRLYEYYDKTLLNDLDWLLPGASNIHLVRLEEDTHFSWATNPRQI AHVCSTIEKFLARY ANIA_02620 MYRTEQSISWELVVSRLPGRLLVTTALNICCSFSSNCTRSGQLL EHEVRDWLDENSTVDLAEQDYGQVRLLMTSPASHDGRAKYPEGNFEDVFGGVINKPAT DGLGLEDETIQYLSKLLNLPWPLSILTGYSSGIFTKFGHENGAGGLDGEGIFSILARA GMVIQTPHSTPIKCLLALFHDIENNLTTCFLHSAFPAHLFHFRDLVPKLLAKSNRPMN VFPVVFLKMYNECIEGYQKIQEGNIVFLEEAIGQTDYYQYKPSSARMPRDMIKTINGI SYDLSRFEQFIDFECRLHGFLIDLSNSSRSEFELSRDPNNSRKLAEWLQFIGCVALGH KQAVSSYRQRVQAQNMVLYSMVSQAESRLNYQVAASTKQDSSDMRAVALLTMLFLPGT FIASFFSAGLVATNPGSTPTTNKYLWIYWVITVPLTIIVILIWKCWSRKQAGGTNNLL WSIESSDPRYSPKITDSQLKIILPTPVTGHLKRSGITISRLQSLQPRGPLYGRGQARN CYCTRASRFLPASVRIILARAAFDSIMRQLTEIFLRTVIAIVPLASWVAGEPVQYCRF GHEDKPDATVDFCLGITTYYNASSESHDMYRCMRVTRSSVLGWTAVGTGSVMAGSLMF IIYGDPFSSEHAAPTVSLRTIDGHHQPKLVSQADMEGADLRLLQPDWVSVNSTETDDE RLDSKRDSVSVAKVAIMCYSCGKWHGAPISADAAAQPWIWAWNNFQEFDSYCCNSAKF NWVMNYTEDVHLKMHEHHAEDGGWGRFYVDMARSTSKDNSAPSIPPIRPGITALGVSD IPGGWSWLNPTVHIHGFLMSAAFLILYPAGLVAMWSGSSMSFKYHWIIQLLASLFVLI GGAIGLIRAHKIDSFHHFIGLTGVVCTTSMGFSRWLGRIFLLLGWTNVITGLLLTGHG WSLVPLAASFISVIALALVAWVWYATHQCKQREIRPDWEGEDSPFSLQPTRDDYFAVA ADDDDEHDLRFSSDHSTPVKIRKEDADLR ANIA_02619 MAQIHTVDNTTSPYSYPLKQIPAKSTCMPIPMPAPDLPTESPSP SPSSKPRNPGYSDLASLMYKDKGLSIFRSFRHLNAKNLLYYQAEIVNAEAELQEIIED DATSGDTDREKFASSVRYLKDSQFPPSEQWKKFIELRGLLEAYNAAVLQYASILQLAA PHENDRELLRSWLREWAFCKTGAEFDQWFGERDENADDLVTLLGRYENVDHFTKWVIQ SVIPAFHEWVGWRRTNDRDIEMGIVTYDDKKVKRATRIASTITSSVIPASSMIALYLI KNMITRLIIIIIYNIAFSVILGLLAKARRVEVFAASTA ANIA_02618 MQRDKIKIKARNPDGGKEEASLNAAIDDTLEGNIIARQWSEHLN LENTPFHSFTTLRDSHDVSYTVDSMVELLLGPANDGWTDVAPFYVSSSESGSLSGGFQ VLLGKSWKGKFRLPSDSGPELYKAAPSVYHYKGRDDKEKRQAEARKLEEERRKIAKQN DAEFNKIKKDRAA ANIA_02617 MARCSESVSRVRVTYKALHKLPSNIWSKLMKVVPRHWRGNGSNP HHNGAGQMRSRYTAETGSWDSVRRDASPVNRDAEPLVGLTNLSIGSSHLSGSSPIGLG HIKAPEPSSTANRGSLYKSSTDRGNLTRAPILVQRPGGNPDNAIQMVATVDTGNEGPN LMALRHFKRLNKGGKVVLEPLDAEIEGIGGSRVKAKGMVRGLNWQFKNGFKTYKSDFC VVDMPRYDVLISWGTIWKYKILKPGSDLTHHLEQAALKQ ANIA_02616 MKGRVVVDIRHLNSISEPDLYPVPSQEEILNMLRGKRYITVVDA KQCFHQWPVQPEHRRRLAVISHRGQEVFNVAIMGYVNSVAFVQRQMDLTLHEFADFCR CYIDDIVIASATFDEHLSHLHQVFARLQSLNLSLDPKKSFIGYPSVQLLGQHVDAFGL TTDKEKIAAIQRLRFPETLRQLESYLGAPKGGKDCKDWSVKAKLSKPTYQELAAYQKL QAEFACPRFLTHHDPNQQLYVDLDASADGHGAMVYHIKPDYAHADLTKPPVQTVIQPF NLRIHHRPGNTNLVADGLSRLPHENGKPKEGDMDLDELLEHCLFAPISHCWLGISEVH LNPDFMKRIKQEYRNDTRCSAICRVLRDTKLQQGSQRPHDMPYKLDNGLLFLLKDSGE SWLVIPRGLNQEVFQMIHDNQGHCRLDTAIAKMQGLALYKGVRQLRKYIQTCPCRLSS IPHHKPYGCLNPIRTPDSLYQILTMDFMVSLPTTNKGNDQILVVVDKFSKQIGLVPGS SRWDAAQWGEELILFMQTADWGLPIRIISDQDPRFVAGLWRGMFQALGVLWLYSTAWH PQTDGQTERSIQVVETDAPSARTGTEVAGLLGASTAAYPSSIKQLKKDFNRGEKVLLR LHKGYNIPANKRLSRKLGQQFAGPFKVLQRVGKVAYKLDFPSKLQIHPVVSISQLEPF MEDPYGRWPDKPGPTIDENFPDDDDRYEVERIVDRKPHLVGRKRKPVIKYLVRWKGYG SEDDWWLTKEQLEGAEELVHDYNKNHPF ANIA_11353 MQSQRGDTTIISNRSRTRSRHQQLPQQQQQQQQQQQQQQQQQQQ QQQQ ANIA_11671 MKVLTIVATTVIAGVTGIRDLLAEIIGMVMTGGHIGLISGIIGV AAEIVKYEWE ANIA_09484 MPRQHSCLKPRLGDIPFQVVTQRPSCRPCTSRRPLSQPEAWVII RVSLSGSPKAIEQDDDILDSVVERQPEMGGHFVARITDKDNAAKAPIRDDSLIQVRQA GPQHPRPNILDRDLECQRGGFYHCLLWIVLQGNVVHDPTGPAVNAIGTNGKGPVEHAA VGAGHTDYVLGGCDRAQPGESDWVSRLTGNDVGKEENKEQQLLCHPPRIRGLCAPSAF SRIPALYSITAAQTLPPTDENPISGYYISSFLTTTAGNQYYVITAIIGTTELGAYGIN ILDLNTLQRVVYENTTVYSTTEITSFNFTREDFAFFGADSTNLAIAVRANATASRGDG TTVPVGLELLVHATSRALYYGGTGSWMFIDTPAYSFAFPAAMTSGCLRMSLSDLSMAN KEDADAATTLQPIDPSASVSWYDRSWGHLGLPNGNYTWFSLYLDDSELILVTYLIDDS STSASSSRTHRHTHSRSIHIRPRRNSSALSLEPIDVFEPDLSPDTIWMSPRSGRVYPQ QWKLGIEGRGLLSIRSILGDQEMFGRGQGGESYSGLTQSPAEQPAG ANIA_11673 MASDSFLSLKVSDLEILSYENAETWFTKMQAVLDGKEIWYPVQD IYLIRQGLDPLPDVDEDDEFFLPP ANIA_09508 MHSMPVVFAAQNPSAVKELHATIQCGVRPKGAGANKKSNNPAYY GTKYPWVNEADGLNQDAVHDGFHLSSYLITAKYLFFDTEEGATALNLTTLPSDNQCSQ ASGFVFPPLVSTLDIPRDFPDHSSLPSTCAQFASLTLISATEVSPCRVNISPESERAF WPTECHNTLLPVSGCLDRTTKDDITGRDHGRAAWAAITLTFALIFGLGLVGY ANIA_09056 MGLFSRDRGEPWDLGIRGLSLAVSGRTPTTIFSSGLTIYPSQCL PQDSMLDCYRDRVAAAAMALQRSRMNPRAAIFLNDSHKAVSVQIQPSAAMSSPIPVGV FFNIFALRRIRRRETTSSSTDLDSQEIPNVCYETCNGAFLEAAGRGKMAAICADGSTF SDLVDQCRQCIELFVNSPSSNSTASASSGSTTAELNEFIAYCDEQGGGSGNSNVTLVK EIDSLLASYSSLSSSQSQLQASLSSLGYTGDFPELTSATATGDVTASSTSMVGPTATA GGEDRSFSNEGGTGTEDLPSSDTSDINIIAPAVIVPVFALLVGSVLAWALIRRRRKRQ GTEEIGAAGDSFDDKAQLHADEFRPELDGLAIAKKRLVNMDEDLAELPAREPIGAELD GLGRIPSNLGMYNHDRLGALIQFFNITKKPKEFIGSWDNRIVNALNPSSMLTSQEQRD PSPGQLHRIGASGNGELYSDSLAMRCSCTVVHKSVMNAQRGKGRRKLHLDLFNPTHET RQEMGWLDLSFMQCRLSALRFKYSMIL ANIA_09055 MQCCVKLVILISHADEIYENPTIFAEQRMYGRGSSVQHQTQTQT QTVAHELMFGKVVQLGVEYLFPGCGDKDPTLGKRDLFVRFQSSVYQPRKMVRYDVHES VRIEDKTLETGVEYLIPSPTETGAGSCIGFLDGTELRSLLKAKNPIP ANIA_09054 MRSSIALVLAVGTAALADFTFDELWALQNTLWDNFLYPANLQQI NATDTSVFAENVQGRVDITRTFDGRELNNEYIFGLFSDPSHLSLVGIPISYNITQFAA NQNITSATTVFTFNATSFNILVPITIDTWILYNADGKILQYDATFRWFGWLLDTLLEA AGTGIFNTTDPAETQRALGNLLATTICQTHGQHCVDDNQQYNSNEDCVRFLTEDIRFG SAYELGRNTLLCREVHEHMVQYRPTEHCPHIGPDGGGYCVDDKDYAEVVTERYFREPF VPYGYGDTNLWVAA ANIA_09053 MAEAIKRAEIYGIGMVSVNHSNHFGMAATYVLQALQANMISLVF TSSAKQMPPFGGKETPLGIAPFAAGAPSNREVPYILDIAPSTPMSPQRKYGSDRRTKG LGSGIAILMDIMSSVLTVAGFGGQVGDRYKDAKPQDAGHCFIAIKPDVCFSVDDLKAR MDTLVQRVHGVIPAPGFSEVLFPGEPEQRLCLQRRREGIPYADAERKMFTESAKQYGV PELLLSETPLSV ANIA_09052 MRTIYITALIAFLALEAFGYPTDTDAVTEPAFPGYEDGTTTFQV VPYPDADKITLSGTMQEVHAKILEINPIYEEDWKNVNNTYSKPEPRSDKPPLLNCDGR NGYAKIDKINDGISYLRKFKLPPGLEGNTCQMVSCSYDSAISWCNALPTLRVLPSFDN IADGAQVILNWCQVDWDNVGGVLGHPDSWRVFVDKEKC ANIA_09051 MSIPTRALGRNGPEVSSVGLGLMSIGGIYGAAPSDEDRLALLDR AHAIGQWFWDTADVYFDSEDIVGIWRAKNPIKAKDIFLASKFGITMRKDGSQTVDTSP EYARIALKRSLERLQTGTIDLYYAHRVDGKTPIEKTVEAMAQFKKSSRLPLVFSRTNT NYREGKIRFLGLSEVSADTLRRAHAVHPITAVQVEYSPFTLDIEDPRVALLETCRELG VAVVAYSPVGRGLLTGRYVTRESITKDFFLSVLPRYSEENFPAIQRLYESIKDVAEKK GVTPTQATLAWLLAREPFVIPIPGTRSIKYLVENTASAQIQLTDDENRRITEAANATK LVGARYPAGFPENYEFGTTPEL ANIA_09050 MAKRKSTPRTRSGCRTCKVRRVKCDEQRPSCRRCLDTGRACDGY GIWGQPKSTRLLPSPVYPLRTLPGLAEEERRCLDRFRVLLTDKLTRPFGSHFWSSLVL QLSVSEPAVLHASIALTSAYDSFALAQIRENVAMVAPAPFLLRQYNYAIRALLTNGAL ESATSVRVATVSCILFICLEILRGNLDAMKVHFAAGIRLLYQLQRQSHRSAATTGTVL VKHDPELFDDHIIEVFARLNLQFLMLGHGPQQKESFVPSFRYGRASYLPRRFSAADEA RQALSPILLSVMHLVMQVERLTRSTHAHPPSPSAVMLEEQKSLQAAVEDWIASYDNSI QSAFASVARQHRLALLMLRTYADVAIILLSTCFTVKETAYDAHLHVFKSIIQRYSDVH STDCPLQSDTCEVDPTFMIDSGLFPPLYFTALKCRNHATRHQALAILRQYVHMEGPWT GPMLARVAGHVVNLEERHFGDILAKATMSTTVSTVLPEFSRIHCVECKLPAQRHQGSN IASLILRRFRHELGSAASWWIDKCTIDLSS ANIA_09049 MSDFDALLAEYTNRENPKAHGVICKCVDRNGNEIYSKVAGYDSL SPDAQPLREDAVLRIASATKLITSIALLQCVEKGLVGLDEPISRVLPEFADKQILTDV VGSDLVFGPSKVPVTARHLLTHTSGLGYYFTNKLLSLRAEALARAGVKPSLRITDRFD IPLVFEPGTGWLYGCSLDWAGVAVSRLNGGISLEQYMVENIWQKLGLSAPFPHFNIAR HPGYLARAMGGAQQTSDGRLERLDTWSFDNPEDQDGGSGLSCTAKDYVAVLADLVSDS PKLLRLETIAEMFRPQLESKSASVDMLLQLRFAWDIVSGPVSGDAVNHGLAGILCLDE VAEIGQPRGMLGWGGASNIVWWVNRERGVAGFFATQQMPFGNATIKNLVNAWKKDFWA GFNA ANIA_09048 MALALEDSLWNPTVLSPELILSMQEYLSPALRQPPLPDSEKKRL RELSRYYCAIRPSSVPQDPDATLTQSEPENEPGLNVAQLPSDITLTALTQLGVHRLGC ERSFASLIDGHSQYIISEATASISLRDREKHSPNDGLYLGNTTLDLVWGVCPHAIKLF SGYDVPHLKNTANVTANPTRYIVRDFTQEDCFKDRPYVTGWPHMRFYAEVPIYSPSGH ILGGYCVVDNKPRQDFSEDEVIALQEISDAIARHLENVRTVHYHRRSDRLIQGLTTFV KDRLHERSPLDAPAPPRAAETPSLERLGLDELPSSTEVTGGTSLLFSTQNAARKSTHA TSLSGTLHKAVSSPLLNEVVTDTGPSQDTVPLRMNDNSSAKSTPKPVAKDKVPFLRRI ENIYAYASSLIRESMDLDGVMFVDASRCNSGSVSLKADMLNWEPLPKNADPRPRSQYS NSAVADETICDTLGLASNETDTQHGIPDSLLRELIAAFPQGGVLLPDADNDNSQLPET RLAKSFPEAKSLLFIPLWDWNKGQWLAGTFVWTKDSEQERALGVDELHYFKVFGDSII SEIARLDWSLKEKSKFGLISSVSHEIRSPLHGMLANAELLSSSPLQPEQQQTVKALEM CGITLLDTMNHLLDFAKINNLASINQTASSVTSLLTSFDLDVLIEEVVSSVFSGMCHA AIALTPPESPSNPDTAYKQDSGFVEISVSLLDPPVKTDPDSAVAHLRFTDTGCGMSQE FLRNKLYSPFAQEDALAEGAGLGLSIVKQLVSFFKGSIDVKSEIDVGTQVDIQIPVQL APDDFATGAFGPELGMGLRETTFSLIGLDAYPELSEEPTGSLSSEAKRRICLQSFFTN LLSGKPNWKISSTATLAEADGEIAIVSEAALKQLFVDESLRRRAEKNQTKFVCLCDGL PTLNANGPGGAQVIRLYQPYSPRKVLQAIESVMQAKPQPFAGADDQSPPVSKATPPTN PTNDSAPPASGTHGNKVLIVDDNEINLKVLARLMSKLGYQHTTATNGLVAFTKYKESP NSFSMVLMDISMPVMDGIDATRNIRSYEWEKQLSSVKVFAVTGIGSAAMQHEALMAGV DEYLVKPLSLGQLGKLMKVHL ANIA_09047 MSRPSSPPFAPKVCSTCRARKKRCDKALPSCGYCAAKGLQCQYH DERPVGLDLIPTPSVSETSSTVEATVCREVQRIISFTGQYLDEVSVRYFQSIHWYLPI ISRQRFHAHLLSFGADPRADFSVLMLSICMLTYAPDPDRSDGRHVDHSTLYLAAKSLF SLAQTIGRPSLNLIQAGILIAVYEYWRGELDRGFVSIGGCARMAYAAGLKRPTSLPSM DNDVYPDEEDGSTWWGICMCERIGVCDISIVNQPLLSIMPTEVDAFSPQESGCVPSTP SNIYQDGFRQAMRATYLLDHLLEVLAAPESEAKQTHLQELDNSIQVFLTMTMQRFPMA SGVYCGGISIAIRGLFLLHRHVLAQTKDPSGDVDYPKGAYPSSRAALDTVTKIVSDIA TARQQLSPDRLDAYPPTYAYLVRAALQYIHEECQPLTPGSWLWEAEKRLQHSLELLKR RWR ANIA_09046 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITLICEAAHHFNVP ESILCTRLRGTTNRAESRANGHKLTEIEEEVLKQILPDNIYNFDKTGFAIGLCAHQKV ITKLLQLSQSVLLDRILKRSYASLVDQKMWLGISYINKLDFLAAYPQARISIFKLDTI RNSCQIAMQKVILLEQENRIAHDNGLSVQEATELEEAYNVSFQAIPGPCGPPAEGAQT PKAWALPIYSVVVD ANIA_09045 MGFKRTIGLLLGILLALDQVSVLAQPGRPTFAKRPDIQPNPIQP YKAIPLHSRRNSHKVCYVKPSLNGGDDAGRVEAALRRCNNGGTIVLDKEYSICTPLDL RFLKHVDVALTGKVEFCPELEFWQQNVFQFHFQNASSWWVWGGEDIHLYGAGTGVIHG NGQPWWDAAAGNSSVRRPLLFITDGWHGGSITGLKLRQSPNWHNFIANSSDLLISDMD IFSRSSSEAWASNLDGWDTFRSDNVVIQNSVINHDDDCVSFKPNSTNIIVQGLHCNGS HGISVGSLGNYPYQYDIVSDLYIYNNTMANTTTAARLKVWPGAEAVKKGNPPWVGGGG KGYVRNVTYDLMINDNNDLAIQIDQCYGAINASECLDHPSGVILTNVLFKNMWGTSNG ANDPVAGQLICGSADSCDNIRAENVTLTNSSGQPSEWQCRYMDEELLDLGGVGCIPA ANIA_09044 MAPLLERTVTAEKANGGWPDKVYAPSALAYNQAYLVSPAMTVQQ IRDFKQAFDDAALRSVKAGLDLVEIHAAHGYLLHQFLSPVSNSQADEVDFLDVSSGGI RAKQETSIKSGDGYRAPFALEIKRAVGNVLLVCMVGGVKKTGEIAERFLQQGLDVVMC GRWFQKNPGLVYQFADELGVKINMSTQYGRAFQGGRNRR ANIA_09043 FGLNAQPPSCQKASIFRRTPSRASRNIASRRCHARKVKCSGRTP CDSCQQATKPVECVYSRKSRLIKVSEHLVAEASDSAFASRFRQAMAQSGHDHIPRVSF PSDEQLLAWSETECPWPAPLSRSSARLSGFEWPRPLLPHRQAQFDPARAGQRLSRRLA QKQTLGSVCDSLAGSAIRLAIIMGLNPNIPESQLSDAGEREHRERIFRTAYTFDRMWT AKLDYPCTISDDEIEAFSDLRRWLEDLPSSLRLPTCSEGDWDPKARCLHHLFNQLDKR LLSGLRFFLHPVSILSYYRLSNFHLTPRKDRQSDEEQFEVAVSFLVQLQGNGNYAAAE FHKHIEATTDLMHSTKVRLGVQSASENATAPQDSAYNSILDAAITECRLHPRMCHSGR RSSIISCKGSWNSPLSTWNSLIRLYTWMTSRGFTGQMNAPKCYHMSIGRGQVGHMRHL AYMYQVYQGIPCATGLIYITSAAIPETALIPNLRTEVVDSVIKDDRTACLFPRTDNDG RWTKPQSSA ANIA_09042 MKLSTFLSALGALPQLISAAPNAVANKPRQSSDDRLVFAHFMIG IVSNRNSAADYDDDMKRAKSLGIDAFALNIGVDPYTDQQLNLAYQSAANNDMKVFISF DFNWYNTGQGYQVGQKIAQYANLPAQLKVDGKVFVSSFAGDGLDIAAMRQAAGQDIYW APNYHPEYGTNLDGVDGLLNWMAWPNDGNNKAPRPGASVSVEEGDEMYIRTGKDYIAP ASPWFFTHFGPEVPYSKNWVFPGDLLWYDRWQQLLTMGPRFIEIVTWNDYGESHYIGP LSSPHTDDGASKWVNDMPHDGWMDMSKPFIAAYKDGATSVDDYITEDLLVYWYRPAPR DVNCDATDTCMVPANNASGNYFYGRPNGWETMEDAVFVVTTLTEPATVTVNSGGNVEV FDAPAGASAFKVPMGVGSQAFSLSRNGQVIQSDISLLPIIDGCVCGLYNFNPYVGSLP PSPIDSLEPAGLYSLTQGLHVQTCLPTPSLGKTTPTPPPGWGAAPTTTRTSSTTGTTG TTTRTTRTTTRTTTTRPTTSTSTSTTTRTTTTTRPTTTTRTTTTTNPTGGTGNVCVAG TGPGNYVGLCSFCCNFGYCPPGPCTCTAYGAPVPTPPTTGVRGVPLPWLENYNSYLGL CSFACDHGYCPPTACQVA ANIA_09041 MDLTQIRRHHWTTSITYDASNIITYNLSVGSKGQDLRHCWEEHP EFQALPTFSSLAVIDIMGKVTVDMPKLLPLYKPSQHPHVHAEHSLEIRGPLPRSGTLT SEARILDVVDRRTGVALIVGISIRNEDTGEWICYSEWTSFLMKMPGDGASKASSSMQS TLLPSREPDAVLSHQTTPEQGALYRAATGEWNPMHIDPATAQRAGFPGPILSGTCTIG IGVNHVIEAFAGGDSARFQRLKLRLSKPVFPGEVVTTKMWRFNETKIVYQQVAGDGRV VISNAEIKLKAGGKQRSQL ANIA_09040 MWLTRGFSRITETLSSLFCRSHSQSHSCSQTRERTRSAFNEGEL EGESGWQTCRPRHLTERRLSGFQPPPTEEEYTSSFFHGWYLPYNVRGLSQVEPEPEPE PAPEADVELEDLPRYEHPPAYTNRSPPAEAHEIGSNSRNESLDVTECRPAPGLSNEPD TMAVTGQPDNAPNDRRGPT ANIA_11161 MPSHSSNTHRYHRVGHWMPSGYDDHHKWLGGVIAHVDSKQDKEL HPVLKEFQHLIETNTRVYMLISAMFAEVPKNRHYCKDPTGCSQIRDYHHMLQVLNHLI TTAPSWNDFSLEVGLVGLPINAVLDWSMGTPSGYAAYLDPDINAMLKKVLNAWGEYLT SEDSAKVLGDDEYGWFGETGKNNLMHVANLPLGTDHEFDKLFVCDPSAKYHGYKSWDD FFTRTFRPGVRSVASPDDDTVIVNACESQPYKVARGVKARDTFWIKGQPYSVADMLSH DPVTPQFEGGTVYQAFLSALSYHRWHAPVSGKIVRAYVVDGTYYSEPLFEGLWDEEEN KPKEQGIDPGGEVTTQEYLTCVATRAIILIECDNPAIGLMAFLGVGMCEVSTCDITVK EGEHVRKGDQLGMFHFGGSTHCLLFRKGVDVEGLPSPDLGRNVAVNSQLGVVKA ANIA_11159 MYETLPLVGHSLAIFVVAAVMVGFSIVAVFLRCFVRVYLVRAFG WDDALMLTALALFIALCALCMLAPAAGVGHKVSDFMSLDQLQRALKLWWLGQMLYLWA SAVAKIAIALALLRLAVRRLHRFILWTICAVVVVIGLVFWLVLLFDCWPVEYFWEQTD IRKQGKCISTEILLIIAYCYSSLTIVCDIMLGILPACLIWSLQMSRRTKLALVGVLSL GAIASVAVVIRLPYLENYADPDFLYSTYQIAIWSVVETGLAIIAGSLITLRPLFRWFL DGSSSYKHQHTPQQKTNKKYVLSTLTANASMPGIEDPKYWRPDLGEDPHTVVTSIMAP LGRSMDDNSTVALSPILPPPRKISVCVHQTFAVYKDPSSPVASSFGCPGQCSPAPVPA SIQSATPSLIPTPAPAQAPRLDNGRRYNRGYGAGYAGYTYEF ANIA_09038 MALSYADAVWLVKTEAQRHASLQRERCSIYSACDRVAAERITSP ISTPEYDTSAMDGFALSSTATETASPETPVTFNVMATTTAGDRPYPAADSLRDAKDSI PPCVEIMTGAPFPTGQEWERFDCSVPIEEVVVQEDRCPPSQRRYVSVCKPARRWQHRR FAGGDFTQGDRIIEAGDCIQPQHIMAMASVGLREIPVLRRPRIAVFSTGSELMSDASK AHQFMIYDANGPYLTSILRQWGFDVVFRGVLQDDSAAMEESIVRALDYGYDMIVTSGA VSAGRCDIIPGLVKRIGGRTVFHKVAVKPGHPILFAMLPPPRETGETAFFGLPGNTVA AAACLRFFALPYLRTLQRQSPEEPKAAALRLSNGETEPCNGAAGKVLTFREAPDIFRP AISTQSGQVWIIDDHSPGKTRPFLQANCWAHIPSGVSEMRAGSRVDIYPL ANIA_09037 MPEYTESRDSIKNIWGERTPYKHQWPTRCDSHLVDTPDTWVQSA CVLCSNGCGLDIGVKEGKVVGVRGRTVDRVNKGRLGPKGLNGWVSINHPDRLTHPLIR RNGKLERASWDEAMSLIVERAKDIQTRLTNHGIAFYTSGQLLLEEYYVLAMVGKAGLN TLHMCATLNLSPSYRSQDAKPMNRDGNTRLCTATAAASMRESFGSDGQPGSYADIDYT DCLFLVGHNMAATQTVLWTRVLDRLEGPNPPKLIVVDPRTSDTAKKATVHVRPRLGTN VALLNGLQHLIIKNGWVNDQYVASHVCGLEELKKVVEKYTPEYVEKITGVPVAQLHET AEALALSGSLLSTALQGVYQSNQATAAACQINNINLLLGHIGKPGSGILQMNGQPTAQ NNRETGCDGEFPGFRNHQNPNHIRDIARVWNINYEQVPHWSEPTHIMNMLNYIESGSI EMFWVSGTNPLVSLPHLYRVRQLLTKPDLFVVVQDLFLTETAAIADVVLPAAQWAEKT GCFTNVDRTMHLSRKAVDPPGEAKADLDIFLDFAQRMDFRNRDGGPLIPFTNPEEVFE EWKKMSYGRPLDCSDLTYEKLSGGSGIQWPCTKEYPFGKERLFDDGKFFTDTDYCESY GHDLETGTPLTKGQYEAMNPAGRAILKAAHYRKPWESPDEEFPFYLSTGRNVFHFHTR TKTGRSKRLQNADKDACLVICQADADELGVTNGEMVIVRSRRGQVELPVKTEGISKGH VFIPFHFGYWDSKDQRARAANELTIEQWDPVSKQPMFKSGAVRIEKCVQKENEPPISR ERQSEAIQNVEANKANATETRKDGQDSRVRWLEMWLGATHESLEMLRQIYDKLIPQLV HDLEIQAGLGVMRRITADIIAKLEPIVHRYHESRVYGRRVCERLRKALFPMEDDKDNS YETLIVLQSLEMFLTYIDGHLTALSPASQALWDQEFVDAVSFAAQHIQRQKAWVNTHI KVKSPQTLLVPQRPPMEVDADRDEEAAENVLVREFYY ANIA_09036 MGVTSTVCEIPPRNRKSTQLAVGWIFIVLTTLALGLRLMARPPL SASFGIDDGIGIGTYVQLLSCTALVDMILMIQGANLGWGTDMWALQAEQIILQMKVDA NLQPIFILFTNNSTLCQLFYAGIIAFYLSVSLAKLSILFFYLRIFTTDTFKRIAYTMI FLCSAYGVGSVVTSILDCMPPSYFWTRFDGVSTGYCVSKAAFKVIPPVNIALDVVVMV LPLPLLARLNLPLQKKIRVLSMFSMGVLIIVADILRITHLFHSITAYNITYNGGELSY FGVIESGVGVICICMPAIAALLKRVLPQCFGSLAKRSYLYRTINSRSNTEFGASRSRS QRGAIQPSAYAHTNPNNPVSFSAIAWGAREDERDGDGNTSDIHLTLLPATEIADERIQ RPQKALTSR ANIA_09035 MTNSKARYVLEPVQVTGTTFALNGTTLSYQFHVDEPSADLRSDH FGGSISGPIPVDPEPIVDGWTGMPDRVRREFPDQGRGDFRVPAFRIRQAEGHTVSAFR YREHEIVPGKEVSASGLPGVFGDAHDATTLIVRLVDPYSDLAAELKYTVFPKYDTVVR SASITNKSNSDVTIESLASLSVDFPFDELEMIGLRGDWAREAHRLRRKVDYGVQSFGS TTGFSSHLHNPFVALVHPSTTESQGEAWGFSLIYSGSFTINVEKSSQGLTRVSIGPSQ LSWTLKPGETFDSPECVAVYSSTGIGGMSRSLHGLYRKHLMKSKFATEDRPVLLNSWE GLYFHIDQDRMYRLAQEAAALGVKLLVMDDGWFGDEHPRTSDDAGLGDWIPNPARFPD GLTPLVNRITALKVANSQRNMRFGIWVEPEMVNPRSTLYQQHPDWVLHAGNYPRTEQR NQLVLNMSLPEVQEFIISAMSEILNAADITYVKWDHNRGLAETPSPCANHAYMLGAYR VFDVLTTRFPNVIWEGCASGGGRFDPGILQYFPQVWTSDDSDAVERIFIQFGTSLAYP ASAMGGHISSVPNHQTGRTTPLTFRAHVAMMCGSFGLELDPAHLTDSERRDIPGLIAL AEKISPIVVKGDLWRLALPEDSNWPAALFLSENRTQGVLFFFQLAPMVNHSLPRVRLQ GLEDGALYRVDGEGPYSGSMLMNLGLQYSFRGDYGSRLAFIERE ANIA_09034 MADLFVDLVAPNGTHYSQPTGLFINNAFVASSGQTITSLDPATD KPIATVHAASAEDVDRAVIAARAALVHPSWKKLPGTERGQLMARLADLMEKNKELFAT IDAWDNVLSLTEKSGKPYHIALSEDLVEAIGTIRYYSGWADKTFGQTISTTPAKFAYT IRQPVGVVGQIIPWNYPLSMACWKLGPALACGNTVVLKPAEQTPLSVLVLGSLIKEAG FPPGVVNIVNGYGREAGAALAGHPLIDKIAFTGSTVTAREIMKLAAGTLKNITLETGG KSPLLVFPDADLEQAVKWSHFGIMSNQGQICTATSRIYVHQDIFQLFLSKFKAAVETT SKIGDQWDESTFQGPQITRAQYDRILSYIETAKKGGMAVVTGGSAHAPSSEKNKDGYF IQPTVFTGTDDSHAIVREEVFGPVVVILPFASEEEAIRRANDTTYGLGAAVFTCDLER AHRVAAEIEAGMVWVNSSQDCDPRVPFGGVKQSGIGRELGEAGLEAYTQVKAVHVNMG NKL ANIA_09033 MPFHADIPQFQPTNDDIERTQAEKKHKETPTMAVDEQKAESVPY RQDVFGDEEHAEVKYKVLKWWQCGLLMVAETISLGILSIPAAIAGLGLVPAIVILLVM GLIASYTGYVIGQFKWRYPHVVSMADAGEVLMGRFGREVLFGGQMLFLIFLMASHILT FTVAMNRLTNHGTCSLVFGVIGLLVSLVFSLPRTMKNMSWLSLASFISIFTAVMIAMV AIGVEHPGGPVKATAETNLVTGFTAALNIILSYASHNAFFNVIAELKEPRDFPKALTL LQCIDITLYLVSGVVIYYFAGDDVKSPALGSINPLVSKVAYGVALPTIIIAGVINGHI ACKSIYTRVFAGTSHMHKQDFRAVGSWIGIAVGLWVIAWVVATAIPVFSNLLSLMTAL FASWFSFSLPAAFWLFMNRGLWFSSPRKTGITIFNCLVFGMGVVMSLGTILLACICVS SGNGKSSS ANIA_09032 MPNPSDRRVQLLKTAFVVYNHADLDKAKEFLLDFGLHVAFEKPG QKIYFKGYGAEPYVYVACKADTSSFGGGAYVVDSFAELEKAKQIPGASTIMQLEDEAG GGQLVTLTDPFGHKVHLIWGWREKSAEPMKLEKLVVNYEDEKPRKGRFQRFKPGPAPV HRWGHYGVTYPEGQYQAMYDWYTQNLSLATSDIVYKDGKPITCFFHVDRGQDYTDHHA FFFKKAKPGEPLTVAHAAFEVHDFDIQQLGHDYLASKGHELCWGVGRHVLGSQVFDYW FDSSRFVVEHYADGDLVNCDTPVSHVQAGPDALSVWGPPVPKVF ANIA_09031 MCPIEICWTHLVRYSSTANGPIKYGEPILGQTGDIGKLARDDQL KVKRLLGSDPFLLEKTDVVETVYRLYGPLEPKDVPIIRCIGLNYKTHILETGRPLPTC PTVFTKPSPAVADHDAPVPIPKIAQEQCDYEGELVIVIGREGKNIPEAEALNYVAAYT AGNDISARDWQREAGKAGPVPQWTFSKSFDNYAPLGPCLVRQDQLNEASMLSLRTLVN GDVRQESHTSDLCFGVRKLVAFCSQGQTLQRGSLIMTGTPGGVGLFMKPPQFLKDGDV VSVEIESIGTLQNTISFEN ANIA_09030 MIFAQLPTLTAQVVHFMQSRLLLCITAFVVLCIIHTFLYAFLLS PVRHVPGPWWARVSRIPLLYATWQRRRSRYASDLLRKYGRLVVIAPDQIHTSDETAMK TIYAKSSIKTRFYAGMGSWKGVKSTLGFVDYRSAAPTRNNLIQCFQNRNLDTLVDSMA CHITEFCDMLKPKVSNNKAVDGVVIFRLLALDIVTDILWGEKDTLLSKGFDQTPVFLR RFHAFSSWNALKSFIPGLDTYVRFLGSSNMRQLRADCNDMDVTAREALDRWHARPEER HQKDVLSMLQAMSNSDDPTKRIPSEHIPAYMVEMLAAGSSTTSHTAAFACDQLARYPK ALEALQKELIEAFPDKENIDERKMLSLRYLEGVIYETMRLYPMIPGPLERHLGEWIEV DGMKVGPGVIASTAAYDQGRLPDVFPEPEKWNPERWLQATDRMKLNWIPFGHGCRSCP GSNLALTELKYILGTLFRRFRVQLPEDYPNEPLELADVFAAGSKTGHCWLEFEEMPK ANIA_09029 MDVPVLIIGTGPSGATAALHLGRLGIKSIVVSRHRGTANTPRAH IFNQRAMEVLRDAEIEAQCYREASSMEHMAHSSFLDKLTGQEYGRLWAWGNKPQQKGE YEVASPCHMSDLPQSHLEPILVDEAKKLGAQFRFYTEFVSVTQDESGVSTILRDRESE KTYMVRSNYLLGCDGARSAVVSALGIPITGRQINTAFNVHIQADLTKYIAHRPGSLNW ILNPEAPDWSAVGNFRMVRPWHEWVVSMHPASKNGKRFTPTEADIIKRLHQMIGDTSI PIKVLSTFEWTINDQVADYWQRDRILCIGDAVHRHPPINGLGSNTCLSDAFNIAWKLA YVIKGIAKPALLETLSVERKPVGDGIVRRANTGMEAHRNLWSIIGLTPEDRQNALSLL SEDSPAGQQKRKDWANALEAIDAEVQALGIQMNQFYVGSPGVIAEPDDLPPDFGALDP IKELKVSTYPGYHLPHIWLSKNGQSERESTLDLAGHGRFALFTGVGGDCWISAAKALT ASAWGVEVVGYKVGFGGDYMDCYREWAQVRGVDEDGVVLVRPDHFIAWRYPRRSDDAE ARLRCVLEKILGF ANIA_09028 MAKTALITGANGITGSAILEYLVKNTTASEWERIIITSRSPLKT AVNDSRVEFIALDFSNPPEKLADQMRSQCADVTHAYFSSYVHKDDFAELNEANRSLFE NFLNALVDVAKGLQNCTLQTGGKYYNVHVRPVPWPAHEGHPRLVRAEENFYYHQEDFL AEKQRGSNWTWNVIRPEAIIGYTTKPNGMNEALTIALYFLINKELGVEAPMPTNAAYF NGVDDVSDARLIADLTIYASTHKNCANEAFNVTNGDVFSWRYMWPRLADWFGAKASSN QSFNRTSFKEGETHLDLNLEQWAQDKREVWNRLCDKAGSPLSKASFDAGTWTFQDWVF QRTWSSPLSINKARKFGWTGHLDSFDSFVDAFKRFKELGQIP ANIA_09027 MSSVNIDQAPQGTKLWLLHLGYVEADEGFFLAGAGTSTMTNPNC KNPRRKLVLTSALIDHPIEGLILFETGPGEAYPEVWGPPVNDIFGRVDYSPEHELEAA LAKSGHSIKDIKAVIMGHLHIDHAGGLEKFSGTDIPIYVHEEELKHAFYSVATKSDAG VYHGKDLDAKLNWTAIHGETFELAQGITLRHAPGHTPGLVLMQVNLPDSGTWLFTTDQ YHVSENYEQSIPHGWLARDYHAWVKTHQMVKTIAKRTNANLVFGHDLGVFFQYEHAPH AYT ANIA_09026 MEPPSNSQTESAAGSPSISEETKRKHRKRRNGRTRVSKACANCA RRKVKCDGAIPCMPCLLRSKSCQAKPVGDKRGHEQIGANSGIIWAKRFASQCTDSHYD HCGQPLSPASTAPSRPQSTLPGEAYDENVRLNAFLDSESSLASRDEWNSWLEAFCREV HPQYPFLHLPTLKYLYTQLPDPSGLSGEHVFQNEEQRCQVSLVLVCLAIGCYCKSSYS SNFKGSCPGGWGIYSTAIDVYGNMMKTATNPSTGLLFTQTIVLMLLSLAISQLHCQGC HQRDTLSSIPVVRGEFMRRLWCSVYALDRELSIQTEDEFMIKDINVDFALPMNLGDTW MTIHYDDNRSSSDLADLIQNEILKRPTMEIPCLRETTCYARAASKTREMLCDKDTNNH HENSPLLIESLERYISGAFRTIVLAFHAENCQASQPSTEKQEIEKKGWSLRTIRWGYL RLLISLKALKRSKESDLPDSQASRFTCLHLIEEILLALNSLPHEFPKYTFPILHYWNS VVSIGLEMVAEEPLLRQFYGGTILEAVISLREFYSKTWLSSQMSQNILRMSYAARSIF ADEIAEREGFLDQPRGLSSQRNMTAGLKKVPFTTSTLSPESAMNNSLDETFGLSSTTG APGDVSIDQTTGNHGRTTANHSQEQNLSHISPELYIENSNGQASFHSQGAPGVPETNN PHHAESDPHILYANGHLGSQEASIVHDELNSQQLYTNPDSPVAQDTSGPGIPLNLSNS LDVHNRPDRFGSTLANETTQTIMSDSHISPGDQSFEPCDSGLSNALDCGIPELGLDEN HLFTLSDAGLSPL ANIA_09025 MEKIELRAGREIRSHVKLPERMHSCQVCDKTYQTRGSLTRHLRN HSVNDSQHVCPTCGVAFSRRDLLRRHVRIHRLAQNNLNTTTTSNDLATPAPRRRCHTA CQPCREARVKCDGGNPCSQCIATQTECLLGSRTGRVSRVVEKERRQEQYSHSDDGGSA GEDDKEDGDQEQDFSRVRVETSITAAPAMLHSPVSNVDAPIIPFLGSEDPLPDMDNID HLAEMDFSWTDSLPCTTTSWPWLHESLLLQGNPLLNWTNDSIYALDTGDMVPDSCPGI IPLDTAQQLPVISPTKTVTSMSARDDAESGSAPPSEPGKPETRLQGPDMPEFQGQAAL QQDQIVEELVAYAEKRIVTPGSKLSRSLFWQSMSIRIAEAFRIDPCDSPGSESTLNRL MQIYKENFSPLWPLLSGKEFDSSELHPLLFLTVVSIGCMYGTSQECNFGNKLHERVRR CLAASLIGLEDAEGDILWLGRARLLTQVAALYFGQRRAFSYAQHVGAITLAQARRMDL FSTVGRPGMTGELSLEQQTVLWQNAEARKRLAFGILRADVFTSVLLNTRPLLSAEEIY LDLPASDEIWMNLDTIPLEQLASRLKDESSRALGLPFCDLVRVASDRGEALLNMNPRG YELLIFGLQEYVWRFSHDRSMFPRLIGQSDHTVAQKENLPSSPNGLSISASLQTDQLG RTYRRMNDLRNDRCRITQTLQRWEQSFTSTRTTQSFGQDRTSVMSSLLLLYISYLRLC VPLADLHSAAYILMDNKPLEPKKLQTLQEWAKGTGAVEAIKHVCQIWSLLSQERFRTG TDKAKYNLLSFSGLHHAAVVIWTFAGVHSEPVAEVAELPGWRDMAPISIQRDQTRSLL RAVVSLYKHLIPRGWYSFAAVAESLAAQPFPDSSR ANIA_09024 MNIYATGFSRQKFILCTTRGTTEFRNHRDPLMAPFDKIKPYSEL KLNSDGEISERYGFPHLGHPSQKYSLSWKTDQAGGGWLSLGNYFGFSTVFIKKREVVK PRTGFPKLQRAFHENLVGLIEAFQDGADIYLAYNYHGFAVSLSQVCSTPGIRLAESDL ASICRSVLKGLEYIHETLLVAHGNVDSNNTLLCHDGAVKIANIGDSMMDVGHQRSFAL DRENVGSLLVQLSIAHMTELPPRNQGSNLGAYINLSKYAEHFGFLVLDGSSRKKPRLV GFGPT ANIA_09023 MSVGWRIYSFHLLRVPLFPQIQLRYQLNMDILVIYAITAGSVLV SLVAFRLLRLLSQWKSPILVLLSRHIIYPHVVARHRFLGPWSRLSVLTHLAYATVNSI LVFFLNFSLENVSRRAGDLSLINMIFLLTSSHLSYSADLLGIPLRTYRQMHRASGWMA MALVVLHVGALVFNRKDGITRSDGQTLFPVIATACLAALSLIFLPFVRKFSYELSLRV HQALTIVSVYGIWKHLPQDKLLPRLYLYIGLSVFTGTSCLPVNVRAGQYVNLWLPGVS FWSWTQTHPFTVVSWSQGKQSELEFLVQPRSGLTATIARQMRVIGPDGYSCLALYSGP HGLSESVNNYETVLLIAGGAGLPAVIPYAKRLIYGYNTCTSHVRRVHLVWQAETREQL NDLLKEDVLDDGYILSISIYVEQGPPVREKLLFGKHERATLYQGAPDYATVVSTELSR EKIEKLSPIRDNQGQVLLIVSAPGVLRDELRHIVRGHLHQRIKMVELEYQP ANIA_09022 MNTNGVVKIGCLEKCVLRDQNESHKAELVSVGNITMELLQKYVK NEGRVGIDKLDRWSSDSVAVSFLSATTSANSIKTLMEENGALTYVSLQASPNKQNAFL QGGTNRPRVVCTPFDENILVLCPTGKRETASFVSCWIKLLLRLSRSRICESCTSSKDS DIHRSTRD ANIA_09021 MRRALFNEDDAKQARERRLKYQGTARVKISEIGFDSANYIDDKN VERLCGIFRKSGCRRFDVEHHIPATVSRNALAEALQKAGVPARALLLSSGEDTPFLTF SRGQLVGLHGRHRLSAGARVLAPLERWWTVDLFLDDISKDLRVSLVEEYSNQKKLTDG EIYWTIRQYESEGSSLLRQKWFTRLSDWKQKRIKQLDREENRQLREAFDRLLTIQGLW YDGMRLGMIHRLIALAATEEILTYFDHIYETYLFFVNGDRGSLNRIDTETVLGLQLKC PRVCEKDRKEVNGLVLSGQVFSSFSESERRNICRRLQSFDGIIPSLYTFWEDFKYLEC CAQCIRRLFGQVNGSIWNTMKHMFVGTADEDYGIVQTSEFSFRRQTAGNNERLDLGYR QLWLYAMRNYRLLPQPAKEEEELLVKPSRREADDRVLYEMAELARRLGFWSDEIRALL DGSPDRQIARAALLQARKPDQFQYDPVQLEGLVDRVVACFSAAIEYVRQPPELLADSE VSTRARRGLPQVKAHAQDAPHLFLDKVHNEVTSSSATLTTFFVRRCVYFAFFGKCSLS GSRVRDLGPGGPPGDTPGLFVNENMQTTGASSTVPESTVAQGSGTRPTHEDIPTRQRL EGHSEPILEDGVGRSSQLALSGENTGYDEMADEGTRSHSPANQVATSGIPESLSLGLQ RVSSADASSLNGEQLGHQNAEQTPLSRDSDWPSTPAESNLDTSLGEQPQPMDYSPSIY SVRESGARNTGTGAERQYSQELERAIQERERLDNDWERERLEQELGLPTSSSAQGEIA PVQCSKEDEVPETLRQTVEPPDGSDQEPSSSNVGTNDQQTREPLTQGDRPVTLLDIHN SALVPSGRQGGQSPTAGAFEDSRVEDRMELVEFTFWSLERGQWRRADHLWVDPADTFR VELIAKKYIWKDFSLYDRHWNSLSPAQCFRAATVDGSNAIFVVSEDEERRLQAEGRRM KMTQIQAISHRAGSDPTEPERQLKRNRL ANIA_09020 MDASDDPQGHQLNELTAQRLQCYQGCAIVRLQNLEFETNPLHGS LDFDERKVERLLTIFEIEGCGNLAPEHRVAALINRGTLSKAISQSGITWESLLDPTIQ PQLLLE ANIA_09019 MDPHPSGNLDPPPPLAADFEDPSCNYPLERKHYLYGHRKIPEIL RMWYQSSREVIIIFLFESRIYYKFNRAGGFNLRSTLQDLSREHIFLIINDFGIRVPDT HKAYDEALKERLLLVARRDNPGRRKDDVGQISVDKLRECFKLSQYEELGAPQAEWVCG RWGGESTIGLPKRCRTPIATAYSHRGEPKMRRRWLCTPGDAIGREIFKRMGKLSSSIV FLSRHQKRSQWPKNVD ANIA_09018 MTQSSHHVDDVSQFQEFEPWELNSQYAPTPGYIGSPYVSRSSYS PSPSRELVNVPEAASQSRPDTLRLLQLSEWEEGRAYDENPPSCIHYLIEWRVTINNRV VAKDTDEDLVLAPSAHWQLFLEDKLENVLRRKVSRNRRVRADDTEIVVSVNDRSHRDL TKRFDNTDINWTAIEKQLVIGWTLSNRWTER ANIA_09017 MSGLEALGIAASIIQVADLGTKLSVKLFSFYKQFKDANESMHFL SSEIAFVSAILRELGDTLKDEESSKLCSEEAFRSLKHTLDQCRDVLEQIQRVTSHTDR SGKTRFQQVAGKFRMVLLEPSLDPLKNRLERLKSTMLLLLNVIMYAGQIRSSHGFPPL LQDQRVLMDSLLKDKIKNGQEPRQFAVAEQCPKAPSVSTSSNGAHADIDGTIDTDEPA EFKEYNLLIQKMLQEIGRCKYKLEESRHSRIKTGVLNIHSGEIMRFQLEYGHHVRTDH SLFTEEKASRQMANVRKDENRPQRPIASTEATGANMPDNRTDGPPEVTVSSLTRESRR PSPRLAKGKEPRESIFDLPLSPVPLAPVKEEEPVQSSNLTKETTALPTPYMFPATTVK SSAPIPGAQQHNRPRRRYEEIERMYKCGWNGCEKTYGTLNQLNSHVTMQSHGAKRTAG EFKEIRKEWKARKKEENAQRKAAEEYERAATQAALTSQVEPPGLFPDNLEDLLLRWTT LDRREICVEVPAC ANIA_11158 MELLPTEILFDIVEHLCNRETKTLSLVSKRVRDVCLPVLFRKIN IEFSTEGFDLLESLLKSSIRRYIVSFQYIVPKLVKPQIRNYEIFRTEILTPGQYVEAC EYHDDVDSYEDWDEMEYVSGDQPSYTRMYKTIRRTCARQQEIIEARRDSTLMKLAFQQ LSNLKELVLVFSQAQGDEDWEEEYQEEYANLSKGFSSPVSNILPTLWWKAHIRTL ANIA_11160 MANNTTPNLVVLVDADNAMPSTARLILAEVAKYGTVYVKRAYGD WTSTSLKGWKKELLSQSIQPFQQFAYTNGKNSTDSAMIIDAMDLLYSNRFGGFCLVSS DSDFTRLAARIRESGLTVYGFGEQKTPKPFVAACNKFIYTENLSFHGELVPHPDRVIV PKNHVPARHAHADGHLKTLLHTTIETASDDDGWAELSNVGTLLTKKHPDFDSRTYGYN KLGDLISALSLFDVVRQLPRKGKAGKIYVRDKRRGRKDSAASST ANIA_09015 MAWSASFRVCPICLALFLTLEKMIADNLQKALADRIARAKSRGF TLEYQNEQEQQENVICRRELAPATFNKYEFSLKALTAARWRLSRNEPQNANFSKDDPD PSPQLLKLFVEDYIVTREHTPTQKTACSILICFVSRWERETSRSMPEAVKEDVLNVRH PLFLLLSFITDRSKHIRTALTTKYGLPTRPRERFLVTAKDIELLLRHLFVNDTHDYVH ERARVQTGTGLSLFAGSGARAGAIVESSSYRGTNECLYYRHLSFHLKWSRKGDGLKRW VTIDPEFLKGWRYRDDVTLPKNWLREHPVLGMNFVFWVIVHGVADGAFKGLPTVANVL AAKPPEGRESWTLEWSDKAKDLPFFRMVTPEGPHATRALTFSSLHHNFTSLAQRDCFK DQLRVHGIRGGVANLIDPKASEATRGQALDHQNHETYLKYQSVLKALDIQSLFYDLEP EYECRDMEQSMAHHRDTNVPQKLDAAAIAEFEAQDEIVKINRRIAVLTAEIAGNPRGH EDLVSERARLYTKKAKRRRAWKAEFIRRWWDSSYDEYISGNNFAERDTTPLFGIYKKY LPERARLSENLFRETPLDSDIGRQCLEDMVALCKSTERVVYYPDMSPVSGCCPTCSKP MSGGRQSTFYNVEEAL ANIA_09014 MAHRGESFHKDVSCIADLKALGSSRLPATSDYYNEGAMDLITLR DNEAAFDRYKILPRVLINVAKVDTSTEILGTKVSLPFGFSPAASQKLAHPDGELATSR AAANFGICMGLSSYSNYSLEDVAAQGMGNPYVMQMCVLRDRSITLQLLQRAENAPNRP SLPDPSLDWASTIPWLREHTSMQIWLKGVCSPADVELAIHYGVDGIVISNHGGRQLDG VPATLDSLRLCAEVAKGRIPLAIDGGIRRGSDIFKALALGARYCFMGRIPIWGLAYNG QEGVELAIKILRQELRVTMALAGCQTISEIRESHLSVLQPDGRLSKL ANIA_09013 MPRPRRSHTLGACRTCRRRHVKCDQKRPACGTCRTLGVPCEGFA NEVRWMRDGSDDGDDGSKAEEQQGARRHLYTEQLRQSMSTSLGSNLVSGSISASLAEI DLKTRDAEHPGGDIVIGPFGVLDFGSTQPQEKKQDSQKMTPKQLEPSREAPHSPPQTA STAGQEIQELPTLVNDSPSYIDDFLHWSDILGLSPEQPGFFQPSMLDLDTYLADPIPE SFDVDMNVETRAGPRSMAIPTPPSSAMEMASTFLDAAALADAPYLFKHFNENVVPQMM IMPLGDKSPWRILNLSAAMATYNELTILGSQSISHARLANLYALLACSAAHLSISHGS TVSTGSTHWQQASGQMFEQARDHMQKSLKLETREPKRAKYKDQLMAICCLIQYTVISG QQQHGRSFMVNAEYILRMRGLLKHRISQKSRLLHHVYTWLRLVGESTYVLHDYNPSST FIEALKSNFQRPRVDPIVDSSPELNPRLDDFLRLEAHDADSDLNINEPKDEQTGLHDI HLQDSRSFSNTLYKQVYGIPETWLSLLSQTTRLANVMETFRIAQQACGNTSLDAWETL HRRSVRLENLICSCDLSLVRDAFRPHDHMLRALNAALVIFFYRRIRRLPCRLNIALDL ELHGRRLLQVAKPSHLSGERRFWHGLTMPSQTAALPVSAPREISWSTCGISRTSI ANIA_09012 MSDLNLNGILVALVTPFTDDKTAIDEARLELHIKHMLDAGIHGL VPGGSTGEFTTLSLSERKQLTELCVRFAGGRVPVVAGTGATSTSEAVELAVHAKEVGA AAVMVVPPFYDPVNLQQLTELLAEIHEKSTLPIMYYNIPSASGLKLSPSEIAGLSRVG VRWLKDTSGDAPAFTELVFGLSDQITALNGWDTLTFYGLAAGCPGGVWGAANIIPELA VELYEAVSIKKDLDKGKELWSKAWPICKFLESHNYAAAVKTGVELTGQATGGLRKPFA LLGPELQEELKGLLKNAGVATV ANIA_09011 MTQSQVHESGDSPVASIEEFTTTPFDFIVCGGGTAGLAIAARLS EISNVNVGIVEAGKYRIGDPLIETPATFMQMFEDPEYDWCLFTAPQEANNGKVHHIPR GKVLGGSSAINYLMYVRGSLQDYDDWAALVGDEGWSAANMKAYMRKHQAQPVNPESKA AASPIAPEHHGTTGPIRTSFNESNLPIETDFVKACAETANLPNMPIDAWSGDHIGFYH TLGAVARTGPNRGKRSYAGIEYYEANRLRPNLKLLCEARVNKVILNGTRATGVSITFR GQEYTVSASREVIVSGGTIQSPQILELSGIGDPEVLAASGVQCLVENRAVGANVQDHS VSLISWQMQPGVVTSDTLGQVPEAAAAALHQYAESRTGPLSSIGSTQGFIPVKSILSD AELAEIVQSIRDIKPSSAFHEKQLQQVIAHLESETSANLQVVFLPCSVHENGVEHQRG LFTPPPVGEPVCVSAAPCLQYPVSRGSIHINSNDPSVPPTIQPNYISHSADVALLAAF LSWIDRVGHAAPFASSVSRRILPKSSLDLQDSEQAKRAIHDTVIGEYHICGSVAMGDA LDSRLRVKGVEGLRVVDASVFPNNVSGNIMSSVYAVAEKGADLVKEDHGLL ANIA_09010 MAIPDADLEYEKPSVIALEGNDHGGLTSLDQVFPPDMQKRVLRK MDLRLIPMLALLYLLAFLDRGNIGNAKIEGMLDDLHMSGSEYNWCLTVFFFTYVAFEL PSNLLLKKLRPSRLLPLLMVAWGIVMTLMGIVQDYHGLLISRLFLGVAEAGLYPGIAY YITLWYPRHLAQYRQAMFFSAASVAGAFSGILAWAIAKMDGVGNYAGWRWIFILEGIL TVLVGIIAPFAMYDFPETATFLTEEERKYVIHALRTQNSGQDTLSAGGDAVEEQAKFR PRYVLDALTDWQIYVGLFMYWGITCPLYGISFFLPSIIRDLGYTSSTAQLLTVPIYIT AAAVAVAGAWLSDRYKKRSPFILFFMSLIAIGFVIVLASSDRGVPGVVYFGVFIAVVG IYPAFPGNVTWISVNLAGDYKRAAGMAIHIGLGNMAGAMASNFYREQDAPKYTLGHAL ELGFCVVGLLAVLILRFSYKRINKKREAMDLSQWDSYEMAKMGDRSPMFRYML ANIA_09009 MATVHPNAEKLISLAEEFFAEVADLTPGRALEEYLNEHYGPGNK YYDTFCSLILSGLRNNEGWVATDELDGPRYRRSRLCDPSERTRYFSITTVYMQSQDEY RGQYHLHPYGEINCVVQVDRTAELKGMNGWQAAGWTSPAAGTHHYPEVRGGALVALFF LPAGRISYKATPEMAQPPYV ANIA_09008 MSELPSRSISPRDPSPGETPGRDPSTPSTDAGVGYSASQDAPSF GAYDRVYPIRSLVSLEPPATSEPSSNKSKSPLSPTSGARQFSIIDGHTWTRLRSDSRA NSTDYSGGTGLSPESSEAPSSQRMSDSSSARPPSNTTGLRRGDDHTTFTPSSEDSHPQ VQEPYELMTTRFRHVVTDDGHAVITGRTVDSFKACEDEPIHIPGAIQSFGALVAVREE PGEQMVVRIVSENSQDILGYSPNDLFSLPTLCDIFPEDQADNFLDHVDFVKEEGYDPS VDGPEVFILTVNQPNGSTIRVWCAIHTNPALNGLVICEFELEDDHVNPLTSSGHISPA VPTNTLDVVPTIEQMAGSTITINQPLRVLRRARRRKGEAAAMEVFSIVSQIQEQLARA DNMEALLDTTSGIVKELTGFHRILVYQFDSEFNGKVVSELVDPTMSIDLFKGLHFPAA DIPKQARDLYRINKVRLLYDRDHVTARLVCRALEDLETPLDMTHAYLRAMSPIHIKYL ANMQIRSSMSISINSMNDLWGLISCHSYGPRGMRVSFPIRKMCRLIGDTVSRNIERLS YASRLQARKLINTVPTDANPSGYIVASSDDLLRLVDADYGALCIRGEVKILAKSPQSQ EMLALLEYLKVRKYNSVLTSNHIVKDFQDLNYPPGFKDISGLLYVPLSTDGLDFIVFF RRGQLTEVKWGGNPNEAKFTEGHLEPRKSFQTWRETVLDRCRDWTESEVDTAAVLCLV YGKFIKVWRQQEAALESSSLTKLLLANSAHEVRTPLNAIVNYLEIALEGALDGETRDH LSKSYSASKSLIYVINDLLDLTNVEKGQSLIKDEPFDLPTTFSEATAMFESEAKRKGL NYKVLSQPGIPETVIGDQRRVRQSISNLISNAVQNTSSGGVTVEVWHAPGEGDTDKAT VKIAVLDTGRGISSSTLELLFRELEQVSGEDDSHYYGGSEEGEESAPEAKASKDKAVL GLGLALVARIVRNMHGQLTVRSEEGKGSRFQISLQFPIPEGSDTKSPTAEHRPVATGD SAAPFSTGDDVILVDSTTGSKRNSQDSLTRPDVPRKSEPEDTAPADGAGEQASGESEA QTRPKKTGESTASTSHEQSETARSRPSGPSSGPSAPERRPLRVLVAEDDPINAKIIEK RLEKLGHTVQRTVNGEECANAYSAESTQWDVVLMDIQMPILDGIESTKRIRQHESQSE VANLHIPIFAVSASLLEKDVQMYMDIGFDGWIMKPINFVRLNTLLAGIHEERARNGAV YQPGQWEKGGWFTPYTHS ANIA_09007 MTETELIIMLQLLLPRSWPAALLAAGTILSFVWIAYYRFIHPLS RVPGPFLASVTPLVQLYHGLKGDRHLWIYELHQRYGDHVRLAPNFVSINNVEGLHKIY GHGNKFRKADFYNGFLAIPGVYNTHNAIDKLVHGRKRRVLSQAFSDTALKGMEDVMLL HVRQLCSILGRERPTSQSGDKDGATFNMANWFGYLTYDVMGELCFGKSFDMLIDGAKR RMIHLVDRAAYRHYVCGLWMPLHRWHLDQIFIRRLTNDRWNFIMESRQEANIRAKERT SLGQDAKKDFFYYLLNARDPETGKGLATQELWGEANVLMIAGSDTTSTSLSAAIFYLV RNPHALEKLKNEVRSHFSDVEEIVTGSKLNQLTYLKACIDEAMRLAPAVPGSIPREAS DPVVTVDGLVLPEGTGCGTPPYCIHRRPDYYREPLSYLPDRWIEGSTCKTADAAWTVT REEVDLARKAFCPFSIGPRGCIGKSMALMEMRVTLARLMYLFDFELADATGEDENGHF KMVDHFVVSKTGPNVINIITRSL ANIA_09006 MKVFNAILILYTLLPSLAIAQSPIDAALAQFQQLNDRIDSAQRS INAYNGGVLLALPVANSLYSAHTAATTARQSLTALDPLSPEDSQRALDAYNEVHPRLL ATLAAGRDKAQVFRDAGVGYVAQGMISNLYNEKNRFEAALRDKIYPGYFQNDLAEAVD HAFQGTLAQFY ANIA_09005 MVTFVQSHFPASDSQASDGEPILHQRVYPDSEGHDQNGAEAGSG GADTSGNTVSFNSVQGCPPIAIVGMALRLPGGVKSPDELWQFLIEKRNGVCEVPGTRY TVDSFYSETMARCVKTRHGYYLQDDPACFDAGFFSINSHEAGRMDPQQRQLLEVVWEC LESAGETNWRGKNIGCYVGVYGEDWLDLASKDPQHTDRYHILGTGQFALSNRLSYEYD FQGPSMTLQTGCSASLVGLHEACQALYSRDCCSAIVAGTNLMFAPTMTATMSDNTVMS PTGTCRTFDEAADGYGRGEGVNALYVKRLEDAIRDNDPIRAVIRATSTNCDGHTPSIT TPGSHSQEALVRWAYKKAGISDITQTGFFECHGTGTVAGDTAEASVVAKLFEGKGIIM GAVKPNVGHGEGASGISSVIKGVLALEHNTIPPNVFFKTPNPRIPFEEGKLQVPVEVM PWPKDRRERVSVNCFGVGGANAHAILDSISSVCGDNFRPLIENCEDDRARLLVVSARG AESLKQRIRAVTDYANKDLSKLRDLAYTLGERREHLSHRAFTVANPNELLDEPNFQTG RDKAPEVTFVFTGQGAQWAGMGKNLLTAFPTARKDIQHLEEALGSLADGPSWSIEEEL SRTEKSRINEAELSQPLCTALQIVLVNILSAWGITPSSVVGHSSGEIAAAYASGAISA RSAIIIAYYRGKLAKELEGKGAMAAVGLSKDLVAPFLEESVVIACENSPQSVTLSGDS TAVDIVVDAIKRDRPDILCRRLPVSTAYHSITAGLAHAGGIAINLNIINGPGNTISNL PPYPWQHNTRYWHDSRLTREWRFRPHLHHELLGARSVESSELEPAWRNLLRLEDVPWV SEHALQGNVIFPAAGYISMAGEAVCQLIPEASDFSIKNMLIKNPLLMKESAEYEIITT LKPVKITDLVESDWYSFSIVSFDGASWTKHCHGQVRAGSDYPPKGRKIKQYARVLDVG TCYRFVERLGFAYGPLFRRFTCISSDPNDRKASARLRDLGRTRYPLHPAIIDHLLQAF PMAIAQGLSRRFQIIVPAAIGHIYVRRADVPESEMSLEALMAETGFGTWMGHGIMMAG DGNDAVPVLSMTDVAGFPASGTGDTASIPLGSQIRWAPDIDFVEASSLLLPAEPSDEY VSMMRACDALSILYILETARKLESVTEDPEVPHLKDWKAWITSTANRFGQQTDGYALD TGASNWAQLSSDERQSLMKVLSSEVQHANEDQSGEVPAPLSCLRYVYNNVENLLTSTT LSDETRSQIEQYGAYLHSQHERSWGHFLTLLGHTNPAMRIIEVGGSAGSVTRSILKHL TSPETVRLYSAYTFTDASAENVEAARKAFAEEEIDFKLLAIEKDLGEQGFEKHSFDLV IASNVLRGRRGQLETSLRNIRELLAPRGRLMLNELDEGHLPTAFVMGLLPIWNRNKDV IPVHITREEIDAALRSTGFSGIEAIRRDIESPDSVSLSILSSLNAEIPKKTITLLVKA AITYSESWVELLKGTLEQQGYEVCICDLQAGLPVEGEYLTISLLDMDGPYLHDLSEAG FTSLQGLLADIKQPILWVTGMSQFRCENPRYGLVFGFARTMRHEKDADFSIFETDTFG AESVKSLVSVVEKLLWSRADAETDPEYEFALYQGTIYVGRCHWVCLADHIDSNSSMNL PRQLDIESLGSIDTLRWAPFEGPPLEEGQVEIEMKYIGLNFRVRHLHFRDILVSLGLF GEPNEFGLEGSGIVRRVAPGAIRDLKPGDRVALLTTGTFRTRFVVHSRYCLRIPDHIS LEGAATMPSVYITAAYCLIHLARLQKGELAEASVSRLSASLIAQIYATVGSDEKVQYL IDRFGIPRSRIFNSRTPDFLHDVMRETNGRGVNVVLNSLTGALLHASWDCLASFGRMI ELGKRDFLSNGQLNMGPFIKNRSYMGFDLTQFGKEAYHTYESMHTQFETLTAENELVP IRPVRVYEATDVIDAFRYMQQGVHMGKILIRVPENPSSLSVSPGNSPFSLRPDASYLL VGGLGGLGRSVSTWMVEKGARHLVYLSRSAGLSEKDQAFVRELEAQGCQAICVPGDVS AIADVEAAISKSSQPLGGVVQMAGFLQDAMFDKMKYSEWESCVASKVQGTWNLHETTS SSALDFFIVVGSVAGICGNPGQANYAAANTFLDSFVQYRRDLGLPAAVIDLGAIDEVG MMAANQEAMQRAQAASVCFPSEQQLIEGLKLALSQCAVPPSSKSLLSTSCIVGLSNTK PLSNPSVRPYWVRDVRFAIYKNLESRSTEAVQGGQSNELRTLLRRVEQNPSLLNDPES EEIVRREIGNQVTQRMPQAENMDEDEIANITIDSLMAIEIRGWARRNLGLEITLVQIA KAKTVGGLTRAAVDHLKAKYGMKREDNENEARIGDRDGED ANIA_09004 MSTQQQQPDRPRKSLILNAFVEMCSGHQSPGLWVHPEDESHRFN DIDHWIELAQLLESAKFHGIFIADVLGGYDVYKGPRNLEPAITSGAQWPVNEPLAVVP AMAAATKNIGFGVTVTTTYEQPYHLARRLSTVDHLTKGRIGWNIVTGYLDSAARNLGH AEQPQHDDRYAIAEEYIKVAYKLWESSWRSDAVILDKQRRVYTEPSRVREINHVGKYF NVPGPHLCQPSPQRTPVILQAGTSKAGKTFAAQHAEAIFVAGHSPSVVAKNVAEIREL AKSQYGRDPSSIKFLALLCPVLGKTEEEAKEKFAYYRSLGSIDGALALFGGWTGINLD VYGDDEELRSVPSNAIRSAVEGWSKATPEVPKWTKKTVGEHITVGGLGSTPVGTPEQV ADEMERWVREADVDGFNLAYAIKPGSFKDIIDLLIPELRRRGLFHEDYAAPRATYREN LYGKPGQSGPPADHPAAKYRWHAGVEGHQIPE ANIA_09003 MDPLSITASVAGLATLAYQIIGYLGTVRSGGKDRLNLCREVTYL WMSITSLLEQLSSDAIKDDKIPDSLRPLFDPDGIIKEIKIQLEDLEHTIKSRSTHGKI AQTLAWPFTQKDAEKMIDRIHRLKSTLQDGINQSTHALSQDIYRDGQGIKKVIDESRL KELIEWISPLNFITKQSMIWNEHHKGTCKWFLERDDFREWREGSNTRIFCPGIPGAGK TFLSSIVYNELEALRVRDEGGLKGAAVVMLYCKWDDPLSQDIDNLLASVIKQFVQRYD VGTSDMMELFTKHSKEGTRSSRAQLQSTLELLYSRFTKVFIILDGLDELRSEKEQLPL LMSLAPNGSPYTVNLMVTSRPVPNIVRHFRHWPSLGGSIICDGCNAEGLAVQYHCADC EDYDLCQSCYKAGTRCGYKGHTYHLEFNARTIPIAAVEEDLTTYVHWRTSASETLQQF LEIKEGLMDAILDTVVKNNEGMFLLAKFNMDTLESTLSIKQLMAALKTLPQELDGTYE DAMLRITELKASTRETVMRFLSWVVFAEQPLQEKAIEHALAVSDGDADIDDDNIIRAR TLATKCAGLVQLDESDCLRLVHYSAENYFRQHRDRWFPAGNVTIASSCLTYLQFDVFR SGACSGPSEDIDFESRLSKYPFLRYASINWGKHLRVAADDHLSARALALITDAGCLAT IAQALWYLEDQQQSNSWSSKNGSALHLATHFDLDILVKDLLSQGYDPNMRDINGVTPL ALAVQRDLLDILITLTNAGASVNMPDNGGRSPLHWAIYHNLPDVVRFLLDHKDIDVNM PAARWSHYTPLMLASAYGFDDYVSMLLTKPKIDVNYASPEGATALILAARHGGTGAAR LLLANQEIKINYADSSGSTALTVAAENGYYDLVEALLDAGADTEVLQEGSNGTAIMRA IDDGRTSIVQLLVDRGANVHHKDVFDRGLLHSAAVNRRADILRILLKHDPTLDVNMQD VHGKTTLHDIARMGDLETVDVLLEAGGDPTIKDIHGRTPILVAHESHHPAILEMLRAA RQRMKAEGQNYKLQRTPSGTTVDSEDYGIKRADTGLSIHGTLPLWSLVVSKQFTELKE RLPESSPEDINQVEPDMGQAALHWAVSDSDASIVKLLIDYGADINLRNRYGRTPLSLA VIDGLWDKARLLLEAGADPDIEDQWGWPPLWMAGPRLGILLIENGAKLPPPPTFTGGG TDEGENIEKAAGKVDLENFLRWAAQFGSETALRRLVQAGADVWSKDPSGKTPYMIAKE YGYDELANLLVQLAPMPQVGADGPRPKTDERAEKTATSGMPEDKSEDGTTKVKTDDIE KPKKEEDSVLPDKGMALDGLDSTTLVPASNVNKTKPGYSTLLLGSAHGRDGVGAIVMT IMHDGRRFD ANIA_09002 MSRMAKDNTFQAPESAQTQNTPGLESKMQPASEATKLETSDGIK DYKGSGKLQGKKALITGGDSGIGRSVAALYAKEGADITIVYLPVEEEDAQETKRLVEA EGRQCLLLSGDLRDRGFCKQAVDSHVQKYGHINILVNNASQQFSCPDLAQINLDTVTD VFQTNIIQMFAMTKFSLPHMSKGDSIINNTSVTAFRGTGSMVDYASTKGAIVGFTRSA ALQLIPKGIRVNAVAPGSTYTPIQVDTRDAEQMQGWASSKPLGRPGQPSEVATSFVFL ASSDASLFYGQILHPYPLGE ANIA_09001 MQLLKVLPAPLFAALVASAPLAAPQISVDYGQLEQFAQDVQSFA DSTYSQFSQVSAQFDVLTGSYSGSGRESFGQLLAKLSASVYDIQRVSADIASTIDATA SAYEDAESSAIGTFFSKRDQLRARDNAAVMAEAGLMKEAGLMGEAGLMNEAGLMNKVD DSIAPVSGLQTAKDAVADMAEVDGVDDADAMSPVPTAAPSTGSVTPEQATGSGAENVT PEQATGSGAEGLNPQQATGSGAEGVTLEQATGSGAENVTPGQATGSGAEGVNPQQATG SGAEGVTLEQATGSAGVDQTLGSDGTGIDENISADATGVDQTLGTADQHVPVVPEDPT AGVTFVANIKTAHEGTVETEDSHRP ANIA_09000 MAEVEGKTGVSQQKVQFEHDELYQAYAAKGEEWHRQMTRRLMRK VDLHLLPLLVVMYLLNFLDRNNLSQARLGTLEEDLGMSGTDFNLATSILFVGYLLMQL PSNLLLTRVRPSLFLGIAMGIWGVISACQAATQSFAGLVVARFFLGFVEAPFFPGAVM LILAHGQGADDRRSSWYTRQELSYRIAWFYAGSSLANAFGGLIGAGVLGNLHMSHGIS GWRWLFIIEGSITVALSLLIPILLPNYPATTSWLDDEEKAYAQWRLINDAGEADDTGA STIKEALIAVFADKRIYLFILLQHASLLSQTFQYFFPTIVQTLNYSNIVTLLITAPVW IATFLVSLVVTWTSGKTNDRSIHIICLMAVSIIGCIICTATTNLGARFFGMFLMPMGA VSAYQIIIAWVANSFPRPLVKRSAAIATANMIGNTASIYGSYMWPSSSGPRYIPGGSA TASVALLVALMAFVIRVVHVRMNKGLEEKENEVVEDEQLDAPRGFRYIL ANIA_11639 MPEYHPFDRVGSEKDPFTFILSTFSLPYHSRDLIALCKEDLTCK KLELL ANIA_08999 MAKQIQPKPSALATLIPELQWLIFNCLSFDDKVLFTEIGLFHPD LLTSHGQTNTYDQHGRTLLHVAAEKGCVRAAQHLLCGGATPSAKMGPGARDFNTTPLI LAAQQENKELVNLLLSHGADVNDWDYSGITALHYAVIRGDGRIVRILLDKGAKQTNNY DIGCPVHVAAASGALEILRLLVAHGADVGASKRGLSALDIALLNGNIAAARILVDAGA PLNDPVEGWGLFNPTLMVAGGPHAADAAEIVLDHSIASIDSVARQREVEEWWKPRQKG EYAELLQAMLVAGADPNQTFRSATPLHLAVVAGNEHAVRVLLEAGADVHARTDRALQR KEERERVGGSTCCASQAGAFQCIWPLIFASISGVQSRSSISSVLRQPGNLCCFTPSLF ARFKAHGENACLLFVERSDETRASAQSRGPGCQDSSTHLPAPIPIPMRRGAGSWRARR NVLRFRPMYQGCCSKDYSSGFTPEHCDTGRISDCNATAEYGQLCAPTSSDTEVSPRIF AAMAVKRTPTALDVASQSAMSFKRRIGYYELFNYYKGCNVIEPESLIIEPFTHINLAF VNLGDDYTLIDEYGNIADCVLFLKFSNPGLRVNIAVGGWVFSDAPTQHLWTQMARSHE KQQTFINSVVKYPQDYPRGCRASAQWQEVMNRLWQQSEKPLSPENFPGRMNRNDDMRL EARNREIKRTPFTYYSRHNHPACQTRIHQWKKEKKVDEREEGDKTTNYPAAKSQSPKH ANIA_08998 MTPHRFDPKFTDNVVNAMGKDVDPRFRQLMASLIRHVHDFAREN ELTVDEWMAGVQLMNWAGQMSTAKRNEGQMVCDVIGLESLVDEITFKLADEATDAPTA TAILGPFFRADTPFRSNGESIVKTAPKEKDGKGEIAYMAGQVMDLVTKKPLVGAVVEV WQASTNGLYEQQDPEQEEFNLRGKFRTDENGRYSFYCLRPTPYPAPDDGPAGMLLKLM DRHVFRPAHIHIIATHDGYRPLTTQIFDRQDPYLTSDAVFAVKESLIVDFIERKGDPQ AGLELNFDVKLVPDGMKSNGA ANIA_08997 MSTAEQAVWAHVAAVVLGSNAHETLMTKDLLGQTRWQEGRYTNA KTLHQEAVDGLIRLKGIDNEDTLTATGNLGRTKAKFYENLDEAKSLLKRASHGMSKVL GTTHVKTLIVQEDLALLAAQMEEELCEPLETVQQVLDIRTKKLPGRLNESIQYCDEAI KGLQKINATQHPLERELRAQKEEMVAMREQEG ANIA_08996 MATVESKSPIDDVEKAPSEASDEERRREFLSSFTPEDDKRIMNK VNRRFLWLIGVIYVIKTIDYTNAAAVKVLQVGEERNVLSELKMTTNEYNWVQSIYFIS YIVFEVPSNLLQKRLTPRLWQSRIMLTWGIVLACHAAVQNKHALYALRFLLGLCEAGM FPGIAAQLCGWYRSDEMATPIMWMFGFQNTSGIIGSLITYGISYMNGLQDLSAWRWVY LLEGLFTIAFSGVIFLVLPDWPKSERTKKWLTEREQEYVETRLSENAPKESDASFDKG EVIAALKDQRTYSFMISQILINFAGYALSWQLPTITTSLGFAGLPRNQLLNIPPAAAS VLAIIFSGWFLKRAYMTRPAYIMSVIIGPMLLFFILLAVLDNKVGIYIACVFGTMFYA VYFIPFWAWRSSSLKGMTGSAFTLAFQSCVGQVGGVIGPQLFQSRFAYNGYKTPFAIC AAVVGVAWLTNGWTWWLTRNVEYDVMRVRRLRIKEEKQGRIYAGEDVQVYKERQFYDG MGRKKEQLEV ANIA_08995 MASTSTENGPEKASATATIALNEPPAPAPAPSHPAKEGGLRGWL QVLSTLFILFNIWGLAFAFGSFQSFYVLDFLPSTSTSAISWTGTIQSWLLIMGGLVSG PLFDLGYYVHLISIGSFLSVFGIMMLSLATKYYQILLSQGICAGLGFGLLYIPSLTIV SRTFVRKRALALGIATAGAPAGGVIYTLMFEQLLPRAGFAWTVRIIGFVMLGLFIAAG VMVSFTADTAKPSQARRPAVGKRQLFDTAALKDLPFWSYTLANFFLYLGYMSPFYYIP IYAETKLHTSRSMGLYILIISQASSIIGRVVTTAVAHYFGAMVPWIITGIASGVLCMA WISATTLARFILFAAFYGILLPEP ANIA_08994 MHYDQPPAYTETTLTAASLVGPALDRPSSSHSSTASIPSVSISL SNTTATETSPKGGDASSSFAMLSLGDSDKLRFIRFPDHLIVIASEVITRLWPKGIQKT QNFDESVQFKLKGNPLGYSFDGEKAAIRVTIMGLLNAFAKEGWVVLPAGKVGKMGRGD SQSYGQGDSLVFHRQHPESRSWLCVSFDSADLLHLLNAPAELTTLLLASFGDRIEKCN KDFVSGNFELKFKGSPWTKTGAKGAVQCRLILLDLMQCFEEHGYTMCTALDIDGGLGG TEYKSNGEAWFWYR ANIA_08993 MMQIDLADDQDERQRRLQEWDSDDDPGNPRNWSTLRKTLTTTVI GLIGFATTVNASIYSSGHEQVKRDFNVSTTVSLLPLSAYSLGLAFGPMISSPLSETFG RKFVYLLTLPMVDLFTLGVGASQGIASLIVCRFVAGVFAAPGVSVAAATITDYTPPSR RVIPLGIYYAIPTIGSSMGPLIGSFVVEQRGWRWTAWTPLIMAAVVHPPAIFIRESYK PILLQRRAEKLGGEGVLPVQRQTAMQLFKEFVTSTIVRPLHMLFTEPLVGFICLYCGF QFALLYTFIVASPRVFADVYGFSPSGQGLSFLGMVAGCICAPLVLFTVDRIVQLNPTL RIRNNNTEGGGSPPELKLYTAMFGSLILPTGLFLFAWTAKPHIHWMAPIVAQSLTFLG SMLIYIPCNFYMLDVYGSKYGASASGASSLTRYTLSTAFPLFVPQMYDALGVDWATSL LGFLATVMAPIPWVFFYKGPGFRARSGINIYIIPRSLKLAKENVAKGQPFGPVHWGRI SGLFAGHLRTQGIRTSPGPSSARFGSVHSNHSYSYILSPICLPINKATLSEMTAPHRR KPIPQPHGRSHSHPTAISHPHPHSPAPAYTRPAQPRAPQQMAYHPPQSLAHGTPAPAR SRSHYLPHPRSAPAQARQLSHIQTTQRHHGQQLKQHTTQISQHANTMKSQAKLNQSAQ KENAQIAKSMQKQFAAQQKTFNKHANAQQRAFDKQSAAHQKHFEKQNAALAAQYERDR AAMDHEMEQIRHADAQRQYEYEQYMWYKSQAQVEQDRKDWDKTVAGVVAGAALGAAAG AAAGVAWEREQWEEQRLQAEAERQEDSSELEPSDYEYESRNEGYQTQSLGYDNGNSGY NDSGYEQQQPYTSLDFMTQNRDGNDGDDDCCDGCCGGNGNDDDDCCC ANIA_08992 MSYPTVRPSRLTVFSRDGTLIIIVPLNHEAGAGEQGGPGQGSLP AQPPAAGFRTSADQLKRVSPLMNRVLTTLEAQTMLRSPRGLDYVLPKYDPDLVRLFLT ILHNPQHPITPLTDLLQIAKLTQIAAFFECPSLIASHVSAWLSSRDSEPPRTYCPTLM VFVSISLHLHFDNMFAKYTSIAIAESPGQITSMGAPISGDVLEDLNQRRNCFIGAHFD VLHNTLNVLLKGPPGCTVDCDSRMLDALMNQMKQHGLLRPKPAAPYSGLTHRQLASTI ASFKCPAGVDMKTVLTLPPSLQNAAFIWSLRVQQADYVPFCSWTCSLQRIAGQLEWV ANIA_08991 MHMPPSQKEQPGWHRAPRLLNQGFQYLWEQRVDSSPGSNGVWSL TLDKWTFSVYEYSSDAEQFCAKGDCAGRTGGSSARIAWGTRFWSADKGLEEGSGGAVR LEARTDVIVAEQNSLTWYRDWR ANIA_08990 MSTPKDTPWAPATLNESAIETGSDKDGANAALEHLGYTPELSRN RSTWNVVFMSFILASVPYGLSTTYTYALAGGGPTNIIWGWVLVSLIILCVAASLAEIT SVFPTAGGVYYQTFVLSPIWCRRISSWVCGWAYVTGQIMITLAVNFGSAQFFIACLNV FEDENGVGLTDSWEAWHTYVIFLAITLVSHAIPAFGNRWLPWLESFAIFWTLAGVVAI DICLLVIAKNGRRSAKWVFGHFEPQTGWPDGWSFCIGLLQAAYATSSTGMIITMCEEV RQPALQVPKAMVGTIVINLIAGLIFLVPVCFVMPDLAELAALASGQPVPSIFKSAIGN SVGTFLLLLPLIILGLICGIGCVTATSRCTWAFARDGAIPGSRWWRTVNKKLDVPLNS MMLGMVIELLIGLIYFGSSAAYNAFSGVGVILLTLSYACPIAVSLLLRRREDIKHGSF DLGALGLFCNIVALAWTVLVIPLFNFPSYMSVTLETMNYACVVFVGIIVISAVWYWIW GYKNYAGPPTDAIDPEADHPAGSSPVQVAKDIQR ANIA_08989 MELDPTAPLPSSKIDHIGITAPTEQLESLIEFYLKALALAPLQR DHAVSWGCWARRQGPGFLDLRDEDRKTVDAFHEAALAAGGKCNGKPGLRTEYHPNYYA AFIIDPRGNNVEIVCHLPVEE ANIA_08988 MPKLFITGITGYIGGDAFHLLSQKHRDFEFSALVRTEAKAKYVR ELYPNVRIVIGGLDDADKIEKEAAWADIVLHTADSSDHVGAANAIARGLVQGHSLDRP GYWLHTGGTGILTYFDSDVHKVHGEHNDKVFNDYEGVSELTNLPAAAFHRNVDEIVLR TGVEHADRVKTVIVCPPTIYGRGRGPVALRGRQAYELTSFVLKEKYVPIIGKGLARWN NVHIYDLSRLFDLLVRAALDPARKDDKEIWGEKGYFLCENGEHVWGELSKLVGEQAHK LGYLKDAPVVKEMSVDEAISSPAGFEAASWGWNSRGQAQRGREVLGWSPEEKSIEEEI PDILAAEASRLDGQFSLTIMFPPTGETRLLIAVESSFADE ANIA_08987 MVLAQRLLGVLLVDIGLLQLVVVVSRNRAGVYLTQYTPRVRRKL NRNSTEMCINLRGYSRLSMGVVELTIRLRGSWRLSMWAGQHRDVDGHSLSPLTDVAVN QRAIFQNGLRKQRSMRYCSLVLLLEGQAVIDIRSQWGGLAVKIGPEWARLQNCRPGEN PVTLSGEDHRIVMGWRYRAASGLIRRPSPAWLDSSPVSNSQQQSPGKPQQDHALMAEM MMKKKQRVVIAKLSTRSLREEETCAAGGSRSPAPFPPVILWSATFSSLTAALCLNWPS RLAWTSHQPQGQISGDNPEHQNDKTFEHVDDTPVDHHEERKSGSNERYVHLFLHVNTM LLPWITVAHQP ANIA_08986 MRRASRQSFLPLLARRRLSFLLILLFLLFLLHALPPVFSQRRNR RVVGGKWLPDYDVNELPRYLHRSPYREKPDIEFEQRVREALKGIEEDALAAAGIGDDR LAEQRIWQIRLGGGNDEHVEDSLAFTKRNSEWEYTLMTDHQANEFIATVLASIPDLKA LYDSYPYHVMRSDLLRYLVLWYYGGYYADMDVYPAKAIKECPALQPVFGDSGSANISL AVGIEIDEPHASLQLMRDWHWIRTYGLIQYTFFAPRRFSPLLREVIIRVLSHTRQRNQ QSFPFVGPRYDEKAILEVTGPGVFTDAILDALSDALPTTHPLLAASVRADEGIGDLFS QASGQLSRRVTWAPFHRISEPLCVDAQEAAVGKSMGGICVLPVNAWGNGQRHSGAGGF SSPLACVNHRFGGSWKKDWWYRHFG ANIA_08985 MAEYTSDADVELAYTTLQTTFKSGKTKEIAWRKWQLKQIWWLVD DNEALIQEALKKDMNRHPFETTFTECANVKGDVIEHLKNIDKWTADQKPSAGMLGLML RPTVRPEPLGVALIIGPWNFPFSLLVQPLIAAITAGCAALLKPSEVTSSVQQLFVDLV PKYLDTSAVRVVTGGPAETGCLLQRKFDHIFFTGSVPVARHIAAAAAKHLTPTVLELG GQCPAIVTSSADVDAAAKDIAWIKYLNAGQICLSVNHVFAHPSVERKLIERMAFWLDR FYKGEKDAMTHIVNDKNYARIKQLAEKTKGKIELDGTADAETRSLPVSIVSNVEMSDP LLSEELFGTVCPVIKGSTDDAIKSINSLPRPLALYIFSQDQNEVEHIISSTLSGGVCV NGVLVHAMVPNAPFGGVGDSGHGAYHGEYGFKSFTHYRTIARPAPFFFKMSEWMRPPY SVDNIKKLAVRNSVGIQRNWSLEQEREAIKRGLLLTKSLKSARFLVYLVVLLGLADVG LDRRLGVLKSVRDLLVEVRSLF ANIA_08984 MAGDERVSITAVSWTLGTLSILLVALRLYTRLLITRCRGWDDLF VTIALVNAIICSALTQIAVSHGLGKHEADIPNPNDRISAAKYATIAPSFSVISTVTGK ISVVIFLLRLLTLSAKPWHRWFLHSLTLASIAWNILGIVAIIGYCRPTRKIWLPETEG SCMSSRFQLVAGISQSAFNAFADWALALFPAILFRKVQLPLLKKVVVIAVLGSGVLDM ARHHEMVYVELRQQPKIWNEQMYLIIICASVPTMPQSLNALLHLCKTYHKYNSSSSRC NLSRPSIREPGVLLKRMQDSSLFDAPAEHAGSQENILACNEGELNTNIGCMIDLTVAQ DSDIGDIVLVQGGTN ANIA_08983 MDDISQSEVPGTIFIVGTDATKLGEADVTTSNDIVLVPRPLETP RDPLNWPKSKKLWTLFLATLFATVVAYGENNLGAPWTEVAEDIDVTMKDMNGGSALNY LLLGFVNVLWIPTAMKLGRKVVYIFSMTIIMVSSVWNAYIYGVAQWYLNCLVGGIGQS AYQALIQLTVFDIFFAHQRGTMLAVYVWGQQLGSILALILGGYIAEGPGWRWSCKIVA ILSVRLISVILRAAVADALQGSVVLLFIFTMDDSLFPRHALAIHQTTTVPQTQDSHQP EAENDTKKEQSVNLNRQPTISQGRADLLPRNYLHVLAPIHRWKEDKTTWWQYFRRPFH LFAFPNIIMAGLIYAFANTAGIVSFNTISEIMTGAPYNWSTGPTGLMFLAALVGSFIG MATGALGDILTLRLARANGGYKEPEMRLWTLSLSFVYGAVGYFMYGWSASDGKSWVLI AVGLGGMIAQQVSAASVATAYAMECFEGISSELVVVLAICSSCVNFAISYSVQPFIEA TDYGRTFTCFGALVLCSLAMAGAGVKWGKSWRRRCASRYWKFLDHLDYALNTA ANIA_08982 MSQLTKDSSILIIGGGTWGCSTALQLARAGYKNVTVLERSPIPS PSAAGNDVNKIMEEGAPSDTDTPEQYVWNRMHQITANAWKTDPVFRPFYHPTGFIMAA SQDDAMPHVDKYISTCRDKLRLLNSAADFRATMPLGVLTGDFSGWKGFFREAGAGWVF ARGALEAAYKEASRLGVKFVTGDEGGVKSLLYTDDQSDIVGAKTADEKEHRADRVILC AGAGSDQLLDFEKQLRPTAWTLAHIQMTEEERKLWKDLPVLFNVNSGFFIEPDSTGQL KFVDEHPGYCNIPTSEVLNDFPKSIPFAKHQIPLQSEHAARQFLRDTVPQIADRPFAF ARICWDADTPDRQFLIDQHPKYKSLTVAVGGSGNGFMMMPAVGIAIKQRLEGVLEEKL KYAFRWRPETAVHRDWRDTQDRFGGTGTVRNFQDVEVWTRVGEEII ANIA_08981 MTTEISNGEAKGHHLSTIPSSITLSAEQFEKLYLSPMMRQQPSL ARKVGNPTPLALGGFVITTTPLSCCLMAWRGSSGNGIAFIGPIIFLGGLLLLITSILE FILGNTFPCVVFGTIGGFWFAFAATMIPSFNAAAPYSSSATSTTAGLTSASFMNTYAF LFITMAVLMLIFLLCATRTNVVYTLIFLSLLLVFLLLSAGYWRLGEGDAAVGDRCIKG AGASLFVASLLGFYLLIAQLFDAVGLPITLPVGDMERFWPRSQK ANIA_08980 MDDPLLRAWTTQYTNSPITTPRSATPGECRLRPPRDERVEKPRH PKNPPTPPSMSMPYAVSSVPQGNMCNVDRGNGALEYINPSLLLFPQDYASQESNNYAS ARRVSDRRIGQSPYTRPNTPNVEGVSNVSSSDQRSSLSGRNGREEQSNPPVDIFEGIL AKMLAFDVVRPPSTNELGNDEPPREVEAKQPTVHHGFAISVGWSSTFFPDDIQEGSGH SRNTVDTVGHF ANIA_08979 MSIPTMQWAQVAEKVGGPLVYKQIPVPKPGPDQILVKIRYSGVC HTDLHAMMGHWPIPVKMPLVGGHEGAGIVVAKGELVHEFEIGDQAGIKWLNGSCGECE FCRQSDDPLCARAQLSGYTVDGTFQQYALGKASHASKIPAGVPLDAAAPVLCAGITVY KGLKEAGVRPGQTVAIVGAGGGLGSLAQQYAKAMGIRVVAVDGGDEKRAMCESLGTET YVDFTKSKDLVADVKAATPDGLGAHAVILLAVSEKPFQQATEYVRSRGTIVAIGLPPD AYLKAPVINTVVRMITIKGSYVGNRQDGVEALDFFARGLIKAPFKTAPLKDLPKIYEL MEQGRIAGRYVLEMPE ANIA_08978 MADTRRRQNHSCDPCRKGKRRCDAPENRNEANENGWVSCSNCKR WNKDCTFNWLSSQRSKAKGAAPRARTKKARTATTTSEPSTSAATIPTPESDNHDAPPV INSHDALPSWTQGLLSHPGDLFDFSHSAIPANAEDAANVQSDAPFPWDLAIPGDFSMG QQLEKPLSPLSFQAVLLPPHSPNTDDLIRELEEQTTDPDSVTDTNSVQQVAQDGSLWS DRQSPLLPENSLCMASDSTARRYARSTMTKNLMRIYHDSMENALSCWLTEHNCPYSDQ ISYLPPKQRAEWGPNWSNRMCIRVCRLDRVSTSLRGRALSAEEDKAAARALHLAIVAF ASQWTQHAQRGAGLNVPADIAADERSIRRNAWNEARHALQHTTGIPSFRVIFANIIFS LTQSVLDDDEQHGMGARLDKLLENDGAPVFLETANRQLYTFRHKFARMQRRGKAFNRL PGGSVASTFAGIFETPTPSSESPQLDPVVASEEHRSTLSLMFWLGIMFDTLSAAMYQR PLVVSDEDSQISSASPPRRGAETPINLDCWEPPRQVPSNQEKSDVWGDLFLRTSDSLP DHESHTQISQPAARWPCTYEQAAAALSSATPVKVLLYRRVTQLQTLLYRGASPARLEA AIQRTLYVYNHWTAKYQPFMQDCVANHELLPSRIQSWYVILDGHWHLAAMLLADVLES IDRDSYSDINHIDLVTKLRLDNALAVSALARSSLRGQELDPGKASPMYRHFHDSLTEV AFLVEPWTVVLIHSFAKAAYILLDCLDLDGQGNALAGYLQLRQNCNYCIRALQFLGRK SDMAALVAKDLERGLNGKVDSFL ANIA_08977 MLFKNASFLFPLVLPLGALASSGPGNGTSGFMTFQPGFKAILGE HPKLELLHENRTYPFAHEAPVYIPDTGDLFIVGNYQTPTGGQAIQISRMVPQKNGSYV REIIHPDIPMANGAINYNGGILFCDQGTKERPSSLIFMNATAPYETKVLLDSFYGRHF NSLNDLDVHSDGSIWFTDPTYGYDQGFSMKPDLPNQVYRFNPKTGDVRVVADQIGQPN GIAFSPDESIVYVTDTDAVWGNGDNDSTRPATIYAFDVKYYSGSPFLMNRRVFAYTDN GIPDGVKTDTEGNVYAGCGDGVHVWSPAGVLLGKIQLPGTSANLAFAKPGEMFALNEY RLWKVTLSQNVQGTILNEL ANIA_08976 MTYNSGQETPATHTAPRCVREPASVPVQLVEGAWGLVVRPDLPM PDAVIFYIHSNLLLSCLLSGAFILNIWGLILVWATLRSWESYGFTEESGDRKTHDSGL VSRQPQFIIQYPQGSLKFVSDEPNMHVAIMTFKCLTFKEYASPTELLPVRRTYRPIVE SPEAGQIPQYQQPRPQNLG ANIA_08975 MDQTYNPTPSAPVIRLMVLETDKPHPDTHSERGTFGKIVHEHFA TAGRAHKPPVGVETDQVFVVSEQGGRIPSLEEFDSFDGLLITGSLYDAHGDDEWILQL LELLKTLWIKRADFRFLGVCFGHQLLARLLGGSIGPAPSQDWELGHCRIALTPVGQRL FRTRGDDNHVYLHQMHQDQVKIAPTAASAGPDMLPQDTEIAVWGHTDHTPVQGLYIPN RLFTTQAHLAFDEDMVKRQIQIRVEKGAISDLEHADRAAETADLEHDGVEVAKAILRL FMDDEEGQ ANIA_08974 MSNRNPVTSTESPGSLSADKRFFDEANAAFQNDGGWYNTFVPDT AMITRAEQGSRYQGPNLEEDEGDLAAEADAAMDANPAFGSYLDEQVEGVSDRAPSTAH LEILDNRSRPDSKTLAMSTNNPPEEAKEGSYGFPAWDTFESQKDGYALDRRAHLDEVE RGPAVRHKNVGERDYNRASAEGQPDTQFNKSARFSPPSLKNGEGLEETWTDADLEEFR RAAGKR ANIA_08973 MAKQAKLHRSDQRPESYTSLNSSSATVKPQLYVFSSVIVRAWNA THKTSIGMTIKAPASARSPVGYGPLKSRRGCKTCKARRVKCGEEKPFCLRCTSTGRHC EYAGTTYGTFSSASSATTSAIETTLARSPSPTSTRAWRERRAFAYYSQHAAPILGGLD VEFWSTIVPRICHSEPAVWDAIISISSLFESYKPSNPVHKYRDALGWYSRSVSAVRQQ IERGGADVFVGLISCVLFICIEALQGGTQEALRLYSQGTHLALALREQIASGVVPATK GSLLEETIVPIFARLSVLANSESKAAVNVLLRGTDRLLMPGFIFPSLKAAREAIFVLM AETQLFQEACEKHHDTTNEYNVPDHMISQQMAILSRLRTWHGAFTALTTSLRHRETPL SPQQTKTIALLYTHHEMLYIITSTCVSRFKTTTDTCLPNFQTIVEQSRIALDASNRPD GTQPPFTFDIGVGFPLWFAVLRCAEPLTRREAITLLKRAPQVQGFYARTFGVNFAQAV VDVEEAFAKSMAVSIEMDIGMAAVAATTAPKTLSQSPSVICLGSPCNSGRVSINSTSR SVSSSSSPPPTPANLKIPEEARIKPYGIFLPRNGIPPGTNEEDVAKWNLSPNQPFLQY SRNLIDPVTGVWRVVHEVIPITIDT ANIA_08972 MQSPEPQPCHLPSVTIKPAPSTSPAPIQFGEFPAHSDARTGLSK MHDGGTYSNRPEPLAPTLGLGVDSQIQAATLCSVSSTFLCLSPGRSCSHSQILKTTVS AVGQLGKLDDSCGMYLSREDGRMVAGVWHKESPSPFPNMAQSVLARSQPRRTWPAATV LTGLKESLFDVGRGHDWVPRGLAPAPASGNRYLLARSSGGPPRAVLDLSASSVFVNDY YSELFGYDQGVTGGLLELPSFYKYFPEITADMCPEDENLSSCRSQRSTNQGIAVAAYN LGCFLGAILTVFIGNAIGRRRTIFCGCVTMTTGAILQCTAYSLPHWIVGRIVTGIGNG MNTSTVPTWQSESAKAHDRGKLVMIEGMLITGGICLSYWINYGFAFLPDHEVSWRFPI AFQIIFAVTIFISIMNLPESPRWLVMKGRDDEAVEILELLNEKPRDDPYIQNELLSIK ETVMEMNKGSFRSLFKMSEYREFHRVALAYVNQMFQQISGINLITYYAPNIYTDIGLG GGNTAKLMAAANGTEYLLAAFIPIFIIEKVGRRPLMLFGAAGMSISMAILAGTHYRRE TYDDTKAGVAQAVFLFVFNTFFAIGWLGMTWLYPAEIVPLRIRAPTNALSTSANWIFN FMVVMITPVAFENIKHNTYTIFAVINAFMFPVVYFCFPETRYRSLEEMDAIFKKSDGI WSAVQHSIKEPYRYDKHGQLKPEYLEEAIRRESVRHVEGKFESEDSTNESQEAKGTET RLE ANIA_08971 MLESEPGLVVDHGWVLYAASWPLFGICFLIISLRLWVRAHMVRS LGWDDAFMILAFLCAAINTILVTISVQYGTGRHASNLTEYQQSQSIKYQVLSAGFHVM STNWGKVSVALFLIRIISEVKNHKLGMYALIIVMTIINTGAVITIFAQCQPTAAIWDH SLAGPQACWPPDTQKKYSFFQAAASALTDLILAIYPLFTIKDLQMPTKVKLGLGFVLS LGLVAMVAAIIKATHLPDMASYEDYTWDTINLTIWVSLEQYLIILAACIPALTPLFNI IVRRASNRSKSKSKSMPNDLLKGDSVTVQSLSRKHKHGSRSRGRSRDFALTLDSNSSQ HQQYNPFARVGREYVEYPLTWTTTTMHTATDLHHPADGSDSETPISGFHEEPEMPTGI LRTTDFRLEAVSNSRNSAVRWQIAKPILQDN ANIA_08970 MTIFRRVALIGRGSLGTVLLDELLNSNFTVTVLTRSASSASSLP PGADIKQVDYSSAESLKTALAGHDIVISTLSPSAIPLQKQVIDAAIAVGVKRFIPAEY GAMTSDPVGRKLPFHKDAIEIHEFLRETVASGLIEYTVFGVGVLTELLFTTTLVVDLE HREVKLFDGGIHSFSTSRLETVARAVVASLHKPDETRNRVIRVHDAVLTQRQVLDMAK GWTPTLEWREVYVDAQAEVDRGLKQLEKEFSPALVPGVFAAALMSGRYGAEYKEVDNE LLGLGFMDKREINDFGKKFTK ANIA_08969 MKFISVLALPGLAYAAVQGFDISHYQETVDYQGAYDSGARFVMI KATEGTSYTDPKFSTHYSGATSAGLIRGGYHFAQPGSSSGADQASYFIEHGGGWSGDG QTLPGMLDLEAGCYGLSTSAMSSWIKDFGETYKAATGRYPMIYTTTSWWQECTGNDSG FGEYPLVVARWGSSVGTLPASWSTHSFWQNADTYEFGGDSEVWNGSEDSLKTFASK ANIA_08968 MTKSNLLIFGATGAIGSYITAAITDARDEFGRIGIFTSQSTLTK KTKEINALREKAVDILVGDVTSKDEVLKAFDGFDTVVSALGRGVIAQQVHLVQWADES PQIKRFLPSEYGTDIEYSLASANEKPHQQKLKVRAAIRETKNLEYAFVVTGPYADVPF YLGASKNPRGGSFDVKNKKAVLLGDGNGRISLVACADVGKFVVHTLTHWDKARGRALK LNSFTTTPNDILAEFEKQTGNKWSVEYTSLKQLKQYEKEAWEKGEPDATTLTLRRIWT EGGTLYERRDNEDIGAENTTTLEEAVNGAIKTQLGQ ANIA_08967 MGLEQHKTAVTRIASAIRGFYERKQPFRIAHGSTNSTRPIRRKH EVDISDLRNVLRVDPVTRTALVEPNVPMDRLVEATLKHGLVPPVVMEFPGITAGGGFA GTAGESSSFRYGFFDRTINYVEMVLADGSIVKVSENENRDLFRGAAGAVGSLGVTTLM ELQLVEAKKFVKATYLPQRSVRDSITNVHAATLNDANDYVDGIIYGPDHGVVVAGEMT NEMPDSAKIQTFSKAWDPWYYLHVKAKTRGNSPVTDYIPLAEYLFRYDRGGFWVGRAA FHYFHFPFSRVTRWWLDDFLHTRMLYKALHASGESSRFVIQDLALPYANAEKFINYTS NKLGIWPLWLCPLKQSPAPTFHPHSSVQSPGFTEDQMLNIGVWGFGPKDPEAFVTANR ELEHRLRELGGMKWFYAHTYYGEDEFWSMYDRKWYDELREKYNATTLPSVYDKIKIDV EADRKERHESWSRKVRSIWPLGGLWGIKKAIESKEYHIHRNSTWKWK ANIA_08966 MVLAQYLKRAVAWLAPPPKQSEDGRDQWPSRAAFLLAAMGGCAG QGNLIRYPSVVYNNYGLQWFIPYFLAIFFVAIPALILEISIGQAYRGGTVIAFNNINR RLKGVGIGPILVSFIVTQYFTVNLAWIMNYFRNSFRSPLPWEGRIEEFYMGDVIHNVD PVGGELSTDGKHVLSYTEYPGVRLLGETVGWSAFIWFLIWVSIFRGVGLTGRVVYWTM GLPIVTTIIFVGRSLSLENASEGVKLLWATWRGGQLASGTVWQTAVGQVFFSTGIGFG YFTSYASYNAKHSNAVMDALLICGSNVLFENFAAFAVFGVVGYLHRWPEDGVRLGAFV VGFLTLPEAVLHMPGSNFWAILLFFTLVVLGFSSAFVMLDAVATLVVDSGLKVSRPII VTVLTLISFLMCLPYCTEFGFYLLDGIDRWINNICLIFVVWSEVVSASTVYRWQDVVD QTGLPAFITYNFAYFGGQVIGVSVAHGTENPGIGAGAGFGVYVVFSILSALLAKTPIQ PAPSFWGRNSLLSRFWYLAAYSGNQIRRDLNAIIGGEKNWKIPSVWPVLLRYVCAPVL AIIFSFAYPEFHTLRYDPLMITGFILAHILLLIILLGLVMPRYYDVFIPPNRIDEGGR LRADLANDRIIPGGSDDGKCDNCASAPRQSGHEVAPIFLVEHLAPTYETCL ANIA_11156 MNILPRHAIATREQIFSASSPIPSGLLPQLKLPEGRNNAKNSLP TRARHECPHIQVSDWYLPFASSIYCNPFSRAQFIIILLYSTNPPHRTASFRRTLPHSY VFDFISGPFPSSPAPGIKAIYPDSPTYTWFREPTPAGLRAAHRYVAEYIQKHGPYDAV MGFSQGCSLIASMALYHSYDRLSEQGQNGIRGDLPFKAAIFICGGIPLYALQDMGIPV SEEAETISKITGQLLNTTATKLSTFASNTSLIKRGVGLWDNNVTSNTLVHDPSVRPPR NDLFGLDFTSFPSWAKIDIPTVHVYGGKDPRWPAGIQLAEFCADRVEFDHEGGHDIPR GSVVSERIGGMIQDLLRRV ANIA_11157 MPQNAKNYTDPELREQVKNEVQQGDKGGKPGQWSARKAQMTASE YKARGGDYTTSKDEKKSEQKHLDKWTNEEWQTKEGSGTAKQDDGTRKRYLPKKAWEEL DEREKKATEQKKLEGSKAGKQFVANTGEAKRKRGEVSREGEKKGSRNGGKDKKGGQVD RKKEKEGKMKQEPEENGENGENEEEEVENTENDEDYERDGEDLDGENEESGDNSGSED EDEEQNQQADEKETETDTPEGEQPEKKRQKQD ANIA_08964 MDTREKALANLHAAGLSDILYLPSSDVYSARVESYWSLTAQLKP WAIVRPRNTEEVSKAVKAIVATPDVKFAIRSGGHMQWPGANNIVNGITIDLGLMNSTT YDPETGIASIQPGGTWAKSYQELEKQGRMVAGGREGKVGIGGLLTGGGKTFYTCRNGF ACDQVVNYELVLADGSISNANSTTNPDLFRALKGGGNNFGVVTRFDMTTFSAVDVWDC TITYPKKATLQLSEAIVDLTKNLAAYPDDHILAMWTYLPKTEEHFVMVNMMNLEGVKE ARTLEKFLGIPEQMNRVDTTVSVATKLTSFVVPSGKYDTWYTTTFKADPQIILKSASV FESLVSALKNQVPYSNFYTQIVLQPLPVSFGAHSTARGGNMMGLDQMKVDCVLLVWAV EVDTPELNANVAGPTLKSAIEKIETYARSVKGDVGFRYLNYCDKEQDALGSYGEENVR HMKEVAVFSEKVAEDVVRLS ANIA_08963 MAPAAAKAERLPRPATDYERWLGDDEQHLDSVRQYDLDQGQKDY TNDGVITVAEDSSPPEIVDSDDLGSSPLSSDESSQPRHHYTRPTVPDIVALYAAIIIA VLLVLKLHGSLRRPQWRRSEEKKRQGSPLGRDTND ANIA_08962 MTREEGEREEDKSPTFVHTFERYLMDVQKYLMEPLFFASIGFAI PFVQLWTGKRIWRGIVFTLLMVFAKFIVGVWVPLWQALTRSKHGKPRRPPNPPNQSGR QRDEEAEMEPEDGTNEKPAREGTKVIWLSGLLLGSAMVARGEIGLLKEIGYNETSYVS EDGFITGVWAILLNTIIGPVAVGVLVKLYGKRIGEGAWGVQETPQLGRAAG ANIA_08961 MIKPEPTRTKNQAPRFYRQYIDENDSLSAAPSPVSSLLPPHLRT PSLPSSRNEAKDATGRAQPRRSLDIASKALGIKSLTLNSTDAVTQTTAPLLDARPTLT LHLPNGRVLPPLSPTASNFSPRVRSSSLGQTGSQHVGQASGTGHYRSASHTFSSPTTP IAILHPPRPPYCNASSGPLLFRNPVPSPVITIREPSPPPSESRSAVQTQTQSRKNSTD LGETATARLEQVPGGAAAFSGRVEKSVSAPDALASGDPLLRQSGSRPSLEDNTYSHDH TPDIAGRREVRQRETNAPNPCQETEEPMLDNISDKAPLSPPAHRSSFDSSNNQRSRGR SMELTRTKHVRRPRHRASTQPLQMADPMFISISGKEFSSQGNGGSSRSSLETSSRDRS LTLPGSLPQQPNDNTSTNSLQTTVRGSVPDTRSVAVTRLTSLNSHPPSSVIEREHTRS QSLDVNHHSGPPAVPRHIYASLPLPRIRLPSIHSKADASSAQATDSEATISHSAGPAS SLPTGPRTPTHAVFPHPQPQPQPQSEAMFTSRASSLPVTTPPAFSSPAPNSHSALQSL SPHLEAHQPTTRLSLSKFTTQSLTTLRAVASASKIHSSSTSLSTPRSKSNSSSSSNST ASASTTSVLTPQSPPFRPPSPTDTSNLPLATLTQHMKTQLGQARHLLATLSHHLPPAE NIWIHDTVSDAEGTVREILMLTEPLRVDREVNNGKLGLKTQLKWAVRGSWKAKDMRAR LNLCYSSLVAILMRLQDYEAEYAESVAEHAAAKTETMTSDDRNPMTSTNVHVEGPTTS ELESLHKYSAMQMEGLSLESVVDEHTGIETRSAGPQSPISTISREESIEPAPEKLDNE LVDMLSWRWSQGREKTTQ ANIA_08960 MAATAQSQSEEVAVEIKANATVHNREIGTDESVLFEDTRTPHAP QAPSTMNIAIRPNAPQDLPVLLSRVSKLGASYAQNEDEDIRAEYLDAGPPSRSLPATA ENAFNVRRAHYAAIETCVDLGVFVSLSKDDTPKTVAELAKATHADPLLLCMLLPQRVL LFSPIWHADKPARLLKHLSTMGVIIETSEDTYLCNGFSTSLSNKRYSDAYPCMFARYL PLYSSFQQSPLIPSLGPTASHTASPACPRFSREPTTPTQAMVAIPRSKTDNASASTSS NSPRNTSPTAQSSITTGQPTVRPT ANIA_08959 MPWSFVLPQAIFASPLLATLTPVATGSTIGYLVNRYGTKPKYHA LKQPPASPPSWLFPPVWTLLYGLTGYASYHFTVNTLEPDTTITQTLYTAQLFLNHLWM PLFFAARKPILAAGDIVLLGGTVATLMRELWGSDRVSFWLFAPYAAWLGYATYLNFGT GILNNWRIPDVPEERKRRNE ANIA_08958 MSSLLQAKPHLTAALSFLAFGASACRNIIESSEGAPGPPQRTDK SALLSEWPGSNGIKRETQKTHWVTSLVALQVDVPVAQLVRCGISEVGDAYDLARNLFS AFLDARARGLEAWFLDKDVPQLSFTPWSLLFGRSHSAGAFMLGHWSSARRIQLDQPIL HSETANPICEFRPSKAMITASNINAETDAAGTVLYWKFPRRSVDQVDKAASYGIPWRL MEGAFQEFIVEKITSETTKVTYVTVGCGNMYPGGQRERTSKGAVVVV ANIA_08957 MYDVTLFTGKGLEFISGNFASLAPDGNKLLSLHLPTLVPRHANC GSSNGSNSQLSAIDVGYTASRRGTIRLPLEDEYDALLDSAGHEYIDEDGEATEHSVFE FEKAEKWQAWSIATLSVLGFAVSLAQAVVVTCAGARGRHFMAISWVGMVGWMAMCIQP VSLLTERSCVKRYWLGISSFWASLVCILGIATQTIILWGNGHTVTINSASTILLSTQI AISSLRATVSILIPRRPNVYFEGQVVDQELTVSAYNRFTYGWTTDLLNFASKNRSLNI DDLPKLPFTARAETVHFKLQQFQAGRKLWVALIKRHVRALIVQGFLSLVVCVLGFGPQ VALYEILKSLEERAVLQTDSTVVWMWVAGLGLLLALSSSIESWLWWLIYSDLWIPIYE GLSGLIFAKSMRCKNVNSPKTRAAQEHGGWEDEGEEDGEGEEQEQNCQSIINLAAVDA KRIADFVTFSYLIPSCVLRLAIAGGFLVRLIGWPSLLAGIGGAVLLAPLNSWLTKRYA RAQEEFMKSSDKRTSTVTEVLQGIRQIKFGALEQQWQDRIKEKRETELDLLWKTSVYT TGMVSVWIMGPLLLSAISLTVYALTRGELSPSVAFTALSIFSSLESSLASLPDLFSKA MEAKISADRIDTYLSSAEKMSNTSDVERITFKDATVAWPSEDENDWETDTDRFALRHL TLEFPVKGLSMIVGKSGSGKSLLLASILGECDILAGSVKVPRAPPPSQRFDHLALREN WIIDTAIAYVAQSPWMENASIKENILFGLPYDRQRYRQTIFASGLEKDMTMLPDGDLT DIGANGINLSGGQRWRVSFARALYSRAGILIMDDIFSALDAETGRHVYEHALTGELGH NRTRILATHHVGLCLPRTDYCVLLENGFVTHAGTVAELNATGELTNLMGKAEISRERA EPPLRELPKPKRSSAASTGRRSSAFSARTIPKFNQEEKRETGAISMKVYISYLNRGGK VWWWILAFLAYAAFMALLVGRSWWVSIWTGDSTRKGEPRASGHDLMFYLTIYIAISMA ACLIGTLRCLALALAFISSSRALFNDLLGTILRAPLRWLDTVPLGRILNRFTSDIYLL DWRLGYDIGHVLYKLLELVGILVAGVAVSPLLLFLACVLLALCMRLCSVYLAGVREIK RLESTAKSPVMEKFGSSLSGLSTIRAFNKAETYIADMHTLITRHAQASWYLWLFNRWL GFWMALVGALFSTLTASLLVYMPGVSAALAGFAMSFALQYNYAVAMGLRFYANVEIDM NATERVLEYANIETESQSGYTPPASWPTKGRIEVEDLEVSYAPDLPPVLNGVSFVVDN NQRIGVVGRTGAGKSSLTLALFRFLEARRGRIMMDGLDIGHVKLSELRSRLAIIPQDP VLFSGTIRSNLDPFGEHSDLELFNALERVHLLRFEDTSTLASSSASASCSGSEAYPPS DGSRSPTTTAGTSPTDPMSASITLTASSTSTSKAKETGFFASLSSPVTTNGGNLSHGQ RQLLCLARAILTRPKIMVLDEATSAVDMETDALIQQSIRTEFGRSDSSLLVIAHRLST IADFDKILVLDAGRVVESGAPRELLKTEGGMFRALVERSGEREVVEKIILASLSQNCM K ANIA_08956 MPHPLTAKYDWILAITSMAFVFSAASNGANDVANAYATSVAART LKMWHVGILAAITEFVGAVALGNRVTDTIKSGIITPDRFIGNPGTYMLAMGCAEVGSA AWLTTATFLGWPVSTTQSIIGALVGVGFATQADITWEWTSGSVSQTAASWGVAPGIAC GFSAIIFGTLKYAVLEREDPFKWGLRLIPWYIALTGGILALFITIEAPTAPSLEEFGA GKAVGIILGCFFGCLFVGYVFFMPYFHRRLVRRDARVRFYHIPLGPLLWTENPPLYFP REGEWAITNHYEDAYGEVRAGGQQTITAAVAGSVLPPADGKEARDTDIERQPDSLASS PEIAPKKRIIEPEERFLDPVRDLSWGNPRKWLGYIKYAFLQGVTRDVITHDSQLLRDI HARARRYDDRVEHMWTYCQVVSAMMMSIAHGSNDVANAVGPWAGSYHTFNSGSVETEA STPVWFLVIAGLLLGGGFWFYGYHIMRALGNKITQMSPTRGFATELGAATTVLLASRL GLPVSTTQCLTGAAIGVALMNYDLAAVNWRQIAFIFSGWVLTLPCAGLIAGLLCVMAL NAPHF ANIA_08955 MEDKKVVLDSGSSEELEQGFSSNGNGYDTVATKKLIRKIDFVLI PWLALLYLLSFLDRTNIGNARLAGLETDLNMSGLDYNVALAIFFPFYVAAEIPSNIMM KRSRPSLWIPSIMIAWAVVCSLMGLVQNYAGLLVARAALGIAEGGLFPGVTFYITMWY KRHECGLRMAIFFSAATAAGAFGGLLARGIGEMDGIGGKGGWAWIFIIEGILTFVIAK FLTSAEKAEVQRRLEEDRSSLADEYNMKFFWDAIKDWKIWVHMFVTVGVYTPLYSFSL FLPTIVSSLGYENEEAQLMTVPPYVVACVFCIGGGFLADRQGQRGIYMIGFNIVAIIG FIMLISSDNNGVKYAGTFFAASGIYPNVPQGVAWNGNNIGGSFKRSVGIAMHVGCGNL GGVLSSFIYRSQDKPHYRVGHGTLIGCLTMSTVLCTIMTIYLRRENARRDREYKPPAE YSEAERAAEREKGDDASFFRYTI ANIA_08954 MSDRKLILVMGILALRALPLSRVRRIPDGIARNSSLTWRMIALS SSGRYDVRVLTRNTASEQARKMVVLPQVTLQQGFQETRQISTLPSPAYTAPGRLYARG KSELIYGIRAYEIARHHGVKHYVFANIDYTLRKAGKEDGSFAWENPAADGKIPLIALD DVGVYSLWLFDNPSQSAGLNLEVATDQVSFAEIATTFTKVTRKKGIHKRLSLDEYLDE AELYPGAYANWAVASDVPRDESFMTWRENFTAWWGYWSEGKGATRDMALMDRIHPSRI PSLEAWMRMKGYDGRPRLHPPYPIIMASFLVDGRFPPVPLPPSKDIKSEERSTAIDFV NRHNLIFQEFNYDKVTATFLPDAIVYHSHGTIRGHAEIKQFLENVYGFFIPGIGRSAT NHVVDRDENGGVVVRYQETLIRYGWGEGEPDADVVAGRDIVRADGLPAIWWFGQVVDR LRIAANGWRILERYLGGSFRNQSLDLTNKV ANIA_08953 MRFQQLLPWAAALTGCVVAQSQAGVDPLDRPGNDLYVKDLSNCT GYKVTKHWKTRSGFYADLALAGPACNVYGIDLPKLKLEVEYQTDERLHVKILDTNNTV YQVPDSVFPRPGFGQWCSPKNSKLKFDFKPDPFSFTVSRTDTGEVLFDTTGTKLVFEN QYLYLKTHLPQNPHLYGLGEHSDSFMLNTTNYTRTIYTRDAYGTPQGQNLYGAHPIYF DHRQDGTHGVFLLNSNGMDIYIDNEGGQFLEYNIIGGVFDFYFIAGPSPQDVARQYAE IVQPPLMVPYWGLGFHQCRYGYQDVYEVAAVTANYSVHDIPLETIWTDIDYMDRRRIF TLDPERFPPELVKDLVDTLHARDQHYIVMVDPAVYYSEPNPALDAGLKYDAFMKELNG THYQGVVWAGPSYFPDWFHPNAQEYWTEQFLNFFDGVNGPDIDALWIDMNEPANFYNR PYPGNNTTPEEFAEANDNPPEPPAVRDGPDAPIPGFPDSLQPNFASGQTNEKRAVVTV ERRARSQSHRQLGAGRWRSAVRHWPRDPKAGWQHGRKSGSGCGPHECRGLPNRELIRP PYMIQNGAGPTLADNTADTDIVQSGGYVQYDTHSLYGAMMSTHSHNAMRARRPDDRAL VITRSTFAGSGKDVSHWLGDNISDWLSYRLSISQILQFASLYQIPVVGPDVCGFGGNV TETLCARWATLGSFYTFFRNHAEIFANPQEFYRWPIVAEAARNGIAIRYQLLDYIYTA IYKQTQTGTPSLNPLFFNYPFDQNTYGIDLQFFYGPGILVSPVTEENSTSVSYYLPDD IFYEWGTGKPVRGHGEYVSAEVDVTHITVHYKGGLVYPQRIESANTTTALRQKGFNIV IAPGLDGSAHGELYLDDGLSQVQDKVSEIDFSYVDGVFEMKGSFEYDPGVGIERITIL GVGAKPEVAAEDAEVEYDEENQKLVLHVDVPLTRKSSIKIA ANIA_08952 MSSPWKVMDPNLADMHQIASFLGLHRVALFLIAAVIVRVLYRRY WSPIRDVPGPFWASFSSLWRVYHVVKGHTEQQILKLHKEHGIAHPLLLRWRLEEKITS GTIGDFVRIAENEISVAHPDAVKQLLHANLAKGTFYSVFSLPDYRYVNQMSELDPTRH IQKTRNLSAGFSLSNITKTEPYIDRCLKVLSRQLDGLAASDAPVHFQDWFSFFAFDVL GEVTFSKSFGFLQEGLDIRNAIANTGSLVYYISIMGNYVWFHNLTLGNPLVSRLGLQP NSHIFDTCLLAIDSRKNNPELRHDMMQRWLDMRASHPERMSEEDIFGAAVANVGAGAE TISSTAQAVIYYLLKNPQYLATVRKELDEAQAKGELSDVIQYGEATKLPFLQACLKEA YRFHPGVCHNLPRISPKGGMTIAGRYFPEGVILSVHPWVIHRNADIFGADCDTYNPTR WLQGDTKRMDYFLIHWGAGYNQCPGRNLAQFELSKVLATVLRDYDIKLMNPKSEWRFE TRFLAVPYGWPCQIQRRKRGMVQVAA ANIA_08951 MASEPSAAYLAEDRSQPALIGIVVVTALSAVVVIVRLYARRVLV RGLGWDDLFIVLAQLVSWATMALCSQILRYGSGRHLVALLKTPETLVRMYKWLVTTQM VYMFNLWLCRVSGLTFYARLNPMPRFILYLRLSFAFVTAVWAAQSLIIALQCIPLEAL WDNSITDKKCMGSAMVFISTGALTIIVMGLQAKTARKLALLGILCFGVLTSILRMVSM IVSVQHEDDATWYFSPVVAWTCAEISAAIIALSLPALRAIFGFLKEHHSTRGKSNSYP NTGSGSKGIGLDSLSKSATKQPKVFHGSGVYDNTVDIGVGRSPSQEVLWNGYGDRSYG NRGNGSPREIMITETVDVEVGRG ANIA_09432 MPSIRDNDLRKSPEYCHYLEAVKDGELTLPDFKIDDNGVPDIHP YEVYCRVKGCLKRTVPSANRNILVKHLKDKNSHGMEFTLHNGPPTMKELMEAKGKSYL DYLACLKALFSQPRLLPRSASELPPSPRTTILRVSRIPTRVKLEMIRTMARALQVIRR EGSKAAKSAGEKGTIPCKTCRNAKGKVSAPCGSKPYCEFWRFFSSIDEAKGASMQPHM DNAKETSNEESVLNKENEHENEEEKAAEPEEVQGDGRHVSEHLAITPFAQLNSGEDNS IATNLDLRDFGLNLESI ANIA_09431 MSTTPQIPGAIDVPEQNGYTYIVPLDPNRTQYHARQLERYDAQS PYIRGRVTRIQYQCSGVRICSYLHPNLRNMHHYKVTEDDWNYIRQERQLIEETEMDPK KQKAISHFFGVLRVFKRHQACRPQQVGCAPKMVLGEAALIYREKLAFYPDGQDLNALQ LECDRNEYLKHYVREIYHEGDITIIICILQDQAKLFQSLRSFEVDMSFKRVREGRFNE VIFAVFLEDHGKVITLLRAFMNQQTGRAYYFLFKKVFEMIHDLSGHPVQFFHLHGTGI ETIVVDMDEGQRDVLFKAMISLLHAPTRAQYMDILEQLLEDPTPGVATWAEHKLHPHF NQTRMHTNAGEQTHQKSYAFSGKHLPILKAVTSAYFLDVRDMHQYSVRIHYNITHSYR PDDEISRITENLIRDQRKRRRNQEIEEEENIEGVLPRRARPSRSFSSSGSPRPRRSRS STRGRSYTRGVSRSSTPQRSPSLAQIASQNYRDQQASHEQHILDLRERQARLDRYEVE TRLMQQRLLQPTSPQLVGVNPVQYPPLPGYLPSSSQLVNPGPVYVPQMTGALPYVPSQ APFVPSTETSHQLVYQDSQARSFSTVSC ANIA_08950 MAHHDPLHTAPTRRNLPIEVSYSIGPCNSSMDHNVSQLERKPDR NDGFQRYLEATGATIYQSWHPPHTASPYPVQSDGQFHIPNSQSQNLGFPASTSSFMQP SVAQADYHYPMNAHAPSTVMSDLPLAREKWFNRPPLLYPFQFT ANIA_08949 MSTTSTPRHRPISFVGKWLDTIEERPAPQSTSYPRRSPIPSASS NLTGSTLVWPRARQDTGITQDTRVVVVKSPRPKKSKKDAFCAIFRSWKATLTTRTTSH ETFPATVRENPELAIAELSSHLSANFAVLIETALRNSPSKALSARRICKEIMRADNWY RAHENLGWQESVARELSLSPSFQPVIECREGRVRNKGVKWQLTAVDVSLAPATAPWRP PQDSVPYPLELSTEVEATERQTEPSRAENSDTPQPRRPSAGLPALAALASASLLSSAL PLHPEEDTIPKDEALVSGFSKRLFFTAPGPSRSPANISFNDAPPPSHQSDTPTVPPPL RPPPPSLDIPESLPVQVDSSARPSPASSTALSADPLATADASSVQVSTPSSCAQQLYD SPLPITAAVRVLKHNIHCIEKRLSLVENTTIQLLQKWEMPSGRGPNLIVPAHSLGSSI YSRCNPSNGSMLSPGNYNPSFSQSNNSLSNCPPLGSSTAVTTRTPSEKAPSEEFPSPD FDVLLSFENPDNPRAGATNADDDGGLVEDRFMPDLDLEAWNEEHNGALGVLEKERLGT DNPTILEYSEGSGPYFDETAANPWRLSSSNGAKQIQTTPNLSLNFYRPLQTTKRTRLQ PTTWRMTSRIKAVDINYYVLWYENILDTKAPNNQLDWVRDGRLVVSVWSTVEGQNAIV EAAIDNNLDMVQYPFSKGPDIDEVGIKGPAGDESYNDMGIPLHQAATDGYTEMALFLA DAGANTHLKDPMGRTAEDLALEKDHTEILTLYAGKRVP ANIA_08948 MRIPAVLAEYRLDLPRARNQAPGLQRLRVRIAVIDPMCTWMYPT DITVHLGSTRLAVYYAPGVSLGRGFALGGMIADSGDLLGCVSASCAGGWFEVRIGEGE KLVTLISKFKEEITNSRLNALRLYSNFHLALVGSPRMRLGNKS ANIA_08947 MHLDLAPVIAAASVGLATAGAVPRRAESIKTCADELWTGEVLHL SLNIIERPIIVDVELQHNAVVTVDKTILIECTNAPARLYTTVYATTTSTITKTIYTAT IISTTGLPAQTQAQSTTADVDTTHNGGVPTEVNTRSMTSVNPSSSNCFRHIRRPRRRP RRRWLCGRHLHFRSPVTSTLYASGTNTAVTYTAVTPSSTPKSSHKFGDFTDWTTFKAN GVNLGGWLEQEKVFNQYWWNQYAPEAEDEWTFCETLGSECGPVLEERYANFVTTADID RLAAVGIKVPGSQLHSGNQKEYLRKIAVYAIERYNMRIIVGLHSLPGGVDSLDIGENV GNDGWFFNSKNLAHSYQAVEENLSFFVQTGYPWAFTISSLNEASDNPTQSAPPKTLTA NGTAWIVKYTQGVLTRIAAVDKRFPLMLQDCFLSEEHWSPYFPPGTNLVVDQHVYYFA APGVYSQWVSGAICGQASILPGGGKFPVFVGEWALQKLYSNAFERRQELFEMQRYAWT KYVSDGAFWNIKHNSSAVVDGQVTQRDNWSYERLLDAGGLLLLTLLNISKNETLLAAT QYARPVQDGMRDVFMTKC ANIA_11152 MKFALAAAAASALFGQVMADVDPIIIKGSKFFYSSNNTQFYIRG VAYQQDYTTNSTSSSDSSYKDPLADETACKRDIPILQDLNTNTIRVYAIDPTKNHTTC MNMLADAGIYVIADLSDPSESIDRNDPRWETALYTRYTNVIDAMAGFSNTLGFFAGNE VSNTVQTTDASAFVKAAVRDMKAYIKAQNYRSGLGVGYATNDDKSIRVQLADYFNCES EEDSIDFWGYNIYSWCGDSTYTESGYKDRTEEFANYSVPVFFAEYGCNEVTPRKFTEI EALFGDKMNGVWSGGIVYMYFQEANDYGLVSVVDSTSVSTMADYKYYSSQINAVSPSG TNKASYTPTNTALQSCPAVTSGSWLAKATPLPPTPNTDLCSCMDKTNACVVDSSVDSD DYADLFSIVCGLTECAGVSANGTTGSYGAYGMCQSKQQLNWALNKYYSEQGSVASACD FDGSATTTATTAATGSCSSMMSQAGTDGTGTVTATATGSGSSATETESSGANALNVGV SAGAGGFVVAVLGYLL ANIA_11155 MPRSLASSSSSQSDKTKTSQTSLSGKSDELAPGEAPVSLSGMQH LDRHGKVISTFSIEY ANIA_08945 MADTEHGEFEPQQLIEPDTFDDQDSTYQSSLGDASYTTSITSSA MNYTYNGRRYHSYHEGEYVLPNDEQEQDRLDLSHHIYRMLLKGELHRAPVKNPARVLD IGTGTGIWAIDFADEHPETEVIGNDLSPIQPSWVPPNCRFEVDDFEQIWSYSQPFDFI HGRELEGAIRDHDHVFSEAFKSLKPGGWFEISSFDVNSYSDDGTHLRAKCMQEVVKNL HLSSKKFGKDMNTTHTWRERLEKAGFINVTEEMYKLPQSPWAKDPKMKELGRYHQVNM FEAIPPYCYALFTRVLGWQRPEIEALVAGIRQELKDMSLHLYTKVHVVYGQKPE ANIA_08944 MPTYPHSRTTETPQIACQAHYGLIGSVAQALNHYTVRPRCKEIV SFNHTFPADLGDGSSPFDARNIDNAGDAEIRKHQACPHRRLFRLLEACGEWQPRFGSK VQSTHGMSEAGFFAVPDPNEPVQDANMGIFVPNVEAKFLDESSDVLSLNEEGVYICTP FVRKGYLDEPTHTAQAARPVTRSEIETAVSRHPGVRDVAVIPVVLPGSECPAPRAYIV KTYGSSLLIEELISCMATKYLPRMQLTGGAAFVDAIEQIWVHGWGHRIEVQIPSLLQI EASIIPIVVIGVFYVRSERRCWCGLSEI ANIA_08943 MSSGLNSDDSDGHVRELAVRSVAASFLSIATIAVSLRCYVRGWL IKGFGWDDWAMVVAMAFYIMFSACMIGGSIYGTGHRFAALEPQNRVTAMRYWWLCEIA YCFSSVGCKISVCIFLMRITIKRIHIWILYIVMALTVLTGLVFMFIMLLQCKPLEYFW TRTAFDPDVKGYCIDIQIVIAMTYVYSAFAALCDFTVGTLPIFLVSKLQMRKKTKLAV VGILSMACIASSAVIVRIPFVKTFADPDFLYATIEIAIWSNIEIGLGITAGSLATLRP LLRHWMGSTNDPSYPLGTPSPFPGRSGSRLPGASGRDRAYPLGSMDDSNRLRPDKLAL TVTTVQTQHDPEDRWPVLPDGNSSEERLTNEHQRNGKGNGNRTPTRSSAERNVMGSGF GGIHQVIEVTQTSTDLRSTSVKEHV ANIA_08942 MATPPASITFYDIAMRTPSLSCSPNPSKTRLALNFASVPYKTTF VPLPSIPSVRQSLGVPAGRQFADGSDFYTLPVIVDEVTGSKIGDSFDIAIYLHERYAD ANGGKGELFPILKNGEKLDFRTPNTAMLVPLSAMSEVARQDKYLEYATFNTHVDAAFT LHVLLTVHGLPFPPDTAEATRAEFCKRAGVPSWDAFTVSGEAREKLKESLKETLAELA KLFVRNEDGPFILGGKPCYADFIVDEWEEVRGWHGGVFGRVFDALSVYMEVKE ANIA_08941 MEHDDRKTRIRIEEEGIHRLAELDYHLLSVDDLQHRFSTSAKGL QNEQIPQLISKYGKTSHLLHHQDYSKQLWVTFSEDSAVSFLEVAAFNGWQDWSSSQVR VSNTTTLPDQCIAIGNGNRNSLSAADLVPGDIIQVKKGNKLPADVRFIQVSADAKFDR SILSGESEPVPGTVDSTNNNFLETNNIGLQGTYCVSGAALGLVVGTGDQTEILRFVLI IVGFVVAFVLIIVIVWAVYLHKNHPDFINVPTLIVSCVSVGIAFIPEGLPIAISLGLH IAAGIMKKNKILCKSLTTVETLGAVSVICSDKTGTLTKNEMYVTDCFSGGEEYPSEQE SERMIHGRNPGELPNKSISLICTVGGLCNAAVFDTTTLGRPIHAVKIFGDPTDQAILR LAQTLGPVQSFILSGRSCLRYLSTASTSTWPVFHIYQPTRSGNWQSVMLFLSDRDTIE NIRDRLSAQGKRVILLAQNSIPSAWTNQALDERVVLQATTDSLTFVGLVALVDPPQIG CRYWLILLGHRRLQLTAQAIAVQCGIIRTPPELIHDIKLLDHYNSAAVRTFDAIVVSG PGLAVLLNEDWDKLCTYDEIVSARTSPEQKLCIVKEFQARNNNVAMTGDGVNDAPSLK AADVGVALGSGSDIAIEAADIVLLDSFAAIVEAVKYGRLVYGNVSSILTESSY ANIA_08940 MANQESSTLVGCLFDVSGSMRKLLKTGQPDGRAVDRFHAVLGAA LDIARKEQQSDPRAKMFVGAFGLDRQDGYPPTVDLCGIVEALLEENDQDDRSGHDSLI EIANQNNLAHITEYIRNKLTDSVARIVHACLRRCPERIHEFKDAIPSAAEIRKSQTMK NGATFGGGAVAIGVGSLVCGPLGGLLGAALTAGGAMSADAYADEVVDSSEGLMLARRI CREWFRDFADFIPRPVSDVVRLLQLLQQRSTRARQWLSVEEFVYGDTPMREALSRSLS VFQANSDCDQRVLVLMSDGHATDGDPGEIATELHSEGVAVASVYLTSDTDIAQRRLYD KPAYSWDKGQRTLFNIASKVSASNHPIPVLMSVGWQIPCSGEVALYVCVCTEPAVEEF CSLLVSAHLASTDALLDVIGRLQLDDYIQDELAITCKNPSDQGSSATCYAHAIAAVIH MALLRIERKEPCPTIKEIRDRILHEFPPGDHGRVAEEVLNQAVQWYRLRYRAVDEMRA RQAVLQRRPVLTTFRLSEKGWAKFSQYFSQPQTRRSMLTEANMRPYRQGPDGGGHAVV LYACDPRSLSFLNSWGSDWGTNGGFRVQSPAVLEIDEPDCLSPVHFYDVFWYESDLTP SERAAYDAKAEEKLRAYTTYHPSLLELEAMCPLCQRISLISDFTGNAREAKCPRCLFS FVPQPGSLLQVLYARAGLGT ANIA_08939 MPSRKGQPRTLAKATGAERRQRTRRSLPVIPATPQAEPETWERT VAEIAQEPMDLHVKKYREWKRNGSPHDTACRVCGATGNSDLKPGRLINCHTCRVAFHF ICVPDGSEWATREGLYCPICVKRGWDMSPPGLSPPTSPVPAPAPIERPKGVAPIESAV TAAVPREGPNTDSTNGPKPPQAASEGASFGNSSERIPQSPAVTARAESMRHDEDNNSD PEAPQPKRQRTSRFVTLPSDVDASLGVIYRELESVASLKLQIQELQYKDRQSAQMIKL RDNSIAILRRDLEKYRADDSELARLRDSAARFDQVKREMEELKRKNEMLGAELRKSRE ETATAQSLVNDWKGKLAQLLNA ANIA_08938 MDAKKSRKSTNGSNARPRVRPSRGRGLRTSTGCLTCRERRVKCD DGKPTCARCTKAGRVCRYRQPQTPGLAGSGVTGQRRVEQPRVEAAPTPAAAPTPYFQV QLNGHDDGVDRGNLSDQGLSVTSLEAQTLPFTLGEISTPFEWYDLLARDAISNIQRLG EPRNFPQITLSRRQSPAPECIEPPLGVLEYQSEPWNSNYRIELSAVDLIFFRYYIEIV GPILDLFDPARHFTNVVPHLGLRNTGLLKSILAVGAKHMSLGYAHTQGDDVTTGVNAS SPASLAGVANAASAPAPAHMATHYYYETLQYLSQTLLYPSYADSRELLATSTMISTYE MFDADADSDSTSSGDWERHLRGSFWIQRSQDNDGESVDGLRRAVWWAWLRQDLWAAFR AGRPTLTIWRAKKKLEELDSDELATRIIYICAKCVEYAASGKAPNQDQDPRARIEQGD RLLSALEDWHRVLPASFQPVAVAAMDSASGNVRASSMMPSTSPADWTSWAGDRTGMQE PTTSFFPPIWIHPPNHAGAMQMYHFAKAVVLLNQPTMGGLNAYVERQKQLSESVQMVC RIANACQEHESAIAFVNVQALFGVGQFVQSPPMQVELLRILENMLRISKIPANGLVAE LKRVWQEASG ANIA_08937 MPQENGTNNGTPAFLSTQPGPNHDWRITLKDKVIVITGANRGIG LAIAEVCLANEAAAVYSLDLFEPGEEFTAVQKKSANPSRLQYIHCDVTSEESISSAID AVVSASGAVHGFVANAGMTKHQPALDFTRAEIDKLFNLNVFGAYFCATAVARKFIELG IKGSITQPRSAERTLWRYEGGRTMVFA ANIA_08936 MDAKDLDEYHNGESNHIEASQEIFWTEEEEEKLVRKIDLFLLPN IWIMYLLSYMDRTNIGNAKVAGMSDDLGLTSSQYSIVLVVFFIGYVVFEPPSNMILVR SRPSLYLPAIMCLWGILTCVMSVVQHYHHLIVLRVFIGIVEAGFAPGILLIISSWYKR KEQSRRFAVFISAAILSGAFGGLIAGGITDGLEGVHGIRGWRWLFIVEGAATAGWAII SKFLLLDYPGTSKRLTEREKAIAAARLQEGVIARGADERIGKLQGFCMACKDWRTWGF TIGYMVIVGSSTLTYFYPTLVSGLGYTGRMAQYMTVPIYAVAFACTMITSVISDKLPT YRGLIIASWLTVSMVTSIIVCAVYDFTTRYALLVIMAAGLWVSNATSLSFASSSFGSM DPEVRAQSLALMNALGNLAQIYGAYLFPSDDEPKYLMGFGVISGMLGVGVATYILMFA LCRETVFAISDYLVR ANIA_08935 MAPGLELLPKTTALPTKAANAINNAVYGRDSDVKFESAEKHQRV LNVFRAFIADLVQQYGDGHPGAPMGMAAIGIALWKYVMKYSPTNCNYFNRDRFVLSNG HACLWQYLFMHLVGVKSMTLEQLKSYHSTDSSSLCPGHPEIENEGVEVTTGPLGQGVA NAVGLAMATKNLAATYNKPGYEVVNNMTWCMVGDACLQEGVGLEALSLAGHWRLNNLC VIFDNNNVTCDGTADVANTEDINTKMRATGFKVIDVYDGDSDVVAITNALLAARSSTK PTFINIRTTIGVGSKQAGTADAHGAALGVDEVAKIKRAFGLNPEEHFHIPQDVYDFFS DLPSRGKTHEAEWHAAVAAYREAEPVLASEFDLRVAGKMPADWTKYKLGQNVKNFLVG TADLTPSVNVAYKNKVDFQSPDLKTACGMSGNYAGRYIHYGIREHAMCAISNGLAAFN KGTFIPITSTYLVFHLYAAAAVRMAALQGLHQIHIATHDSIGVGENGPTHQPIAVPAL YRAMPNILFIRPCDAEETVGAYIAAIQHDTTPSVLALSRQNLTQYPAHSSREGVQKGA YVFVEEENFDVTLLSVGSEMAYTMAAREILAAEHGIKARVVSFPCARLFELQSREYKH SVLKPRAGKPAVAIEAYPSVGWERYADAAIAQNQFGKSLPCKEVYEHFGFSGKIIADK VKGLVDEVRRDGVEVLRGDFRDLNGGPSVGVEHPNVP ANIA_08934 MDSAEKDLEGGSSSFCIDQSTTTTSPVSEKSVPPPPDHGLRAWL QVLCMHLVFLNTWGVSNGFSIFNQLYTKTLPESSSTISWIGSIQISLLFFFGVFAGRA TDAGYFRLMYMAGAFFQVFGFFMLSLCKDYWQIFLAQGICMGISNGLTFGPGLAVTSS YFSRNRGLAVGIAAAGATTGGMIYPVLIHQLLDIHSLSFGWTVRIAAFVMLITQISGL LFFHPRLPPRPSGPFLDSAAFKEKPFVFFALSMFLNLWGLYFAFFYMGTFARDRLAVR DTQNFILIQNGVGVLGRILPTRLADRVTGKFNILIPLNFTAAVVVYAWIAVHTVAGLY VFTVIYGLAGGAAQSLFPATATTMITDVRKTGTRIGMILSFVGIATLTGPAIDGALIQ AMQGEYTGAQVFSGTCIVLRAGSALAARVAKTGWKLRVVV ANIA_08933 MPSNLPLDIARTLAEHISVEPVGPETFQARFNPERQGNTASYSY GGCALGVGVQAACQTAPDGYNLYSVTGSFLAPVLTDSKITCSVRRLRDTRTFATRQVE ISQVQNATTRLCVIMLADFHKKEKDSLLEYSSPPDHIYSKPESCLTPQETGQKKVEQG TISIESLSLYNTLFGLIARFFEARQAPEGISAHNLHGMVKEQPQPPHQARLPLTAKTS ADWFRCRTPLSAKSDHYAGLAWMLDAYLTFTPLAHSGMFLDDAAACATLDFAIRFFCD EFDLITVRVYLLPDRALNRTEYIGDLCLMVYRSNRVSNLRATFGGYMRGDRHTPKASP VEQKNDPGAEVQGIPETYENALAYYAAAIEIRYSSHAIL ANIA_08932 MAPPTSRPEILSRLRAQIQAGKPIVGAGAGIGLSAKSVEAGGGD LIIIYNSGRFRMAGHGSLAGLMPYSNANEVVVEMASEVLPVVKHTPVIAGVCGTDPLR DIPRFLSQLKGMGFAGVQNFPTVGLIDGQFRANLEETGMGYDKEVEMVRAASELGLLT TPYVFNVGEAEAMAKAGADILVAHMGLTTSGTIGAKTGKTLEQCVDEIQAIRDVAVKI NPDVILLCHGGPIARPEDARFILGRVAGLHGFYGASSMERLPVEVAIKDTTAEFKKIA LRH ANIA_08931 MHLILLGTLDTKRSEVLYLHSQLHQTASRLNTPLSITLIDCGVR SEIDSDPAITVSHTDLITKYPYASDLDSPSPTKDLFVLPRGEAISIITACATKCVSEL LQTQDVHGIIGVGGSGGTSLISAVMRSAAKIGLPKLIVSTVASGNTGPIVGETDLTLM YSVVDIAGSNRLLKDVLENAAGAMVGMASAYKARLEASISATPAQSEKSRLRVGVTMF GVTTPCVDTIRSHLETNYAVEVYVFHATGHGGKAMERLVEEGHLDAMLDLTTTEICDL IAGGEMACDRRRLETSLKKGIPTIISVGATDMVNFGPIETVPPKYQGRKLFVHNPSVT LMRTSREECEAVGRFIVEKVNGCVREGKAGLVEVVIPKGGVSKISTTGGVFEDKQADE ALANVLMVGLEGKVRVVQDPRDVNDRGFAVDIAERLMTLVAAAGEKREKV ANIA_08930 MSFRFVAPIHPREPSSSALPKRTKRSAACTACKARRSRCGGGFP CDRCLESGSECVFAGLDRRRKCAQRRMEQELDTVQRQLDEIVEAFDNRDYEKLGKIID RVKELRAGYGEGRRDQEITGNRATSDGLQSDPRALGDTRRCSSPSSSSPSSVGSLDEV DTLTEDPNRTEESRAAGYIGKESEIAWMQKLETEACKMEGGQDKMTEESIASMSYHVD NLYLTDPAPVDPRLLPPQPWAESLVKIYFGSIAPSFPLLNRTLFMSQFEAAYSGSAYP TSKWLAVLNLVFAISAKYYQLAEPVAGRDVDDRIFLSRALSLRSPHHLVLDHADLHQV QIDLLLAIYYLASGQVNRSWRVNGSAARSALCLGLNLRAFSEHIDPVSKETRTRIWWA IFSLEHLLSSMTGRVPCLDHRAMSLYPPVPYDEDDFDHPELKTILGMTEQREKRLHYT IYATNEELAKLTAWLRSIEPNGSLFFFHLVDLSIITHAAVMAIYSLQSTRESHSGLGQ SEIPRYQSMLQSWVSNLHPAFAFTDKDMEPSLSRDSRAQVSLALSYYSSQIILSRPCL TRPDLKEGTNIRFPRSRFGNNTARTCIHSALSLISVLPDEPDTTWMLKKSPWWCILHY IMQALTVLLIQISVGFVPDDVGKGRKKKGHEAEESNQDRSEGIAPEAVWNASKKALRW LHFMADLDPSWHRAHEISEGFLRRIAKAKDLNMDFLPGIRQESQMSGFDYRGPGHEMG GGGSARKQSSSSGLLHEEPMNWGPDCTMSEGDYGQEHQQHPFVLDPTLFSVV ANIA_11151 MIFLWLLLLAYRVTAKLFEAPNPWEEASQVIVSNVTLDSNPVSN SSVPSIDHNTRQNTEYVRLQSRLDRTSGTWGASHPRHRLLMALYGFSRYKERSSAEVK RWRDLYKHVPKSQKQLVERVIGYTRKLNTVEHLYDHNDVLAGDIVRFGMEFYGIEQTE LDVFIQDMEKEKKGADRTSVVQAMKHFVRDWSDEGLFEREGAFRCILDSISGIERGGE ERPVSVLVPGAGAGRLGYEIDALGGFEVTINEWSAYMNLIHRYVVQINAPESLVYHPY IDWWSHHATTSDMQRGVSFPDSIPRPSSKASIVMIEGDFTTIFEDADSSTYDIIVTLF FIDTARNLLNYLETIYRLLKPGGRWINLGPLLYGTGPWLQLSLDEIVKLSEALGFEFE VDVSDQAGIVCGTLTQGEGLGGKVRSMYVPYGQNKRGLSRNAYDAQFWRARKR ANIA_11153 MDSKEQDNNLNHDNPTLNDIFEEHEPTSDTSLLRRIDVRILPIM FLAYFLQFLDKVCLNYANVMGIQDELSMSGNDFSWLATAFFIAYAVAEVPQGYLLQKY PVTKVLAANIFIWGVMLCCSAAVQNYAGLLALRVLLGTAEAVIAPALTIYTSMWYTPA EASPRFGLWYCGLGTGQILGGLISFGAQHASSSQSFSGWRIMFLVIGFANIAVSLLVL VVLPVSPETASFLSPDAKTRIFHRLKSAVTGGLGNKTFHAPSVLTTLGDPQTWLLCLL TILVTIPSGLIVTFSSILIKGFGYTSKESALLNMPSGVVSILSILLSTYAVAKGYSRW LAIDLLLVPTLLGSCLMSFLPKSNQAGLLVGIYMVNTTVAPLILIFAWTGANFKGYTG KVTGCAFISAAFSIANIIGPQTFQARDAPEYLPAKVTIVVANAAAIAVSTALRLLYGS RNREAERLGALVSAKSWMEKRVVRQVEEEETGFRYIY ANIA_11154 MAALQKFKLVFHVPPSSLEPVQKAIFAAGAGRYPGPGNYTECCW VTSGTGQFRPGDAANPAIGKVGELEKIEELRVETLCVGVDVARKAVEALKKAHPYEEP SYGVIRLEDF ANIA_08928 MGVPDELPPGSSETDTIVSSSQPTNRSPMDLISEAESLNLRRIA TNQSKAQCRPGSAAVPSHDNPPNDDLEDATLDPNSASFSLEKWLRAAVSDASQHGLST PSGGILFRNLTVSGSGSALQLQPTVGSVLTAPLRFASLLRHRRIEPRRILHGFDGVMK TGELLLVLGRPGAGCSTFLKTVCGETNGLHIDADSVLHYNGVSQQRMMKEFKGEVVYN QEVDKHFPHLTVRQTLEFAAAARTPAHRFQNMSRDEFASYAASVVMAIFGLSHTHNTK VGNDFVRGVSGGERKRVSIAEMALAMTPFAAWDNSSRGLDSATALKFVQALRLSADLA GAAHAVAIYQASQSIYEVFDKVTVLYEGRMIFFGPTGTAKEYFERMGWVCPARQTTGD FLTSITNPLERKARAGMEDVVPKTPKDFEIYWRQSPEYKTLLGEMTEFETQHPTGNDE QASAELRARKENSQSRNSRAASPYILSIPMQIKLNTKRAYQRIWNDMSSTMSTVVGQI VIALITGSVFYDSPNTTAGFQSKGGTLFYAVLLNALTAMSEITSLYSQRPIVEKQASY AFYHPATEAIAGVVSDVPVKFLLAVAFNVIMYFLANLRREPAQFFIYFLMSFTVMFVM SAVFRTMAAVTKNAAQAMGLAGVLMLALVVYTGYVLPVPSMHPWFEWIHYLNPIYYAF EAMIANEFHGRDFDCIAFVPSYADLDGDSFSCSSLGSVAGERMVSGDSYINFNYTYTY SHVWRNFGVLLAFLIGFMAIYFLASELNSSTTSTAEALVFRRGHVPEYMRPGYTRPTD EEKAVTQSDIKPSSPSPTNTDLPLPPQRDIFTWKDISYDIEIKGEPRRLLDDVSGWVK PGTLTALMGVSGAGKTTLLDVLAHRTTMGVITGDMFVNGKGLDASFQRKTGYVQQQDL HLETATVRESLRFSALLRQPASVSIREKHDYVESVIEMLGMGDFAEAVVGTPGEGLNV EQRKLLTIGVELAAKPKLLLFLDEPTSGLDSQSSWAICTFLRKLADSGQAVLCTIHQP SAILFQEFDQLLFLAKGGKTVYFGPIGPNSRTLLDYFESNGARKCDEAENPAEYMIEV VNAEVNDRGTDWFDVWKGSKECQAVKEEIERIHEKKRGTAGAIEETDDGSTKSEFAMP FWFQLYVVTVRVFQQYWRMPEYIISKGALAIVAGLFIGFSFYDAKTSLAGLQTLVFSL FMVCALFAPLVNQIMPLFITQRSLYEVRERPSKAYSWKAFLIANILVEIPYQVLMGIL TFVCYYYPVVGSSQGPDREGLVLLFCIQFYVYASTFAHMCIAAMPNAETASPIVILLF SMCLTFCGVMQPPDALPGFWIFMYRVSPFTYWVAGMATTQVHGREVVCGENELSIFDP PTNQTCGQYMERYISVAGGQVLNPSATAGCEYCSLTVADEYLAASQIYWSDRWRNFGL IWVYIGFNIFVATAVYYLFRVKKWNGRRKK ANIA_08927 MTKSNFITAAVLAFAATVSAQCGSGTPDAIVDGSEGAYTATVEG SEVYSGSDFYTAITSALDGISSGQRLSILASGSIGTNVVSIPSGRIFEGCGTIDVQAN SGRGNIRSLNTENVQIPYLTLTGSPYFGLHFYGVSGLSLGQITMNLSGGLGIRFERDE AANSDVSMDVINITGAGSHAVETWNIDGLTINQVIARDVGECGLLLQTTTNARIGTVD GDNVAAGTGYATFRMANANGRLSDGSYDTNVYVENVIARGGGRGIFCVSESGGVEIGN VDISDTENNAILIENCYGVSILGGSVNGGGDVRIAARDEFENTRDVSISLEVNGANVV ENPCGENITWDITGDATLNVC ANIA_08926 MPFTAHPNTTSSSNDWSEKDVFAMKVMRKSYGIVVALVVSRILG GAGHLGGFQRARKVAGGEDEKGQRGIWRLLGLFYSDKRLGDGSAGEEPDKTIGVLEG ANIA_08925 MEDNALESAVPSAEGCRTAYEKGSASGQAFIGVLSGFPYTCFQF QQALLHQPYFPDDLDLELTYDNISVSGSSICASADLGQSLHNWFKNERTHLTVLVFPW SYIFSARWAGLMPKATLAYTDSKAYDSDKPEEDDSAMINFDLPGQYLFRQTSTFPIAK TTIRPQIQPY ANIA_08924 MAPTLPLPPLQRLQNLASRTFDPGATADSFREEWSNPSNYAFTI LLLIGGDLIHRALAQLVGGPIAPVAFSFGWVSYATSAVCSALGEYRLMPDADTGCSLI NGKNGYVRGNNSWVLGRMMRDYDYWMHPAIREKTQNLIDARWKFDQAREDEKYPDSGI KVPRPSQAGLVVSVYKPSKTLQAGVPGKDLLYWSGILCTVLQLGIASIPAGINGDWGV LMITGAATVLCYGTGALTQWKVEKWACRRLDNRNKKNFVLTRGNGAQHAIAIVSDGVG LDLEDLATGFSMIDYPTITVVAQLLTIVLGIAWVALLITASGIDTGSWYLIAVGGIGM LQNIFVAGWKRTPAAYGVPLEFVEVVGEVKVMGTLMELERRYEKLGKSLLGTFFPGDL RDNEVKQWEEIKEEWKRKKERGEGAGDDRKGK ANIA_08923 MEHLPLHAGKKHFIVAPYEAPESDWFDISRESFLSFPSARHWTE AQLHGGDETTKDAHLDPDGFHRKGSPQKVEQFFQTWLFFGLAIDVLRFGGVRASVEDF LKPATQTHAKARIVNTSRLPGMLVDWEKGIKARGRLMKDWDTLNEMFERAGSILDRFC RLPNPEEDNSLLQQERPRLWPVRDEIATTLIAMACTLRRTAYNVCSKEISGLVDGVSP WPKTARSGILMRRLETKWCVADMMTTLTQLPIDGHYYLAASAGLEPDELDHHSKCVRA HCRYEYDANMYRTRHVTDDGCREEVRYGGHLGPERGQRDWVDAMTKIIDKDAIPIALW NKGMKKLWSVEYHLTGRRRPDFVAISHVWTDGKGNPDANSLPECQLDRIQRLVEGVTW EGRYRIPESDYESDGVGFWMDTLCIPANDKARKDKAITTMRRVYSEAKAVLVLDDWLQ EIRSDAPPLDLITRIFQSNWIKRLWTHQEGFLPKALWFQFKDRAVEINELREGFGAYE SSLQRQGIHLGFPRGAEMRLVGQYSVLQRMFGLTSATEKWRLYFPLAAAMSERKTSRL ADETICLATIVDIPFADLQEIPAKPDIDSGGKRMALFLEKLGKFSTGVIFNNYPRLDQ RGYRWAPRSLLNFRTAKITSAGAEPGFDIASFNPNRRPGLLAQYHGFLVNFGLGKPFA GVERGCAIQCAGSADSGQDLDGKWFLVQLPRNNSNVEWQTWQTYAVILSEIPKQRATA PAVVASLQERQEDGIHVVIHQNIASVWMTQEPPEGVSTVRTALLRKRTKWLVG ANIA_11634 MAVQATATAVEDGHFSPWYGTVRSALVDSFNNSPLTSNVVSPSI SIALPSVMFHGDMLRPSLCVSAVTKMLSDDDRHTHKGC ANIA_08922 MMPRTSFRVTTLFLAIFCPLTASAAVSGWRKDVTIDAPVTRSSD ELRTLWKRRRGDSSGSDSDSDSGSSSGGYGYDDSTSLVKHTAKDICTFTLCGIGKKQA SKAAIPQGSGTPLSWDNSSGSWISLDFLWSPVSMIPPTSPTSASNPKYFSTSPTTQTW APSHFTFSGA ANIA_08921 MTRPIVVLGAGVLGRRIAAVLIAGGHTVHIRDPSTQALSDAASF IDAHLHEFTAITPTPHPVPGTYKAFAEISSAVAGAWLVIEAVPEKLSLKIDTFAEVDR HAPADCVIASNSSSFKSSLMVEKVGEQRKERVLNVHFTMPPQIRTVELMTNGQTRPEL FESLSKMLKGCGMIPVTARKESTGFIFNRLWAAIKREIMLILAEDVSTPEEIDLLWTN MFQLPTSSPPCQLMDKIGLDTVAFIEDNYIQERGLDGSMTVDWLRKSYIDQGRLGLKS EKGGLYGPSAAAAPAAATKAAQSLYILDVGLGSNNKVDQIPTAGKILYFDQSTGNMSP IVTGQSLPDGVDVSKSTGRLFWTNMGRATSTRDGSVHSAKLDGSDMQTLLPTGSVHTP KQLVVDDMNRKIYFCDREGMGVHRCNFDGSGHEVLIQTGSLDCAEHRSDLTRWCVGIA VDTPHRYIYWTQKGPSKAGRGRIFRAGLEIPAGQTAENRTDIELLLDGLPEPIDLDLE VKSQKLYWTDRGEHPIGCSLNCVDLSGSTQLEKLKSNKEILARHFNEPIGLRVAGDGT VYLIKKCVILQGSASLYKQDSDITHRSRNYLSSMA ANIA_08920 MPSYTLEQVKAHCTPDDIWIILHNKVYEVTKYLEDHPGGSAVLI EVAGADATEAFEEIGHSDEAREQLEPYYIGDLPDQEQAESVEIYRPTFEQVSQSAVIN TKKTSKSFSSLLSVLVKLGLTGAVGAATIAVFQKNWTPRQLLHALPALTTPIPLPRIS GSGGSQFWSGVGIATITQLSLSFGLGVWVSTKLDVQQEFTHYPPRRPASSARLIRLPS TTRALAPRSPVLDPRQWRSFPLTSKKEVAPNVYRLVFALPKADDILGLPTGQHVALRA TVDGKTVQRSYTPISNNTDRGHIELLIKVYPKGLLTNHLAQMEVGETIEMRGPKGAMK YSAQYAYRIGMIAGGTGITPMYQLIRAICEDESDNTQVSLLYANNGEADILLREELES FAGRFPHKFKMEGFVNGEMIAKHIGSPADDAKVLLCGPPPMVEAMKKTLAGMGWDMPG AIANGTDQ ANIA_08919 MRPPTVQCQFYLIGDEPSSAQSVTVDTRWKFEDLQRAVGLVFHV AQPLGTLSTVDEVLTLANSSSAIGILVDGKPVQSPQGPPGLPLVGSFYEIFPDHLGNH YRLFRKYGPVIKTTNMGKTIYLTDSPQVAASCFAESIYMTKKITEDHPLWGVKDNTAI FIGDTETENWRLAHKYLPPAMGPKAVRHYTGLMQNCARKALPVFDELDARDESWNVYQ YMVKLASQTIGSFSLGKDFGHFASIDSPLHPIVTNIASLLSLNKKITARGEWYRLLPF GDPARLRSVQHTIYTLLQEAIDEVAARSASKDAPMSDAALSASCVVDYLLHAVDEKGE HFPTGLILANMLIVTGAGFTTTSALLSWLIYCLVTYEGTQDRLYSELVEYGIVGPSGE PNKTTWTPDLAHSLPYLNNFVKETQRLHNASFQPGRTTKTDVVLPGGYRIPENSVIVP ALYAIHTNPSIWHDPFRFNPDRWDSDEVKNLHRCAYVPFATGPRGCIGFNFALLEVKI ILAELVSRYEFVRDGVESIEYDPEFQLIRPLNFYVRAKRRS ANIA_08918 MPEPHYPYDTTVVSELLKQKRKARGIKACFPCRHRKVRCDGHVP CASCIKRGHSELCRVPTSSTSAAGFMQGQGQGNNKSTSVLSRPESLSSPQDDPADEQQ DAAAAQSPVCDSPSIQYRAQPNLASLLSRMEEIEQQIVSLQKDLRATISIPLAGRLSS VDQPSIGHSAAQAGPQGKSPGRHVVEEATGATIFLGSHSDAPLALGCRETSASGLGSR MLDEVTMAQFVPRAYPFTSLWGPDATVEEVCETLPEEADLIRYWQAYQSTAYPFYPVL VDVEGFGLSVFEFLNTRASQNATEPNPSWLALLFAVLACGAQFTHDPVQERDLRSKVF TCSSFHCLRMSNFFYHTDLDQIQAMALICHCLRNNLDTNTAWILMGTTMRLAQSIGLH EISPTLPPKEQLRQSRLWWTLIWQDTFLSFTYDRPPSSSTAECCTIPRISESPGLSFQ ECVFKICQIISNQSQLKGEHSALDYKTELESVLNEASLFLTNKVHCRSLQDHLERLAL SIHVGYAICRMSQIHLDSMPENSSSAVAADSQRQAMQVVENFLELHRLCGTVCRSWAF VHNAVSCAITMHNLAYVTGDGLADSNTLAHRLMKLLESEARASEWYDADTNL ANIA_12277 MPLLFSFFLFVYLYISSSLFFDIVHFCLHQWSSSRYEALRWLSR CHQFHHLYYNRSLNFNSRYRWQNSWFALPLEAACLCIGGLLGWFFLMLLPVIEQKHVL RTPLIAILAVHIIRTSVVIYMSGQDSNHVVYKTVPKDPSWLFVGPQFHALHHVHPDRY MGSVVKVFDWLAGTAYSLRNKSVVITGGSGALGSAIIRRLRSEGVRNVYSLKFGEHWT HGDFNRVGPIFDHLEPDILILAHGTKGEDAMDANCNSTIRLIQLYLEQRAGRTPASAT KTLPEVWYIGSEVELHPAFGGAEMYRYLDSKRAFLPYARALYDDSGVVYRHIVPAAFQ SRMGRAIVSADWAAGVMMWWIRRGARYVPVTYTGFAYSNFFKFFCSRNTIDGVPVDSA RVRPDRSGIGVGRQRHGASSSSDVCRINPAL ANIA_08917 MSTKTLTIRCHCRSTHFALTVEPTSLPLRAHLCHCTLCRTTHGT TASYHAPLPEGVKPSFIAPSSWDNLTAYTPPGSGAKLYFCSTCGCQVGGTNVLGIWDT VVSIIEGGNEEDAIWVIDEHIYTEKGSTGDGGIAELLPRIGERELRVWNPDIKGTEFP TAGSGAAGRSASHNRQDDKLLAQCNCGGVSFTISRPREDFMNSPLSKGWIHPSFRTKW LALLDVCDDCRLLTGAHVTTWLFVPIDHITPSLPDDLVIGTMKRYESTPGTVSRTFCG TCGATVFCYYDKRGGIVDIATGILRAPEGVMLGDWAVWRTAKLGFKDDGRRYDKLFTE GLEKGAKEWGRRVHGEVIDFQGGPATD ANIA_08916 MDEYLLDHSGRLRCKQRRIKCDETHPHCNQCTRRAYECPGYQRP LKWSSKYEVAANNDTAAREDARSKHTINAQTPDLEVDTISSILSPSVSVPGLTPQHLA MATGLAEQDVSLYTAGSDASFPDFGFNNISSPQYEQTSSSFFDSVKFSDVFNTNLGEW ADLTVSLPLPLEDQDARISRHYFFKVCRINPCFDSGANPMRVQIHDQMAFSGLIYHCV VSMSAAHEGSIDSTALTYRSKAVTCLKSELTRLKGGTDSERPLGSTDLSSALLGCILL GMTDSLDVIAYLNAFCEKDISTGTQIHPSPWAGVCTPLFVYLAKAGTLARQRSLAKNL SNLTAGPSATSIQTQLIADPTGQARQTETALLEYEIPAEDRIGETADHLSPISHLQKM AQIYRLATLLEIYRNFPSLLRTESNTTPESTTQRQERERPLENSSGKDKLLTMATAIL TLIMGLPRTSGVNCVMTIPLIIAGSTLQPVTPTFTGARKAGRESGKRDKYQPETEAAT AWNTLASEILSISTQEETGLYWRDLVRERLRAVHSYVGLASVLRALDILENVWARADF QAIAANWNAELEFVQWTEVMVGEKLETVLG ANIA_09426 MRPKSRSDFTVAVICALPLEADAVEALFDEHYDRLGEYYGKQPG DANAYLNGRIGNHNVVLCYMPGIGKESAASVASSLQVSYTEIKLALVVGICGGAPSPP VYQEIFLGDVMISDSVIEYDFGRQYPGGFQQKSAVKDILGRPSQEIRALLNGLRAENA RNEFQSQIREHLHVLQQRGAKWHRPYIKDILFNASYLHKHYSDAPAGCSCLRSNSPDE ICEMALEEDCDSLGCDKKQAVRCRKTLENIQPSVYVGPIASADTVMKSGQYRDELVRK EKVIGFEMEGAGVWDNLPCIIIKGVCDYADSHKSKSWQAYAAATGASAAKAFLKYWMS ANRKGANRSRNRHLVIPFGRNSRFVGRQDEIHKLESLIFMPDGPRKLAITGLGGVGKT QVALELAYRMRDREADCSIFWVPCTSYEVAEQALLSIAQRVEIQDTQPEKLKERLKTY FGQEDKNWLLIFDNADDMDMWTKGSNDTPPLKDFLPLNNQGHIIFTTRNRELAVDLAS PYVMQVPELDRKTGMEFLEKSLCKDLLHDSHAMIRLLEQLTFLPLAISQATAYINKKG LKVSDYLTLLEEQETDVVELLSKDFGDDQRYTDVQNPVAKTWLISFKQIQKLDQLAVE YLLLMACINPRNIPQAFLPPRSKLQMSDALGLLNAFFFITIQPENGYISLHRLVHLAT RNWMRKEGWFPLWITKTTDRLSSIFPHNDHANRQVWREYLPHALSLLRETEFHKHQEQ YVDYIEKVGTCLDSDGRYNEAEKLQAQVIEARKKVLGPEHPSTLTSVHNLASTYRNQG RWSEAEELQEQVIKTWKQVLGLKHPFTLTSMGNLALIYWNRGRWKEAEELLAQVMENR KQVLGLVHPDTLASVHNLAATYLDRGRWKEAEELFVQLVETRKQVVGLEHPDTLTSMH NLASTYRNQGRWKEAEELLTHILEAWKRVMGSEHPSTLTSMHNLASIFWCQGRWKEAE ELLTEVIETRKRVLGPEHPDTLSSLHNLASRYLDIGHWKEAEGLLAQVMETRKQVLGP AHPSTLTCMHNLASAYQNQGRLEEAEELQVRVMEAWKQVVGSKHPSTLTSMDNLATTY RKQGRWNEAEELQVQVMETWTQVLGPEHPDTLISMANLASLYYNQGQWNKAEKLQVQV METRKQVLGPEHPHTLTSMLNLAFTFKNLGNNLDALRLIKGYAGLCNKVLGSNHPQAI LSLSTLNEWESEAEQLTKGQKQQTLADTSSSLALPQSYAGEQGASELKTAGGKRRRLS ANIA_09425 MALNTALNTALQTSKTAYGFWLTLPGAGVAKTILRSTAQHANRF SWVLVDAEHGLISDKDYYEVDSYANPRSSEGASPIIRVPWGEEWLIKRALDAGAHGIL TPMCHSEEDAAKIVRYCKYPPQGSRGYGPMFALHSFPEVPAAQYDAGADKNTAVFVQI ESKGGLESVEKIAAVEGLDGILIGPFDLAKQIGVQRGGDEHEAAIQRILKAAKSARKK AAIFCTDGADAQNRAQQGFDMVSIVTDVGVLGSGMLRELNVASGKAEGGKPRDGY ANIA_08915 MEPVLNEKTGAPAVEDVVAVSDYEEPTEEELKTLRRIPGALPTV AYLICIVEFCERASYLGVSGLISNFVNRPLPAGGNGYGAPARGTQDTAGALGMGTVKA NAVSQSFSMLAYLLPMFFGYLSDAHTGRFKMILYGVAVFGVAHVLMVGATAPKLLANG GAKAPYFISLYMLSVGASMFKPNVSPLLLDQMPRDKAKIKVLPSGERVIVDPEATSER TMLWFYLLINIGGFMQTATSYAEKYVGWWLAFILPLFLYIPLPLLLIWLRKRLVLFPP GGSDLPNVVRVLKICLSRGGIFRIGRHGFWDAAKPSVIAAKGQNIRTQWNDQFVEDVR RTFQATGIFCFFPIQYINDNGLGSAASFLSTMLKTDGVPNDLISNFNPLSIIFFAPIL NYGLYPLLRRWKIHYGPVARMATGLFISSIGGIGYTVLNYYAYKLGPCGKWGSSDTCV DENGNTRVAPITIWWIAIPYAIGGISELFINVPAYGIAYSRAPKNMRGLVSAINLLNT AVAYAIGLACSAVIKDPYLTWDFGGPSIVGFVLTVIFYFMFRHIDKEEYFLTEMAENE NETTAQPKESALSENGDKTLSPVEKVADNEPEKIGVSLKQ ANIA_08914 MPSCAVAHAIGNVRKPGIALLFAPEQPMVKEHDVKKWHVVYQNR FDCWEGPLRIQGTSAFREMEAYNLERRISMYDQAEWVADLNVLKSLGQSLICECVVSM VNIAVMIHPCQRAK ANIA_08913 MKPWILAFLALSACALAQETETPGPSPTESVGCEPHGDHWHCDA PATSTETDLESTSETLPPSPTESIGCEPHGDHWHCDAPATTTESESESDATSTSTTTA TDGEASESESASLSPSPTESVGCEPHGDHWHCDGPAETESASSDSSSSAAADATATDE AGAEETGGEQPEDGAGIVGVKLSGVVAMAIGAAAVLL ANIA_08912 MTIDEDEKTAPVHLEYDGHEADDDSIENIATSWFVWLVSLTASI AGSLFGYDTGIISAVLVYLGSDLDGRPASENEKQLITSLCSGGSFVGAIIAGLTADKF GRKPAIYVGCVLFTVGAVLQGAAYSIAQMSVGRLIVGFGVGSASMVVPLYIAELSPTK VRGRLIGLNNMSITGGQVISYGIGAAFAHVPHGWRYMVGLGGVPSIILACLLPFCPES PRQLVYHGKTQEAETVIRKIYKGASDAQVAAKVRLIVRACDESRELNKDSTRWAKIKL LHSNPAYFRALVCACGFAVIAQMSGFNTLMYYSATLFDLVGFSDPVAVGIVVAGTNFV MTWVNMMLVDPLGRRRVVLLTAWGMSAGLIAVAIAFKFIPVDTSTLELETDTVSPPAI VVLIFIIWFVFFYGASMGNTAWMNTDFFPMEVRAIGTMFQTCCTWGSNIIVSSTFLSM MQGITPSGAFGFYAAICGFGYILIYFFYPEVSGLSIEEIREVFQHGFGVAYSRKLRKT RKAAARAASAAGEEVEAKTV ANIA_08911 MAEPKPSTSYIESVGDEKAISYDPKETEEYDPNDPQNWSTVSRL TTYLTICFYTFLANVNSSNFTVATKAIVQEFGVSQTQAGELVCFNVFLFGLGNIFWVP LMRVVGKRPVYLTSMLLLCMMNVWSSRASSYGELLSSRILSGFAAAAADATVPAVVSD MVAPQDRGHYLMVFHLALTSGLFIGPLINAYLVQEENWRWMCYFLAVAVGVVFVTAIF TVRETTYRRDRPRSGRRTPWQWMSLTLGYNPDASFVRTLLDIVWNVGYPQLLWAAFAI GISVGWNIVVQLTASRTFTAPPYNWSAGSLGLLSLSGFIGSVLAFYLGGRLIDIISTR YTKRSGQRLPEYRLPAIVIPGVIGPGGLLIFGLCIAHQVHWIGAAVGYAMQAFGVAAI SNVAVTYCLDCYKPLTGEALVIIFVIRNTIGMLLSLYAADWITQQGPAAVFGEMTAIQ AASILLAIPLFSWGGPLRAWTSRYGPMKRFMSAGENRCL ANIA_08910 MSETTPQTGRTTSASWADDPIAIVGVGLRLPGSIRTPQQFWQFL VNKRSGRCRVPADRYNVDAFHGPKGKLGHVCTEYGHFLDVDLAAVDSSFWSIPPKELL LLDPQQRLLMEVVYECLESSGTAAYRGRDIGLYIGALGEDWMDIQTRDPQGLGMHCVA GYSDFALSNRLSKELGLTGPSMTIRTACSSSMMALHAACQALYTGECSSAVVGGCNLI LSPRMTTTMSELGVMSPTGDCRSFDARADGYARGEAVNAIHIKRLSHALRDGDPIRSV IRSVCINSDGPRSPVFIPSPESHELLIRRCHQLAGISDLSQTAMIECHGTGTKVGDVQ EGRAVANVFGDIGGVLIGSVKPNLGHSEGASALTSIIKMTLALENQTIPPNINFSTPN PKIPFGPACLRVPVECEPWPKDKAERVGLNGFGIGGANGHVLLESARSFLGTFVNEAP SGCTPQLLVFSGTHPETVKRNISLSLDFLAKNPAKVADASYTLACRRRILPNRAFAVG CLDSWDVSPVRKAGPADLVWVFPGQGAQYPEMGLKLVTGNAIARDTIRSLDEVLDLID AGRSWTLHDELLRPEPSSRLSQAKFSQPCCTAIQIALINVLKSMNVKPSAVVGHSAGE IAAAYAAGALTAAEAMAIAYQRGNIAERVEGSGGMIAVSLSREQVSPFLTDQVTVACE NSSRNITLSGDSAALDDVVEKLQCTYPEVTTKRLGVNCAYHSEHMNIVKDEYLDRLVA LKVKQQPLSTTFVSSVTGKSVENAGDLDTEYWCRNLVSPVLFYSAVKDTIAALPNPVF LEIGPHSALSGPLRDISPSTPYIPTLVRGISANTSILRTVGRLFQFTEIDLSGLVTGS VLTDLPPYQWQYDRRFWSESRVSRNWRFRQHPHHDILGSQIPDGNEMEPLWRSLIHLD SVPWLRDHVIDGKTVFPRSSYISMAGEAIRQLTGSSDVSLRRVSFLEDITFDGKHPTE VLTQFRPIQGTEWYDFTLTSQFEGKWTKLCMGQARRGYDLPSDIKQLCPGHRKVKPET FNRAMERLRIIYGPRFRALDRIAVNATAPEATAEITERHERQESHYIVHPCTTSSIFQ LLTIAKAKGRNFDHSFVPTYIEEVYVTASTGSISAQSIITATQNRTFSGDAAGFCGGS LVFFMRNIRLMPTENRYDRGLDPHAGARLVWQPDIDKAHLARLIRPHCDKSILENLFL VEELALACIIESEQQTRSSKALAHFARYSEWLSLQRQRAEQGNYDHVKSCQAIASMPS KDRGNHIKELYQKALMTPVQHVATAVMRIYHETTTLFAGQVDPLSILMKDDLLSAIYG FNLCDFADFFRVIAHNRPCMRVLEIGAGTGGITATILPAMHTPHGERLYHSYTYTDIS SGFFERAQERFRAYKGVDYRVLDISVDIAAQGFDAPYDLIIASNVLHATPNLQQTLAN VKALLKPGGKLFLQELAPTTKWVNYIMGTLPGWWLSNDDRPWEPYVSPKRWDAELRAA GLSGADTVVHDGHMNAHIVSSLPELRSERNRQVTVLCKPGSEHLNSVIAYLHTRDFVT DTRHLGEELPNNQMVISLLELDGPQLHAMNETEYLNLRDLITSLDQKTMLWVTRTSQV ACSDPRYAATLGLLRTARRELGLTVATLEVDTLDVKAIDAIAEVTERVLRLETTSSLD PVLEYTHAYGTLMVGKYYPAIVSEELLDRAASDQTAAVLRTSPRNIETLTWERMSLEI SAPVEDWVLVETRAVGMNLKDLLVVNGTLDAALGSECAGTVQRVGPGVKNLRAGDRVM VLSPNSGVMATKFVTSERLCARMARNLSWTEAATIPFAFATAFYALIDVARLKYGDSV LIHHACSEIGTAAIQICRMIGAKFYCTVAKRADEDYLLQLGIPKERIFSSRNSTFVRD LMVPTAGLGVDVVLNTLSDNLFHASWQCVAEFGVLVDLPQGEFNKQLPMEAFEGNRSF CAVNLSQVAAKRPETIQGLLRRCMHHYALGELTPLQLQEFPAEKAQDAFKSMKEGKTG KAAIIMPEESSSLPACFSRREPSFRNDAIHLIVGGLGGLGRSVSSWMASHGARHFVFF SPSAASKENDDFVLELRAQGCRLDLVSGDISNPEDVDMLIKGLDENIPIAGVMQASMA LEVTSFATMSFAQWQAAFAPKCQGTWNLHNTLLKYSRKTDYFLLFSSLSGLIGQTGYA NYAAGNAFLDAFVQYRHSLGLPCSAINIGVMEDVGYVSEQTHAIDHFVATSTYTLQER DLHDAVQLAIDKSSPVGTTTRNGPAQPTYVNESQLLIGLRSTAPLDSPNNRTSWRRDP RMALYHNLNQSAAKSASEKTTDTADDQILVCFLEQLKGEPDILDKPESIDLLSTEIGK TLFSYMQRDRSNLDLDVPLPSLGVDSLLAIKMRNWFQRKIGVDIGVVQILNSGSLRDL GRITAKAIAGLRIAESTVSVADLTPMS ANIA_08909 MDHLNLSPPQSLIQNLELCVSNDHISSLTPDLLLHIFGYLREDG RSLCNYALVCRRWQKLLEPLIYNTIQVYNEAPTPPRAFSVVRFKHFVGGLNNVRRRAM VRKLVFHYQATQFPRGPGETASRAQDPAQNPTDAGFRKAVAGLFQTLRFWEPWYKIEL DIALAYSYTGAPRLASMANPSTTADLVTFPTVHCVAEIAFHYSEHGQVFQRIWEATPF HIAQSCANLASLVMDSDAFKLTGRQAPVIRRRKVIAECLDGLPATIENFSMRVIGVSN PYVLDWFTPEEDPFSCKLLRITTHLHAIKLYNVTIPVDFWCPLDKNYRPKRDRPVWPC LTHILSDDNCLVEGTGKLVLVRLI ANIA_08908 MKFPLPLPTLLGALTLLTPAFSANTLNIVAHPDDDLLFLNPDIQ NDISSGFNVRTVYLTSGDAGQPYEYWTLRQAGLLTAYAQMAGVESIWDESDAGVAGKD IPVYTLRPKPEVSLAFMHIPDGSMDGNGFPATGQESLEKLWKGAIARIRTVDASGTTY SRGELVETLRQIIDSFVPDSLNSLDYVHPYGSGDHSDHTSAGLFTNTAAITSSFPGSV IAYRGYPIKSEPANVGGEDLVRKKEVFYTYAAFDEDVCGSDQACAGKEYELWLPKQYT AN ANIA_08907 MANVTLPSGSMSYPELLQVAKQDSSLSWPEQLWWAHYTFWNNDV LATGVMTFLAHELIYFGRCIPWIVADALPSVFRRFKIQDHKTPSFSDQWTCVKYILAI HFIVELPLIVLFHPMMEVCHVQYTLPFPKLSLFAAQVALFFIVEDTYHYWLHRAMHWG PLYRSIHRIHHQYAAPFGLTAEYASPAETFLLGLGTICPPLILGSITGDVHLITVLGW MALRQLQAIDAHSGYDFPWSLRRIVPFWGGADWHDDHHRYFWGNYSSSFTHWDILMGT VAGPRGKAMGHNKRH ANIA_08906 MCSQTQTSHDPQPQSNMIKRLSARISLRWPPEPPFENTDTLVLS VGNCMKDEVLFTHELDSRNAFGVADCGTFSALPNGDDLEVGVMPRPDLPGAPVREYEE VWRELPFVRMEGHENVSFVLESATGGTQLKEGEEKEITRTFIGAIWGSFIALRQTQVL ARPIGETRTVIKSGAEVSAKREDFVQGQGFVVRYSLGPEADELPTHSDIQLPASDGSL EKLVVCGQDLHPQGKDVTVKE ANIA_08905 MLTFYLAASIIVTVLFMRRLCSPIAKLPGPWYATFTSWVLKYHE FTSNRRLFIHDLHKKYGSTVRIAPNEISFASLEAIKEIYGSGGSGYDKTELYDLFRQF GIKTMFSTLDKHSHSQRKRELADRYAMSNILREEHVSAIVDRARAVVSRCAASAESVD VYVWLHCYALDGVTNFMFSPGGLHSLDSEHDFKIMEELTYHQSLQKNLLHYYLPTVAA YFPPCLTPRRSPKTNEYVLKMCAQQLPSQHSLVAKLARKDSPLNHTQVAAECKDHMAA GIDTTGDGLCFLMWKLSQPHNIRFQERLWEELRTARPDIPLDKLPYLDAVVKEGLRCA PPIPMSFPRYVPTGGRSIDGHFVPEKTIVSCQPYTVHRLDENVFPEPDSFNPDRWMVE KGAVERNRLFFAFSTGGRGCTGRNLALVEMKILLREVYSRFRTTVAKDMHGCMDIDDQ IISSRPLGQTCKLRFSET ANIA_11149 MKLSSLVALVGVSALSEASPRPKPNFVFVFTDDQDLTMNSVEYM PHVAGRIRDRGLDFTNHFVTTALCCPSRVSLWTGRQAHNTNVTWVAPPYGGYPKFVSQ GFNEDWFPLWLQDAGYNTYYVGKLFNAHSVTTYNNPFVKGFNGSDFLLDPFTYSYWNS SYQRNHEAPKSYAGQYTTDVTEEKALGFVDDALEDKERPFFLTVAPIAPHFEQDPGHS SDTPPQAPIPAPRHAHLFPDAKVPRVPSFNPLNQTGPSWIRDLKHQNQSVVDYEDFFY RQRLRALQSVDEMVDKLLDRLERSGQLNNTYVIYSSDNGFHIGHHRLPPGKSTSYEED IRVPFFIRGPGIKSGGKVTQVTTHIDFAPTIFELLGLPPRSDFDGTPMRIMKDSAAIP HEHVIVEYWGQALMMVTAPTNTDRMPNTTYKSVRLLSEKYNLFYAVWCTGDHELFDLN TDPYQMHNIYNTASRSFKNRLDALLLVLKSCAGSTCIKPWAELHPDGSVQSLSDALDS QYDGFYAQLPKVEYEACVDGYLIAAEGLQWEDVSASALRNYVRRNYAFDDVQKYRKL ANIA_11143 MAFLSLYTQFFILGVVTLWVTHGPRPLQQTLAAGDLDIWLPSEA NIARTALLDLIGDKGRWAEGAAAGVLVASPSRSDPDYFYTWTRDSSLVFKTLVEMFRA GDSDLLPIIQDWISSQARIQGVENPSGGLADGRGLGEAKFTAEETAFAGSWGRPQRDG PALRATTMIEFGWWLLSQGYHQLAANTVWPVVHNDISYLTEYWNQSGFDLWEDLYGRS FFTLAVTYRALTEASLFARSIGSSCAECESQAPQVLCLLQSFWTGRFIRSNLDTGRTG KDASTLLGVIHTFSPRSECDDVTFQPCSARALANHYRVVDAFRDLYDINADRSQDQAI AIGRYPEDQYFGGNPWFLCTIAAAEQLYSAIYQWTHLGSITITAVSLPFFQTLHPTAV PGTYSSSTEIYHQLIDAVRTYADGFMRIVQTYASHNGSLAEQFSRYDGSHLSANDLAW SYASLLTAHRRRNAIAPSPWGNPGQPSIPSYCEPTSASGTYSLATITTWPPMNGLPTT TSAPCQVPSLVSVTFELTASTVWGEEIRLVGSSGELGYWVSERGITFSTDRYSSSQPI WWATVWLPAGQTVEYKYIRVREGYVVWEGDSNRLLTIPAVCGVKSIYRRESWR ANIA_08903 MNPADSVEIGDAVAAQQNAHLAQLPLGKDIKLEKDAIARESSIE EIPATKEYPTGSEEDQDLNKLHPTQEEMQTLRRVAGPMNWITFSVAFVELCERFSYYG TTAVFVNFIQQPLPPGSTTGAISGGSEVPGALDMGQQASTGLTLFNSFWSYVMPLAGA FLADQYWGRFRTIMFSIGCALVGHVILIISAIPPVIANPNGAIGCFAIGLIIMGMGTG GFKSNISALIAEQYPDERPYLKTLPSGERIIIDPAATVARVYLYFYLCINVGSLTGQI SMVYAERYVGFWLSFLLPTIMFCFSPLVLYLCRNKYHLVPPTGSVYTQAYRLIREAIR HHWSVTTVKVAGIPFKRIKKNDTHFWNTVKPSTMGANRPTWMTFDDEWVDEVARGLKA CRVFLWYPLYWLAYNQMLNNLTSQAATMRLGGVPNDIINNFNPLALIIFIPIFDRLLY PFLRRIGIKFTPLKRITAGFFVAGSGMIVATVTQHYIYKKGPCGKEANSCLEQGLHAP ISVWVQALTYILGGISEILASVTSLEYAFTKAPKNMRSLVQAVSLFMNAFSSAIGQAF VGLSEDPLLVWNYAVVAILAFVGGIGFWATNYKLDKQEDELNTLAQSKYEGSGLKQDE EAKA ANIA_08902 MPNNAEGTTDPTTPISPSFARPYRSHKVPACDFCRKRRSRCTQE VADQPCLLCRMHGATCTRASSRPGPAKRRRLAVDNAPQDPQEPQQRPSPPPTTESASY AESTHQHPSARDRSTATPVFSQNDASNQSGHIVGPVMARDAQVLERCMSSIPNSAVSY ARPNPYSVYSDDTRNPVIYMKVPRQRNIAPSGNGTAGFRQFEAMEKVVEPLGPELCSV YFNNIHPPFPILDEQTVLKAYQQDGLPYALACEIYACSLLLWKSSPKIAASNRPVPDI RYMWNLTVSAMNDDFLSPNFSTILACILDLLGRPITSITYNAVNVGRVVALSQSLGLN RNPSTWGVDSRQKSLRIRTWWGILIHDQWASLSHGTPPHIHKSVWDVPLPDSDSLMVS ITPGEVFPPDARVQGAHSFIALCSLTTLLGQILPLIYVLEVQPLEASFRALRRHETAL NEWEEGLPSWLRPSSPVFERKAAGALNLQLSFLAVKLCLSRIALLEIHRSNESPAEED KLYYRSRCRKAARTVIDFTVNLARDELHAFWLPYTAYHFTSAATLTLRCALEAENADT AQECIASAKKLIDFLRRIKNEVNWDLADICLGQCEAVVEKLCDTEYLEMWRKNPHPHS QGEYALDRTQPGHGVNAPSFHFAAHNNVPNPEGQDEFIIQQAQTNQAMADDIGINGIG DPSFLQTFMTFGSMPGLMAESPPFPDLWQMPYMDEYGSRGL ANIA_08901 MDEKAPPNGSCPDKDIQVGTVTVSDLDEGRLFLRQHNITNNDLE GFLADEVRNKALVRKVDLILLPLLAGTYMLQYIDKTALAYSAVFDLLPSTNMTSEQYS WLASIFYFAYLVAEYPWTILAQRTAMAKVVSGNVIAWGSILMITAACKNFAGIATCRF FLGIFEAPITNCFMMIVGMWYTRREQPFRAGIFYSCNGMGAIVGGILTYGIGQIKTIA VWRAIFLILGGITVAWGLVLLLFLPDDILSAKRFTIEEKALLVARGRLARTGILSHQI KWYQIREALLDPQVWILFLFMLLNETINGGLANFSKLIIKGLTDDSLRTVALGIPFGA FQLLWVLSGTFIASKIPNTRTIVMFVYLIPSLVGIIMLWKLSHETQRIAVLFGYYISG GYVCSLVLALQMPATNLGGYTKRATSVALVFLAYCAGNIIGPHAFLAAEAPIYQTGCK LIISCLAVQAALSICLRFLLIRRNKQRDSATADAPVSEEEELADITDFENPRFRYVL ANIA_08900 MARPSVTLPQGKVVGIRLEYKFPQPVDAFLGIPYARPPVGDLRF RPAERFPNSTEIIDASNYGPAAPGKALLPGGPALRQSEDCLSVNIFRPSKSNLAEKLP VAIYVHGGAYNRGSAAMHKTASMVAWSEEPFIAVTFGYRLGALGFLPSSVSKKEGLLN LGLRDQSFLFQWVQENIALFGGDPGTVTLFGLSAGAHSIGHHLLNYDGHKTPLFHRVI LESGSPTSRAVRPYNAEIHEQQFRDFLQEVGCPSTLAESEIFPFLRSLPSSVITNAQI AVFDKYNPSLRWAFQPVIDDDVIPRKPLDAWTAGLWHRVPIMTGFNSNEGTMYVDKSM SDSAQFRKFWQELLPQLTKEDLDTIDRLYPDPSTDPTSPYVETRTGLDLGPQYKRIEA AYGHYAYVAPARQTAHFAASIPGAPSVYLYHWALPRTIIGRANHGDNMYYETYNDEIT GLSESQKELSGTLHAYITSFITHGDPNSIKGRYGTRPEWKPYKPSSPGILVFGENNEE LIGGSVAPPAKFVSDNWAREETEFWWSKVPISQLA ANIA_08899 MPSQDVPLPEPFASVPRETLTFGPSPIQYLPNITAALGGKVNIY AKREDVNSGLAFGGNKTRKLEYLASDALAQKADTLVSIGGFQSNHTRQVAAVARKLGL EVALVQEKWVDWDDKNGVYDKAGNIQLSRLMGADTRLDMSGFGIEHKLTLKNLEEEIR ARGGKPYYIPAGASDHPLGGLGFARWAFEVEMQEKELGVFFDTVIVCAVTGSTMAGMI AGFKLAQKVNGSRKRKIIGIDASATVEQTFEQILRIAKFTGVKIGLDEEDITAEDVIL DDRYHAGVYGIPDEATIEAIKFGARTEAFITDPVYEGKSLNGMMDMGLLSCCRIVFRV KS ANIA_08898 MTDYDVVIVGAGISGINSAYRLQTQLPHLRYTILEARDNLGGTW DLFKYPGIRSDSDLFTFGFAWHPWDQDNAIAAGPAIVNYLKRTTAQYGINRHIQYGQR LRGADWSSADHTWSLSVENTADEQMKTLSARFIIFGTGYYDYQTPLQTDIPGLDQFAG QVVHPQFWPEDLDYSNKRIVIIGSGATAVTLLPNLVEKAQHVTMLQRSPTYILALPNR KPLMSWILPAFIARRLIRVSWMLTSRIFFLFCQAFPLLARFILRFRTKTLLPKDVPWD PHFKPNYNPWDQRLCVSPDGDFYKSLHTGKAAVKTDTIKTVTPKGIELNSGEFLDADM IVPATGLRLRIAGGASISVDGAPVHLNDKFIWHGMMLQDMPNAAFIIGYTNASWTLGA DATALTICRILKNLEKRGFAAVVPRLSPSLASNIQPRRLLNLSSTYITKAEKDLPRAA DRGPWVPRDNYFSDLWFAKYGNIDDGMEFLGEKKTL ANIA_08897 MLTIAQKLDYIPALASVAVTFVWALLTVAIRAPEYPSHWLLHVG YAVFRKLTARLSAAQMQYVLPASNLVYNRYIRSVRQKPLTVQLEHGAMGHWIGDPKAK NILVWYHGTSRLLAHNPPGVLTEAGGGFALPANIGYFKFFASIVADCERNGQSLAVFA LTYTLAPVATYPTQLRQAVEALRYILVEKRHSADHVMLGGDSAGGNLVGGVLSHLSHT HPAIDPLPLDGAALAGAVMIAPWTQLQPELGDRIIDSRGDLITPAVGPLWGSGYVGEA PKDFYTDLSDAPVEWFAKFPVRKILVCGGEREILLPVIEDFVEKLRKGFKGDVEFCVG KGEGHVAPVYNLYIGDKRETQQGARAKSFIRGLLEKM ANIA_08896 MSLSLSHLSLVLGQWLQPIVEQLPPSVQDVVLHPLAPKALLVLL ALAFLRQTNRILTNWSMNNGERDRFDHERELVLLTGGCSGIGKQMVLDLAKANVRVVI LDIQEPSFTLPSGTAFFKADITSSASIAEVAEKIRAEHGDPTVLINNAGVGHDGTILQ KPEAKIRQTFEVNTVSHFLMVREFLPSMIEKNHGHVVTIASMASFIGLGDMVEYSCTK ASALAFHEGLRQELRLWYKAPKVRTTVVHPLWVRTPMIKVLTDAGSEFKQPILTPEMV SEAVVKQVLAQSSGQIILPGYYTPLSMLRALPNWFQEFARGVGSRDFIKLREWQQKQL KTQ ANIA_11142 MIPMLAATLAEAFILQRSVFTDTPLRTVVFGSAAVNLLILAIYN VWIWPFFLDPLRHLPRAPGRFNMLRFIFDNPRGRLPLLWMKTVPNDGLIYFRDLLNRT QLLATNHQALLDVMSTNTYDFEKPWRAREFLARIIGFGLILSEGAAHKRQRRALTPFF TIKNVRALYTLMWDKTNQLLVEMDKQISRCPMEGTSPDSGWGKVEMSVWARYFTLSRL TLDVIGPAAMGRDFRSLQNAENKVADSFLAILEPTKEKIAFLAINFLLPQWFAKKLPW RLNQVIEEQVGYLRDLCKEIVHEKRAAITATKVTASELEADILGSMMLSGDFTDDELV DQMLTFLAAGHETTASALTWTCYLLTLHPEVQDRLRAEIRTAIPHSTHPVTHSDLESL PLLNGVCQEVLRLYPTVPSTIREAVRDTTVAGKHVPKGTKIMLCPYAINRAPEFWGAD GDAFRPERWIDTDPVTGKTSVNNHGGAATNYAQITFLHGQRSCIGKDFARAELRCAVA GVVGRYEFAMQDPKQVIHISGAVTTKPVEGMHLRMRRVEGW ANIA_11150 MKKGKRMKKTIIMKTALASLITAYVPAECTRPESMNERDPTRNL RREAGSGPKNIPPRTENGWVHPRICSQPRRNDVQTAYGEQCDSGPDAIDKSVAGYPAR GRQQKSADKLFACLWGDVVLEAKVI ANIA_08894 MVKYNALFKDKDSGIFSSAKRNTPTKSMVDISLYEKRREEIWSL WPEFRETNEERHAEGLAKAQIVSVCQPEHNRMSYSMENGLNPEDIVTVSRAYQVFPHQ GEDELVPSAICGKCHDGVSCPKNNTQPAKIPIPDHGQSSAPRRRRPALSCSICRRRKL KCDRSLPCGQCIKSKTPDLCVFSAPTPAQSATSSSMAASTSSEKRHALSDEASAVGNG LYVFDSKHRVAKPRGRPDELHELRSRVHTLELALAKSNTIQLPESSGYDCMPELGLRT LADPISDQVMNLCGRACFRGRNGRTRYRGRSSTELTLTFIERRDQVKDGTPSSLKEML PPRSIADELLHLYLSTFETTYRILHIPSFLKEYEAYWTAPEAADTVFVAKLLALMATA SCFISSSTTVNGKDTLHDVAVGWIFGVQRWIGSLFMRATAKFDILQIQCLLMIARQAL AVDGDVVWLTSGSLIHTATIMGMHRDPARFGKMTPFWAEMRRRLWATILELELQASID VGIPPSIDTDQYDCDLPSNLDDSDLTEDLVEAPVAKERTVLTQCSFQTMLAQSFPLRV RIAKAVNSLRFTMAYDEALRLGENLIRFMNEALVPFPTPVSDGTPSFARSFMLFLFQR SLLILHRPFSLSISLSPKYSYSRKVCLESSLEMLTQFESPLPSFQSSRTPCLGQIGGG MFRDECFHAAITLCVELSLQSTESASNSAPSTHGGSLNDIVRSQQEVLVRVLERTREN FGSRLTPKGNGCKAYAFLAMALASTKARLNGDDPLKSVEQAAHRSVKVFHHVISGLPY EEFLAQPESDWSDIGPSSTQTPEFGSSTPDMSFDPLSLLTNNPMDFSPLDFNNMFDTF NYRMPDLWDPNFLNL ANIA_08893 MTKIALFGSTGQICRAILLALLTTTPHEIASIDQPSSENKAKEI DVCEEEKKRLKTAGINILEAVGYGMHLLHTDENGRGVLHPTWNIKEDGNRKALHHPPI SAGQRASLVSLDPAGRAVYTLHILGDADAAVDFTHIDNLAAFVVFTIDSPDVAGNKSL NIVSDRISYNELTTLLEKYSKKK ANIA_08892 MPARLHSRPQGNSTLASSQEAGDHADRPSSPQVHLTYEDEDAIA EIHRTLTKKDHDYSEPHLSFDKFLEEELRAGRKKPNLGVCFQSLSTWGTGGEHVNVKT LGTALWRTLIFQDVYEWTIKPWLAKPEPESGRQLIRDFTGVVRSGEIMLVLGRPGSGC STFLRTIAGYHSSFLGVTGSIAYSGLSLEDVRKHYRGQVAYVPEDDVHFPTLSVRQTL EFALQSKTPKRYHDRIPRYLEIYGRVFGMSHTMDTLVGNEYIRGVSGGERKRISIIES LATDSSVMCWDNSTRGLDASSALDYARSLRIMTDTCGKATLMTLYQASDAIYDLVDKV LLIDEGRMLYQGPAHEAKAYFHDLGYECGPMQTVSDFLTSITIPERRKFREGWEQRAP KGPIELEQAFRKSAAFMNVQKEVRSYDSQGFGGRSRAESHSDTDYESLEDVKEALQTD KSRFVSSKSPYTISLFRQVVLCAKRQIWQIRGHMSPLYIKLISSVIYGLLVGSMFYDQ PQTTDGMYSRGGVIFYSSILLAWLQMSELEEAMQGRDILSRQKKFAFVRPSAVCLARV VADIFIAALLTFLYLVVVYFLSGLKSDAGAFFIDFLFIYMCTISLTAQFRLFAAASSN FEVALRYTGFLVLLCIVFGGYVLSVDKMMADVPWVGWIAYITPALYTYEAMMAAEFHN TNFTCSPASVVPAGPVYTDIAYQTCAYAGSQIGSTIVNGDDYLAVKYGFYFSHVWRNF GILCLFTVASVAATCLLSEIMEWEPDSAGPVQYKKSRKQLRKIANISDEEERPVQVNQ TSPSASDTDGPSVGALTATKSTFTWDNLELFVRVGKENRKLLDGVSGYCKPGTLTALV GASGAGKSTLLTALTQRQSSGDLTGTMYVDGRPIDSSFKSRIGYCEQMDIHDESSTIR EAFEFSALLRQDSTVPDQEKLAYARTVLETLDLVELQNAIIGSLDIEKKKRVTIGVEL CARPELLLFLDEPTSGLDSQAASSICALLRRLADQGLAILCTIHQANQEQFEMFDRVL ALSPGGKTYYFGEVGEAGHSIFDYFAKYGQKPENITNAADYIIEVVVGGMKNTASTVD WAAVWNQSVEAQQVQQDLERFRNMKQESLPSLDMQSQAMPAISRQIFLLTQRTSRQFW RSPEYPYSRLYASFLHALINCLTYLQIGNSTTDLQSKAFSCFLVLMLVPEFINAISAR FIMNRDLWKAREGPSGAYGWVAFCTAQIISEIPYAIISAVVFFVLYYFSVGLPLGFAA GYSFLMFFLFFLFATSWGQWIAALSADSVVAATLMPFFIIMCETMNGILQPHKYMPAF WAYTMYYVTPFTYWIGGVLTSVLRGVPVICDENELTVFQSPPNITCSEYAGSWLAEKG VGYLSNPDGSGECGYCEYSYGDDYLSTIGLDSSKIWPYFGIFVAFVVSNYLMVYLLVY IRSVMKPFRRRT ANIA_08891 MRLHFLPLVALCATTASSLAFDSSTSQPPGAQVYSVNDAAGLKR IGAHHPKYHDRRTVTIRSSHNDTDDVSADFLWGIRRANHGGRLLLKKGQKYVIGRKLD LSFLDNVEVQLDGELKFTDDVPYWQENNFYYDFQKSISFWRWGGHDVRIFGRGTLNGN GQRWYNEFAGQEILDPDNTYYRPILFVAENATRLSVEGITELNSPCWTNFLVNSKDIS FDNVFINAYSTNASAEPKNTDGFDSLNVNGLSVTNTRVDIGDDCFSPKPNTTNIFVQN LWCNNTHGVSMGSIGQYPGVLDIIEHAYIENVTLLNGENGARLKAWAGEDVGYGRINN ITYKNIHVENTDYPIVLDQCYFNIPADECASYPSQVNVTNIVFENVYGTSSGAEGNVV AELICSPNAICEDIKLKGINLTTPEGEKGVVVCDGISGGVGVECQSSE ANIA_08890 MKYSIFSAKALVLGLCSFVGAHIDTFSPGGQSDIFYSITAPRST VSTGSGPIFFQIRAPTTLQWVALGQGTQMTGADIFVLYSSSSSNVTLSPRLGTGHVPP RYNPSAKISLLEGSGIQNGVMTANVRCDTCNYLASSPWIFAYRDGTSLDSESPEAEIS IHNDFGSTNVALANAVSTMDNPFLDYDPRDPLNQPSEVGGGARSNARMLIAHGFIMSI AFVLLFPFFGLLVAIPMRGVVAKVHAPLQIFTLSSVIAGMGLGLKMGTDGDILDHAHP ILGLIVVGLLILLQPAMGLLQHLHFRRTGKKSYFSVLHRWLGRLAIILGVITGGLGFK LVGIDSSPYTPKSAVIAYSVIAGVMGLVYVAVQVLRTIREGARSNRDLYGRKAAEGST DAGTNSQDA ANIA_08889 MTVRESQKPDNGQLAVVFETPWWSPQLRRLSFCILSLIFFSSSN GFDGSLVNGLQSLDSWMGFMGQPSGALVSTIFAAWCSNRYGRKQCVWIGIAFILAGSI LGAAAPNDTVYIVSRAVIGVSSGMVSNAPPLLLNEIAYPAHRSISSCLFMIGYYFGAV ISSWVTFATRTYASSWSWRLPTLLQMLCPLVAIPGFLLTPESPRWLIGQNRVEEARKV LADLHASGDLTAPLVIKEIHEIQEAISTERESAASSSYSDMITTPGNRHRLLITVTLG IFSQWSGNGVVSYYLAMVLDTVGVTATKDQLLISGCLQIWNLIFGTIGAVLVERAGRR PLFLTSAGVMLVSYIIITGLSGSFASTGSAPMGTAVIPFIFIYFAGYDIALTPLLVAY PCEIWPFALRSRGLSVAWFSAIGALIFNTFVNPIALSAIGWRYYFVFVAILICYGLTS WFVYPETKGYNLESISHIFDGNHQPGHDSEKATSSEDCIEKVPESREVERV ANIA_08888 MSYYNALIRTHHITSRKKVSALKRAADSLNVFALLRSGGCPGIM YIEAKDKDAVESWVSVVRNLRYKDFQLVSRPACVVIEEDFMKVEGKQKNDAQRRGKAF LDAGLEEVESVKEFGDLMAQRGVWQWWRKRMGYVRGD ANIA_11141 MVFDALERFGSRAQRVLYYPKDWDVIADSDNDRDRQLLRLAKVK YKAMLVPIELEMIKPDAGPGEEWEKSISKLLAFAETEFNRVIHLDSDVLLLQSMDELF FLPHTPVAMPRAYWLLPEQKVLSSLLLVIEPSYRRYKALLDTALGIEGTEGENKRNKY DMELLNEFYGNSAMVLPHRQYGLVSGEFRSENHTMFLGSDDEVWDPDKVLADAKFVHF SDWPLPKPWVMWPHQILADILPKCKVNPGSLHESGCRDRDVWMKLYDDFRIKRRVRRT FYQPPFPSIKVTR ANIA_08886 MPHCSGADVYRRVDPEASLVLIGIRGCGKRSLGFVAATALKRRF ITEDHYFKEVTGLSRHEYLKQHGSQNFQQRDIEVLKLMLDNHRSRCVIECGLGTLTRP VQEYLRQYSLTNPVVYIARDMDRIQKLLGFDDQAAKLLCEGDPLHRTCSNFEYYNAED RSSFAQLDEETQDRRSVVYSFKLKEAKEDFTRFVRFVTGTDVGHSSYDSPFVLLETPP ELRSYTYAIFVRSSDMIDNRVRLSELESGGDAIELCVDRWGPNMAMTVSKQVSILRRN THAPIILSIDTRSLGITGGDQYPIKGSNVYAQIVEHCLRLAVEYLVVDLDQDQALINE TIRNRGMTKIIGQRIFEPSSGVSWDNEECLSAYLNAAGLGCQLVRILRVATEREDNAA IAKFTNRIELLPGDHPPVIAFNLGSLGRTSQVFNSILTSVSHSAIDRLSHNERDPQIM ARDAVQALFQSYVLDPLQFYILGGSVAYSLSPAMYNAAFRHCGMNHTYSIPEKPTLAA LDELGRDPNFGGASIVQPWRVQVFQKLAAKSRHAEAIGAINTIMPLRGHSDGTMFPLQ EQASRRHLAGPVLGWYGENTDWVGIMTCINRNLSPRNAISPSRTTGLVIGAGGMARAA IYAMLRLGCRKIFIYNRTLSRAETVARHFNSWAASQVGSTEVVYVLRSLHEEWPQDAC PPCMVASCVPADPDRDEPPANFEMPTQWLGSPTGGVVLEFAYKPLETPLLRQMRRFRS ETGRQWELVDGLDNVAEQAIAQFEILTGRKAPRRLMTMEALRNYAGENGPFDEKTIRA RINIYE ANIA_08885 MDEGPDSKAPRRKRVSRACDRCRSKKDKCDGLRPSCSACLASGQ TCSYDPHAKKRGLPEGYVRGLEKLWALAICNIDGFEDTMLSLLGTTADSVGRRNKLIS LWVDEAASETLHESWKTCRLYNALEKMLSSSSGPSSPRNAGKRPREEHGSLLNETGSE WGFRIDRSSTPLSQDAPRVVEPGASPPVKRARLSLLGDSRLSSATNGLHALQLPPNTS QLLDTYFAATHSWFPIVAKHNILRASYLYANAPSISVARLSPGSGDHAALWALLSYTV FLSRSSPESGSFGVLSKAKEHYAVARSLIPSETEHYELGHVQALLLLTLVNIGLEDWT AAWMLSGQAVRMVVPMDVAAFSDARRPEESRQGKAVFLGCFVIDSLLSFRLSRRPSMH SRDLVAVGLLEEDGLEEWNSWADVLLLSGNSQGKNPPRRGPLQSLSCFNRLVELASVL NKIARDSITGPSAHIFAQQLVSELKQWDDRLPLGCRLIGPESIYPERHSALLPHQTYL GLTYIATLLLLYLRIAPHELGMHRSQRPATEGAKKLLYRALPMLAQHLDNFSVCSLPP VFELSLRTIAEQAFALRNKIESDLFPYGRWTEALVQRTSELSTTWPVYRTLTTNIERW CRSKNAVANSPSQPFAHDSNNNPARGMNRPSNYSPSQNSLSMPSTENRGFERDSQLPP FARRNTIDVVEPNYSSSIMGIAIPVDGQYMTPKDTTMESSDAPFPPQSINNSQSHNPK MSSSAMDILDPANELDAMLDGPSHPHPPTPDSSMSNPPVSGTSTTNAAAPANEQTTAL ADKSNSASTSDNQIQGDTSAASLDAIFKDLAYLDTTEWATSREAGLKDFGFMDDTTFQ EFCHDPDRLAGSQPLLCLCDTHTCENKVFLASIRIGPSAASNGFRDMIFMDIVLEQAM L ANIA_11144 MRKDWAFSDNSGATTDWQIKNVTNAPKYDNMTACAHLPGMDKVL VILKTGATEALDKIPVHLRTTLECVQHYVIFSDFEEYIYGVHVQDVLRSVSEGMKQTN SDFGIYNRLRASGRGGLTADDWRDDENGPLGKQGNPGWKLDKWKFVPMIDEALLASPW AHWYVFLEADTYIVWRNMVRWLSQLDQRKALYLGAPMQMGSQIFAYGGAGIVLSRTAM RLISQYRAQNFTVVEQMTADDWAGDHVLGRILGDIGVPLIWSWPLLVPSSIWEFEYFT KAYGRQPWCYPAVSFHHMSTQDIWDMWLLEQQWFKYQVDVPLLHKDIFNWKLYDAISS MKDDWDNISSDQQPRNISDSPTTSEECKQRCSLITDCLQFSFSHEGCRTSKKVVGGVP RPGFHSGWVATRIKALIKNAGRCSKAQYITG ANIA_11633 MLLYPPTFVLGRWSRPAAVLRTSGIHALTFERFLFPAIEGHWAY CDSQNIAFPSREPYGLDSPDMKRWQQTYVISLDEIRQCD ANIA_08883 MAEVSQPPAETQARQITYCGVCTLPPEYCEFGGTAKKCQEWLKD NRLEEYQRLYSDEAISANLSTLSVSVQERAAKDAAKKEAKAAAAEARDAERRATSKVQ IKRVERNKRKHVSVVTGLEVYGLENKKVAKELGKKFATGSSVTKSASGIEEITVQGDV CEDIKEWLLENYGKGISESNIEIVEDKKKKKGTAEA ANIA_08882 MHHVSSRADGRGSISTYQQQESEEDGMLRPSARENTQSRWGSVS TGLESRRDSVFYGIDKDIRLGSIKRPEEIHSMDDLQRVRDLRSRGEQYVILYLRSALS AVGTLATDITRRLDYTYYGLLEKIAALTMTIVSLQELSDTTSKLFDDFQQETTGLEHD IRKQIGDLHEFQPQVQRIEALEERMRASKTRAKALSNRLDAMRSEIERWDKREMEWQM RTNQRLRIFWGIITSVILAALVFIILQHWPSEETSSGFKALPRSLKLTNNPSHIPHPK ENDAFSPSSRSEYAMTLESSNLDGTTSTVQKDPSTSVGADKATRSADYDPLRIFDEL ANIA_11145 MSSAAPAPSTHAAKSIRKNGKNWHDNKKPFRPNGGLTSYTKRAA ARKEQEAIKEYEKELREEREAERQAHIQRIKERRAAKEEKERYEKMAEKMHRKRVERL KKREKRNKLLNS ANIA_08881 MAATESALTIHNDISMELPELAPNQGLEAFKDIVFGSAAGMIGK VIEYPFDTVKVRLQSQPDHLPLRYNGPLDCFRQSFQAEGLRGLYRGISAPMAGAAVEN SCLFFSYRVVQELLQASYYSSTEPLPLTALVFSGAASGSITSLALTPIELIKCKMQVP SEPSSARVGPLKIIVSVFRQDGVLGFWRGQLGTLIRETGGGAAWFGGYEGVSALFRSY HYSPSTTFSSEVESGSLPLYQQMLAGAAAGVSYNFLFYPADTIKSRLQTEDINNTSSK RQTFGGAARALWHQQGLRGLYRGCGITCARSAPSSAFIFTVFEGLRSYFG ANIA_11146 MASLTAEEIELLASKANAAKEAAYCPYSKFRVGAALLTQSGEFF TGANVENASYPVGTCAERVAFGTAVVAGHRRFKAIAVATDIKPPASPCGMCRQFMSEF TTRSFPVYMYDKEMNCSIISMGELLPNSFGPEDFEH ANIA_08880 MIPRWIQLVGCLLLALMLPPSAAKKDKPGISFHKLHEKPYSMFY FEKSDTVLLNLEDGEVLRSFDAGENWEVIEDNGMKHGVTSIHQHPFDEDKAYALGDDG KHWITTDKAKTWKSFKVPDQAYFKSPQTGPLTFHGRDSSKVIFETRPCPSCAPRSYYT TDDFDTIEVLTESAVGCYWAVGNPQFAAGPNMPKGMEERTVCVVPGLKASSRFAFRLV YSDDYFRSQGIETKLQDGRPVSGVTSIAQVKSFIVAAVESQGTTERALYVTTDIEKWH RAEFGDHRLEQDAYTVLESSDYSLQVDVLTNPFSGMGVLFTSNSDGTYFTRNIEHTNR GPRGYVDFEKLAAIQGIVLVNTVRNPDDVEAGASKEVVSQISFDDGRTFQPLKSTDGQ RIHLHSVNSDTNLGRVFSSPAPGLVMGVGNTGDYLGKRSDGHLYVSDDAGLTWRLALK GPHKYEFGDQGAVLVAISEAPKVKKIEYSLDHGKEWKSVDLPHEVDGETSTLTTTPDS TSLKFILLGHSKGEPSVYAIDFEDLHERKCEDEDFDNHWPARLDEHGEPDCLMGQKQF FRRRKANADCFVAEAFNSSMSKFEPCKCTVEDFECQSTRTEDGKDCVPPKSFTPPDGD CINPDDTTMIPSGWRLIPGDVCVRDDGVNLDKDVEIPCKDVNNRPKSKEITSTMKMFS SGLSAYRYLERQVSNLGEDETVLMLSRNQELFVSHDHGQTWQQELKGASIMKIVPHPY NSDTAYILTDSEEVFYTINRGATFGSFRAKTLPDYENGPILRFHPLKRDWLLWVGTEC DSGSCHSNAYFSDDRGDSWKTILRHAKKCEFEYKENRPDSLYLVFCEQYENEDDKKRL QLMSTTDERFSDWETVEENLVEYATRAEYIILASHTDKDNALKARVSVDGTTFADLKF PPNVVPAQNLYTLVDASEHAIFLYVGGSNNTGSLIKSNSNGTTYVLSLDAVNQNDWGY VDFERMQALEGVIIANIVSNVDELSDGAPKRLRTMITHNDGGEWTLLAPPTKDANGKK FPCSVVEGKGTEDCALHLHGYTERADPRDTFSSGSAIGLMMGLGNVGDRLTSKDEADT FLTMDGGITWKSVKKGRYMWEYGDSGSVIVIVSEQKSTKVLHYSTDEGATWQDYYFSD EEIEVIDISTVPSDTSKKFILWGKKSDELVTVNVDFSGLYDRDCEFDDKGGDVSDDYQ LWTPKHPFQEENCLFGHVEQYRRKKPSAECWNNWRGPHLHSIQRNCTCTTADYECDYN YERQNDGTCKLVPGLKPHDPVGYCKAHPDAIEYFEPTGYRRIPQTTCQGGYNLDHQTA KPCPGKQQEYDKKHGISGIGLFFAIVAPIAVAGAVGYYIYTKWDGKFGQIRLGETSTG TQGILSRDSLLVTVPIAIIAGIVAVAKALPLLVTSLWRSASGYMRVGNRSYPRPYASR ASFAARRGDYSSVVEDEDELLGVVDFDGDEEEDS ANIA_08879 MSLHSNAASRSVFPKGPSFTLEDFSSRDYIVKEFIEALSDSAIS SRRSSLGPANGNQPFDPKPLIRTFEHAQRRLGELSGDLEIRENELSAAVRRAEAQHSQ NLNILGRKLKQAIESFQQLDTSFQSAGPGDTALGSGNMAVETGRRLEELDRQRRRALD AHFLLECWDGVSNRGEIILLENLRRSGSGEAKVRSAHIARQLLRISQRLDPKSWNESR STEPYNDGHLAPNSNNMEGTNGFRWNTREIIEKFSETLEKDLLRQFDDFYRKANFEGM KDCATVLQDFNGGASVVALFVNQHQFFIDRSQLVTEEVGGDPESWEKLADPDAEPSGV EPSLQSLVDEVRVVVQEESAIIRRAFPNYEQVLGKFLQRVFQQSIQQRLEMVLEKANS VSSLAFLRSLQSSRSCISTLVDELKAHGLTEHPETISSQTSLILDQQLEDLFVPYFVG SSYIEREKRTLEELYTSLLFKFTTFHGRRKKAATTFMASLSKSGSELLASAREAYINR LDSSEHSPTQKRVLIQVADLKESTDLARPNDLIFTEEDGQPSISYAKRMLKWLAEAVG RGLELNMNNETPKDMATFLNLLLSIMGEGYLEVCLDAALEAAATQESGRTEPDFAFLR AVRDSISIANLMQQGALPFVERWKRRPTWQLQKKNDFRPKEGDSTAWLEKLQTPTCAS LCAFLARVHDVAVSSLPASGANLPQLLSEIALGTRSLLLEHFKRFVVNGPGGLMVTKD MTQYANLLKSWEIDEQIRAPSGMLDVLLEVGSLFVIGPEALRERMRGPGGSSSGKTSS NPGATSARGPGVTSTEAGLSIQDVRAYVFRREDSNTPAMQQMLTNAL ANIA_08878 MVSRRDRELLRDNIRSRGAKLSATDRENIMKPYLPDPSELPRWP PQRRRKLPRKTPIRTFIKSQIHLITYTFLHIFFGIAVRLVQTYHAVLDRILAIIYYHH RTPELIRKDVKDLDRLPGHLSVILSLRKEDDALPILMDEVAELVSWSASAGIPVLSVY EKSGLLKSCIPMLHQVITNKLSSYFGSLQQPTLQLFAPHHPIYKTRPAGDAAKNSLVV LLLSATDGQETLVDLTKTLAEMSQNGKLSPDDITMELVDAEISEITTQPSQDVAPTAN DHKVSKPLQVKPEPDLLLVFGPSLKLNGYPPWHIRLTEMYCTGVNSDGFTGYGDNVEY QGFLRGLWHYAGAQMRFGR ANIA_08877 MDSNVSELVERLGSEEDAVRKMAVFKLQSSIGDPSFADVFIAED GLTRLRYLTLHATGNTLAYSLTSFARLLEVDKGWECVDHELVERVVELIVTHPLVNIL RGAMSILVSIVSHPSSVGRLSQNAVWGFRALKPAIAIYPQFLEMLVNRLSSADHALCA NALQLINSLMRDSITNDSDHEWPKFIQKLQDLGVIKAVYSLMQGTALQDHAHPLIEFQ SLTKILLRKWRDTALDLENPEHRRALKGIHLASSQEKGNETGADMRRSKKHSPEKWRR LGFESESPVAQFEDMGFLGMMDLADYVRNHQDEFQKMLLEQSTKPARQRCPIARASLS VTSILYDHFEVDKCETEDSKTYLILESRSNLDKLFKPLLLHWTRLHVAGLHSFFRLWK STSAELEDYDKIVELVRILIESVVGGAARTKDVQDVEEDLMEFEYSRLRDLQMELLEL TYEDAWGQHLRQVREELQHEAAQFVKEQRIRCLLQGAWFPNENISELEGESGSPKWRY VQLSHNRRILHFGDFESMEMNRLDLDVLPGKSEVPLQPAIFELLAN ANIA_08876 MRNHKTQQLSDTTVCGLLQSASEPNYSHRRWPNSQMPGSAGTAD VDDNEDSIEDDYDSYDDLFTQHFTDEKLSHLDGIKVAPRPQNRAISPIGNAKDQTAKN AKHFFWKSVSPSNNELPNPTPSEKGALPWAQRYAPLNLDELAVHKRKVGDVERWLSDA LEGKTRKNLLILRGPAGSGKTTTISLLSKKLRFDVLEWKTPSTVTYSSKDYVSFGAQF DGFLSRSHIFGSLTLDGHHSSQVPLNNDHASQRRVILIEEFPTMAARNTTVLASFRLS ILRYLSLNGPHGGNTYGREVRVPPIVMVVSETFSSTESSFNNLTTHQLLGRELYNHPD TTIIEFNSIAPTFMHKALNLVLKKSSCQPCGNQTLTQSIIENISKIGDIRNAIASLEF ICLGNGNKGYWSDPTVKTRRTARTRMKNTAVGSEMREEIAQREASLGLFHAVGKIIYN KRSDASDAEHVQLPSPPDHLRDHDRPTVSMVHVNELLDETGTDIQLFIGTLHENYVPS CNGSSFTECLEGCIGFLSDSDMLCYDRKDRSKFQAGLGIGTVKIETGGVDVLRQEEIS YQVAARGLLFSLPYPVRRQLSYARNIKQAGDSHKVFFPPVIRLVRQLQEISNQIDSWK DALLSSTVRPTAVPMKDHTTREFISGKGKSLQGNRDEESPSLVAMLSRSDLILHQLPY LTKIVGKGTMSKNLQQSTGFGAGGSDFTSQHDEDYDFEPSFCEAPGPKSRLEKRIGLS EPQTTLSSQTTDERLVLSDDDIIDDL ANIA_08875 MTMTTEPSARDSFVENDIRLTENGHKLKLRSGHSDPARSGGATT DLGKRRRDADKSIHNMPGIAAVAIQTAFAHWTSIILMISLIFGGCCANVFALEAIVKF ANLMQRSTRFRYSRGQILSVALLTFGVVTSALADAQAKGEAVQFGGSGSSNIYSTFIG FAILALAMLLSAFQGIYADRLLLTQWRTLNSSPSLLYHIRALLNPMGHPTELPASDET AGASNSCAISTSNPAEAFLQLLVTCRVYEGFQPILANALTQYWCIRGVHLLSAKSSSL TVTIVLNIRKLVSLLLSIHLFGNALSKGVVMGAILVFVGGSLYGFEGARLRRAAQKKD ANIA_08874 MAALGENLLVTVNKLQDLVFNTIGNDSLDLPQIVVVGSQSSGKS SVLENIVGRDFLPRGSGIVTRRPLILQLINIPSERHDKPETDEVHVPHTAASVAGQNE WAEFHHQPGRKFDDFALVKQEIEAETARIAGNNKGINRQPINLKIFSPHVLNLTMVDL PGLTKVPIGDQPSDIEKQTRALILEYIAKPNSIILAVSPANVDLVNSESLKLARQVDP MGRRTIGVLTKLDLMDHGTNAMDILSGRVYPLKLGFIGVVNRSQQDIQSGKSLSEALQ AELDFFRHHPAYRNMANRCGTQFLAKTLNSTLMSHIRDRLPDIKARLNTLMGQTQQEL ASYGNKQFSGKEHRGSLILQLMTRFASSFISSIDGTSSEISTKELCGGARIYYIFNSV FGNSLDTIDPTQNLSISDIRTAIRNSTGPRPSLFVPELAFDLLVKPQIKLLEPPSQRC VELVYEELIKICHTCGSQELLRFPRLQAKLIEVVSDLLRERLGPCSSYVESLISIQRA YINTNHPNFLGAAAAMSSIIQSKQDQERKAALAEERRKREKRRIKELGGPNGNAPTHP EDEEEQPETKNLPIRTQSSKGTRSMSPHIGKISDTGITATLNGTHSNPPTAFGGANTT RDSFLNYFFGKDGAQPQLTTSASPNQNQTRQSIHEVNVSSNARRTEMLSPVDDYTTVP EYNEASSVLKDDSEPAISERELMETELIRRLISSYFTIVRETIADQVPKAIMHLLVNH SKDVVQNRLVSELYKEEFFAELLYEDDGIKAEREKCERLLETYKAAAKIVGEVL ANIA_11147 MVDKDWWLGSNAQGERGLFPSNYVELLEDGRQSRAESDTRPADA HESEALADPTPTSGSHVKPTAKALYDYEAAEDNELSFPEGAEIINIEFPDDDWWLGEF RGKTGLFPANYVQLDD ANIA_11139 MTNAFGKALRHWVSPRSGQLNRATVWTSVTYFQTDSFEQIQTLE TCSTSVFFTEEDLDCQHGISQPIGQWTFDIEELSVSGRLCNNGFCKEFFNIRQMGIVL CFNAPEGELADLIDEFSEGKIQFAFVKVIDTNTGLPKSVLIAWCGEGVPERTKGYFTS HLSTVSKFLHGYHVQITARSEADLTVEGIIQKVGDASGAKYTQGLEKPNASVPKPPVS SKPVFTPLRTGGINVNAAAAAPLPLRAKKNDDDGWGPDAPPVTRTNLEKVEPAYKPTR VNIQELKSEKQNEPTVNPSLTTGHQPDGVIKGGYQPIGKVDIAAIRRQAREAGNLTDD RPEPVKGAYQPVGKVDIAAIRARAQKPDETDTSSKGSSARPDGSSGPTTDLDSTESSR LTSLPKPKVTNKFASSTSFTGTKPPLPGGNALSATPVPPIGSASRTFADQGGKTPAQL WAEKKAKERSQEPAAAEESTKPAVANNVQSQLSGVREWKSSYTGRTWAPVQTTHTGKS VENNRTEVAGSFATGMPTPEAITAQQSEATSSAATCPADPNT ANIA_08872 MNLNSDGDTVTSELNAICRKIRDLLGLRANYIQLSLQTLEDNPI NRPEWRIYPPPPEPAWGDDKETARMNEDPGTDQRRRKMGENIGEDFHLEECMPLPGES DWVFKLDESSVYQVYKNSSDVDREEPVVKIPSLRDFYMDLDAVIDVSTDGPAKSFSFK RLSYLEGKFQLYSLLNEYQEIADSKKVPHRDFYNVRKVDTHVHHSACMNQKHLLRFIK SKMKKSPDEVVLFRDGKHLTLREVFESINLTAYDLSIDTLDMHAHTDSFHRFDKFNLK YNPVGESRLR ANIA_08871 MSQAASTTSRKPRRFLPEPIETSSRSSKNRQDNELTTQCLHQMQ TSFRTSEIRSTSCKNEDSGRSSHPPPQQTCLSPCLTTDHDAHRFTATMHKTPFTQVIG GNQQGNAKRYTPQLMETVRHSFRPGKKPAKLFSSAGLGTQSSRDAIEAANRMEADHGA VQESRFSYSSLLRRQETRRHSFRVPDLPAIPSSGSDESNESDSPQVSSETIATARGTF SDDTARPRNGREMSFIEYILPFPRHPSENQLKEQALAAFPNEQVYQQVDHFAIDRDEE EPVNEDIIKIRDPELEFRTRRRASSADLPSELEYLRKHKEEAGMNRRHYFTTRGACFS TCAVYQTSRKSGKPANHDDGWDPNSPFARLRQAASPPMLGGDLIFPQSLTPKTTICEH PNSVGSQGHRDHSLGSGLWSACPCSSAQYDIGGLWNGTCKSDRYSTHGVENLSREAIT PKKDVINNNSGNLDASSKASNLRHRKRQNAVQSPTNESADSERIDQEFNDDFVTQIYD YLSLGYPSIARYFDHELSRVSGLPIAALRADDLNMDAKGYVGVNDITNKGVANRVCMR WTALKLYIHEWARQHPRMLEAGHYHETWGVSERKGSWAV ANIA_09418 MAQRSDVAVHGRKRPAEEELDDQPLTKKFGRLQIEQELAETEIL DGAFTILPGIEDRLSLTNILVANDSKRPCTDVVLYREPESLSIPKDKDQVRRALIETR ERARLSQQKLRSSPGQEKNDAACTDQNLTHKEECQQRANSDDDDAMDIDVDGY ANIA_09419 MASNLQSQPKWTSKLVRDTFLQYFQGKGHTFVASSPVAPLSDPT LLFTNAGMNQFKSIFLGTVNPNSDFAQLKSAVNSQKCIRAGGKHNDLDDVGKDSYHHT FFEMLGNWSFGDYFKKEAIQYSWELLTQVYGLDPGRLYVTYFEGNKEGGLQPDLEAKA LWKAVGVPEDHILPGNMKDNFWEMGDQGPCGPCSEIHYDRIGGRNAASLVNQDDPNVL EIWNNVFIQYNRENDGSLRSLPNKHVDTGMGFERLVSVLQDKSSNYDTDVFGPIFQTI QVITGAREYQGRFGTDDSDGIDTAYRVVADHVRTLMFAISDGVVPNNEGRGYVIRRVL RRGARYARKYFNVEIGSFFSKIVPTVVEQLGDMFPELKQKQQDVIEILNEEEISFAKT LDRGERQFEQYAQQAKTAGDHKLHGADVWRLYDTFGFPVDLTRIMAEERGLEIDDRQF EEARHKAKEASKGQKKTTTGSVKLDVHDLGKLEKMNGVPKTDDSAKFEIGNVTAQIKA IYHGKTFHSSTQNLDDSEQIGIILDRTNFYAEQGGQENDTGRIVIDGQAELEVVDVQQ YAGYVLHTGYMKYGSFKVDDTVICEYDELRRWPIRNNHTGTHILNFALRGVLGDGIEQ KGSLVSAEKLRFDFSHKSPVTDVELVKIEDLSTQYIRRNCLVYSKEVPLSIARNIAGV RAVFGETYPDPVRVVSVGAELDEILKNVEDPRWADFSIEFCGGTHVQKTGDIKDLVIL EESGIAKGIRRIIAVTGEDAHEVQRLAEEFEKRLNHLEAMPSGSAKEQNAKQIQVELN QLSISAVQKSRFRERFTQINKKIIDEQKAQQKLESKKAVESITSYFAAPENANKSWLI AKLPISANAKAVSECLTYVKTKLADKMVYVLASSLEDGRVAHGCYVPKAISDQGASAS EWSSAVSRAVGGKAGGKGPTSIGNGINPEKVDDAVDLASEYLSKFKL ANIA_09420 MSNTGSAVPQTTSSNPIVFFDVALGGEPLGRLKLELFADVTPRT AENFRQFCTGESKNQQGRPQGYKGSKFHRVIKDFMIQGGDFVNGDGTGSCTIYGTPKF SDENFTLRHDRPGLLSMAVSMPIFLSGISDS ANIA_09421 MSSTTSSSPAPTDNGSGDNGGSSPTSSPLLFFVALGFGVVFTNL WIIVGVKYCFRYNQRNRQIRGGDNGEPIDLVAMPRTHRRRREKKLMTMDEVNERFPLT KYKVWRSSRANAGLSTAGGITAAHTENALDAEDDQQSLPEGATSLVTAPDAKSHQRLN SATSQQPTKPVDDGDMAPESEEKSIKGFSRTNNASPRVSSDRINPVVRDHDYHTVEDI IPTTVLTDLDTSPGDSCAICLDLIEDDDDIRGLSCGHAFHASCVDPWLTSRRASCPLC KADYYTPKPRPDASDLPSTSGRRAASPNHPQAVLIRGRVNPFRAQTLYGQASQSAPDT VSGTSRPIGHRLWAVRTTPTIEEGRSRNDTSIPRLSSWLSNFSNRFRVRNQDSTTVPG NSHLNAPRTPSQLEAGQTR ANIA_09422 MRSVFSFLTTIGAISVGATALEASVITFGSVTQKQGLKSPDISS ATLLQLLELRTKSPTTSSLQSSDEENLEFLNRLAGPPTRLFGAPAADGGLDTLLVVLE GLTDEIGRSIQAEYQDELLITEFVTGSAEDTFLDYILEARLEGIVSPESKRCSLTSDS NEGFVMSCLPANFNLTLGSGFLGQITSGESWIDNRKELVVMHIAFKGSTAYINDLKAF LSGLRSLSLNGRRVTAVALPDLNASQKLSRTRRAPEHTALDTTFSWDRDERLMIADQH AQASLSLGPVCYASNSSCNDATDTCSGHGACYEKSGGCYACLCHDTYVKTASGTERKI RWGGSACQKRDISSPFFLIMGVTVAVLLAVISAIAMIFGIGNDELPGVISAGVGTVRA QK ANIA_09423 MPPSRPLLYSHQSVLQIHPSRRYFSHGPNVRYVKVIKRPWSRGF IANILLCGIAAYFWSSFVPARKDNTSSNTASQTTVDSHSAPETTSRDRIEAVFIPTGW SHLEEGRLYAATDPEWKEFVKISQSPEKLQSLKGNLLSERACLSDSYMDFKTLDKLGG ASQSESPSTHLQPSSILATLRWLPLPKLGPGSDLHDATQVFKKRIDECQRSDSRAYRQ GIFFIRGPVGLKGSQGSCRIQVEGEYDPVASQWVSVSMHLNDLAFLSQKPLGRK ANIA_09424 MDIDPSRRNKKPRPLLESERERLDEFIDSIHYSARYSDDQFEYR HVQLPKNMLKKIPADYFDSSKGTLKLLWEEEWRALGITQSLGWEHYEVHEPEPHILLF NVYTGVDSPDTEEEPSRRAAIQCTLECSRQFKTPCNEFDKWNMV ANIA_11227 MSDEVYEGAIGIDLGTTYSCVANYEGTNVEIIANEQGSYTTPSF VSFTDKERLIGEAAKNQAAMNPQNTIFDIKRLIGRRYEDPIVKKDVESWPFKVVDQGG NPAVEVEYLGETKTFTPQEISSMVLMKMKEVAETKLGKKVEKAVITVPAYFNDNQRQA TKDAGAIAGLNVLRIINEPTAAAIAYGLGSGKSEKERNVLIYDLGGGTFDVSLLNIQG GVFTVKATAGDTHLGGQDFDTNLLEHFKKEFQKKTGKDLSGDSRALRRLRTACERAKR TLSNATQTSVEIDSLFDGEDFNTSITRARFEDLNAKSFSGTLEPVQQVLKDSGLAKNQ VDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKVSFLCHC ANIA_10202 MQAGDTHLGGQDFDTNLLEHFKKEFQKKTGKDLSGDSRALRRLR TACERAKRTLSNATQTSVEIDSLFDGEDFNTSITRARFEDLNAKSFSGTLEPVQQVLK DSGLAKNQVDEIVLVGGSTRIPRIQKLLSDFFDGKKLEKSINPDEAVAYGAAVQAGIL SGKATSAETQDLLLLDVVPLSLGVAMEGNIFAPVVPRGQTVPTIKKRTFTTVVDNQTT VQFPVYQGERTNCADNTSLGEFTLAPIPPMRAGEAALECVFEVDVNGILKVTATEKSS GRSANITISNAVGKLSTTEIEQMIDDAAKFKTSDEAFTKRFESRQQLESYISRVEEII SDPTMSMKLKRGNREKIESALSDAMAQLEIEDSTPEDLKKKELALKRLITKAMATR ANIA_10205 MRPIAALPQFLKTSRIRAVRGIELQGYAFRRSFTSSPALNKSKK RDTLKQTDAGAKGQATIASDDPSDLSQLELGIATAVSRLKDELTKLRTGGRLCPETIE SLRVQLSKGTKETARLGDLAQVVPKGGRMVAVLVSEESYMKPISSAILASNLSLTPQQ DPHNALQLNIPIPPPTKESRDQTVLAAKAAMERAASNVRETRGIVHKRLQEMIKKKIA RPDDARKAQDKMEKLTEKGQKEVKDLFEVAKKAMERT ANIA_01405 MLQSRAMILHPWRLRRVVPSFSSIRQLSTSGALGADSSPADESK LRVSTATPRKNEPKKLKIPPVLLKLNNSNVDRLRPRRIIDARSLAASKSSGGLPTNVL RGPRRLGAQGGTSLRGRKPFNAKPAPRAPRRARQRDSAGEDENPSLTAELEDVYRELA EKTKPTATRYEPQAPSLQSLSETWPSLPTDVTATAAGVAEKLSLLSERYPNGYVPPYT LGKRLIEGKYVRFTSEAERIEALEAAKKFAQEAADKLSQEKGELIEPQAVKFQGAKGG EYKTLIESLAQGKYPTVETVSTDKPPVVGEILRNIRNNESYQAPGKKAQFMAKLETLL VPNRAVKRP ANIA_10206 MIRRKPTAIAITSDDLTMFEEERLRKLEPRNSGHDPAQNGTRVN FDPSDELKPLPGDKARIVRSREERIGIGQRS ANIA_01406 MQGYSYMPPTGPPREGQRSIYYVFVDEHNRHKRLKVMRACNGCR KRKIKCDAATTNIWPCSACNRLKLVCVPPTIGQESDFSSGPSVEPYSINTINTLNVPE SSHLTAFQTYRDGVAPHGQAANQYNDGVGSFSQYAPGAVSTQSSLYGIRSPLEAVSQD SYQAHQLFSVSQARSIGPNDGIEYGDHEHSTAENLSEVLGELRIDETGIAPYIRQQRT DRTEPEIPIQDEVEECLPPLRTGSGSKIRIPPELMPSDDEVMNYFKIYFDDIHPYVPV VHRAHLYHQWQHDRNSISPLLLEALFACAGRLSDDPAQGAQWLALATRHESSFMDVPR LSTIQALLLLLKARESLPKKGYYYRSWQTVKTIISMAKDLDIHEHYSTHLEEKTCNLS PVECLVQTRVWQALLVVEVMIGAPQGRSDYGVDPQTVDMQPELDVENMDQFEIDRSRQ YAYFVRNAHHIRILTDVYHKIKRQKDWGSNSKFVENNPLFADWLQSLPPDLQVNYPPD GSPPWIPSHFVGNMHSHCHLGIVLCHRPQLIASKFPASGNWKTHMSLCYSSAKCLCRL QEAILARFGLSGLLYMQRGINFTIYCILTCTMLHLVAITSPDPEFHTDAREYFTRHMR ILERCSSAWPMPEIQAQIDSLRLAFSANINRPFELKATFPYGSPSEPYQPSPTLETQY NPQPNQISNLQERAAFHPYPITPPISAGTEDSKAGTPQLHPPALLSTHSVHAEGPLVD ESSWDPTRIINQWDMAFSFSPAVNSNSPPMAIPGTSEGLQPTIADQYTLQYQQPAKLP VTQASAVPQPQMSGQPVVFTARDWQQSVASVYDPHGLKRRWNYSVDMEMENNTKRPR ANIA_01407 MASEMQNSNPTIINTADLPTRLRPSASPKSSTYGSEFFASALPS LTVDALSDYSPSTSESENDDDLMEEPIDEQEIYDLVSTISDPEHPISLGALAVVSLPD ISIKPALPDVPDSPLRTVTVLITPTITHCSLATVIGLGVRVRLEQSLPPRFRVNVQIK EGTHSTADEVNKQLADKERVAAALENGTLMGVIAKMLETCL ANIA_01408 MDDLYDEFGNYIGEAAESDEEFQHEAAKPQAFDYDEAFGADELE EPAQQELMEVDEGPSNAVILHEDKQYYPSAQQVYGEDVETLVQEEDAQPLSEPIIAPV TQKKFAIEESELPPVFFSREFMTDLLNFPDQIRNIALVGHLHHGKTAFMDMLVMQTHD LAERLEKRTGRKRDEQLRYTDVHHLERERGLSIKSAPMSFVLQSSKGKSHLFNIIDTP GHVNFVDEVAASSRLVDGVVLVVDVVEGVQANTEQIIRHAVLEDLPLTLVVNKMDRLI LELKLPPNDAYFKLKHVIEEVNTMIENVMPGHGEKRRLSPEKGNVAFACASMKWCFTL QSFAKMYADTYPNIETADFAIRLWGDIFFNPRSRKFTRKGAEDNSKRTFVKFVLEPIY KLYSHTLSESPDDLKGTLASVGISLKPSQLRSDAKVLLDLVCEQFFGPAEGFVDMVLQ HIPSPVEGAKRVLERYYTGPLDTKIAAAMANCDPDGPLVVHVTKLLANTDASRFHALG KILSGTARPGLQTRVLGEGYTPDDEEDMVNATISDTWIAETRYNIPTSGVTAGNLVLL GGVDNSIVKTATVVATKFEDNEEAHIFRPIRHMTESVFKVAVEPVNPSELPKMLEGLR KVNKSYPLISTKVEESGEHIVLGTGELYMDCVLHDLRRLFSEMEIKVSDPVTRFCETV VETSAIMCYSITPNKLNKITMIAEPLDDGIAEDIETGKVSIKDPIRKVARFFEEKYDW DKLAARSIWAFGPDELGPNILQDDTLPSQVDKKLLGSVRDSITQGFSWGTREGPLCEE PIRNAKFRLTDVSLADQAIYRGGGQIIPTARRAVYSSFLMASPRLMEPLYSCSMTGPA DAVASVYTVLSRRRGHVLSDGPIAGTPLYSVRGLIPVIDSFGFETDLRIHTQGQAAVS LVFDKWSVVPGDPLDRDVKLKPLEMAPAMATARDFVLKTRRRKGLAEDVTVSKFLEPE LWKGLKESGVLDS ANIA_01409 MASLPPVYIVSYARTPVGSFLGSLSSQTAPQLGSHAIKAALERA DGIKPSDVQEVFFGNVLSANVGQNPARQCALGAGLETSTVCTTVNKVCASGLKAVILG AQTIMTGNADVVVAGGTESMSNTPHYLPNLRTGAKYGNQTMVDGIVKDGLTDVGKQEL MGLQAEECAQDHGFNRQQQDDYAIRSYEKAQAAQAAGLFNDEIAPIDLPGFRGKPGVT VTQDDEPKNLNPDKLRAMKPAFIPGTGTVTAPNSSPLNDGAAAVVLVSEAKLKELNLK PVAKILGWGEAAQQPSKFTTAPALAIPRALKHAGVSQDAVDAFEINEAFSVVALANLK LLGLSEDKVNIHGGAVAIGHPLGASGARILTTLLGVLKARKGKVGCAGICNGGGGASA LVVEYIA ANIA_10203 MALFLILVLSTLACSFPILARRFPRLPIPRRFLFLSRHFGTGVL IATAFVHLLPTAFVSLTDPCLPQFWSETYRAMPGFVAMISVFGVVLVEMFFAMKGAGH VHGSEYDQLISEANANGDRDSDYSRLEASESVDDIHLSAMRESSASMNMPRNSTEESS LDRSSTNFIKNGALPALGEGRNPVVRANLSSRYPAQVSSGPNMDDNTDPKMTLQNPHR QLLQCLLLEAGILFHSIFIGMAVSVATGTSFIVLLVAICFHQTFEGFALGSRIASLIP DLFPPSSMKPWLMSLAYGTTTPIGQAIGLVLHNFYDPASATGLLMVGITNAISSGLLL FAGLVELLAEDFLSEESYVILRGRRRLEACIAVAAGALLMAFVGAFA ANIA_10190 MSCDICTRTQASAFLCATCARNRLYQLRFDSASVILEREYLGQQ IEAAINADNEHEKCLSGRGNNSAELEEGRPRLWTIQRIKNEQSRSAARIEIVANHIKS LQSRIKDKSLDISQRKIKLTRQRSDSESALYQLSERESGMLSGIQNIIKRTDHLWHAN HTKTAEARIFLCREAASLYGLRQNVRKNNNGMKETYTIGGVGILDLREMNGKSLPYSG VKQFVNTHTGAAPDHISTSFSYIAHILVLVSNYLSLRLPAEITLPHKDYPLPTIYTPS ASYLPQELRAVPFSDQLPSSRPTSRTALAHASFPRPRPLCIDKSLPKLAKEDPGTYAL FIEGVTLLAWNVSWLCRSQGLNLGSDSWEDVCDIGKNLWQLLVAPPGQSSALIATRKG SYQTRAHKDFPRTMIQRTKSFPMLGHYSHGTAHSFLGASEGTEFVRNWKLPSPTKVVD GLKSTLLGEMASAEWELLEENEWDDSRQPVSHESSHGSDLPHDDQSSYTSDTITLAPK LGAPDAPAIAARSKGTSGWTKLRHR ANIA_01411 MASGDKTPSRLPGPKSGIARSKPMLREQSVTSGDEESQLKDSIK DFDWSQLESVYIQMMEQHEKSEEELRNHITRLLQTTVVHDESRAVKRLVVSFRRFRTQ MHHVQNSEESLEKKRRHYMDVVNAFERALALLNDRAKP ANIA_01412 MILLQTSLIWVVYAVVVVVLFAVASVFIYVYQSPRDRSPSVTLT CIVAITSLLATILLLPVDVALVSSTVSSSVGQRKDWATPEVVDRITFSLTLVYYILYS LDILLCLLVVPFVYFWYEEYDEVAAQTGEQSSGQRFWGASKYTLSFIAILIILFLVGV FVPISRANGGNGLDPFKSLLGENREDPHIETRSQVSANCWFAGGERALTFALGLLITI GMYVYALHTSTGLAVLPIRLIKGGPRLSNAGSWNATFTVQLDSNRERRRQLEGRCGGN FDHLSSKDRRELDALIREERTLIRRQRLAQEADGKGNALLPRMWFRLEAFFRPFRRLV GLILLLLVLLIWVSMILTASDKAKNSICKRHCGYVLGHINIFNPINEIFVQSAKLFPI DYAIFTMLVLLLFFGTVVGIATVGIRFLWISIFRIRLGHTSPQALLVMTAILMLSILA LNYSISMIVAPQYATFGPQTFCDRLSGSSVLPELQCVVKRCSEAFGSDAAKKVCTPSV ASTVLNRVTVSFPFFGAIFFWSQFAFIGVYLLALITSLLRSPKLDEQQLDEDAEEAEE EALLSGSRRNMDDRWQSIVGRASRSEDT ANIA_01413 MQCYTELISPTGVTHALAVPFLSATANNLIVARTSLLQIFSLRD VSLSALDTEVRPAQHRQETCKLVLEREYQLPGTVTDICRVKILKTKSGGDAVLVAFRD AKLSLVEWDPERYGLSTISIHYYERDDMTRSPWASDLSTCGSILSADPGSRCAIFQFG ARSLAIIPFHQPGDDLVMDDFGSEPDYENRVEGNSRSHEAKDKDAAEYQTPYASSFVL PLTALDPSVIHPISLAFLYEYREPTFGILYSQVATSHALLHERKDVVFYTVITLDLEQ RASTTLLSVTRLPSDLFKVVALPPPVGGSLLIGSNELVHIDQAGKTNAVGVNEFSRQA SSFSMTDQSDLALRLENCVVERFSDDNGDLLLALSTGVFALVSFKLDGRSVSGISVRP LSGPSKEFLASTASSSAFLGNGKVFFGSESADSVLLGWSSASSATKKSFSGSTSNDES EDDAYEDDLYSSAPAAMTDNPQNQPSNSSVAAFGDLRIHDRLSSPGPIRDIVLGRSSE ASSRDTKDGVLELVAAQGSDEGGTMVIMKREVDPYLVASMAADTANSLWTVSLLPDNN DQKRDYVILSKQEKPDKEESEVFVLEDKLRPITAPEFNPNHELTVEIGTLASKSRVIQ VLRNEVRSYDAVWDEDDSDERVAVNATLVDPYLAIIRDDSTLLLLQADDSGDLDEVTL SEDVVSQKWLSACFYSDNAGFFTAPFASILFLLNQDHQLYVYRLPDFAVISVIEGVGC LPPILSTEPPKRSTTRENVLQIAVVELGDSYSSLPFLILRTENDDLVVYKPFFTNSKE LTGLRFLKEANHTLPKTPNTTDELQSEMKPLRILPNIAGCSSIFMPGPSAGFIFRAST TSPHFIRLRGGFIKGLGCFDSPDKGFAYLDSHGLHLAKLPEGTQLGYPWIMRTVPIGQ QIDKLTYVSASDTYVLGTCQRCEFRLPEDDELHPEWRNEEISFLPEVNQSSLKVVSPK TWSVIDSYPLEPAEHIMVMKTMSLEVSENTHERRDMIVVGTSLARGEDIPSRGCIYVF EVIEVVPDPEQPETNRRLKLIGKEPVKGAVTALSEIGGQGFLIAAQGQKSMVRGLKED GSLLPVAFMDMQCFVSVIKELKGTGMCIFGDAVKGLWFAGYSEEPYKMSLFAKDLDYL EVLAADFLPDGNKLFIVVADSDCNLYVLQYDPEDPNSSNGDKLLNRSKFHTGNFASTV TLLPRTLVSSERAMSGSDKMDIDNTAPLHQVLVTSHNGSIGLVTCVPEESYRRLSALQ SQLTNTLEHPCGLNPRAYRAVESDASAGRGMLDSNLLLQYLDMSKQRKAEIAGRVGAT EWEIRADLEAISGGGLGYL ANIA_01414 MEGFDTMALPYLASPLSLGNMQGTDYLNALQGMDLPDQRSNFDS ETFVSGDDLTFAHLSPQTLKRFSSGYEDSFPEMVTSFDPPPPAEPPADSSIDHNNKLL SFSMPVYPWTLLDYSFRRASISISAQLHGMFFLAESPWTTSPTENAPPQQGAELTCYR RNLFQITGSVTLPRALRYIITDTGDRIPIVAHELTVSATESVEGNSVKIISVPWKTPA ANDAGKDTGNSSNTAAKVEKEPPAIPLDMLTGQDLDADYATFPIAWKRLQFRVATANN GRRKELQQHFVVRLRVVATLSTGMKTPICEVHSGPVIVRGRSPRNFQSRKDLPLSGSA AASRKNAQAAAASNNLTRTSPSLTDKAKTVVKSSSPETSSNGVPQQSPPNWALATNST LPPPTTTTLPHSSVYSQSSPEFSRPVEAHRRTTSAIAAPINLSLLDDDSLNLSNGDSR PHTSFSNDLASKSLSVDSGRPVKMRKVSHSMPQAQSRSTSATFLNTANFQQMLPVPFT SESADVLYEYFPLGLEDWQGPVDAVYRPHVVHHTNMPQMKYITARGQSKRYFAAEDSY SLVEAICPSGWTYSRIISRTMI ANIA_01415 MPQKDARRQWKRRMRRGLSLPEGPAALSDREKAALDPDKLVDHF SFRGAKRGQALAKESQHSSILHLLHRQPTSAARFNLCRRFQRNSPEEPEGKLIRLTAD GSTPGDDPIGRLFLVPHSSQSLDGHSRLPGVH ANIA_01416 MAPKEDALPPGWDDLDRQMGQLFMMGFDGTTVSPQIRSLIQKYH IGSVLLTAKNLKSAEDATRLILELQTIARDAGHPVPLLIALDQENGGVNSLYDEIYIR QFPSAMGIAATGSKDLAHDIAFATAQELKAVGFNWILGPVLDVLTNVRNQLMGVRTCG DDPQEVSQYGVEFMKGYQQAGLSTCGKHFPSYGNLEFLGSQTDVPIITESLEQLSLTA LVPFRNAIMNGLDAMMVGGVSMSSAGVNVMHACLSEQVVDDLLRKDMKFDGVVVSECL EMEALTHNIGVGGGTVMAKNAGCDIILLCRSFQVQQEAINGMKLGVENGIINRTRIEE SLRRVLAMKGRCTSWEQALNPGGLPSLTQMQPSHTSLSTRAYSNSISVVRDNRNLLPL TNVLSSNEELLLLTPLVNPLPASAVSRSVTEHLELSADAVAWDRTASVLSGESVFKEL GRSLSRHRNGRVLHTSYTSNGVRPIHESLIDRASAVIVITADAVRNIYQQGFTKHVSM ICKSQLTPSGEPLDKPLVVVAVSSPYDFAMDASIGTYLCTYDFTDTALETLVKVLYGE LTPTGSLPGSFNRSQKLHQARQHWLVENWNEERDSDALDALLKTMGPELSGVTPSSFL LRRDDIDEAHFVVRNSTTRALYGFCSTYYFRATGTGVIGSLIVDPSRRRLSIGNSLHN RAIRTLMQRKGMKRFQLGSRLPGIYLGIPAANPVERKKRRQWFANLGWNTALSRPVCN VALRNLQTWSPPEGLVNSLQSADAVYDLVHGWDYADSIIDHVKTNSRQGVIDIYKIAL GGAPHCGIIRARRPHDGAILGSVVIYNMQATLAEHMPATKAMHVPTGGISSPVIWPSV GEYATLLQGLILLGIKQIRRQGADAVVIDCVDVDSNFDWLTEIGFTTLHSYEEVNCDA ATWTMVPGP ANIA_01417 MVNRPIDVVANSFVNPWLRCTRHPGSAFPVERDTTLSYYWLPAL EAHEARSFKIAGRDDDDTAECFFGPLKDHYWILSSPNCMTTHINTGLARMMRASLGIC RWDGTSARSHPQCNPGCEVVFAPSLMTDQQEVRTRDSGRVMLKLQADDNRATEVIFSR NRFWILQRQSIRQWSKAVTKLTTFTETVNSVNGAWTEDSYQVVKLIGVRTRSSGANQL ALWR ANIA_01418 MRVIIRDTSLQASEYIADYIISRIKAYKPSESNPFVLGLPTGSS PEIIYKTLVRRYKAGDISFRHVVTFNMDEYVGLPRDHPESYHSFMYKHFFSHVDIPPQ NINILDGNATDLAAECASFEARIARYGGIELFLGGVGSDGHIAFNEPGSSLNSRTRVK TLAYDTILANSRFFNNDMAQVPRMALTVGIRTIMEAREVVIVATGAHKALAVKEGLEG GVNHMWTISSLQLHQHPLIVCDRDATLELKVKTVRYFESIEQAGTDARTQGPPLVYRP RTYVPAPMTVNKSNGELTPIATPEKTSKDLRINTDLTRSFEDDELTPDSMSSRMVDSA VGGLDATLKGDLMFDRMGARMEAH ANIA_11301 MDICSRVLRKRNQASRTAPADTVPVRVNDLQLQIVPLPRRTSRA QLTTSNKRRNFTTG ANIA_01419 MSRLLWMASDIQTQNEDACLGIHQLLPSTQCRASLSRVYFPVFK KRENLTAHYQGAEAISILGRLREANIQKLDGDNNGSAIPTGAVMTKLLTLSSYGLDIV LFQLEPRQHQSRISTDCGVRLINGKVAKSV ANIA_01420 MGNSQTKETRPSHSQSSRRSHQWGSGSSHGRSPYGDRHNSEGSR SHRSSRPDLSILGLGGSSDRDVATILEHRRETKQEREARRLEKERAARIKERERSMRE EHVDGGYLVTQGVYTGTEDFNKAIVRQLMIERRLAPFWRGLNDFSDSWTEHQLMAAAR GLPIPPPDEIPPELEYRNPPKVVEEAKEASNIQSVQHLMVPITSRSASNGSDVSHSSQ PAHSLPSPSSPIASGTSSSPLFRSRAKTLASLTTSRHNSQVDSTPQEIQLPRDPFVNG QPIEAYLYKDAIECPICFLYYPPYLNRTRCCDQPICSECFVQIKRPDPHPPEHADSDS NAPNPAGETERQDVQDIQLVSEPAACPFCVQPEFGVAYVPPPFRRGLAYASDSSGRPN IGTPVSSTSSLSSATTPTTGRRRATSLSATDPSVITTDKVRPDWAQKLANARAHAARR SAAATALHTAAYLMNSNGSGGDTRGFSMRRGVMRRNNGGQDSPGTPGRSGSPALQAFA FLTDRRAPSGQETDSAEEGTSNLAPPRNSSRRSRMDDLEEMMMMEAIRLSLASEEERR KREEKELRKEAKRREKEAKKAEKMARKAGLYSNNASSSALESPSDSRLPKVTSSSSSI IGEERTPPGKGKAVERVTPSQSNVDLTETASSGDVPSSFLEPQQPQSSSSLGPPVPKE PSKPSHLRHVSSASSSFSSLVESMSEEPGLSAQPHEGTSSSAEPLFNFRSLAAVIGDE DKSDEAAEHVEDTAPHTTSEGSTSSAANLTTAPAGESAVSTSSTAVEKGPTVEESQEC SVNKEIETRSMEVTDSRNSETTS ANIA_01421 MTSESSATTRLHITPLNPELIPSVLPASVRSLASDISFHGIPTF PENNYGYVTLPKMEAEKLKKKLNGSILKGRKFKVEPARPQKRQAEEGDEQNFTSTDNP SVTVKSKKRKAEENVLSGYELPSDRKVKRGWTESTTDKTERRKKEKRSKDKQEKKAKT QLKSKYTEKPECLFRTKVPPNKSALAEEKSKKQPKKKKTSPESVVHEFAQTVTHPTFL RSEDGGNALICTFEEGKGWVDESGNLKEPASERVRKHQYRPGQIAGHKEKPKKIKVKS APETDSAKTSSSRNAEVKETESTESTESTESEDWTSSSGSSSESDITDSESDDTASSS LPESGESGNFELSSSRNEQQQAATHVSSEEEPEGSAKSGVLPKGNSTEATSQQGSTEV HPLEALFKKPADQQKLDTEPPVQFSFFGQGDADSDAGFEEELSSNVAPLTPFTKRDLL DRGLRSAAPTPDTSQVSKIINWNTPKISSNAVGEDYSFTDSPMPKSGTAATEESDFSK WFWENRGDNNRAWKKRRRDAAKEQRQRENRRKGMKGKS ANIA_01422 MSRRNFIWHFLLLFIALLSFASSALASSPASFCKCTCFSNSTII PLDPDKGDSSLHGTLGLFSRNNYIDNQDEKRAGNYRSLSCNDCNRKFCLGYDLPTCKG AKEDDVLTTCFQRDSRKDEAIVFIFIIATGGLLLWAVFRPWVQKWMEAARERRTYIPV SDSPRL ANIA_01423 MVKDFHMGEGSDASFYAGILVATFSLVEAFTGMFWGALSDRVGR KPVIISGLAGTIVSLLIVGFAPNYWVALLGRGLGGLLNGNIGVIQTMVGEIVKRPEHE RPAIGGLLAKPADNYPSVFSRDGIFGRFPYLLPNVVCSTLLLGSILFAWAFLQETHPD MQPSIGSGELDHPSAEHPLLATAGATANPGVDLRAESYGTFNQVHVQDDEYWRVQENG SKTEPDLQKPTAFTRPVILLIIALAIFTYHSMTYDHLLPIFLQDQRGDPHLNGSTFSF PGGLGLSTGTVGLIVSSDGIIALIIQSLIFPALAHWLGVWKLFIIVTVLHPVAYFMVP FLVFLPQQHLLFGIYTCLVTRNILSIIDFPVLLILIKQASPSDTCLGKINGLAASAGA AARTVAPPIAGYLYSVGAQIKFTALAWWGSAFVAVIGALQLVLMAQKKHSLITIEPAA HCHFAPDRPHGRKESIHIVVTDTDEVVNGA ANIA_01424 MNLSIRQSFGYHNDDFKTRDDQIGEEDALSFNDLQSSPFRPDGR DDTVDMQMLQKYQTSHDMSGLSTTPRKRSYDQAPDVHDLERDEIERHKRSVGRKDIPD INIYVDEDSRISYDQSAHDVTKQDMENSNMKEKQHEGMSTVLNEEHEDISSRDQNDFD DDASAVMVDDETHDLMDDTCLSTFSAVPNVDMTAFANLPGGSPFKTPRPLPEFPAENK DTRRGSMEPATPVTAKRSPSKNILLDMSSPAGLPTPGKRQRDDPTPSETPNLLDLTDS IDLFPRRQRYIMQQQGRYSPSRRSPLRNPRSPAKTSLLDFDIPAAPTPRSIPTVTPRE LESLKSGFLSEISSLKATLSGKEAEVSSLKKAVSDAERRVGEALEEVRIEAARKETLE IEQAEWQRRGREMEDVLRSVRADILEGEQERERLQKKNEEAERGKEQLQRRIVELETQ LSAAQKSAVCEHTTSETAAPSKTAEETAKEIQDAVEKVARDLHSLYKEKHETKVAALK KSYEARWEKRLREAEKKLKAANDECEQLKAERDAALQESARPDASMISRENEQHEAAK HVFEAQIAGLQQEITILKSNSEQLRAELKAERAEKGDLVALVEEWLSMQNQQPAPSPQ AKSHEVTPEPVPNEQLTPTEDAEREVTRSSSSGLRGPSSGSVSTSSHGEKKIPRFGAP AGRHVRGTSGGKSGIAVFTPGRSGIMGSIERMGRGGAA ANIA_01425 MTDSPVAPNPAVETDPKSKRKASSAGLSANSRPVKRRASKACCC CRARKVRCDVVENGSPCTNCRLDQVECVVTESKRRKKSRVDTEISNPQLSQSPAEILD DGALFGGLGDTQGIPHVAPTSPSQGSVDMEQGQHMPHLLYQSQVNRVDPGDRFRKRMA PNPLVPSSMPLSHVTSEIQQLLDPSFGSPRSSGIVLPDYIRGLPARLQKEDIDYLAMK GALTVPDVTLRNELLKAYIHYVHTYMPLLDLEDFLQTIVQNDGIRRMSLLLFQAVMFA GTAFIDLKHLQAAGYPSRKSARKSFFQRARLLYDFDYEVDRISLVQSLLLMTYWYETP DDQKDTWHWMGVSLSLAHTIGLHRDPANSRMDVRRQRMWKRIWWSTYTRDRLIALGMR RPMRVKDDDCDVPMLTLDDFEFHPFSPEIVSMVGNSEILQSVAHQRELASMFIEKAKL CLCVSHVLSAQYSVLSHKFGGTMETTMMLVPKKSAAETFEVRRCDQELEDWLAHLPSE IQYAPAAPAKLSEAQEVLHSHRALLKMVYLTTSSALHRPQVLPAVPFPSMDTELQDMS RNKVRFAAIEITNIAQDLHSLDLTRYFPTTGVTVLLPAVIIHLLDIKSSDQNVRMTSL QRFYQCMRILQRLREIYASADFATSFLEAAIRKAGIQLTVPPQELQKRSNNSGASRNT TLTPPPDSLAQKIPDLTYPKTGSMGGMTLNLVDEAQPAFASTPPPSDGSENGSTNNIN PNYHRDAFRIPNIDDTEMSLSQLMDLANDAEVTQNDFDALINFDDAGADFFSSENGVE NMTGDGNSKNFPFTFQDMVGFDSGNKSDAAASNGADAAQTEAPNLDMDLGLSLNA ANIA_01426 MSRLCLISLLSLAAASLGAAEGHPRFSFNKRQLPADATGVKKFK TPNGVTIRYKEPGKEGVCETTPGVNSYSGYVDLSPESHTFFYFFEARHDPANAPITLW LNGGPGSDSLIGLFEELGPCAISENLTTYVNPYSWNEVSNLLFISQPLGVGFSYAETE EGSLNPVTGVVEDSSFAGVQGRYPKINATLTDTTELAAKATWEVVQGFLGGLPQLDST IKSKDFNLWTESYGGHYGPAFFNHFYEQNQKIRNGTIDGIELNFNSLGIINGIIDEGI QAPFYPEFAVHNTYGIKAVNETVYNYMKFANEMGNGCQDQIALCKATNRSSFADYALC SEATNMCRDNVEGPYYSYGNRGVYDIRHPYNEKDPTPPNLFVPFLQQDWVMNALGVNI NYTSSNNEIYYAFQQTGDFVWPNFIEDLEEILTYPVRVSLIYGDADYICNWFGGQAVS LAVKYPHSKQFQAAGYTPLLVDGVEYGETREYGNFSFSRVYEAGHEVPYYQPAASLQL FNRTLFGWDIAEGKVQTWPGYSTNGTAWPTHTESFVPLPTATPSKGLF ANIA_01427 MATVNEKAAPLSTTDPEVQQDSQRLPGKPWMYKNLKLGPLTVPY YASPQFQLVLVAFVCFLCPGMYNSVNGLGGAGQLNHSDISKSNTATYSTFAVVGFFAG SIANRIGLRLTLSFGGFGYTLYVAALLCYNHTYNSGFLIFAGALLGLCAGLLWCAQGA VMMAYPGENEKGKFISIFWVIFNLGGVIGGLIPLGQNIHNSDSAGSVNDGTYIAFMVL MVLGFLLAWGLVDSKNIVRKDGTRVIAIKNPSWKSELLGLYETLLTDWYIVAFFPMFL ASNWFYSYHFNAINGSYFVPRAAALNSILYWAMQMIGAFCFGLILDLKSLKRPARAKL VWVLLFTITMGVWGGGYAFQKTYTRETAGRSKDWNQSGYIGPMFLYMFYGFYDAAFQT CAYWFMGCLSNNSRKLANFAGFYKGIQSAGAAITWALDFNETPYMNMFASCWGILCGS LLIASPIIFFKIKDHTDLEEDLKFSDETVADVTGIEVGDVTLRPQQQHTPEKRSPSDA ANIA_01428 MPGKAALPSHVIKFTNCRIVKGNELVNQDVWIESTSGKILRAQE AFYGLHLSPDEVIDLGGRILAPGLIDCQLNGAQGFDFSIPQASKEEYDEGLRVVNKGL ARTGVTSYLPTLVSSTAEVYHKVLPSLGPAGSKHRPEDGAESLGAHVEGPFLSPGRNG IHKTDVLRSATTVEDLDDCYGRENLYGPNKTIRLITAAPEVGKMMSNIPHIVSNDIIY SIGHSDATYEQALAAIDQGATMVTHLFNAMRPFYHRNPGIFGVLGQQSERRRSLFYGI IADGIHLHPTSIRIAYNAHPDGLVLVTDAMKLCGLPDGIYDWTNGERIVKTGARLTLE GSDKIAGSSATLIECVNNFRRWSGASTAQALNAASAVPARLLGLQGVKGSLDSGADAD LLVLSDEDDPYSGKTLKVNQVWKRGTKIYDSSKDTGQI ANIA_01429 MATTTEFPASDVNSYDYVIVGGGTAGCVIASRLAQYLPNKRILL IEAGPSDYMDDRVLNLREWLNLLGGELDYDYPTVEQPMGNSHIRHSRAKVLGGCSSHN TLISFRPFEYDCKRWESQGCTGWSFETFTRVLDSLRNTVQPVHDRHRNQLCKDWIQSC STAMNIPIIHDFNKEIRSNGELTQGVGFFSVAYNPDDGRRSSASVAYIHPILRGDEKR PNLTILTNAWVSRVNVDGDTVTGVDITLQSGAKHTLRPKKETILCAGAVDTPRLLLHS GLGPKQQLASLGIPVVKDIPGVGENLLDHPESIIIWELNQPVPPNQTTMDSDAGIFLR REAPNAAGSDGSAADVMMHCYQIPFCLNTSRLGYDTPVDAFCMTPNIPRPRSRGRLYL TSSDPTVKPALDFRYFTDPEGYDAATIVHGLKAAREIAKQSPFKDWIKREVAPGPKVQ TDEELSEYGRRVAHTVYHPAGTTKMGDVTRDPMAVVDPKLKIRGLKNVRIADAGVFPE MPTINPMLTVLAIGERAAELIAEEAGWKREQPRL ANIA_01430 MTPSLQRQLFYDGKPQHASSGRTFQSINPADATLLAEIPVASQS DIDAAITAAERAFPSWAQTPPIARARILQKAAALLRERNDEIARVETLDSGKAYSETS TVDVVTGADVLEYYANLVGGGGLNGETTQLREEAWVYSKKAPLGVCVGIGAWNYPIQI ALWKSAPCLAAGNTMVYKPSEFTSLHGQTLAEIYKEAGLPDGVFNVVYGAGDVGSYLT SHPTVAKVSFTGQVSTGMKVSGAAAGNMKYVTMELGGKSPLLILPDADLENAVDGAMM ANFYSTGQVCTNGTRVFIPKSMKKDFESRLVEKMQYIRPGPLFDENTNFGPLSSAVHQ EKVTAYIRHGIEQDKATLLYGGLGKPSLPKDLEAGFWVRPTIFTDCTDDMRIVKEEIF GPVMSILTYDSVEEAVKRANTTELGLAAGVFTKDLNLAHRIIDQLEAGITWVNTWGES PAEMAVGGWKKSGLGVENGRRGIEAWVRNKSTLVDMGNAVATVFAKL ANIA_01431 MAILDKDRPRGLRVPSLSSFRSKHKSPESTPTIHLPSPSQMTLQ NESIPVSSFKPAEKALPPQPLPSAPQPSSAAGYPYPYPPQTYNNPPTPVSVQNSTPTR PFGHDGPAQPPLPALPADRPMPRPIPQSTTPPARRPIPRSTAASTTAPAPAPAPEPAP EPAPEPVPAQTSTPVYAPAPIPAPSSVPPVNNLIAQQRTPPLSEGGPEQRKSNGTSDS LEDLIPSPEPEPELDGASSTPNETGSSEEDNRPFTPPEVEPVAVPLTKLHYACYQDHR AMPATGNVNSIVRSVTDAFFAACVFAPIVIKRCKNALAVRWHS ANIA_01432 MAAEEDEVDYMSMVIEEPQQKETFTQKKRRLQREAEARARVPSK AERAAQEAAKRDAALATSTLNPSNKGFQMMAKLGFKPGQTLGKPAGEDREKEGSGPNA QSRTEPLNLIFKEDRGGIGLDSERKRKIREEAEEAAKKIKAEEGDYRDRVRLERETKR IEAQIHAAQKVAERLDADENGEADLFSASNVEDKDKNEEKDEKEQEGSDEEAPATKPK AKAKVKPTSQINILYRGLVREREEKERAIQTRHMLQTSLPTSFFPNPRLPGYEDATLD RDDHEALGGGRDLSTVLEQEVEEEDPELDEFNTLEPAERLRRLVEYLREKHNYCFWCK YRYDTAEMEGCPGVTEEDHD ANIA_01433 MLRPLAVLTALSALVSARDVVVDLGYARYRGEALSNGVAQWLGI RYAAPPVGSLRFAAPQDPEDEYEIQDATQHGPVCIRASRYPIPEGSSEDCLFLDVYAP ARPRRDSSRLLPVYVFIQGGGFSSNGNPYYNGSGLIQASDMNIVVVNFNYRVGPYGFL AGSEILEGGSLNNGLRDQIQVLKWVQKHISKFGGNPDHVVIGGDSAGGASVTLLLSAY EGRDDGLFHAAAAESQSFATMLTVDESQFAYDNLVNRTGCSDTGDTLNCLRSLDVEDL QRENIVTPFPGAQQPPLYMYGPTIDGDLIPDYTYRLFQQGKFIHVPVIFGDVTNEGTK FVPEDLVSDTATYVWLQSQWPALTPKHFSRIHEYYLQDVNSTRPFPNATRYWRPVSDA YGEIRYVCPGIALNAAYAKAGIPNWNYHYAVQDPEDEASGLGVYHVVELNAIWGPDNI WWSDTISQPPPESYFTFNAPIVPVMQGYWTSFIRSFDPNKHRYRGSPRWETWGKQERL FVRTGETRMERVPADQRERCEYFISIGIDLRQ ANIA_01434 MPPSQLKQLKASLRDTGLLGPQKSKKQKRQNAKTGANAQNRAQR ESALNAIRERFNPFEIRTVSRGKFDVTTRDGGAKSASGNFRPGVTKSLGEERRRQTLL QEMDRRNKVGGIMDRRFGENDPTMTPEERAAERFARASQKKLRKDSMFNLEEDDEEEF TLTHKGESLSFGDEDGIRDDFQEDLDAGDMSDTEMPRKRKRFIDDPEAEEDGSQDGED VPERKKSKHEVMQEVIAKSKFYKAQRQMAKEDDDELREELDKGLPELFDMLRGVKPPP KQEPPKDDLAGMNPDRAAIMQGHSQGDPEKEYDQRLKQLTFDKRSMPTDRTKTEEEKA EEEAQRLKKLEEERIRRMRGEDVSEDEAEAAEEEEDGEESEEESLPDDAKDFGLQQPT NYSTSRPEGVDDEDDFILDDLVETRSDVSLSFVEDDEDIIGSEEESEDEEEEDELING ITMPGEESGNEAPAPDTQIAGDGLAYTYPCPNSHEEFLKTIKDISYEDLPTVIQRIRA LHHPRLHSDNKVKLGRFAGILVQHAAYMVEQPQRPPFAVVENVLRHIHSLAKSHPESV SLAFRAQLREVAADRPLSLRPGDLVILTGIATIFPTSDHFHAVSTPAHLCLARYLGQG PVNSLSDLCTGTYAASLALQYQTISKRYMPEFLNYVLNALCILSPGEPSSKLGSFPLR QPTELLRIRPSKPLNIRKLQFLDVSARSEIDPEDLKLSLITTLSSLLNTASDLWSSKS ASVEIFNQAQAVLQHVSSSCVDVNKKAKKAKNPLIPAAALDTLQSTLDKLSTVLSQSR LTRRPLLLHNHRPLAVKTAIPKFEDSFNPDKHYDPNRERAEVNRLKAEYKRERKGAMR ELRKDANFIAREKLREKKEKDAEYERKYKRLVAEVQNEEGREANAYEREKRMRQGKR ANIA_11302 MLLEEVAKAVDKRSIYDVLCGVPAGYVFKYEKKYTIFCALINYL VIVAVVLDLSQPVHLLEVGSNSAHGKE ANIA_01435 MSHFFANPISVLLADRVGEDLQNDKLCEAIRNVPSFREYCEILL EDSKTQQVRDLLEDDKLLLLETTRLIRAGQRSMHYMFQAVKFISILLKELNISKKVSI SELSIRALCGELQKSPLLEDVLAAFRRLDSSRVAGLLSQFPEALRSLPDLRGVEADFR SLTKAHEGPEPLRSEYDSQNSVIGTTIVKQRVKLDTGKAKLPEETIKYTRIINRCCTL LRSYFVNILVFPQELPLHEAFLLDMRNPIKEVFAPRARYAIERALSNPFDYLLFTSQD TERKISAKQPPTAILYQLYLESGALVNMYDLWTAFYAVFESEQGDSCDERMTMALFYR ALSELRALGMLKHSRKKLDHVAKSAWKGL ANIA_01436 MLRPVIRGARWYQHVARSSSSAASPRSFTRAFTAAAPRLIDYGG PNDKIKFYEQDTRRSTKRRRIDPEAEDIAEKEEVARELSQLDHELESLKEDPFGPNSS FIQSLPEDERAIALEALRKQEARDGKDEPITFDDVFDEELDNLLKEEFEGLALEEENW MKKKDDSLQEVIRQPYEMAFGDSEHSDYIDRFNRALKRFAADKSSEKARQDLWNWYRG CKQIIPHFVSAIPEEAMTLLWSSQTSVGQHAARIQVLAEDALLAGRSLPTAHVLSYIS SLQQLGKTREALDQWEMHQDGLSQQKEDLEAYWKLGVQLFTAEGNPQRAQDIALAFMK NDNFQHARILIPIIIAWGRQSGKEADSKLWALYLQLKAMLRHKMTMDDYDQISIGLLK AGKLDLAIAVFKDMMVTGKDSANDSTALYTAALGLAGNLQASAVSEQDVNKVSLSTLT VLPRRFENRFFYASWLKKLIGMGEVDSAALVVELMYERGVRPDPKHLNGLIAGWLREG SAPAREKAEELGWSMIKQRIDRVSKAEAKDSEQPETGRTNARIPKFMQRPMPAATIET FSILLLHYTRRGDQEAVEHLVKNLTLSRIQPNSYFMNHLLYAELRKQDIGALWNKFQV LSSSVQPDLETYACLWDCGKLQYDRSRTAYFAEFPTARALYSNMMTWFSYLSTRAQTT AREDFSKDLYDQIIRCFCLSKDLAGTLVALCSLHSTFGFHPDETTARLIILQVARLAA VPSDTPKRRLRRISSTPHSKANIAQVQQLVEILSDRKSATLESRGLTISSLDPHEKEM HQLEIMTDLLRIVMSRADTSPNQTPDPNRVEEQLCTVAEEMGVPGIYLGPRLDDENAG LVSMR ANIA_01437 MSAVSPQFVQSDSNDRNLDPELSNPFLTENDPEEAEFQKFTIRL TPVDDRGSAWTGSTSSQDHSINNTSTTGNHEGYGTTYSEFLSPVDEWASDISGHTSPA DLMIPGVWPVIATSPQNVTASSPTQSGPSPSSPPASINPSQLLTPVLTNHPSPASDTR SVGSPRIPRAQQPPVPRLKTTLLDDNLGPGPVSESVTTISPIVKVESYSRGDSPVRDS FSTNRRPSQSSIHLSPGGASNASGEDATIEDDRHSVSRSADGAWVPNVLTGQAGLAPD AREDVYVPSPNELDSQRKLAERNADIYTWSEAVSEAHSEAGDDYLCFQRGRTDYHGTR RRAKSTGDPSLDYFSLKFTPDRSEIRGPGLVLHETSDYSDDETESSAPVSVKEERLVQ EAFEMTPPEPQSAETGEPQAHQFLGSPPWRDIELDRSPQTTRMQPVSSTQAMVEYQRR ARETDAISRVATWGTRDLDANSIRSFQMMSLNENGKEKKHERRNSFLKYLPQKKGNLL KRQRQKTDLSTMQPVHEGEAQDTESKAAPQRKDSFPHRLSLPFTPKTTNYSISGAVMA TTRQMAAIGGKDSLSVVSPSTTTTTTTKPWNTLKVRGRSRSEVPRAPGLFELMTTHGG PPVPNISYTSEPVENKEAKHARPQHDAAEEDDDDDSEEKLIMEFPIPKELPIPTLEGF KTQILRLNPRIEPALIDRFAHEQVRRYKRLIEIKQTHARDVNHRKCKAGKFCFALGGT AKLLPPRLSAQDGDSHTQFQIPRPGEEEESSEDLGETTMLAHFPQGVPHPPPQVKLLP AEFECMVCYHVKKFQKPSDWTKHIYEDVQPFTCTFPDCTDPKSFKRKADWVRHESERH RQLEWWECSLADCRHKCYRKDNFVQHLVREHKMPEPKVKKPRNRELSDGASNDPTSLE VQEEINREREINGLWKLVESCHFETARNPREEPCRFCGNVCTNWKKLTVHLAKHMEQL AIPILGLVKERDFPYTVNIGSSGNPDNFSGQVPIAQKDSDFDPRLDTGVTEGPGTADN FTQAPYSNSHPGSSEPIMLPFNTGASYLPNASMPLDSTAPNPAARFEGVTPYTAHGGG VAGLAGDQTRLMAMHQHQNSVTYPPFNARPRLTVPNQDMRVLPEYNSFSMSPTEMQYD PQTTAYVSSGAENHYPYQGPIASAMPYDSGYQGQ ANIA_01438 MVQELGEFDRRCSASHYSEPSETGSQYNGLHSSDSGYSTRSCTT RSIATSYAVGSACSPRLTPQEYEHGEKTAPVGAELAHHYEPMDIVGPPESPSIFGHEV IKCDYPKCPWTGKCPSDKRRKEGFGTINDLARHKKCVHKKEPERGPKVLYMCFGRNCP RSSKKWPRLDNFRQHLARMHNNEDADELLRRSREWYENLKSKDTVSIHTDNMPEQATL SQVRPESGIMVQSASNDLQDPLAAIHTAFQAANSSMLSLDPVREHSMLDIDHTRAIEL AELKLGPALEQNPSQPANPRHDKMDNMISEAAVSVINAMTKMINNHQRRRGHLGEDDI TEQDGELSDRNRDILQKILVTASELLSGSPGPGNSNPLEKTSNRSDRASWSQCEFCPE ANIA_01439 MPPRLNFNCSRALFRRNLTTTRTRLNTGMPPHPPTQQPETSAYK EGMSRYKVFASPFAKVFLGAVFTYQVIYWTWVKLEMEEVKVEKNQQLAALETEARELT GTGKK ANIA_10191 MARIKRSLEEIANKSDSDDDDYSDHPVRNSRRSASKSKSRKKNR PTKKRARRDSDDDIVSDEDEISEFDDEFDFEGSPEEEDVDAPRTARGTVARRAATNRP VYNEGSDSIEDEEEEDEEDVVPSPKKVVKLKVNALKRQAFSDNPTGTRRTTRRNRDPS EDIYALTNSGRHVQTVQKGTHSPEAERGRRSSRGSKRAPEVEGEADEADAKMEDPEVI EETLTEIKTSQLEIQESAPNSFRDDDGQDAPAEDEGFVPESENGEGNTKVDEDDDDDD DDDEGPTTRRRNRPNRNQNTQEATQQDEEENGLRRSSRKRPKSSQRKDDEESDFEPEE DDTHDEDELSQSGKSQGSPRKTSQAHDDDYESSTGRRPGLRKRASRSRGESEAVDIAE ELAEELQDLRGGRPRRRAQQEIVYEKPRRSRKNVDYRIIRPDLVLPIEEAENEVNESP SRRGRGGGGSTWQRSLFPTYGPFGGGGPSAILGPPGAHAATGGVDSDSSDDEGMQHPK GSISGPAGGNLPLPGVFGAQTHSTDAVQGHSGTPANLGRVKDKQALADADPLGVDMIV NFDNVGGLQGHIDQLKEMVSLPLLYPEIFQRFHIVPPRGVLFHGPPGTGKTLLARALA NSVSSEGRKVTFYMRKGADALSKWVGEAERQLRLLFEEARKTQPSIIFFDEIDGLAPV RSSKQEQIHASIVSTLLALMDGMDGRGQVIVIGATNRPDSIDPALRRPGRFDREFYFP LPNTEGRRAILDIHTKGWDPPLPNSIKDELAEITKGYGGADLRALCTEAALNAVQRRY PQIYKSDKKLLIDPRTIEVAPKDFMLAIKNITPSSERSTGSGASKLPKTVEPLLRQPL AELKSILLEILPQRKRLTALEEAQYEDSVESSMGFQREQMQQEFERSRVFRPRLLLRG ALGMGQQYLAGALLHHFEGLHVQAFDLPTLLSDSTRTPEAAVIQLFAEVKRHKPSVIY IPGLQNWSQTVGQAVISTFMGLLRSIPPTDPVLLLGVLESSEDIDATLVRNLFGYSKK NIFELSPPGQEARYEYFAKVIDLIKASPSHLPDPDNRKKRQLEELEVAPPPPPPEKPP LSKEELKAQKKKDYQTLNLLKIRIQPIMDQIKKYKRFRTGVIDESQIRYLWEEEDPNI VTSDLPIEQRTTFRPFEKAQDKHGVPGLRETVSGKFFYNLEIVTIEKRLSNGYYKRPK DFLADIKRIAKDARQLNDQERLLRANELLSNVEVDIATIEQTEPALVAECENVYLREL EREKIAIEKAKKAQEEEDAIAYGAANRVPRGNTDSDPTSGPVVLGASFPDLGPQIPGR PVTPTRRSTVSFMTNGYHRGDGSDLNDSNATNGSHETHPDGDGDTYMTNSDQSAGRDT QVSSFGPSAQPKPAYSMTAPSQQVRRESGLSSFSQRGPMTPMAPGSQPADYINEASTT QTTSDKKSSEQSSHPHHYTQSPVVIHGTRQDYPDLTLYPDRVSQEEHLPDTQQGDSSQ PSPPQLRESQVVRAEVQSQPKSQPPVPLFDAASRQPTALQALLNEEDESPKLIIDHEY VRNLHKEMAQRTSGCSVEQLEQINSALMDVLWHTRCDWNRSKVAAGIQHAFNDVLEDM QAVQEIGPISQKTQDQLHSMY ANIA_10204 MFYTIPEYSRRSWLFMTAVTNQLIPLPRNASFEILAATRNSQSP SAQSLSRLSSNIKLVEVNLDDPAAIFHNAHRAEQIPIWGVFSVQTSVDRGGEAKSPNN PTRIPHFIHKHNIEHHLIQQAKVNDMQWLILRPTAFYENLVPRFFGIIFATCFKMALK GKPLQLVATSGIGYFAAEGFLNPKKYAGRGLSLAGDELTYDQFVEIFEQKTEQTIPST FRPLCSLLLTLIKDMGYMFKWFMTKGTGRILLS ANIA_01442 MVDWVTLIVPFAYLSVLIGSLATFSSLYRKRKARKATSLEPWFP SHLQRDIYFSLLHLDPPASATEKKVAVPESVLKAALLRRAQEDIRRVMTLRSQKQALS VLLQRGSVGDDLWQRFLRAEKEMEDEVRDVVTEANAYAPNWGQVIFQSANEMVNNEIV RERLDKHQKKLDEEKAWWEKKKASIQEGFMKELDAEKPGTVTTAAAATAAPEVAEGTQ VAVGSKASASAAAPPAPTVTESDDDAVLVEADDQAGSAGQKSPGGGKKKKKGKK ANIA_01443 MAKSGKKNKKGVKKGKSQEDAPAPQPEVPSTEPEQQQPAADTPQ PEVPSTGPEQQQLAADTPQPEEAPELPTASTTGEEPNPLAKSEVTTQVVPEIVPDLKP VLESAPEREPEPEQTQNIEQSQTPSDPDIPSQTPLEDNDFTEITKHDTSSNQPPGMID TQIEHIQEPSHDDLGVWEQLDTAEAEKNHDDTPIEQSNSVDQSEQSPITAPQSPTFTF APETSTTEPTETWSAWEENDLTKASPAEEETATPKNDDHNSHVFTNPSGEIASTEPAS ESAQTSDLAPAPASQPEVELPLESELAKEAEPIQKPEPVKEPEPAAEPETFFSEPVEP IEVPEPVQKPETATSPEPVREPEPVQEPEVAITPEPVEEPEPVQVPEAVTAPESAIEP EPLATVEPAMGAEHTMEPAQEVTPPASKPQSPAPATASPSYKSASPMQRAVSPAAISV ADTVDAPHAFPPPPAAPTPPPASPKTQDVPPLKDASYPTPRAAPPTPPSASPQYNSSY PTDQAYSPRQKSSPSHNTRKPSSPIPKISSPLAHAYTSPVMSPHTTSVPPMPPSFPPS VSHSYATAYQSPAMSTAGYFPPQYGYYQPTSHPHHTPRGPMAPNGPYPGLRDPGYPNE HDRSGRGGPMVPPDQEDARELLDRIQDAIPDINRLLGSYKHTKTKLQSREAEFKQMES QHKQALMHKDFFIEALQNQLRKTANESAEEATKLKNMINELRMELGNMEEKRKDMEEK LADSEASISSLEEKKTGLEEQIKKLNEQIEEERVAHSQELDRQRAEMEAEKEEALKTQ KQELTELFEEIKAEDEKAAAEALAAREAELLEQQEAMKIEYEQQKQQMQNSHDTLQAE FDTKLAELATTQGDLEKKHQELEDTRHAHVEQVESLENQHQEKITEMERAWTEEKTGL ETQLSEKSEELANSERENKRLEEDLLSKEKQLQLSVDNMRLTINNLDNDCDRLRKTLH SLGEATDLKNTKGDTFFLDCFGQLQRLIVTLSKEHFSYLPIDPPQEVLSKLPPELPSF LDNTPASRELRSAYVQHVVSKILTYRIFHPFLFTLGRRYDKADILFQMLSMDIRRKSV RREAFWRQQTLKAAYTTSDAKESINVVAAVIVDEISNSLKHFADPRRMDGLLTSIRKI VKLAAETWRHARVERELIIAALPAPEDGSVPGEDWEEYGVPKENSSGRTSPKTADFAR HVVLRPFPRIIREAAHEDFLGDEGKASPCTYSRGSVLYSDSPIILARLQELAGKTTDA PVRREDSPPATGRLSRASTYYEPPSPRIPYAQDTLIEGATGPNFGTA ANIA_01444 MILARRSVASARLLLRNQQPRRFDSHAAHHAEPVNESFGRSFYV AVGTFASCFALYQFTKPGKVADSQSALTRLIEKWTPSEKVFEERNALHTAAAERAARD RHLFLSQAPSEVYELKSPESSFHPGHPYNVIAGSKADLSEVIAHYERKNQKIEEARVA RMQDGKVVSIYD ANIA_01445 MASKFSFGFSGDDIDIDLDENELDDATESGHQDAGTASTLPELV KAQKHEIDEWLSMLPSQISYNTCTISPFQEKGLGQGASASTDIGANTVTVARRDVFDI RAQLMVEDSAEEQNGELIAGLEKGDITPNFYEGGFKTWECSIDLAGLVVGEGVGLEDE GEDRHVIELGSGTAVPSLAVFAQLLARTETDGTTSKPAEKKKQTHFTFADYNSAVLRL VTVPNLLLTWNHFTTHRKSAQPSDGQSEDKAESQEEMLDITPDLVERFQSDLAHRGIT VSFISGAWSPSFVELVFSSPELAGYRTLILASETIYSPASLAAFSETLLMLLRRSSQS NHASRALVAAKKVYFGVGGGVDEFLTILRSVADGNVDVGERMDVKSAGVGRTILEVSA ST ANIA_01446 MTMMAGHPDLPSNGQNGDSNTHQQRQFATLAVHAGAPHDPTTGA VIAPISLSTTFAQESVGKPVGLYEYTRSSNPNRDNFEEAVASLEHAKYALAFSSGSAT TATILHSLAPGSHVVSVSDVYGGTHRYFTKVAAAHGVNVSFSSCLELDVEKLIRPNET KLVWIETPSNPTLALVDIRKVAAVAHRHGVLVVVDNTFMSPYVQNPLDHGADVVIHSV TKYINGHSDVLMGVAAFNSDELKERFTFLQNAIGAVPSPFDCWLAHRGLKTLHLRARE ATANATAVALALESSPHVISVNYPGLNSHPNREIAVKQHRKGMGGGMLSFRIKGGHKA AHLFCEYTKIFTLAESLGGVESLCEVPSSMTHAGIPKEEREAAGVYDDLVRMSCGIED VEDLTADTMQALERAVAASQALENGSA ANIA_01447 MFILTTISDLIQISPEDFSKYSSVAIEDNINEKYANKVIQKIGL CIGFYDLLESSDGLIGHGTGLVNVNVKFRLIVFRPFRGEIVLGKISSATENGIKIGVE FFNDILVPPDLLLDGARFDYQDQVWIWENEEGTFYFDVGEVVRFRVEMEEWHDQIPNA PDLGDGAPIDRKPPYSIIRGRTLSSPSGSYIRAILMYGLGYRRNEIGQ ANIA_01448 MNPQGFSFPPPPPPPPTQHPPQQQYAHNNAFTPYGQNHHGQRGG RGGGQFRGRGRGYGNRGRGGHFMSSDANHSGGYAAAHAGYAPMTHSGYPAQHMPNQQT GIPTPHYTQSSSQAFSNAVTGSTFASTSHYPQPAVNGTAYQHQSSYGTTYATPTQQSP PYTQTHPAHQNATPSVQPTMMGPPIRWGFEGTGHSGSFPGLQRPSQRGPRQNNTYNNQ SSPGKHANKRDHTSAFGKPQSTAPRFPAPPPVPSFGNPLPLKPPTPVDSQRKPKKKKR KHNQLGLTPKTEEHESSEEEDDADEETRLASGGPGTGVALQITYKGRTSNLQTSADIA AWIAERKKRFPTQAKIEEKKKAMEEAKKAKKAREEAQRQQREARKSAATRAKDQEKKE QTRVALDPADAAAKAKMKAEKLRRKLLKEEKRIAQAEADAERARKMDGVQEDSVPTER EADQPEKKDSAANEQAVGDLASDASDDSDWTSSSGSDLSSSDSDESSDDDDDDSAPEQ ATSRREGPERVAPPARDEKKRICRHFARNGRCPRGKTCKFAHEKPDRGTKAQPVENKG RKGLLEALLDRQKEDENRKLMETIIWLGENGLLDEPAAQQDVFSTDPTRQTDESTGPL DASDIQLGNVSTSGSVGEQCHPSEGTARV ANIA_01449 MRSVFFFALSAVATLVAARENAFNIPKNGYSFTAGEATTLSWEP STEGTVTLKLQHGEVLTSDSGTTIASSIPNSGSFTWSVPSDIEDYSDYTIEIISDSDP DATNYLPRFSVEGAEEVTTTSSTTSTETTTTTTTTETSSTTTETTLTTTTTTTTESTT SPTPTSTTETPSKTPASATSTESSSTSTSSESATDTPTSVPNVNGEDGDNAGMSNRVS GGLLVLALGVAALV ANIA_01450 MAKLDQDKTCHLHHAADVTRALIEGLVKMDQVHACRGQVTAANL DQTRSWTVRIQDTDSLNEMEVMTPRLILCTGSSPTKTPIPVDAHGIQRLDLDVVLKPS ELASALPQNQPTTVAVVGASHSAVLALLNLYDLARTSHSHLRIKWFTRHPLRYAEYMD GWILRDNTGLKGRAADFARQQLEDSVLPTSEAGRYITKIDCAGGQSKETAQYQRHLPS CTHITQAIGYTRDPLPELSINRSPLSPDDLQWDSSFGGFTDRHGRVIPGLHGAGIAFP ERVVDPYGNVEHAVGFFKFMKFLKRVTPQWAATA ANIA_01451 MFVRLPFRGRHPMRPAPSRSNEPPEGETEYQQLENQFYPSISDA LRVRHLLLWKVQPEGLPAEVVDMIVDAAEYWPSTKVKLDKARRIEKDVDQAVLCTSPL CYDEKSLDTPQPKLLPHRTVHPCRKIVFSILSHDQGGYTERHLAGPNNQSPYEHTCTW FDAEVIHQAHKPSQKSAINGKMNPTDMRPQHFGPNDPLLLPRNNALQRNRTRIYEAKR HNIIWHYLDDVAADSQEAEDIARETGRGRDTVGGKQVREMEIGDSIMIWARARFPGWR NYVDDVSVQVFWAV ANIA_01452 MGKKSKSQKEAPATESAGSLPFLGGNVSVDPSLASLFEQSAGPV KVPEVPRLGAAPKTKITDVEKDEEESSSAGNDSASEDQFMEDAPESPDAAEEAVQAVP EPPSRKRKRAAGEDLEESYMRRLAKEEQKEQKKRRAERSSSLEEESEDGEKESPQSED GESEDEGADIPKHEALAGAANDDDELSKSNRTVFLGNVSTKAITSKSAKKELMKHLSS FLSTLPESTGPHKIDSIRFRSTAFASGGKIPKRAAFAKQEIHDDTTPSTNAYAVYSTA QAAKKAPAALNGTVVLDRHLRVDNVAHPAKVDHKRCVFVGNLDFIDNETGTEEGEKKK KNRPPADVEEGLWRTFNAHTKASQSGPAGRGNVESVRVVRDRSTRVGKGFAYVQFYDQ NCVEEALLLNDKRFPPLLPRKLRVVRAKKVAKKSVETTGAPKGSDRTLQGRAGKLLGR SSEARLKAAAKKSISQSSLVFEGNRATADGSSRIRVRTKSRGSKAKKDSRSKKRAAAY KAAGGKKAKIGK ANIA_01453 MEVAETREPTTVGAPNGTDETVVNGDQNLFYQDSLLDDGRSSSL SEIDDVLENMPSDYESPKPEKIATENDSEAETERIDDSPNNYRTRTNIVLSATSYGPS PSKLVHSTTYDDVEEDDVHPADESPSKPRSKNDRTVDSVEEVQEPDDSNLSDSAGKKR KRPESGDELISELDEDDFPPHKRRGSNLALTPEPVEEEVPKVNQEDTLADDIPESDLP SAPTKSKRTRKGKRKGRKTRDVDDDAESGAVEMGAEVDDTPADEDAADRPDDADDGEA AAKLEEESKRTSAMDSLAVLEREFATLRDKIYDERISKLNRELDMLKGPNPTHPELLR QLDCIKGYRDAKIKYEHTLFQYRLKSLLNKSQAERAQAHSTYFQRARDIREHHSSAIS KQFYSIQHDRFKTDDVSPQHYIPFPTRRSQQIAHQTAYNQEVSVLAGVAKYVGFPAAP SLSSARPAELDEDLEKMGISFETKAPASHHQSTIPRATIPSMSSNVHPTSAEEAFLEQ TPWANPQHPYHQHQNLSHRPQNRIMDNSRMSAFATPAGQMRVVDVNAPNGSASTIAEN SSANNTPYGLEQDNSTAATKPYLDYDARLRSLSSSPTDVRKPHPAMYTSLDHRPPQGI PRNPGYSSPPSRLVFGSAPKQDTSPSISSKPVNSLHQPAAMLGSTSQNQMAAR ANIA_01454 MSSAANQQRKAEILAKKAKLAELKRQRELRQKEFSQSRASTGDA SEVVSPVPSRSDSRAELDDLISRLVDRPGSAAVSGADGLSRKGSRPNSVLSASQLSGD NTEALSPPTRPLSQSIAVQTVGTEPFVAIPELQPAPEAKPEVVTYNKGVQTDDLRQGE QDAASVDSDAGETPDFKSSSKKQRERDEEIRKKLREEIEEELRATQESAKNESGADAS IRYPLRQLDDDELKAVTSSEDFLDFVERSAKVIERALDEEYDVLADYELGGMDAELED DDEHGKKKRGIKEVCQFWDERWSKKRMISDICFSPKFPELVLASYTKNPSAPHEPDGL VQIWNQHLHSRPEYVFHSTSDILTAKFSPFHPNIIVGGSYSGQVLLWDTRSSRAGGGA PVQKTPLTGAGHTHPVYSISIVGTQNAHNIITASTDGVVCGWTVDMLSQPQEYLELST PPPSKTEDLAPTTISFPQSDPTFFIVGTEEGGIYPCHRYDRAGAKAGTDHRLAYRGHA APIMSTAFHPARGPVDLGDLMLSSSLDWSVKLWRIRPPAATAPAATSGITDTQVVTPI LDINREDVVYDARWSPHRPGVFSLVDGAGNLEVWDLYTDTEVPAVRTTPSKGRAGVLS RSLNKVAWEEREGRRLATGGLDGVVTVFEVGRGLSGTPDDVPSEEWTGMKRLVGKLEQ KDKDRMS ANIA_11303 MAASQGVNVLRYSALVAGLVYGFYHQSSITAANRRAEAEREYAR QERLIEQAKAEWKKKTQPQDSKSTGVITDPEDPKFDLEAFLKLKAGEA ANIA_10208 MDLPPLSLDQLSAVITAGPTPTDLYTSLSAYEEQACLLSATDPS EKDLLLEFYTAFFFSHLLTDQICEARALTRRIPREISRLDAMQTCSLLLRAVWQSKHT EIYKILRELPWPGRSQPLVQRYESYFQEKTLVEMSNSYETIRIAAAATYLGLDPAAVE GDIIEKFTACGWKWDSEKQLLHPKPVVTAPPKDDSLQNELSRVMALISNQGS ANIA_01455 MAESPVDVLLKGSSGRSARGLLRIIILVTIAAAAVSSRLFSVIR FESIIHEFDPWFNFRATKYLVQNGFYDFWDWFDDRTWHPLGRVTGGTLYPGLMVTSGV IYHILRFLTFPVDIRNICVLLAPGFSGLTAFAMYLLTNEMSSSPSAGLLAAAFMGIAP GYISRSVAGSYDNEAIAIFLLVFTFFLWIKAVKNGSIMWGALAALFYGYMVSAWGGYV FITNLIPLHVFVLLCMGRYSPRLYISYTTWYALGTLASMQIPFVGFLPIRNSDHMSAL GVFGLLQLVAFAEFVRSYIPGKQFQRLLTASIILITGLAFAGLVVLSMSGIIAPWSGR FYSLWDTGYAKIHIPIIASVSEHQPTAWPSFFFDLNFLIWLFPAGVYMCFRDLRDEHV FVIIYAVLASYFAGVMVRLMLTLTPIVCVAAALVLSYILDTYLKTSPGPVTRGRSNDE SSEGLRSARSPEVGITSYFSKAVTTSAAIVYLLLFVAHCTWVTSNAYSSPSVVLASRM PDGSQFIIDDYREAYYWLRQNTPANAKIMSWWDYGYQIGGMADRPTLVDNNTWNNTHI ATVGKAMSSREEVSYPILRQHDVDYVLVVFGGLLGYSGDDINKFLWMVRIAEGIWPDE VKERDFFTARGEYRVDDQATPTMRNSLMYKMSYHNFNKLFPMGQATDRVRGAKLPAES PQLSTLEEAFTSENWIIRIYKVKDLDNLGRDHSNAVAFDRGNKRKKASKRKGPRVLRS E ANIA_11304 MPAGIFNSTYYGKDYRAGAALLRARRPYLFKNAATGLGLCMFTI GVYAYTIRAVGQDEFSDVKVPDAPAAAPQQSKQ ANIA_01456 MAQSGDQVTDQIAQLNAARNLVLGDAAFYPQIVNGVLPIIGAHA RVELRRWGTEFLAETFASPAFATAPKEQLAINVLQTVRSILELPEGDQLVLQNITQTA ASLYPLVFRHIINHPEDKQSWEHIEAIKQDLLRRWDSFPCPVKVCCIKFAQRVVLTQT HGPIADPRRPDKNETSLAIVPRNHAILSLPNLEAESSGLLDRILSIFQEDASDPVLVN ATLNCLAVVIRTRQSIGNKIINAILNFFPARHMRLPVTPAVRIGVKSMERTARAVFIN IMKRNPSHPLAGKMQQHIERLMQSRIEQVDDTSRKRGLPTEPTDGLDAAKRARLDAET PPIIKVPPLPPGRISFAQLFTLTEDSGLSSFDVKQLPADLVVKIAVPLLARVDQSLLG QAVDAIRMRYQTVQKQQMTQPQLAAEEDDDDYEPEYQPMDIPASAADETGAVLAAVQE EQTDLVSLGPFVLPRPPPLTEEQAAEAGRSAVARVFGMLGSTTLTPNPVKGQGQRLGF SRLAGSTFDRDAWVTLLTRLATRAPAGLEGPKQETDSLGRKKPTISDTIRESLYRYIL EDFRGRVNIGIMWLNEEWYNDRLQMKVASSNRTDEDEEISVPLHYDHWVLRLLDGFLP YLDSRDNKVFIRFLSEIPEVTIHIAKRIASLAKDPERVNLCVQSFMYLIMFRPPAREI CLDALEEVYQNYEESRPSAGKVLSRWRPQRVEQLQAEQTTLVNRTVDNTTITNGSRDP RTAHVAAS ANIA_01457 MLQKDGQQLPSAKPASALELAIFSSDSPPLQHSNAEGSPTLPEW VRLESRIRRKTDLRLCSIAGILCSLNLLDSGILASASVTTLLSDLDLQGQRYSVSIFI FTVASIVFQLPCTVAVRYVGPRLWFATITFCFGLITLCTAFVQTWRQMIAVRILLGIF MSGIYPGLTYLVSTWYTRQEQQLRFAFLQSGEVAALATGYIVNYGLNQLHGKAGLEGW RWMYLVQGLITCVIGIATYWWMVDFPENARKSFHFLTETEAKVAVQRIQADRGDVVLD PFEWRKVLVNFTDPKLYGFACMYFCLNIVSTSLNYFLPQIIESGLGFSSNESILLSTP PYYWAVLPVLLTSLIGDTFRIRGPLITFNALCLIAGYLMFGLPSSTQVTVRYVGTFLA TGAYVSNWAALNAFMANNIVGQWKRATTAAAVAACNGLGSIAGSYIVRQSEAPEYQTA VWVSIGVLYTKYKADREGAPEHGWVPVYILVDNDDIQPESKEWPSI ANIA_01458 MVEFIPPPDPRDLLPPLLACLPTAFVSQKPPPALLPLLSPILRQ RVQILSSVSTSSSESWLRLLCWDSEKGERLQSLIDGASFEPHPVSGEIELPDDIPVTY RRLDEETLQSRVLIPEYELKVVYLWCPKEESPGWRIAEVLPHQGLGEDDGVWSSTIGD ANTHAKEKLVEDALKAAERDDHIAPQEEDDDDDDYWARYDATPGRTPSVKNSAPPALT SMLQHHGPSESSYFSQYDDVQPVMDNHDPDEEHPELGPSSLGGDILTELAKRNTDSES HEHMNQTNGASLNHPRPESATSSNPEAVSRLEQEAENQSAYEIGVKQHIGSNIKSLFR LAKATGISRADFQSLVKTELDLLNLSDDD ANIA_01459 MSSSPSLRKRGGKREDTPVPSDRSFAPSASQLGAASRSSEWDYR LAITILTVLAFITRFYKISYPDQVVFDEVHFGKFASYYLRRTYFFDVHPPFAKLLLAF TGWLVGYDGHFLFENIGDSYIDNKVPYVALRAMPAVLGALTIPVVFLIMWESGYSLPA CVLASGLVLFDNAHVGEDRLILLDSTLVITMALSILCYIRFYKLRHEPFGRKWWKWLL LTGVSLSCVISTKYVGVFTFVTIGSAVMVDLWNLLDIRRQGGALTMFEWTKHFAARFF SLIVVPFFFYLFWFQVHFAILTHSGPGDDFMTPAFQETLSDNAMAAQSVSIEYFDTIT MRHKDTKVFLHSHSDTYPLRYDDGRISSQGQQVTGYPYNDTNNHWQIIPTVPLDETDE KSRKVRNGDIVQLRHVATDTILLTHDVASPYYPTNQEFTTVSHELADGKRHNDTLFEI RVEHGKSKQEFRTLSSQFKLVHVPTKVAMWTHTTPLPDWAYKQAEINGNKNVLQSSNI WYVEAIESLEEDSPRLKKEERKVKHLPFWRKYIELQRAMFFHNNALTSSHPYASEPFQ WPFLLRGVSFWTKSDTREQIYFLGNPVGWWISSSLLAVFAGVIGADQLSLRRGVDAVE EIWGPGSRSRLYNSTGFLFLCWAAHYFPFWLMGRQRFLHHYLPAHVASALVTGALIEF IFNIQPISVPATIPVAADDPTGKGKTRRFVTARERMGVKSIVAGWIASLTILAATIWG FWFFAPLTYGTPGLDVAQVNARKWLGYDLHFAK ANIA_01460 MSVPRAIRTVFLAIEQAEGAGARVRRSIGTPKLRNFSPFLMLDH FTIGKGAGFPDHPHRGQETITYLLSGGVDHEDFAGNKGTIGPGDLQFMTAGKGIMHAE MPHENEDGSPNVGMQLWVDLPKKLKMCEPRYRDLRASEIPVATVDQGRVTVKVISGQS HGVDSVRDLAYTPVWLLDVAIKPGGRITQILPVGWNAFAYTLAGTTVFGSNNSTQLVK QFHNVVFEQSGDHVEASVPDNAEEDSRFILVAGQPLDQKVVQYGPFVLTSQEEVYQAM LDYQTASNGFERVRGWEKYVWIIMMTTSNTSPHS ANIA_01461 MRRQSRIVASLLVLACASSGAFAHRKFNVHDDLLAYPQFRIKFP DGFILESQARAFLEQAPYSSPDLNDISEQTPLKDESEESIRDGSSGEKAKFSYEELSL EGQRYLCQIPVVEDGDSNRTKVEVNEEEERKELARATDRGLELLREMEGKCLYYISGW WSYSFCYMNQIKQFHALPSGGGVPNYPPMEDHTTHSFILGRFPQEEGQDEGKGAKSGK SSTELAELQTKGGSRYLVQRLESGDQCDLTGKNRKIEVQFHCNPQSTDRIAWIKELYT CSYLMLIYTPRLCNDVAFLPPQQEEVHTIECREILTPEEVTGWQAMHEYQLSQQLVES AEAPKHQVIGGIEVGAQRLVGTEGKRIEKGRVASIGEEKVDVVAKRVNGEVQLLSAEE LKKFDLDEAKIEELRKKLEEWAKGKDWTLEIVTGNGAYLRGVVDTDEDEEDGYENEEG ETDKREQRENTQETTGQPGQPGHQEETESGQAGHPMDDRSEDGEDPDVDGSEEIFKDE L ANIA_01462 MGFIGVYTAIYDYQPQAQGELELREGDLLYILEKSDEDDWWKAK KKADREDEDEPEGLVPNNYVEEATPIHTAKALYDYTRQTDEEVSFSEDANLVVYDTSD PDWTLVGVNGDFGFAPSNYIDILDDSVAHAAPAQTSASPEPPSAAAASPASPAPAPAP PSLPQRPEPAPAEEPSSPVSSSPSDAVQNPAAAAIASILHKQHASSESPRDVPPPPQP QPPSEPQYREPSPPPPQLPRRPPSEQLTSPVHLESPTFAPSPVSHPRHASIRENDGGP RESPPYNRVGRTAPRSPSGYHIYNINEMVEGSTSPPGTIFISPEDDGDVQEWSAEKLT HYSIEGKHVFIDLVRPSKSVDFHAGAKDTAREIVSALGEISGAFRAEGLREVIAAGSG GGGAQKKGTILYDFMAQGDDEVTVGVGDEVVIVDDTKSEEWWMVRRIKNGKEGVVPSS YVEVTGFVSPPSTTTPAESGLSAVERNRLEEARLAKEATRKSVSEAAAPRSPTIKPQH HKKDSKSSQRSKPDPAKVRTWIDRSKAFTVEAQFIGLQDGKIHLHKTNGIKIAVPIPK MSFEDLEYVEKVTGISLDEDKPLSDIRRRSQRIESDKADKARSSSEGKSGATFQQSDY DWFDFFLKAGVGPHQCERYAQNFAKDSMDESILPDITPENLRTLGLKEGDILRVMRYL DNMLGRTGNKSKLRNVSFGGEEVMGDGEESGGLFAGPGGALRNNTRKSRPAPAVQTND VVDPKVFEQKDTAKPDKPPSSGTPPPASAAAGDKPVQKGFDDDAWEVKTPKQPAAPAT AVSSPPPAAAPATTSPPAQPSITGAMADLSLLQAPLQPTLAQPTSTPAPAQSPPATQP IQAQPTAIPAPQPQQPGASPNFFAQVAQVGQQQPMQTGFQQSRQRPQAPQVMGQNSLI PPPPQRPLSAPQNMPQQQPFGLPQLQPQLTGLPQQGPQIAAPGQSLAEINQQRFQPSF QPQQTGFMAPNQFQNGLMPQPTGFQPQSQFGIQQQQTGFGLAPQPTGFGGFGAPPQQP MPTGINSVLPPPLQPQPTGMNGSGSMAYSPSPPPIPPIPQQQTLAPLQAQKTGPAPPV RFGVKPDAPKKLAPQPTGLKANLSQATPTNPFGF ANIA_01463 MQLFAHLLRVATALLLPIGTVAQECSSRENYTARNQTEIDTITQ NCTTIVGELGLVDWSGPLTLPNITRIRSIRVYSGDITAIELPALTYLGSDLLLTNLPS LRRVSLPELQHIEGLYVDLVGNAPELHIPRLTNASSIYLRGNFSDQSFHSLRNVEKKL DICNAVSCGYYSRMNAFTSMRLSFPSLERAGSLIVGGNVSSLSLPELTTLTCNDCDWV ALHLKLYGSSRLPVNLPKLANTNGSLYIRGDIDSISLPSLREYNRELIMTPYEPLDIT LPVERAEDFSFTGNVSSLTDFTRIYINSDLDFDCDALWKDLEQTSGPLNESSKEEYFQ CSVGVSWQPGGSQAATAVAALGLGFVMGLLI ANIA_10192 MPRASTAAGARLRCRRACDSCKRRKQKCNGEQPCTICIQRRKEA ECHFSDKPARLLRPGNDPKEAMLLSEHLVSTPQRRTAMDHLLNSLEDTSANLEQQVEE DKDGGAAPVPKVARLLRDGQGKFMYIGDSASLSFLQSVRRIVSQSIGRCEFTEDTSRH SMLEAFQSSSATQNGPLAQPPSNDEAQRLARQYVLATSPLLDLFDLEEFHPRLANWIG NPTGDEDTVSSIFYLVLAIGAQVSDTNQAQAERYFVSGRQLAFSAFTETPSLYTIQSY VLISMYMLGACRRNGAFMNLGIALRAAYAVGIHRRDANSLFTTRERRARERVWKSLRM MDLFLSASLGRPPATSDFDYDLREDEVSRKESHLPQDQVSTTVISLCRIFERVLTEVY MRQVVSINLAENISNQHRAWVRTLPTFLQLQRDRLESRTLEESLAAAHVFGSYYWSII LLTRPFLIFWVSQYVKSKKGSAGAPESRNGTSRLSLFADACVYSALRGLDIVEGLARY EALPRRLPFLINSVFNSAVVLGAAFFADYDNLLPLGEGMNKAERFLELFVPHDPHSCR FLQIIRYLRAAVSEYVGRRSRQWMERRSRQVEQLFGQVGPASADELSPTPDTIPSARR PGEIAAPSPLSPNKLPQADNPIPSQHPDLTTLAPHDSSIWDALCGTTTAGLPFDSAIS TLTTTGIPVNFSPGGSMGMPLAGAGASASASRRAGAETGDGDGHTPLSDMILSDNGLL YMAEDLPVFGLWDET ANIA_10197 MLSPITRATALSSGKAQGSTFQRAAAPMMWSKGFSTTAPGSKKA AILLQDKSNGFGFARSNPRPKKPRSQGVTEIRGPYYTVMGKRYLADILETMGTHVDGL KFAGGSFSLFPEKPLRELIDLAHEHSVYVSTGGWAEHLLTHPDPTSVFDKYLTKCEDL GFVMLNLVPFRVLTLHHRFDVIELSSGFLSIPEDDWLRLVDKVHSYGLKAKPELGIQF GAGGDTSAAGLESLGTSDPGKLVNLGRKFLDAGVERLMIESEGITENVKSWRTDVVSK IMKELPPERVMFEAADPQVYTWYIREFGIDVNLFVDHSQIVQLSGLRHGIWGTADTFG RLVSFRAE ANIA_01465 MGAGAILEPLVVIVLLFGGTWINRTAGSFPPSRRARRKSVDRYR GVSPDSIESGLCSPTSKDGLLKDRPLSPASSHCGHESWRKRRIGILLWTTEVTSPNTV VFQDRLLSRLLRKLPFLAECWYWALVYWTYQLGRAFTAVTLQEGTVDVARKHALKLIE IEQALGLFWEVPIQQFFLRHPLLMKWTNWIYSFIHIPGTIAFLVWLYYYTITRNHSDE PQSGKPRGTASGSPAGPLLYQARRRTLAVCNLLAFVVFTLWPCMPPRLLSDEKVQGPE GKLARSFGFVDTVHGAEGAGSVWTENRFCNQYAAMPSLHFGYSLMIGLTIMTIPLPAH HRVSTRVRIGPFGAQIPSRQRLVCLFLGFAYPFMILAAIVATANHFILDAVAGAIVCT LGWKFNSVLLNLLPVEDYFLWLVRIHKPEPAEMAGPLDLEEWTDEIEAETA ANIA_01466 MAEANTNSPAPAPDSGEAPVERASAELKASPQESASEPTKENTK KDANGADGKPAESAPAQDDKKESSDDTADKTEAPAEPAEAKKSDEGAAASADAEPAAA PEANGAPSSTKKASSKRKSTGGDTKSKLNRKKSMSRITHLDAKAGEYYLARLRSFPPW PAVICDEEILPQSLLSSRPVTARRPDGTYREDYADGGKRVAERTFPVMFLQTNEFAWI PNTDLSPLDPAACKDISEKGKSKALVAAYNVAAENHDLAYFKNMLADHQAAIQQEEEE REAQEAAKAAAKAAKEAKKNKRKSMEVRDDVEMEDADEEKQPKSSKKRKKDAEAEGDD KPAKTPKTGTKLKLTTPKNPAEEKKASGSAKAKQSTSKKGKKAAASEDSDESSPAPKE PEPKVNLEEAKKKREREVLFIRHRLQKGFISRDHPPKEEEMSQMSGYFTKLEKLNDLE VSIIRETKIHKVLRMIIKLPNIPRDEEFDFRKRALDILSKWKNVLDSDRATPSQEKEK EKEEKPKSNGVHKEKEGSAEAPVKASESKSEKEDDTKLDTPDQDTPMPDADEKTEEAP APAKGEDEKVESADAAGETGKSEKKETETDTEKPAEDKAEDKKADEKTAEAETAA ANIA_01467 MILSVRPSRACGSAGSSLQAIARENLTGILWSPAARSQSLRRLP QDRPPQPLQRTRLPFLQVHSSRAFHSTPKRDSYTPRISYRVAASSSGKSRRFLPTKNA YNFNPELHDALGVAVDTQDPATKRKRRPDSGEDAFFVSTVGRRRDPSKDNTIAFAVAD GVGGWAESRVDPADFSHALCDYMAQTALDWDGPAEQLRAKYLLQAGYDRVVADETIPA GGSTASVGIGLDDGRIELANLGDSGSVLLRQAAVHHYSIPQTHGFNTPYQLSIIPKRM RQQASIFGGGFLEDFPRDANTTTLHMHHGDVLMLATDGVFDNLNNQDILKLVTGRMIY TGAWRASTSPNTGIIPADRETLDRLTGAEGVATLVPSAMPKSTSNKSTIVSNPNNHIY TLQSLLAASIAGEAKLASLDPRRDSPFAKEAQRYYPGDHYRGGKVDDIAVLVIIAVEE ANIA_01468 MMHPARQAYVEEAEEMDTGINLADLPVDRDYVIPSSAAGIPAEK ASAIISQFERKRRAAAMAVPTDDTRVRARLRELGEPITLFGEGPADRRDRLRELLTDI AEKQEAAAAEDIEMAEAGGEEDEGEQQEEFYTEGSDELLKARKAIARFSLPRAKARVA RQREESTIPLRTHIKHRKAIKEKLHNFDLYGSQIAGDRPVSICRFAPDGQTIATGNWG GGIRLLGVPNLEEKLSVKGHTDRVGGLSWFPGATLPESNVSESAVNFVSGGGEGNVCL WSLDKDQPLATLEGHSGRVCRTEFHPSGKYIASASYDTTWRLWDVETTAELQLQEGHS REVYTVAFNNDGSLLASGGLDSIGRVWDLRTGRTVMILEGHIREIFGLDWGVDGYRVL SGSGDGWVKCWDLRQVKNTGGIGAHKSVVSDVRWYKGSESASFLPTTEGQPGRMDVDG ATAPVQPRKSGTFFVSSGFDKNVNIFSADDWSLIKTLSGHSGNVLSADISNNAQWIAS CGHDRTVKLWGIE ANIA_01469 MATSLRAQRAFQRLTVSSTVQSQARPIHTTTRKLSTPTACFLCR LRPSRPNRRTSAIFPSALFAQRTFTSTPSTLNSASSETLPVPNAPDTTNYYTIFPQTL PNGPPPSSPFTIDVQALRREFFSLQNTLHPDKYPPGPTKTAAESLSAIINEAYRTLSD PLLRAQYLLREFHGIDVTAEDGSGAGAQPLDPELLMEVMDVQEAIEEVGEGQEAVEKI AVMKKENDERVKGCVQALAEAFDKGDVEGARGECVRLKFWVSVADGLREWEPGMGGIR LIH ANIA_01470 MEPSGLARHVSKPLDHLINSGKTRESKHQIAILEDEDVETFVAF CEYAYTGNYAVPPPGSRENEIEPVSINHALNGAYPDTGSTSPAASWEKSPPKPKVPSE ACPGNPDDSNDRDGVGDGDVEPRRDPSQQSSSKDRHVYHSTKSEYTAQNPVATPHDSD NSPAPWAEDPPTRGRRRRRGKKDQQGVTQDATSKLTPPCTPPGAAQVEPDMPAAEPSE EFPVLPGAEQSTSQSPERPGRTLSNGANGSGFGGIRNQREESLTKSRVRPVIDTSFAN QQFSPRHEKGTTNLWEEFTGIDDSGFRPSFRTRSPNPPAGITLPYLIFHAKLYVFATR YLIPGLAHLCLQKLHYDLLNLAFPDPDPENQYEEQLVLTTTKARMIVDLLNYTYTKTT RLEPITPSSATQLRDNELRRLVVHYAACKVRDLAVYCPPVEPIAGAAFYPEQPSARGF RALLDTLPELASDLIYRMI ANIA_01471 MAPVCSASRLGDYGSVPSSTRQPAKTEIDNASSTGSSTAKLCGT DPGAWAVATENETGGKEPSETIGDDWSSGYTLRTEHRARFNSQQPLTNPMRHNAPLIR PIDFLGLWPEPDLRTRLVT ANIA_01472 MFENVPLPQSPRSETSTIVGEEVEMKKGVQMTICERLHHFTWAW FTLTMSTGGLALLISNQPFQFQGLREIGLAVYIIDLLFFALCCFLMALRFFLHGGFLK SLRHEREGFFFPTFWLSVATIISGLYKFFGEDADESFQLALEVLFWIYCACTLTLAVV QYYFVFSSHTYHLQTMMPSWILPIFPVMLSGTIASVIAEQQPARSAIPIVCAGITFQG LGFSVAFMMYSHYIGRLMQAGLPHREHRPGMFICVGPPAFTALALVGMTAGLPEQFEI LHDESSIQDARIMTLIAVSAAVFLWALSLWFFCIAVIAVIRERPTAFHLSWWAMVFPN TGFTLATITLGNWLDSTGIKGVATAMSIGIICMFLFVLVSHVRAVIRQDIMYPGKDED VSE ANIA_01473 MTRDFRTRVGAESRLEVDRPVRVGPGKPVKPSEPPPL ANIA_01474 MATTSQPPIKLTGHKNFVYRLVFSTLTGRTIHISQIRPSSPTNP GLASHEISFLRLLEAVTNGSQMEISYTGTIIVYKPGLITGTASGVGASSGSVIRHEIP AGCTRGVSYFLIPLCLLAPFSKAPIKVLFTGPGVITSSTPTGDMSVDSVRTAILPLFN QFGIFNNIELRVLRRSNLGPNGRGGGGEVQLVFGHQVRLPKTLHLMNAGRIKRVRGVA YSVGVSASNNARMIDTCRGVLNPLVPDTYIFSDVSSAPLVPAPERNNPSAKKKIGLGF GLSLVAESSTGCLFSADVACPPSGGQPPEDIGKQCAYQLLETISKGGCVAPAAFPIMI GLMTMGSEDVGRIQVGRDIIGDESSIQLARDLMKFGAPGWGLRDAGDESNDVIISVVG RGIGNVGRKVA ANIA_11252 MLPPALNIPKWLEANSHLLQPPVNNYCVYHPSSPATSGYTVMIV GGPNARTDYHINSTPEFFYQYRGSMLLKTVDTSTNPPTFQDIPIHEGSLFLLPANTPH CPVRFKDTVGVVMEQPRPGNAEDAMRWYCRKEGCGEVVWEKRFVCTDLGTQVKEVVEE FAGDEGKRTCKACGTIAESRFKEGEVVQPPKIPE ANIA_01475 MAPLDEIQVGDVVNVPGGMHGTVRFVGVVAGKPGRFAGIELAPE HAKRGKNSGDVDGKKYFATAMPGSGIFVPLNNNKYVTRRTVSNPPTTPSRPVNFSKSV GPGVSVPRPPRMRRPSLPRSESPRVTAPPKLSLSGLRTPSAASKTPTNGFSRSPVKAP SRASDRPPSRFSVEDGPTSARTSDYGRNSMGAEISDLKEQVKALEKQLLDRDQQLEEQ ANTLSDFQRTLEELEGSDALSIRAQLREKNERIAQLTMEFDMHRADFRSTLDTLEVAA SETERVYEQRIDELMQQNKELQDRGEDVEAVARQLKQLEELVSELEEGLEDARRGEAE ARAEVEFLRGEVERTKLELKKERESSGLSRESRMSPDGHFSRELEQKDDEIRGLKAII HSLSRGEPDLHALQQNGFGARPDHNADHVADLEQRVQEYERANEHKTYRIEELERELQ QLQANENGRTRSSTVTQSNAQHKPTGSAGKISNGHTINHAHRLSDRTVVPGDWQDEAP QDGLYPAYPSHGRGTSDTSARLETMHESDAQESDTRSEDGGSLWCEICETGGHDILSC TNMFGADAKNNKPAPAPEEQPSHEDSSADEKPSAHSPSSSRSQNAPSQKTGRDVVLEG LRGVGLTSSMAPVAGKASGIIDETKWCALCERDGHESIDCPFDD ANIA_10193 MAQYFFDLLYNFTDCMCCFPSSPQLKINNRSFKLLRLLGEGGFS YVYLVQDKSTSELFALKKIRCPFGQESVSQALKEVEAYSLFTSEKNIIHSIDHCVSTE SGSKFRSDGGEAGSKTVYILLPYYQRGNLQDAINANLVNQTRFPEKRLMVLMLGVAQA LRAMHQYRVKSGSGPTRKAKAVRKEGAEADVEMRKGKGKRRGSQPAGEEDSENEPLMD DEVTQSQEGYEDGNLRPYAHRDIKPGNIMIDDDGQSPILMDLGSLAPSPIAITSRSLA LAVQDTAAEHSTMPYRAPELFDVKTGSIIDTKVDIWSLGCTLYACLVGKSPFEARSEE TGGSLSMCVLGGDWRFPDEKSGATKGKGKASEQQKQDSAASISSPVKEVVRRCLQVEP ADRPDIDELIQMLKEVIRELPDDDVVG ANIA_10207 MLQTPIIDRDAIPGTFTLIDENHVLTTRHLDSGDRDIVLVPEPS DDPDNPLNWSPRRKLLSTVCVSAYTLFAGIASANVYSVLTQLSEETKVSVNTLNEGTG YMFLLAGWGLLFWQPLALQYGKRITYLLSLAGMVCTSAWGYVFYSLWSNHAKVDSPYV QSNGEWIARSIITGFFLAPIEALPEVSVTDVYFTHERGTYMGLYAFFLAGSNYFAPVI CGFIAEYHGWRWVFYWPAIFCGAAMVVLFFLMEETNYARQRPSIADRAVEVSASENDN RKDNEKDPMQSTPSTQSRSDPEVGVMYTKKAYMQKLSILGPHQARNNMLRRTWQTVYY LSWPVIFYAGCVLTRLTFPRFSYGSYLIWFNVLNATASLILGSAPYGFSSSMVGLSYL ACCLGVILGSLFTGRFSDYLTIKLARRNAGVMEAEHRLYPFSLCLILVPSSLILWGVG AAHGIHWFGLLVAMCLLAIANTAGITLSVNYLVDSYRELSGDAMATVILVRNTMSFAI GYGITPWVERLGYRDCFVSAAFVGMACSAVFLVMVKWGKGCRVRSRERYWGVVEENWE RGMGGGH ANIA_01477 MPQSNPKPLISHLYTADPSAHVFNQKLYIYPSHDRESSIPFNDN GDQYDMADYHVFSIDTLAPLTSTSTENVKVEVKDHGPVLKTEQVPWASKQLWAPDAAE RNGKYYLYFPARDHEGLFRIGVAVGNAPDGPFVAEETWLKGTFSVDPAVFVEDVRDGH EDQDGDGKEAYIYFGGLWGGQLEKWVRSEDGEEGGEGWVYDKDAKEPTGPGAPAREPL VAKLSRSMTELASPVRPLVILDESGQPLAGDDHDRRFFEASWMHKYKGVYYFSYSTGD THYLVYATGSSPWGPFTYRGRILEPVLGWTTHHSIAEFEGRWWLFYHDCEISGGQSHL RNVKVREIGYKEDGRLGLCKTPSGTSSFMLEFNCPRQTGQGCIDSLLEFAAPRGISES GGATIRIATMNY ANIA_10199 MDNHKKDKFSHCPESHPIPPRTPTLSPSRDEPNGLPLPDHIDEM LENAQQSPIESEIMGERLHRAEQIEIEQQEGQPKLEPQSWCPPSSAGESRELPTAAAA IDHMIEHPRNSRCEAEEIRKLLQGRGEITRLAQQAKRIVSNTRNRWLAIGQHIHRDPK HQDTLSEGLNRLEAVRQGIEDCTRRIEARLRQYHTQERQQRLRRRLQRRQQRRQVQQI TSYLQQSGFDLGLELSYDGPSQSASNSVLEFHPEFQNKIAHLARKLHPLVSYSTGLIH PYFPKSVLAFNLLTSAQLDALALHFHQVYPPRRETFLYPLPIKPWLATNGFLRDLGVD TEVKRRRFGRFIGLKGCESPVKTRSDEGSVVEQVERDWERRYRNALAVQMRNVEESYM FMDGLDNV ANIA_10198 MTIRSMKFTPEVLLGAPRRSGAVPNSTGTLAVYTQTTYSFESHS KTNEIRVLDLKTGRSALITNDPGASSPQWIDNTDQLIWLKSKGNGNTSLIIGDARQAD RTYTAGTVPGPVSDLKVTVIESGKIGFAVAGKSNPDGTLYNPQDAKAPHSTGKLYTSL FVRHWDSYIEPQRNAIFFGLLQRAPLSPATRQAGKYSVSGITNLIAVCGLTGVESPIP PFGGGGDFAVSPSAIVFVARDPDVNPALHTACSCYYCPMFSWTGVSAVESRICRVQGL EGAMSSPVLSSDGSSIAVLAMRQDGYESDKNRILYVPNPWSGEMIEAFASANGKGLWN LSPSGLTFSNDDNYLYIQAEEYGRGVLFRLPVANIRHSTPNMLKKITSSGYVTDVHPV TSKSSHLLISSNSLVDNSIWTVVDPANPADAHVISSIGHGGAAFGLSAAQVDEIWYRG AEDTPIHAWVVKPSNFRPGSKYPLAFLVHGGPQGAWNDQWSTRWNPAVFAEQGYVVIT PNPRGSTGYGQEFTDAIRGSWGGLPYIDLEKGFDYIEKNLKYVDTNRAVALGASYGGY MMNWIQGQPLGRRFRALVTHDGVFSTTSLLATEELYFPLHDLKGVQWIVPENWAQWDP SRHLDKWQTPHLIIHNEKDYRLTIAEGLSAFNVLQMKGIDSAFLTFPDENHWVLNPEN ALMWHYTIFNWINKHVGLPAASERFEQFSGNEKVALQNRLAKVSLSN ANIA_10194 MADQNISQYKYSAMSNLVLQADRRFISRVNDEATGDPESLAGRI SIREMGSRIARDDAPKSKKKAVGPVDIERGAIREGEDVLAREQKKRQKGQPAQLRGQG ILSAADALIEGLKYRPRTPATRATYDLILTLTASRLGDVSHEVVRSAADAVLEVLKDE EMKDFDKKREIDDLLGTSLDPKEFNELVNLGKKITDYDAQDEDEEMGDGVTGEDEAEL DERQGVAVVFDEDEDEDRMGTMDEIHDEDESDDEDEEQQESDSGEGAAKEAPEDLPTE EMVIDGGASADGEQQKKGLIVSARDIDAYWLQRQIGAAYSDAHIQQEKATQALEIMEG KTEDGSPRSLRDVENDLMELFDYDFPDLVAKLVTNRDKVVWTTRWRRVAEDADARNLV ESEMVEAGHRGILDEIRGKTVSRDNDSGRPEKRIKMDLMDVDLPKAPAAAEEKKTADG GLVRGLQPKRLINLENLVFHQGNHLMTNPNVKLPQGSTKRTFKGYEEIHVPQPKSKQE PGERKVAISELPEWARIGFGDAKELNRIQTKCYPSAFQDDGNMLVCAPTGSGKTNVAM LSILREVGKNRNSQTGEIMLDDFKIVYISPLKALVQEQVENFGRRLAPYGIKVAELTG DRQLTKQQIAETQVIVTTPEKFDVITRKASETSYTKLVRLIIIDEIHLLHDERGPVIE SIVSRTIRQVEQTGDAVRIVGLSATLPNYRDVASFLRVDPAKGLFHFDGSYRPCPLKQ EFIGVTDKKPIKQLKIMNDICYNKVIEHVGQNRNQMLIFVHSRKETAKTAKYLRDKAL EMETIGQILKSDSASRAILAEEAESVNDAALKDILPYGFGIHHAGLSLADRDSVQALF KDGSIQVLVCTATLAWGVNLPAHTVIIKGTQVYSPEKGSWVELSPQDVLQMLGRAGRP QYDTYGEGIIITTQAEIQYYLSLMNQQLPIESQLVSKLADNMNAEIVLGNIRTRDEGV DWLGYTYLFVRMLRSPGLYSVGADYENDDALEQKRVDLVHSAAVLLEKAGLVKYDKKT GRLQSTELGRIASHYYIGHNSMLTYNQHLQPSIGNIELFRIFALSDEFKYIPVRQDEK LELAKMLGRVPVPVKEGIDEPHAKINVLLQAYISRLKLEGLALMADLVYVTQSAGRIL RALFEICLRRGWASVAKNALDLCKMAERRMWPTMSPLRQFPRCPRDILQKSERIDVPW GSYFDLDPPRMGELLGMPRAGKTVCDLVSKFPRLEVQAQVQPITRSMLRVELTITPNF VWDEELHGTAQDFWIMVEDCDGEEILFHDQFVLRKDYAESEMNEHLVEFTVPITEPMP PNYFISLVSDRWMHSETRIAVSFQKLILPERFPPHTPLLDMQRAPVKALKRDEYQRLY PDWEYFNKIQTQTFKTLFESDDNVFIGAPTGSGKTVCAELAILRHWAKEDSGRAVYVA PFQELIDSRLEDWKKRLSGLAGGKSIAKLTGEMTADLKILAGSDLVLATPTQWDVLSR QWQKRKNVRAVELFVADELHMLGGYGGYVYEVVVSRMHSIALQTESGMRIVGLSVPLS NARDIGEWIGASKHTIYNFSPHARPVPLELHIQSFSIPHFPSLMLAMARPAYLSILQL SADKPALIFVPNRKQTRATAIDLLTACSIDDDEDRFLHADIEELQPLLGRVHERTLAE SLSHGIGYYHEALSQTDKRIVSHLYNIGAIQVVIASRDVCWELNLTGHLVVVMGTQFF EGREHRYIDYPISEILQMFGKASRPGQDKVGRGVLMVPTVKREYYKKFLNEALPVESH LQLYMHDAFVTEISQGTIASTQDSVDWLTYTYFYRRLLANPSFYGLTDISHEGLSTFL SELVENTLKELSEAKIIDLDEEDDSVSPLNAASIGAYYNISYITMQTFLLSLSARTKL KGILEIVTAATEFESVQMRRHEEHILRRVYDRVPVKTSQVAFDSPHFKSFVLLQAHFS RMQLPIDLAKDQEVIVSKALNLLSACVDILASEGHMNAMNAMEMSQMVVQAMWDRDSP LKQIPHFGPEAIKVANEYNINDIFEFMDAMDPSENKDYNTLVKRLNLDNKQLAQAAAF TNNKYPILELDFEVEDPENITAGEPAYLKIKVEREVDEDEEFDTTVHAPFYPGQKMEN WWLVVGDEKTRNLLAIKRVTIGRKLELRLDYTVPTPGEHELTLYLMCDSYVGVDQAPT FTVRAAEGMEEDESEEEE ANIA_01479 MPVNGSRLPYLVQSQARSLARPNTILPYRCLVHRTICHHAQPRR VAAPQLTTVFHNQRRSAKTKSTVKLKDLPQGAVKLEPYQDVVEDTPRYPTVVQGHRNN MQKFKNCVVLTRVGGFYELYFEQAEELAPLLNLKLATKKTSAGPVPMAGFPFFQLDRF LKILVQDLNKFVAISEEFAISAEEKARSGMLFDRKVARVITPGTLIDEKFMDPSENNF LMAIYLNPSDLGMHARGSDESSYQHILTSASQNVGLAWLDLSTGDFYTQSTTAQMLPS AIARIGAREILVDQRLQDLAGQELQLLIGHEHRLMTCFSFPEKISPVSDWASMLEAPL PSTSIDAFSQEEVAAGYSLLEYIRVQLQGLNLKLQPPRRRHLNESMSIDLQLLKRSYD AQRLVQKFTLGKGDADDLICLSKSIEASKNVKRLLSAASNASSEYSRKSLQKMVDQLY LDGPTLLADKILSAIDEEGLLQKQRIEEDTAAEAAILAQEVTLNEGAAADLASLPKKV REKTTERTATDESSPDETWIMRRDASPALKELHRTLDELQNEKSKLGQYLRDSVGSSA ITLKWTPGLGHICHVKGAKVSQQQLEDIGVTRNVSSTKSTRSFYLPAWTELGGRMDQI KVQIRQEEQAIFEQLRREVILNLVKIRRNASVMDELDVACSFATLAAEQQLVRPILTE GTNYKIIGGRHPTVKLGLEEQGRRFVSNDCFLGESERIWLITGPNMAGKSTFLRQNAL ITILAQVGSFVPADYAEIGIVDQIFSRIGAADDLFRDQSTFMVEMLETAAILKQATAR SFVIMDEVGRGTTPEDGTAVSFACLHHLHYRNQCRTLFATHFHGLADMTQEFPALGRY CTDVKETTEGRFSFVHKLRKGINRESHALKVAQLAGLPSETLELARSVRDSIRGEASR LTTAAFSSAS ANIA_01480 MPPPTLLASPSLSITPEPLTRDAFAPFGTAVIPPLPRSVTTAPN PLSSHPKHPLVTFPVAANQLSALKYSPISPLINNYPSQCPSNQPSEARMSMFSCFPRT LRAVTSTGEGKVGGAQKVVFDVGILERHPYTSQTFSPLGLSSDDKSAYYLVIVAPTLL GQTATARTEAGESVSIRDPPNLSKLRAFVASGEHAVTYGPGTWHAPMVVVGECKVDFV VTQFVNGVAAEDCQEVCFGEGIVVDLSERQQRAQAKL ANIA_01481 MVSATAPEIQMDEIASQTAPSQRGSENKPEECITQARCSSHTVE HEAPKSRGSWRIGAILIALALSLFISALDQTIVATATPTISADLHSGGGYVWIGGAYL LANAASGNIWANLSDIWGRKPILLLAVALLFVSSIVCATAVDMAMLIVGRSFQGVAGG GLIQLILITISDLFSVRLRSLFMGLMECTWTVAGALGPVLGGVFTESISWRWIFWINL PVCGTAFVLLILFLDVHNPRTPMLEGIKAVDWFGSLSILAISVMILLGLDFGGDTFPW DSPKVICLIVFGALMSVAFIYSEKRLAKYPLMPLHIFTSRSNIACFLVDFTHGFAFLG AEYYLPLYFQSAKAASPFHSGLLILPFILTESFTSLAVGIVIHRTGRYQEVIWLGMAL VLLGTGLFIDYSTSTSLGKIIGYQIISGFGCGLLFFPPLLALQSNIPTKDTATATATF GFVRNVAMALSVVLGGVVFQNSMGLKRDLLEDAGLSSALQEDFTGAEAAANALAVKDI TDSLQREAVETAFAWSIRNIWILYTALAAVGLVASFFITKRQLSKEHVETKTGLKEKE KNTAEV ANIA_01482 MAAEIPRKLWEHPNPRATAMWAFKESLEKEKNVSLPTYYDLYTW SVTNRAAFWDFSWRYFPIIHEGSYTIVVDESARIDSIPSWFKGVRLNFAENMLFTAEK SPAGTQLITTAGKEDSKIVATQVREGAAEPAISVTWSQLRQRTGKLLQALKAAGLAKG DRVAVVASNSIDTLVVFLATTALGGLFSSASTDTGVKGILDRLVQIKPKFVFFDDAAV YNGKHIDLRPKITDVINGLKDTSEFKSLITLPRFPDHPVDVTSLPKTQPLAQLLATAP SDKLEFVRVGFRDPFLVAFSSGTTGKPKPIVHGVGGYLLNSNKESRLHRSHGPNSVTL QFTTTGWIMYMSAISGLLFGGHTILYDGSPFFPNPKILIRLLGRYRVTHFGTSPRYLH ELRKNGISPRTEEDLRSLVGVTSTGMVLPDSLAEWFYDAGFPPHVQLANISGGTDLAA CFGLENPITPLYLGGCQGLPLGIPVEVYDQADEGASGVKGTPVPDGIPGELVATAAFP TMPVKFLGEDGEKKYFDSYFARFDNVWTHGDFISIHPITKQIFFLGRSDGVLNPSGIR FGSAEIYNVIETQFANEIVDSLAVGQRRPQDSDESVILFLLMKPGFKVTKALIERVKE AIRKALSARHVPKYVFETPEIPTTVNLKKVELPVKQIVSGKKIKPSGTLLNPESLEYY YQFADVERLAETVSAS ANIA_01483 MDALVSRLDALVTNADLAPLLSLVKGIRNGAVYGAKVRFPHALV MIFLFRSGTIREKVKLVLNATRQHARNLAVFCFIYKSSMIVLRNLNPAGVGKEGHYDS FLAGLLGGYAVFGRHKSSITQQIVIYIFARVVLGFAKLSVQPGMHPLSSLIGPEARKQ IESNAWAVFASLSWASVMYLFRWHPEVLMSSLRSNIPTQITGTRSATS ANIA_10200 MLREVKPKNPRTARILKAKEPQLIEGAKRVLLLHGSKCPTPLHT VLKVFHSLTTPHSVLFHKKNENIHPFESTESLEFLANKNDCGMVIFGSSNKKRPNCLT IARIFDSKVLDMAELLLLPDANGEGIPEMNRLSMHVAIGLRPLMLFSGSAWDDTTSTT HTMLKSMLVDLFKGETSDKIDVEGLQYALMVGAEEPTAGLAPIIHLRWYKIVTKRSGH KLPRVELEEIGPKLDFKVGRIQEAPRDVMKEAMKQGKKPNEEIKNKKNIGIDLIGDKV GRVHLAKQDLGGLQTRKMKGLKRRAGVESDDEGDADMMDVDEVSEDEKTKRARHD ANIA_10195 MAQDSASMNPTGEPTGPKVDVPPVGNNGQQNAGQDGAAPKVKTE KELERERKKAEKLKKFQEKQAKAAAKTTTPKAEKKAPKVEKDKTADAYDPKVIEAGRY QWWEERGLFKPEFGPDGKVKPEGYFVIPIPPPNVTGSLHMGHALTNALQDTMIRWQRM KGKTTLWLPGMDHAGISTQSVVEKMLWKKEKKTRHDLGRKAFLERVWDWKHEYHGNIG NALRRVGGSFDWTREAFTMDDNLSAAVTETFVRLHEEGIIYRANRLVNWCVALNTSLS NLEVENKEVEGRTLLDVPGYEKKVEFGVLTHFCYEIDGTKERIEIATTRPETMIGDTA ARHPFIDRLLPIVADPEVDPEFGTGAVKITPAHDFNDFNRGKAHNLEFISVLNDDGTF NKNGGPFAGMKRFDARYKVIEMLKEKGLYVKWEHNPMKIPRCAKSNDVIEPILKPQWW MKMESLAEPAIKAVENGEIIIRPESAEKSYFRWLRGINDWCLSRQLWWGHQAPAYFVQ IEGEEGDDSDGNLWVTGRNEEEAQKKAEAKFPGKKFTLKRDEDVLDTWFSSGLWPFST LGWPRQTHDFENLYPTSVLETGWDILFFWVARMIMLGIKMTGKVPFKEVYCHSLIRDS EGRKMSKSLGNVIDPLDVMEGIKLEDLHAKLLVGNLAEKEVATATKYQKKAFPKGIPE CGADALRFSLVSYTTGGGDIAFDIQVIHGYRKFCNKIYQATKYVLGKLGDDFKPLPTP TKTGKESLSERWILHKFNAAAKEVNIALEDREFSHAASTVYQYWYSQLCDVFIENSKS LLAPELPQEVQESAKQTLYTALEGALTMIHPIMPFITEELWQRLPRRPGDNTISIMKA KFPEYKAEFDDSTAETAYELILNTSKAIRSILAQYDVKTKGDVIVQTYDATSHKTVSD ELHIVKSLGGKTLGELSVLGPENTTPPSGCVVAPVGSQAAVYLRVSKEVALEQEEKGK ASLKKAQETVRRQTTLINSAGWKEKAKPEVREQEEKKLRDAESEVARLEEQIREFEKL RLE ANIA_01485 MARVYADVNKHMPRSYWDYDSVNISWGVLENYEVVRKIGRGKYS EVFEGINIVNYQKCVIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVVRDNQSKTP SLVFEYVNNTDFRTLYPRFSDYDVRFYIYELLKALDFCHSKGIMHRDVKPHNVMIDHE KRKLRLIDWGLAEFYHKGTEYNVRVASRYFKGPELLVDFQEYDYSLDMWSLGAMFASM IFRKEPFFHGNSNSDQLVKIAKVLGTEELFEYLDKYEIELDPQYDEILSRFPRKPWQS FVNAENQRFISDEAIDFLDKLLRYDHAERLTAQEAMAHPYFAQIRAEEAANRSTASS ANIA_01486 MYYDLNVPYSPGDPEISATLSFLAEPNLTTINGKLPPNPTPPPL PSDAPKDLTLLTRLNIPLSDPAQNQRLTSIAQAYDLVAVRPTNEKALLNACTNAECDI ISLDLSIRHPYHFKFKMLSAAIARGIRFEICYGPGVTGSGLEARRNLIGNAMALIRAA RGRGIIVSSEAKRALGVRAPFDVINLACVWGLSQELGKEAISAETRKVTALARLKRTS WRGIIDVVDGGQIPPASEAAASVAIVKDTGAKLNADNNGDNLKRKASTGPEPDADGPE KPLSKREMKRRAKKARLEAALAGTDDSPAT ANIA_10201 MTSTAPTNQQHPPSGPSSEFSGNQPPASSGADQSTHISTSGYAP SENQLAGLVEAATAAAGQDVSEWAAAAAVAAAAGAAGNQHHLDGYAPDIQLDEDGFDE GGYAGMTGARHYRGPGSTSGNEHGQSSGLARSGTKKRKRNEDALDPALAAASASLGQH HGQPQHQNQSQHHVHHASPYPGEGLEIRNTPPQTLADARAVGLHSAAALFRQTSTNKK YTRPPMSKMFTSLELSPENFLHLQAAAKAYMLDDRHPERRDCVGQRGKGDTEMVKLRL WNCVRHFLEVEGNGPRFFGEHVVNEGMPPRTYVWPRDQQKIISLVIPLLRRMVTNERQ RQYAVETRKGGVEARRRRKTEDSIQDFRSASPPKFSSEDHLQMQADHQLPDGYSSTQH PLSASAPPPPHSEPSVQVTELGLTDLILDGYSTDLDSISKSYDSYNHNYELDNLWSLS GLHLGDWRGLVAAVDSHYQILHEGDYNCSGCCEDGNIGRILNSTSTADLRWRIGGDPA RNEFASSITRDVSRVIRDNLAARQGAQNHTSLPQTQPIPPPLPFSHSSDLSNPNPPPA QSPLRLRINILQNGKRILPSYDLPATQGPDVTTVRQLVARKFAGELPGIPSDLNSDSN TWNASISWKFKVWLPEGLVLIENDGDWTLALLAAEKVDWLDGELKVLVEIEDENQ ANIA_10196 MATTRRFVPLLGCGFFFFCLWGLFSLSRSWTQMKVSQAVGLGEL VSTPSPTPSGYWNVTEGPKQPFAPRPQYVAGIARPDGHEYTKTLVIPRTTYEDTSWTE FEIPGWETAVYVVDDPSAPLHPPKNKGHEVMVYLSYIIEHYDELPEIIAFMHSHQFGW HNDDLLDGNAATILQRLRPERVIREGYMNLRCGWGPGCPDWLHPGTLEEDESKQEEIL LARSWGEIFPDDPIPDVLAQPCCAQFAVSRERVHAIPRARFVFYRDWVLRTELSDYIS GRIWEYLWHVIFTGQNVVCPKEHVCFCDGYGICFGGEDEYNAYRNMDSEREAWEDELK RWRSRAAVIESARRRGTLGEKSHLSVPEPGRDIELEELIARHGELKEELLLNATIRGQ DAKARALEVGIW ANIA_01488 MRFAAYAGASVALATGVFLKALHQRANFYSACVYLSQSSANLMI LTNVCLLAVGFLLFWLQRLLYGPLRPIETEQLYEKAWFAVTETCLAMTIFRGELGAWF LVMFVSLLVGKVWGWIGEGRVEFLEQQPPANPLLFHTRLVVSLLLSVMFDIFMLKYCI DTVLEQARPDMMVMFGFEFAVLTILSTSTAARYSISLVEIYINRQQMKARIEERRQEI RAAREQALAEHAATEDQTANLDLPDENDINELELDIPGWEEKGRWVFYLDLLTDFLKL TVYLTFFAILFTFYGLPIHILRDVVVTIRSFGRRIMDFARYRNATRDMNDRYPDASAE EVAREEVCIICREEMTHWQPGDRPVSRVSERLRPKKLPCGHILHFSCLRSWLERQQNC PTCRRPVIAPPRNQGPAGVNMGQGNGGAGQQQNMPPGNQPVNQNPPADGLPRARIYQF GPFRIGFGAGRGDLFNNLHQQIHQGNGPWQPGMNPNPAGARQIGFGFGFGRPPLQQAP APAAPAATQPVPTPTSNLPNLQNQLLQMEQQIANEINGLRIAADQLNLVRLLQTELQR LRTLQSQPLNNQTNIPQNPSPSIPSISPTTTRPRIVSNPETAPMGAGDPRLPDGLTLP QGWTLVPLHSVQPGLSASSNVAANASSSEAEHSAPPSEGMLNSQVPTDTESQRPSDRS DAATSGSSGLPNWQSSPFSHSAADSTGAISQQSENLSSEAGLRAPLAPSKTEQVETPL SEHGAETRIETQTSDSRSKGKERVATVEDAADDET ANIA_01489 MASQRSMFSASRIQVSTYLLAVCPFSIAFLVFINSSISFVVTDL IGLHEGEGDAVGTLGFADELLALAACPLWGVLSDRIGVRQICTAGYTIIAVALILFVQ ARNVYPQLLLGRLLFSIGGAAVSTMVTAILPAVTGRSPRTELEEEPEPRTIVAPSSRL AGFVGTCAGCGALISLVVLLPLPAQFQQWGLSPAKSIQYSYYTAAALALVVSGCCFVG LRNLPGEDGKAWTSLWSPLRTEPRSFEPRKNFYSTLLSRFPYLKQFGSAIKLGLRNRD ILIGYIGGFVARASSVGISLFIPLFVNSYYHKTGLCDGQSAEGANPGDIKRSCPKAYV LASILTGVSQLIALIAAPAFGYLSEKPRRYHLPLIVACLAGVVGYLLLALAPSPEFKG ERGNPGIFVVMALVGISQIGAIVCSLSVLSNGILSLTNSVEAAETVAGNSRAPTTGPQ FDEQQPLLAADRKQPQPSDLKGSIAGIYSLFGGAGILLLTKLGGILFDVLSSDAPFYI MAGFNGVLMIACVVSGLIITLEASQ ANIA_01490 MRPQTVRSLALLGGFSFAAANDIVSLILPAADEQELVGEVIGSA GPTTTYRISCPDTVDEDECGMPTSGLTVAAAPTAFVYEYSYEDYYLRESCKHSGTTWF SCLVTNTQSDFSFVTSTTIDEVLPYMAVTITSTAAGADAEATSSATSTSTKASDADAD AETKTNSESLSTTLSGSASVTAASSESPSSSTAAADPEETTSDNAAMPQVTGSAAQWI VSGAGMALALALA ANIA_01491 MEPMLPEAGEAALLSSYAPQASGSMGVDPDPITGLVSTSAAPLV RVEEAPKFELESYIANYTGRTRFNRLYLIGTCSSYLAVDALKAAIAEAKSGKDVARYL RAVQALADVAPNEPEATIDSDWVERSQKVVKAETDRLEHELRGYKNNLIKESIRMGNE ELGQHYHRIGDLTSAFKAYSRMRDFCTTPSHIASMLFKIINVAIERGDWLNVQSNVHR LRSQGGKPEEQAKHQPKISAAMGLSQLHSGSYLEAANSFIATDPSLGDTFNEVLTSND VAVYGGLCALASMDRNELQRRVLDNSSFRNFLELEPHIRRAISFFCNSKFRPCLEILE AYRADYLLDIHLQRHVQVLYNRIRTKSIQQYLIPFNRVSLESMAKIFVLGNPTSQSSQ SDSKSAFVQELISLIQDGTLDARIDLEKHVLVSTQGDKRIEVQEAVLDSLDNYVREAH LRLLRSNIIRAGLEVRPLGEDRRTKLEERGKKGHSAIGNLLRATGMKQ ANIA_01492 MPFATPIKTSAGITLPVDLKEASVETASMTTAIVAHTLRGMDCM SYKISTGFAVGSNKKLDLASNKWWLLISFDTPKNAKPTWEEDIIRDLMNRLGLPVSEA IPPSDRDSALLQAQNGEQSSRMARIRSKIRTMQQTSRLNSLKSRLDSLTLHHEKTVRV YDDIPFLLSYGAHDADFAIYDELCRHLQAGGETRLCGFAFTLRELQTWDKIDERLDQF SPSFCIVALNVAILAPYSDHSCSKADGGSRFLNPGKLSLHITSSIAMQNQ ANIA_01493 MVLFRSVLAFASVAGLAISQDASTTTVDIQSLDDGTKKQWCIAQ TSSCPLLCLQLPGASGDPTSNTCDDDTLAYNCVCDNGQSPNASEYSQTIPYFLCTEEN NNCVAACSQGDSSCQDSCRTSNPCGAQNPKLVNTTSTNGSSTATSTTTSTTSSLAPFT GLPDDDEGAAVRPVTDLRQVYGLFAVLGGFFAGFTILL ANIA_09515 MSWKDADAGPCPSSQKRIRGLALPPPISGSEVSKWMAPANEETG RVPRPQPLPASPHPSSGIPSAPDRDGDAVEPADSNPGEISANPSSASSSRSQLESPNL APKERPVSGIVPPYWSHHRNASRASQISADGGPAITLEDHTEDPNSETSRGLWAKSVT IDDHVVVQGKSGVGAYVVWNCKIRTLEGGTITVRMRYSYGSAIISTPSQRYPRRVFYS SFGHLSLNLAKLV ANIA_01494 MPGVVDTPAGPSWPGLGFTVAHQKVELELDFASRSLKGKTEIII HPHYKDLRYIRLNFRQGELKRVTVSGKVPLVKYTDPYESLQLYGPHYHQRLASKLDAL LKTPSEPELTLTLPKSVRIDELDPFSVEAQDQMALRAPGLADDTEGPLSSKTAETSLP RFTALTVTIEFIVENIRDGIQFVGVENGDKRYPHAYTTNSLDHGTGCPLFPCVDDPSS RCTWEIAIRCPCTLGDVFERKPRDQSVSGTGTRLRSNHDRLAPDDEALDLSVVCSGEL TDDIVDSKDSSKKTVSFASYSPLSARQIGFAVGPFEYVNLADFRESDQDEQLGQNAIP LHAFCLPGRGDEVRNTCFPMAKAIDFFSMTYGSYPFSSYKMCFVDDAPEDTLPTACFS ICSSRLLFPEEIIDPMYDSTRAITHALAAQWIGVNIVPKEPADTWVTVGVAWYITDTF MRKLCGNNEYRFRLKLMSDRVCDLDYERPSVYDMGNILSIDPSEAKFIALKAPLVLFI LDRRLTKASGKATMSRIISRLFLNARMGELPNGAVTSSVFQKTCERLGHAKLDTFFNQ WIYGAGCPRFQATQRFNKKKLVVEMMIKQVQSDQPTARDLERDAFMRDVKEEIRNVYA GTIQPVFTGSMTIRIHEADGTPYEHIVEIKEGVTKFDIPYNTKYKRLKRNKRQKERAA AVIGADGNAEVQEDILLYCLGDVLQSEEEMQQWRLADWSKEDEERMGQESYEWIRMDA DFEWICKLSLVMPGYMYLSQLQQDRDVVAQLESLQYMAAQREHPLISTIFLRTLMDRR YFYGIRVAAARALVKHAKEEINWLGLFHLEKAFQELFCLPGSPMTRSNNFSDRAAYVL QLVIPEAISKVRDVSGKTPMRVKRFLYDKLKFNDNSNNEYSDNFYVATLMKSLCHAML GRVESRTDDLNNFDMDAVLEAQAEEQLEKDAIAEIDRYRRMDEWSSSFQNLYSRAALY CQMQLMQAKIAEVDVMQFLPYTRVGTYDLLRLDAFECLVELDIFKSPELLRWFIFTMS NDPSIWLRWQLHGLFGRALAPVAFGRGVINEPPPPTDGLIIEQESSTEVRQADLARRQ TVTGALAALKAEVSGDQVLKECLWAACSSPIIGILELSEFTDLCRVIYDPVTSKRVTL KYPRYWAVKHHGRGRLQFYRTNKIRTSLNPSTTSSAKRKREDGTAMPAPSSGLRVTFK QSRPNPSNHHPSTPSAKTPGPSSGLGTPLQQRQPTKLHIPNFAAAQVHRQPPSHTPAT PSTPGGGLKLKLKLGSQPKQ ANIA_01495 MPQAQPELKKYMEKRVFCELNGNRKVIGVLRGYDVFMNIVLDEA FEEKPGGEKVAIGMIVIRGNSVVMLEALERISEK ANIA_10211 MSSGFANRRKPRKIGGDDGNDDEEQDTGPIIKRPVSLKVKQKSK ARVSFGPGETSMTEGDDGESEVIVPKKHGLGRRVVEKNAFQKSTTPSALSNQLPLRVG PEQDRPSYNEEYLNELRNLTASTPKPTADSENQNEVDVAAKFGEVTKVTAPSLIPTEA EIREKKARRARLAKEQDSHSLTEQDYISLEENAGDDWELVDREYDRDTRLVRDDEDFA EGFDEYVEDGRISLGRKAEREQNRKQREAMRELIEDAEALMDEEDSDLEEKAAYEAAQ TRAAMGYGNGPVDRPKTPPKMTSLPRLSTCLDRLRMNLAVLEKSRTQMINRMEELRKE KANISVREVEIQALIKETGDHYEKLKQEAGVTPGSEAETPGTTDFESSRGLENIGAPS TAVSKTNSESET ANIA_10209 MSRTPFFSLGRWSLPRAERASIGPTQGLALIETPIDEKDGVPPH LYRRSDATPIELFFDLFLVANLSTFTATHEINNVEALVTYIGFLGVIWFTWLQVMMFD IRFARDSLFERICKAMQLGIMVGFASAGTRITTRVRPENVWAFQSLSLMLGGSRLLLS VQYIVSTVLIRKRMSCASKGLYFIAAALLVSSLVHFGMYFVFGEDSAHSYVWTVWFVL FWVEMWTVFATSCITPDIGFQDTPLNVRMGLLTLIIIGEGVISVTRLVNKTVRPGGWT RWSFVHILGVTTNVYFIWQEYFDLTPTRTIGTFAQQIWAQLHFPFHVALVLLLEGSQI LALTLDITLKLQYLTETILFACEEPRPDPELAVKLLCDTIADMEIEYSRGAISEQMAI HDILNDLPNHEICPVNGTIGFTLTRNMFNDLVGNVTSALFSSMRIVPPQSAHVSTMDS SQLLRMYVELLGFVYVYYFLVASLAMLLFAAFGLLAQRHDKPLAMAIGVAVRIVSGIF LASLTIFAKHFELAYSFMKSPTILYAFTFVLLGGTTEQNGSDGRLHAQRCATGLGLEA AKDTELELDRAHALSALTWHAYRQL ANIA_01497 MTERNLLSSSCLAALPDSCAAAPAMSFDTSSTIGQRSESTMRCE QLQIGDHGETDGQYLATSAGVLNDDFSGRDFQTSGEQYRRWHANFSSTEPAKSVRPTS QNSFHWKLQKIDRPSTKDTFTVEELNSNSGGAPTPDLVHPIPRHSPYAVTSYFTSEKI PETSTFGKTESPLSEDKKCLVRGSNWTSDDFAFSCLLQERQSPDASSLTTLPDNYTPV TTDGTYTDHSVSPWPSVKTQLSWDTQTANATHCKEEVAWNEPRFFPDVEQQQTHFDQF PVTTDNIHGFPSLGHSAETYVQPSSLLDPYGSTCPGLYLNGSTITQQEQSPIPYSSST LVFQNGLRPDESTDSFFPSGSISYQPSHVGHMATWTNDVKNALLIEYKRQGLSYKDIK RIAGFKEAESTLRGRFRTLTKSKEQRVRKPQWHEKDVRLLCEAVNVYSEDGRISPYSR RPVPPTKIPWKKVAQYIWNNGGSYHFGNSTYNMNSQ ANIA_01498 MDEIEDAINARICSYMLLEFSMTMDLGDEDDNGLSAAILPSPTA PRIYCPTLSNPVFSNSPRHSFKRRIHRMQLGLTESLPALVAKRFTAAKDAGHLIFSQT HLEILRPGGVPYQLRYCPALANKPTGKPKSDEGSPKKRFDPFENPSPELLIAHFPKEN PTHFLVLNKFPVIPNHFILATTAWREQTDILEKEDLAAAYACVKAWNDGNQSGGTSSK RLFAFFNSGYESGASQPHRHLQFIPVEAMAQDDETGTWKPLIDTVPSQPASFGSEFRR VAGIPLANFALPLPADASAEKLHEIYLSLYKAAAVAAGVPRSQLQSSTGPAIISYNLA MTDSTMMICPRKSVSAVVSVDDAARKDIAEAGVVELNGTLLAGTMMVKAEAEWHELRR SPDALMKVLASIGYPHPDPRELSLL ANIA_01499 MSRFLRQDIQRRLLRLLTLKPFRLLPPHGPQAANDEPQCKPSLN HYNNRPVLILALIIFIMGPRHFVRCLPDLLLYPPIRLTAPLAPSAFNLQCSTLDPQSR LLRRRSLERTSMLTCQRPPQSPTNIEGMLSPPSAIGGVRVTLEILEGFDIDEPPRIPC QNRFLKCEAIICLADDTRSRKKIVDGTFAKVVGA ANIA_01500 MMALEPSRQHQGPYFQDYSIDPALVDSFSFVDSLGAYGPDLTQP SFQNTGFGDSYTDMGKQNFPVTTSEGFLSGVSTASGPSIASASSSAMGSPYSANTQTF QEDWVDTTHGLSHPTAVMGDLFSNESMVNTFDAEGFYQKKSDSFVDPSLIQPMQQPQL TLTPPAISYPEQPDYNLAPTSFYPQSPEPSRFHYAENYMPKQSFPQQSNLAPPSPIPS GPHSRPASIYDRRSSISSIHSRPSQASPAPSGAEFEGDVKEKGRCPHPDCGRVFKDLK AHMLTHQSERPEKCPIVTCEYHIKGFARKYDKNRHTLTHYKGTMVCGFCPGSGSPAEK SFNRADVFKRHLTSVHGVEQTPPNCRKKSPAASSAKSVSDYCQDATGKCSTCSGTFSN AQDFYEHLDDCVLRVVQQEEPSEAINQQRLAEVESDEEVQKTMEKHRLLDTAGSVDQY DDENDDDDDDDSDDRRPAKSAKTGKNSRVILGANNAISKSCSNNNSKNRLTASKRRNN RDHYPQSWGCPSRNIKMKKRVLCVFDGQRRLWKDEMMLDNEFEVRLKLPGGAGDGTNR EAYITDLDVETLKRANGILGATEEERGAWTEGTGGQLIGQPAMLLPDFSQNQEGDVVD IDELMA ANIA_01501 MSSVACSWSRLSTEPLALLLPPIALLCSIVHPDSSLELLTRSRD LDSAIVAVQDRLTRVIASTIFARDKDPYSVPIASIHGSFIRGEASPEFQAWALPVTHQ EFASSSSLSIGEPPDPPLQCHEAPTELNPEYYHVAHSRPESPLAADKPWDSIPLALNA LIDTNWGITAESKESALDRRVACQRTALNRLLRGQGMDGYAADELSWSCGENKRYRNS QSRRMMIAVQPDCEKPQTVGSYFVLLVRILHIIRQ ANIA_01502 MAYFRLYAVLLAVASSVAAVKVNPLPAPRHISWGHSGPKPLSDV SLRTERDTDDSILTNAWNRAWETIVSLEWVPAGIEAPIPEFDEFPTSTPSASAAATRS KRANVPIQFVDVDVEDWDADLQHGVDESYTLDAKAGSDAIDITAKTVWGALHAFTTLQ QLVISDGNGGLILEQPVHIKDAPLYPYRGLMVDTGRNFISVRKLHEQLDGMALSKLNV LHWHLDDTQSWPVHIDAYPEMTKDAYSARETYSHDDLRNVVAYARARGIRVIPEIDMP AHSASGWQQVDPDIVACANSWWSNDNWPLHTAVQPNPGQLDIINPKTYEVVQDVYEEL SSIFTDDWFHVGGDEIQPNCYNFSTYVTEWFQEDPSRTYNDLMQHWVDKAVPIFRSVS DSRRLVMWEDVVLNTEHADDVPTDIVMQSWNNGLENINKLTERGYDVIVSSADFMYLD CGRGGYVTNDDRYNEQTNPDPDTPSFNYGGIGGSWCGPYKTWQRIYNYDFTLNLTNAQ AKHVIGATAPLWSEQVDDVNISNLFWPRAAALAELVWSGNRDAKGNKRTTLFTQRILN FREYLLANGVMAATVVPKYCLQHPHACDLNYDQTVLH ANIA_01503 MANRPLVPGVYVPTVAFFAENEDVDVATVEKHAAYLAKSGVAGI VVQGSNGEAVHLDREERNLITSATRHALDSVGATSMPVIVGTGAPSTRETINLCKDAA AAGGDYVLVLPPSYYKSLVSSAALLDHFRAVADASPIPVLIYNFPGASAGLDLSSDDI LALSSHPNIIGTKLTCGNTGKLTRIVAQAGPSFLTFGGSCDFTLQTLIGGGAGVIAGT ANIIPRACVRIMELYRAGRVEEAQKVQAIVARADWLAIKGGFVAVKSALQSYRGYGQQ PRRPCVAPSSEEAAALKEAFSESIELERQLESQ ANIA_01504 MRKALVITATAISGLSLAVSLYLSHQKRYLASQVRHSSERGQLS PSTPRNVSSISSDIFSSEYYTLYDYASISAPRHSLPDLEPSQLLIALLRRNMSSFASS PQARLLKLAASDPETIRSFDRAHIVALDFDEGDLVCNAYRVRLRAPEKVEFEFLFGVQ GRLVVSVEVKGEDMVFHNETLMWRDKDTKNKLPLENGFANWVHELTAWWMLESGISFE LTRSGHSCHSSRIQFPLPRVISHRHRALMRPERVEEIPSRFPPLPTDPMTSTAIMPAR SRSSLRALRRDPNATNDDSAGVQPPPPKRPRLNLFPSRRRKSSPDLLDTTIDSSPSTS STKLRRPRPLLAHSAHTTSSPPSGSSTPHARNLRQHHTPNSSSAALYLNGGRESPDPL DTISPAPALKPSTITTSTPTPTSAAYRQRRLTQYLKPASEQAVKDSSKSKNTRPARGS TVPPPSDESAPQSLTVPSETADRAVPEKRRSLRSHDGGSRAKSELALYFPNYDQIMSL EPPKTELLSENTVIKLIDDLAEPLDLSSAPSSSDADAPFGNPLVNLYKCDVITLPDTQ LKNESRPDIEAGEAEEDDPLNDEFYFKAHRRHERQEKQLRNIERDRARHDQQQLDRLL DELQGHDWLRVMGITGRSLSEQDKKLYEPKRDYFIAEISTLLQKFKIWKEEEKRRKLD KDYKSASFHSDAAEPSYGNAKDNDRKDDDEEATAEPTSDDVDALAARQLLQEARSATA GKQPKSKLEQQELAQSAPPPLPGLPKPFTSFFAKPHLRAQAMSGNRKGRTRLAFGHLI PELGERDFELPGDILTPEAIKDCQRKRRRMKRDKASSG ANIA_01505 MAQNAESSVPYGHEESGPEKNVTTDEDSFTRDDVKKTENSPPPY TQSPFTSDAFGDEEFAEVKYKTLKWWQCGLLMVAETVSLGVLSLPAAVAGLGFVPAII ILVALGLFATYTGYVIGQFKNRFPHVTNMADAGEVVAGKWGREFMGVAQMLFFVFVMA SHLLTFTVAMNTLTEHGTCSIVFGVVGMIVSFILCLPRTLEKMSWLSLVSFISIFSAV MICMVGVGISKPGVNNTAAVVDTDLYHGFTAVTNIVFAFCGHAAFFGLAAELKDPRDF PKGLCLLQGIDISLYLIAAVVIYRYGGADVTSPALGSASPIVSKVAYGIALPTILIAG VIYGHVAAKYIYIRIFRGTDRMHKRDAVAIGSWVVIALCLWILAWIIAEAIPVFSNLL SLITALFASWFTYGLSGIFWLFMNKGLWFKNWKKISLTMVNLLAIGVACVLCGMGLWV SGKALHDNPSSASFSCANNA ANIA_01506 MTLAEHDDLSPHSEGTTTPDENEPNSPVEGTYNDAREVYGKIAS TNFSILCGGFNDAALGPLIPYIQPWFHVGLLEISYIYLVSFAGSFSASFANIHICSHL GTGGTLLLGIAIQCTGFTLMFWAPSFPFFLVAFLFTGFGCGLANAQANSFTVTVRNSH RWLGILHAMYGMGTILAPLVANSIAAHLSRWQIYYLISLGLGATNAAFAAWAFRHGLF KPNSEGAKEAAGRELRETLAHRSLWFLTMFFFLYSGAEITLGVGVRHGDPEKVGYVAS VFWCGFTFGRVALADVTHRFGERRMVFIYIALALAFQLMFWLIPNILINAISVCLLGF FIGPFYPVGLYVLTQVVPQELHLGAIGFAASFGSVGCAAFPFLTGAIASRAGVEVLQP IMIGLLIGIAFFWAVVPKPKRIRLT ANIA_01507 MAHVHIPTVTLEDLQAFQAKHFPATVKPQPLQSTSYPTHDAYNE DFYANADEEDVDDEDDDLGYYPDGVKRTLTDEQIRIFRHSEIHALLREKQIKQENEEY EKGLGGKTEAQPEAGAQVHTSLDERDGAISAPPKDVAKAVAGRKRCADKVGCDAGADE PVLKRKPTSDSGAPSEVQLDYNEESAAAPTTASQSRATRATPFMGRRIISYDD ANIA_01508 MALVQYSDSESDSEKEAPPRKINKPSQNLSHNPASTLPPLPASF HDLYASSVKVSVRDDPSLHGGRKRVIPHVEGNWPTHIYLEWYPSKKELEILGNIIRQA EHMFRAEQAKLNSFLYSDLGNGGEAAVYGHIWSGTKWLWHLTVCAICPSVFEVQIDSL DWVSNFERTRWFYVLRVKRPEGDGLNRLLHISNRSLGLFNQPPLYAPLFNSKSGTQPS IRVSKPTSTGDYTECFHISIAWSLEEPSAEEKKSMESIDIQRLKALKIKFDCLKAKIG NNVSSIPL ANIA_01509 MQQYQMDHAYPMPGSQSPKSISSASLGSGSPYQRFLSPSPSPTR STRRRSRTTSVLPADYAYADILFDASQHNRGRRSRRSGLNARRSQLVKPDVIDRMDTA AQFSYHHEGPYDAVYPERNRISIHSPLDALRESNEEALKATPRHMIADAIGRRRPLDG VAFYPPGYTDPEGQTYDYEEGPNLMADCCLFPRQRFTDEDFRNDPFTNTPVKPFAPLR NVFRRTPRKHRNTA ANIA_01510 MRSAAKFFYLAVFGFMSQANADKHGEKSMHGYDTCAIDHNAVVS DACVSYHTIDALNDQIYSLLQSITQETDFFSYYRLNLFNKVCPFWSESEGMCGNIACA VNTIDSEEDIPLTWRAEELSKLEGPKAGHPGRQQQHERPRDKPLQGMLGEDVGESCVV EYDDECDERDYCVPEDEGSSGKGDYVSLVDNPERFTGYAGAGANQVWDAIYRENCFLK PVPELEQSSFTPLGGLQAIQDFQNVLQKESKRPDLLPLDNECVEKRVFHRLISGMHAS ISTHLCWDYLNQTTGQWHPNLQCFKERLHNHPERISNLYFNYALVARAVSKLRKHLEG YTYCLGDPAQDQDTKEKISLLTSTLAERPQIFDENVMFQDPGAIDLKEDFRNRFRNVS RLMDCVGCDKCRLWGKLQVNGYGTALKVLFEYDETKNGENPPLRRTELVALINTLGRI SHSIAAVRSFHRAMEVTDGQVFAIPAGSTAGQSRAGGKKVRRLVKNGGSTFYYEDDTA EDYQYISQQRPWERQRVKREGDTIIDDFKAEFSVVWDTLIFVLKSWVNIPWTFWEIGV LEANRLWSYWLGLPVPPRAWRIQLPQRPPPPIVVRDEL ANIA_01511 MASVSPPKPWERAGATAGTALSSPATTSTAMSSTSTTSAAPTAG TSTTSATAPPSLPSRPSTLSTVANQNASNYSPYGASRFGASPYGGYGGYGSYSSPYSR FGGMGSMYGGYGGYGGMYSGMGGYGGMYGGGMPGDPNDPNSLTNSFNQSTQATFQMIE SIVGAFGGFAQMLESTYMATHSSFFAMVSVAEQFGNLRNTLGSALGIFTLIRWFKTLI AKITGRPPPADATALTPAAFAAFMGGRATLPDGSPAPPRPSRKPFLMFLVALFGLPYL MGKLIKSLARSQEAQRQKIMMTANGEQQGVLDPSKLDFCRVLYDYTPESQETNGIDLA VKKGDIVAVLSKSDPMGNASEWWRCRARDGRVGYLPGPYLETIQRKPQQQALTSGSEA GSRSNTMQSQTQVGNEVAAGQKPELKGKMGDISAESFQKSAFYS ANIA_10210 MTEPHWKSIAHQKRLTQLQSIPSPWRLSSSSISSTSPKSPIEII QTCGILSPQELRWTEVNDITELVCLLASRSVTSVQLTTAFCKRAAVAQQLTGCLTEIF FDRALQRAKFLDEEFERTGKVTGPLHGVPVSIKDRFDVEGFDTTIGWVGLANKPAAKS DSVVQLLESMGAVLYVKTNVPQSLMMSDSYNHVFGQSVNAFNTKLISGGSSGGEGALV GAGGSVLGIGTDIGGSIRVPSNLQGLYSLCPTTGRIPWNCSFMHQHYLVPPVAGPMAR SVSTIEYFMQSLLDSNPWNLDPGCIPIPWRRETAAFPDPTRKLKLGIVYDDGVVRPQP PVMRLMRELARELTNAGHEVVEWDTSLHRTGTTLWTRSILADGGYHCRQLCSLVDEPL IQGMVVGTSADELSSVEKEKLEEEKYAFQESYLAQWVASGIDALLLPVTPWLGYKPKQ WVQSNQWLGYTALFNLLNYAAVTAPIGKADGELDHPVAGIDNEWKGYAPRNKADRFNY DQYDIDLVKGMPVTVQIVGGRYGEEKAVAVAKVVDTLFGR ANIA_10212 MDSPVLNQLFRQLFRHPACQSVRPSPSSHTFRLSRSQQQCRPFL TRRSAAKRKSTDDGLNWTKRGDYPKNIDEELKTYPLMAAKDLRHRQDRPRQVQMLTRE FIDDSLYNPHYGYFSKHATIFSPGEPFDFNNIEDGPAFHRLLGERYTEFEDMLDEKQP DEARQLWHTPTELFRPYYGETIARYLVSNYKLTLYPYHDLIIYEMGAGNGTMMINILD FIRDTDYEVYQRTKFRIIEISPALAGLQMKNLTDSLYAAGHLDHVEIINKSIFEWDTY VHSPCFFLALEVFDNFAHDAIRYDTKTEMPQQGGVLIDGDGEFHEFWTPKLDPLASRF LRVRQAAARREFPSPLGPRLARQIRGTLPFQKPYTMPEYIPTRLMQFFDILDNYFPGH RLLASDFSSLPDAIPGINAPVVQTRYKRRTVPVSTPFVHQGYFDIFFPTDFNVVEDIY RAITGKLTQVASHEDFVRRWAYVEDTETRSGENPLLTWYKNASMLMTV ANIA_01513 MPDHSHIYIGSAFVAGVVLTIAFKDLFYPEIEERIRDYRARHSS KSYQNASVDSLAVRHGPPAIVDGIEGCIGNTPLLRIKSLSEATGCEILAKAEFLNGAG QSSKDRVALSMIELAEERGLMTPHSGDTIYEGTSGSTGISLATLARAKGYLAHICMPS DQAIEKSNLLLKLGAIVDRVPPAPIVEKDNFVNRARALAQAHTNSTASESSVGTASQR GRGYFADQFENEANWRAHYNGTGPEIYAQCNGSLDAFVAGAGTGGTISGVALYLKPRI PNMTVVVADPQGSGLYNRVRYGVMFDTKEKEGTRRRRQVDTIVEGIGINRVTANFEAG RELVDDAVRVTDAQALAMARWLVEKDGIFAGSSSAVNCFAAVKTALKLGPGHRIVTML SDSGSRHLSRFWAKAGNVGGAVDTKLEDVLNAKEDQ ANIA_01514 MGSPLKRKRLYLWSGADDEYETSDIDLQEARTQNDLRLKSIFEG IFEKYGRDFTDVGDEIDLQTGKITVNNGHIDALEVEGNGYGDWLSDARPQAPRHVLAE RTDYEGKPARLALDADAWGAEDTALEGDHDLQHPGRRTVHLLSHMRPGSRRGLGETTE TDSDQGAAGDGEDRATSEAEDDRSSVDSLLGTALSIPAQKVGKTTKGETGTEKAIPPH DGSHQYQAAHTERLDETVDPIWRVPEISAKFTTPTLPSRPRPNPKPVINNAVRSQSPP GASSVWALSGTRKRDTDVVKKIKQKGSPKRRVKHHSSPDAVWDWSFADAPDGSESDDP LQEDYVPSPTPKDSVYIREKRKGPFSAGAAQKTCSFCKRIFSRKAYDMHLKDVLANPA DNEHDSVELKRQLATVTGDGTTESAPGATNRSPAPAGLIVRITNTPREPDSEAGQRTN HESTPTGSKRARTVLGPQEARLIIQMRHIQGMKWKEISDHFPQKKPANIQAWHHVHWK QRKANPPRLSGPWSKAELEKLEILKDQPELTWPGIRAEFPGRLLAEIEFKLLQLWAGD NVSQPLRSASPAD ANIA_10213 MYCQKCRTPLKLDGSLEALNPAAFDLLIGSTGKAVPDPSTGSSR LSYPQERRDLYDRVSKNASSPVYRRSIPAPRQSGGSSATPSRLGRGDSGNMSFVMLTE SQLGPSHTIPGVNGDSASSKGKRASGVQGDDSGADNGSFAEQVERTCRLFEIISARSD IDHPICTECTDMLVEGLQQRLAEATKERDAYITFLRNLNSSVPTQEEVETAEKSLKET LEAEEAAYAELVALEKENAALDEEIARLEEESRQLDYEEEKFWRDRNAFSLVLADFQN ERDALNMKYDHDSRQLERLQRTNVYNDAFCIGHDGYFGTINGLRLGRLANPSVEWPEI NAAWGQTALLLATIAEKLNFQFQGYRLRPMGSMSRIDKIEYPRPSPAQSTVEGASNAH QPAAPKITSLDLFSSGDLPLHIPWLHRRFDAGMVAFLECLRQLGEFVEKTPVRSSRRD QAGAVLPGLKLPYAIKRDKIGDASIKLGFNQNDETWTRACKYTLTCCKFLLAHASNIA SAGSTNSAAVTAATVAEQARVAPSPTNK ANIA_01515 MLRDLLRDLVKFVPWAALLPLVRAEGTVQDLWNSPQYPDYTTNY TAGTTIEISWQHALSYQFQYFCESCDVTNVDLWVTGSSYTRKLEAGMNVNETTSYEWT INLDNADVEASTQWTFRFLPADVSWGDNQEEISSARFNLLPRSDSSSTTTSSTSTSTS SSTTATSNPNATPTTDSATDGESSSTENHNDGLSTGAKAGIGVGVSAGAIILAALAFL LWRRIKALPSTNAVGPEGPNGGYSQVHQGYFADPPMVKAAPVPASGVVAPMSELAGDH AGEMDAGADARRPPVELDGSELYSHHGR ANIA_10214 MAIRTGAQIIARSLRDLGVTVIFGIVGIPVVEIAEEAINLGIRF VAFRNEQACSYAASVYGYMTGQPGVCLVVGGPGVLHALAGIGNSSANNFPLLVLAGSA ETSAVTKGAFQELDAISLLTPHTKFAARASSLDFIPDAIKNAYRTCWYGRPGPTFIDL PADIIQGKLTSQFELPKPENLLVSAPPKASGDPALILKATQLLKAASAPLIIVGKGAA YARAELGIRKLVDQTQVPFLPTPMGKGVVPDSHPLNASSARSAALKHADVVLVLGARL NWILHFGEPPKWSPKVKIIQVDICAEEIGRNAGTSELGILGDISLVVDQFRASLSSWK YSSSAKFPLLLAESAKKNEDKAQKAALRQTPAGKPLTYQRAYHIIKTALNALTPVEDG NIVYVSEGANTMDISRSIFPLYHPRQRLDAGTYATMGVGMGYIVAAHEAFNANPGAST SRPKKIVAFEGDSAFGFSAMEIETLARYRIPALIFVINNSGIYHGDSISKEDWKTLQN QTVANDTKTSESDSGTNAKTKGLRSTSLLYETRYEMLATMCGGKGYFVKSEEELERAT KEGFVSDTVTIVNVIVEPGIGKEIGFAWQNQGKESKPKL ANIA_10215 MSFLGGAECSTAGNPLTQFTKHVQDDKSLQRDRLVGRAPGMQEG MRSQGMMGGHDQMMDEFAQQSAQLPGGPQQHMRMEMEQVRQQLEQMHTTPRTGSPGWA AEFDPGEQARMEAAFAGPKGPMMNNGSGFTPAEFARFQQQSTMSVPQSASPVTAGQSP MMGGYQRSMGMGYMGYGGMGMMQPGFGPMGMQHQQPAEASTQDKGKGRMIELDDENWE AQFKEIETADQGKLDDEANAAIEAELNDLDRSVPTTSSTEDLSHFERVWERVQAETAT NRKLAEDSEYNIDDNLHMGDMAEWDGFDNLNTRFREPRLGDYSFEQENVFRDIANPFE EGMKIMQEGGNLSLAALAFEAAVQKDPQHVKAWTMLGTAQAQNEKELPAIRALEQALK VDPNNLDALMGLAVSYTNEGYDSTAYRTLERWLSVKYPQIISRDDLSSDADLGFTDRQ ILHERVTDLFIQAAQLSPSGAQMDPDVQVGLGVLFYCAEEYEKAVDCFTTALASTESG TTNQREQLHLLWNRLGATLANSGRSEEAIEAYEQALNINPNFVRARYNLGVSCINIGC YPEAAQHLLGALSMHRVVEEEGKERAREIVGGNDGRINEAELNRMITANQSTNLYDTL RRVFSQMGRRDLADLVEAGMDVNIFRKEFEF ANIA_01517 MYTLRRAACRLLASPSPITRSRLTALPHTHLPVVVRRPITQSRR SLGDAKSPLGSKDAPAASTATNSDTTTATATADNALDLSPPVENYAEAVEKDVMKGDL KNELELSSGTTGAATARAVSTKETKQEDGGTCKNLNLKSSDSTRNTSGVPTAPEYEAG AEKVREGRPSGTIIANTAPTGATSGVPRASSNAPGAEKAQTLNQGLAAKLSLSESLTK STTVDEQDPDLDFDISQIREAAAQEAWAAAEKKQMTHGEKLDMLRRLQYEPKQTVFIG NLFYDVTAEDLKKQMAKYGVVEGVNIIYDSRGISKGYGYVQFSSNAAARRAIDAMHMR IFEGRRVTLYYAQTNITNSFKNKKPTNTLYIGNVPFEMTDRDLNDLVKDLDGLTDVRV TVDRQSGALRGYIHAEFLDIQSAMVAHEKLAQRRPYGRRLKIDYSKTKSAQGGFPVAV ANIA_01518 MSPTPPSTTSSSNGPSPEGQYRIVRKRNRVPLSCGPCRHRKLKC NRAHPCENCVKRGDAHSCTYAQTNSRKKNSANQAASTSPDDMQNRIDRLEGLVLSLMT NGSQSEGPAAAMAAISGTSSSTGSAQHVHDLEIEDEGPNQEESETEQVTKSFGIMKMD NNKSYYISDAHWASVLSEISEVKNYFNTHKKQYEEQAEKLKATKLPTDVPGSTLLFGA MKATSRAEIMSSFPSKYTTDMLIARYFNCYDPATHFLHGPTFQAQYNKHWEDPSQTCI VWIGMLFAMMRLAMLSYYREGDEPPEFRGKSLDMAGTFRNLVAMCLTLADYTKPYPYL IECLAFHLHGDFCQTKEADVSVWVLNGVIVRLAMRMGYHRDSKAFPNITPFQGEMRRR VWSFVRQADLLFSCQVGLPSMIRMADSDTELPRNLYDDDFDENCKELPPSRPPNEPTP LSYLITKSRLANVLGQAIEQTSSLQNTPYDKVIEMDSELRRARDMIPDHLLVRPFEES SLDPLGLIMSRFSVMSVYHRAQCLLHRKYILRARENPRFIHSRRTCIDSALELLRYQS MLHVETRPTGRLRSRQNRVTTLSSSDFLQAATIICLDLYHGYNLPSASRASSDTYAWG RDRREEMMAAVQRSKEIWDELRDETMDAWKASGVLGVMLNGLQQRQNLEGTPVTPAFE PQDEKQSAAMTLGLLSSGMTPIGQSATFNDVSLKPSEPPLPTLGGFGGPETNPGASSI FNNMFGQMPDMQVNLDWDAWDNYIQGGTLDTSATWWTPMDIQQNSGPLSPSQLPATQS NPTERIRTVPRTTNLFPNNGYENDGLT ANIA_01519 MSSAGGSPQRGSRGRGNDRGRGRGLFHGDRGRGRGGGRGLFNDL PHRPAPGDPGRGGSRGRAGRGGRGGGGGLDQGPPIYLPPDGAPQPNVKVTQTENSQAA ALVKKEKTAGYPERPGYGTQGHPIQLFANYLELKSSGKSLFRYHINIDGGGRKPSSRK AKQIICLLLEDHFSPFRHSIVTDYRSNLISHLEILDHEQPSVKYNVTYRSEKEDEPRD TSETYRITCKFTGRLDPADLLNYLTSSNAASMLQEKAEILQALNIVLGHHPKSTGSIA SVGTNKHYAIHDNAAEKFDLGAGLEALRGYFVSVRAATARLLVNIQVKYVACYQDGPL YQVIREFQCANGRNVYALKRFLGRLRVEVTHIKRKNKRGEYIPRIKTITNLATPQDGT QDGNKCKDAPKVKFIGAGPNDVSFFLDDPEQGKGSKKAGPKPSGTYITVAEFFKEYYR IQVDPDMPVVNVGSIAKPSYLPVEVCDVLSGQPAKTKLSSNQTRQMLNFAVRSPAQNA HSIVTKGTQILGLRDPTAATLVDFGIQTNPNLITVPGRVLAPPTVYYKDEKSKDKEIA PMSGSWNMKSIRFSTSSNLQSWACILITAGPKQHFQSPDDLEDCLYRFTKKLREVGVN ANPPVFKVRVQVTKENAETVIDAEIRKILHQHRPKLILTILPFNDTALYNCIKRACDV RHGVRNINVLAEQFCKRNEQYFANVGLKFNLKLGGVNQVVRPSQLGIIGEGKTMLIGI DVTHPSPGSAKGAPSVAAMVASVDSSLGQWPAEIRIQKEARKEMVDALDSMLKAHLRR WAANHKAAYPENIIVYRDGVSEGQYDHVTDEELPLLKNACKNIYPAPDTARNLPRFSI IIVGKRHHTRFYPTLQEDADRFNNPVNGTVVDRGITEARNWDFFLQAHTALKGTARPA HYYTVWDEIFLRQKVIPPAKNAADMLEAMTHHMCYLFGRATKAVSICPPAYYADLVCT RARCYLSSAFEPSTPSGSVIGAEDSTVKVANDDVLIHPNVRDTMFYI ANIA_01520 MSLKDVYQKFLASPNSASLASDVSLIYITSTTEINGADRVIKHL SRQQELKINSQTVLDTVQGSNALCLDIETSLQFLTGGGAYLPNLDETFLFDRVAKFPT IHIVRFNANNEIQSIRIYWDQASLLKQVEVIGNRSRNWPVRDADKQTRLIRFASESAP ADNGPPPAARPEPSSTGKDEAHEAPEAREGSPGKKHIKDPYAAESLFELLSPCKDRGE PVHRPRAPASAQPPPRDYKELFVGDEGNDDAPETPSRARAIAPKVGAGKHFAPSRIFE PEEVEPSPVVPKLGAGRHFAPSRIFGDDNETASREKPEQIAYRAHPKRFEHFELGGDN SSREIKPTTSRPGSRHVKNWDFEDFSTPPKAKRGPRGEEVRHFGWSDDEPEQDTPPAR PRVVQPRRDAETHFQIADGEEQGNKRIIRSYGNKGLGLYKDTLYAEAEDLEAEGSAKQ QASKERPLSVVQNGPNRKKDFESHWDDPEATVSHENKKPTGDRVKAAKALESSWHFDK SPEPSKESRPPQRRVLKNVNQRSWGFEDEE ANIA_01521 MFFLKEETKVITLHPSYFGPNVREYLINRLNEEEEGRCTGDHFV ICVMDMVDIGEGRVLPGNGQAEYTIKYRAIIWKPFRGETVDALVTSVKPTGIFTLAGP LSVFIARKNIPSDIRWEPNTVPPQYTDHADQVIEKGTSLRLKILGVKPDVAAINAIGT IKEDYLGPL ANIA_01522 MALNLEKQLLFVNVAIHITCVPILLFTGIAMASNSPPLIKLPEV LQFEDLPPNIGTIAALFYAIFYVLLEPVAGTLIAPLLLGAAALGNRLIATYGMTVNYW FGGIHVVSWLLQFVGHGAFEGRAPALLDNLVQALLLAPLFVWMEILFFFGYRPELRAR YEASVKKEIAAFKEKRNKALK ANIA_01523 MFRNALRQSSRTVAAATATGRIASVRAAVPGPLSAASKQVRTYA AEAKASPTEVSSILEQRIRGVQEESGLAETGRVLSVGDGIARVHGMTNVQAEELVEFA SGVKGMCMNLEAGQVGVVLFGSDRLVKEGETVKRTGEIVDVPVGPELLGRVVDALGNP IDGKGPINASTKSRAQLKAPGILPRRSVNQPVQTGLKCVDSMVPIGRGQRELIIGDRQ TGKTAVALDAMLNQKRWNNTSDESKKLYCIYVAVGQKRSTVAQLVKTLEENDAMKYSI VVAATASEAAPLQYLAPFTGCAMGEWFRDNGRHAVIVYDDLSKQAVAYRQMSLLLRRP PGREAYPGDVFYLHSRLLERAAKMNDKHGGGSLTALPVIETQGGDVSAYIPTNVISIT DGQIFLEAELFYKGIRPAINVGLSVSRVGSAAQVKAMKQVAGSLKLFLAQYREVAAFA QFGSDLDASTKQTLNRGQRLTELLKQKQYSPMAVSDMVPLIFAGVNGYLDNIPVAKIL QWESDFLAYLKSNHPEVQETIEKEGQVSKELEAKLKDIIPSFNKSFNA ANIA_01524 MATPSEPAPTNCKVMLSKHVANGLLAEVSEGIKTLEKPPHLVGF LANNDPAALMYAQWTEKTCHENGFRYSLREVHRDNLEEAILAANVDPDVDGIIVYYPI FNNRQDQYLQQIVDVSKDVEGLSHRYIFNMYQNIRFLDPETKRQKCILPCTPLAIVKI LEHLNIYNTVLPYGNRLHGHTICVVNRSEVVGRPLAALLANDGACVYSVDITGIQKFY RGTGLKLGRHEVEDVEGKELKDIVPLCDTVISGVPGDKYKFDTSLLRDGAVCINFSSE KNFGPEVKQKASIFVPSIGKVTIVVLLRNLLRLIQNKRMDDIKPAAATERPGTLEAAS ANIA_01525 MPYTFKQDHFKTNVRFIVGYSAVAIAAFTFYADRKLGWEATTSS WVIAAVGSYFILNSLLTYWVWAVEASEVFRGKRKSGETISIRSSVKKHTPLYRLQIQY KSASNSVLEEKEIVSPFTAWFSADGTFHPEPLRKWLANEINVLRLAAQETRKKTGGVA SVVGVEETENKEVKDAKKRR ANIA_01526 MALQGVEQTILRDPALFYWILIPISVVMILTGMLRHYATILMNS PPKPPATLAESRERLSLFRAVNLRNHAPAVLSKEAFEMRKNYLVTGFQTGAFLKDPNS RGQPPANPMSDPAAMEGMMGMMKGNMMMMIPQTLIMSWINAFFSGFVILKLPFPLTIR FKSMLQSGVMTRDLDVRWVSSLSWYFLNLFGLQSVFGFILGSDNAANHMAQQMGPMGP AAGANPFQPGQDPHKMYLNEAENLEVFEHFSILDGIEDRVLRKYGAAQ ANIA_01527 MRQLSTTALVLFLFFYCSISTAWSLPYFAYRKRIPEPLLNKPRS RHARSIEDLDGLKEWLSNQQPLRGAVMAPPSEDNKRTVTVKGGANNEPTISDVLPKTR GINIYASLTRQFETVERRLKDQTQNVTVLAPRNSAIQDLPHKPWENPDDYEKFGEMNA YEGDKGQDRAKRNLERFVSAHVVAQSPWREGEEAETLGGDKLTWRKDGDRIYIEPERI RVESIAEQVSNGEVWVIDGVIN ANIA_01528 MLVVDHDKQEARQIAERIAQDIENKKTTLVEVVQSLGEYVNDED PLLRGKAVSYLSAVIKALPPKFLTRQQVQVLTTFFCDRIGDAGAVTGLETLQKLDRFT KEMAEELATALFENFNTLQSRAQSQRFQVYQLLNELMSNHRSGLSRLPFGVFRILTDL MTGEKDPRNLMLVFSILKVIMVEWDISRHVELLFDSVYNYFPITFRPPPNDPYGITAQ DLKDRLQDCISSTSHFAPHSIPALLDKLDSTSQNVKKDALNALIACINSYNPDTVSTY SITIWETLKFEVLNAQEELLSDLSLKALHDIARRLSEGVMQIHDQLPLAQYLRPITKE CNEQLREPQQKQAKPAQKILKAVSEASAPSFTLVAQVVIAPLLTLYQGADGITKQRAL LETLTLLFESAISVFGEWTTRNPETSAENPLLEFKDQFSEIFGQALMSTVKEEVSFRV SALKGFLRLSVLRDYFHESEIGLFVQYLDEILLTEEPVGRDDLKREAIAALAEVSKHQ PRLIMDITFPAFVATLPDSDEGTDTSYLPTLETLAQISVEKAIFETLPGSVAYPRAIL MTIFYVMNQRRLDGEPGLEMYYDKIVVAMCRNAAATALGKAKNNILGDTTVLDVLGRL CNLIVRSLPRLKQDEVTKNIYALFALPEDFQPVPFAQSPSEDQRRTMILSTYLLAGLP VDSKLPHSTPNMADLLSYLVKLSIAETSPQIQLAILRHIALLVNKFLPKSELEIANTL LNSFLFTDTTTALEPTPEAVRTVFWLSKALILRLAPTTTQILTSLLHLLSSPSEQTST TASRGFAILLKDDDVLSPINGANIRLLSKQRVFTTLVPLISTKIREININSSGTTMMA AEQDKAYMKPAHLTALSGILSTIPPALVMPELPTLLPLLLQSLDLQTATRDSFAVRAA TLQTLSVIIRENGVGVIEECGHVQSLVTRLLKTAEHKPLPAASASEKTGEGAAVNAPR LRVDALRCLFLLAQPRNAENAPAVAKAGKLSPLLPVRSQVLRALKVVLDDPKRDVRKA AVDARGAWLRGIEDAEDDD ANIA_01531 MPSIVRFGRPKKSSKPETENANSTPHVSATPNSFRAPRISLPHV DAELNMAQHNGLFEVDPPERQKGTEAAETIMDSTSPPPSYISNASANPMNGDATGAEW SSAVGHAATGKSGRVIHNLQEDIARLTRECSVYRSRAEETQRMNEAFKTQVQNMTDRL RNLEHSNEMNLHSLSRKEKKIEELRAELKGERDRRQQADDEKARFRQLMDEAQDGFHQ KCAELQEIAHHAQTQYDVLVKSGQRERADQQRRLKNIRDEFIALREAHNQTNSQLSQL DAIMAQKDQEIERGRANFDALFKEYEAYKRAHDEEVRDLIKQTRQGEAKFNATLASLK ETESQMKWVVQVKREVKDAE ANIA_01532 MHPLRPLLATWACLAQVSFAAVSFTKWPTTIHTGKPATVYWKGD SDTPVTITLRQGPAGNLRTLKVLTHDAQGGSFTWVPDESLAPGSDYALQIEQDGSINY SGLVTLVDQSGKKPQPSASKHTPVSSPLGGARTSVQKGNNGYIPTLNSSSARVNMTSG KSTAHKTSNDGVTFRYISAEMILAAMAAVVYFAA ANIA_11305 MSYGVERKGFEMELMCVSYGVQRVTSQSGLYHLQEEPEMAGTPL VSLKHGEADVTSAFGSIPKPTAKSQCVFSL ANIA_01533 MPAIIDNSIASKKRKGSKESGVPSSKRRAVAENASAESMAKIQQ LEDQISESRKNYNNIATLLSMLSEDGTSVKPNLAVAVSLCRVFSRLIAGGNLTVGERA PENEKIVVAWLKERCREYQRLLESFMRESDASSQITALTLAMRLLNERATHIPGDDTQ AWTSGLFRNIFEAVVEAKDGQALRSEFLEKFLKPYEDVTYYTFMQVGEYASTPRSTEI LETLVTILSTCDTVPGPEHKFSNFYSKVSNKNKKLVSVNSYKKRAQDAWLSILRNDLS QPLRKTLLRIMVHHIEPWFNRPEYLMDFLTDSYNVGGATSLLALSGLFYLIQEKNLDY PQFYPKLYSLLDADLLHSKHRSRFFRLLNTFLASTHLPATLVASFIKRLSRLALNAPP AAVVAIVPFIYNLFKNHPTCTFMLHRVIRDKEFQAELEAEGMDDPFDPEESDPDQTGA IESSLWEIESLQSHYHPNVASIARIISEQFTKHSYNLEDFLDYTYQGMLQAELGTGEK PLKKIPVVEYQIPKRIFTDHHKHNATLMLQYHATHSLMRKQAGVTDCDPPCFHQSSEI RKFLDIE ANIA_01534 MASRLAKSAIGVTRLRPTLASRNVAAVTLSATRAASNVPTEDPK QKAQSILDSLPGNSLVSKTAILSATAGLSIAAISNELYVMNEETVAAFCLLSVFTAVA KYGGPAYKEWAEAQVQKHKDILNAARADHTNAVKQRMENVKELSGVVDLTKQLFEVSK ETAKLEAQAYELEQRTALAAEAKQVLDSWVRYEGQVKQRQQRELAETVIGKIQKELQN PKTLQQILNQSVADVERIMSSKAQ ANIA_01535 MPLRDILHKKDRLNNTSDQYATDTLDAANSVPEIRFIRSDTTTQ EIIIPPNHDVDHEDRLASPRPSSSSSHRRTLNPFHRSRTPPDPQDPGSPRERRFSGFL RRGSSSSSVNLPPDLPQIAADAGDEQEREAQWEKRATVLAQQSPRYGSPSHSPAPSMG LGSENVRPRSRSSSHSRINDPQGDVNILEAIRLHEAGELEQSTSMFAQLADTTGANNA LSQVLYGLALRHGWGCRPDPEKAVVYLSAAASNSASIEAQALEAGLKKGGAAKGELVL AIFELGNCFRNGWGVKKDPAAARQYFETAANLGDTDAMNEVAWCYLEGFGGKKDKFMA AKYYRLAESKGNKIVGNSCKSAHLQPLSKTGTRHLGAPAQPYHAPQPYHAPGSRTDG ANIA_01536 MARYSQPVFDFYHQHPSTLDTKTQPSAYPEDDEMSVLDDKILDT TSSDFTSPADHRRHSYEQGPDAFQHRDSVWSDISQSLSSTQSRQNSQVGHPFFESAPN PFMRMDGLPYVHSQQWSISKDSGSCTPSAMYENYPTDMENTSVAPFAGGAVGPVNTVS MPSMTYRQHMAFAPAGAVAMSPQSSQGWMPASTDMPDPSSRPKNSPTYRNSSPLSVRR DGIRKKNARFEIPAERTLSNIDHLIAQSTNEEEIKELKQQKRLLRNRQAALDSRQRKK LHTEKLEEEKKHFTQAINELEEELQNMRLREAELLREKEEWMATQQKISEYINSLHMD KDELIRAHTLETADLRKKNNILKETVEKMERRVRTNVSNEFSDFENLTMESSPWEDFT MVNSLSLDTDSVAPAAQPSQAMVVATNEKGSEKHANEYPFSWNAFYMCLLFGAFIASN SASLPARSLPRLSEEYRAESANVLKAVLASSPPELAQSSSNQPPVSSSVGLLPTTITG AEMAQMTGSAPTSNLDELHETLAMPTKEQEQEQAFALNAEQYNSLTTFDETGAGYKSQ QPSNLQQALAAMRGNAAQARTPLKATSDVYSRSLLWDRVPEKVIRDFRRMVQEYGAPA ARE ANIA_01537 MSEDQDLMARISQLAGQINRFKNGNTPVQSAHNEMHSNSHVSRH TSYRGRPGWAPYRGRPYGRGRGAAPHRHRTLILNNSATPASKSSTPPDGMAIDTDENS RSATPNAWVTKHARHMQLINSAVYDKEAQKRVKALEETRKAKAQKKAQIEQAKVLQFA HGAGRQFSSSSNPQVSAAGESHGEYQITLNDIPFRVSRGGGKLIRVSSATPVLSALIE RAGLQIVDDPNTANNTPKRVKVAGVTFVRSKNGNLHRLGAVTSKRVPSAVKKKDELCQ RFTTTGTCYKGPSCPYIHDPNKVAICKDFLQTGKCSAGNSCDLSHEPSPHRSPACVHF LRGRCSNPECRYAHVRVTPGAPVCRAFATLGYCDKGETCEERHVHECPDYANTGVCKK KHCRLPHVDRAGQIRKNAGPKEGEGDDESDASSEEEEFDEIGSDDVDSDYLSDEGELI EGTETGEVSKQQDFIRL ANIA_01538 MFSHIKQEHDASSPYIKPDPETKDTVLADIDDEDVYEDDGDLDF TNADQSLWLSRIPRSLWEHWSKLDDDDEIQIGTVSLRLTESEENRDIPKDYILSRQTL NSENLLHMTQNTYLFTEKDIPGYENRMVTFGEARSALYESMKRDARRKERKKKWEPYI RKTIPKRTALVGQVKEEFNCLPVENEEFRILSEKKALEALKPRREVKYVDKMPAKLLQ QRHALPGEQGAFVQATKPAKLKAQENKTTRMPQNELLDLIYQCFREYRYWPFKTLKAR LRQPEAYLKQTLEMIAHLVKSGDFAMTWELKPEARESNYSNAMDVKQEAAPGLDYNFD EGSETDAMASGVDNDDTQFENVV ANIA_01539 MPSQKIISALAEIESSASPQNKLQLYNDLLSETVSASPEPQLAD DLIYYLDSVLSEDLSIVAARPILDSFIYTLRKLSSETQIKVAQHAVNLLQSRSASVEE QDAQIREILADAYEAEEEYIAAARALQGIHIDSSQRLVSDSAKVKLWIRIVRLYLEED DTTSAEAFLNRIKNLPSKIEDHELKLHFRLSQARIQDARRRFLDASQEYFAVSLAAGV DESDRLQALAAAIRCAVLAPAGPQRSRTLATLYKDDRATSVEEFGILEKMFLDRLLTP EEVSAFAQRLAPHQLAQTADGTTVLDKAVVEHNLVAASKLYENIKTDALGAILGLQAS GDLTAGEKAEAYAARMVEQGRLSGSIDQIDGIIYFESNTTATGRHIRQWDAGVQGLSE GVERVATNIAEGHLVR ANIA_01540 MHIELVLWVVIGGNGSHQADLSEKTMQNFFKIFLANQFTYFALS PAIKISIVCFYRRVFAIQTFQWTSFAINTLIALWGAAIFIACGLQCRPLNAYWDHTVV GNCFDSNKYIIVNQVFNVLMDFVILALPIPMIWNLQRSWQDKLALNGVFAVGGVVCLA SIYRIVVLFWINPADITYTVYEATLWTHIEPSIGLICACLPIIRGLFPQFKLSAGRVD NATYYGRTYQTTTSTSHTPLSPSLKSPLSEKFFAHQLEEIRSNKAPSLPATPHDNSEF YQGSLSPFAIEVRTDIDVENSGQSVRSYK ANIA_01541 MTTPTPSVIPLIINGKEELASSVFDVISPYTNKACWAAASASPQ DAIRAVEAAQAAFPAWSQTKPTVRRDILLKAADILESRLEKCAEFMRTEMGADAGASQ FFVVPLAIRMLREVASRITSICGTVPVVEAEGQSAIIYKEPMGVILGIVPWNAPYVFG VRSAACALAAGNTTILKSSELTPCCYWALTRAFHDAGLPDGCLNLVSCRPQDAAEVVN AMIEHPAVMKINFTGSTAVGRKIARACGQNLKPCLMELGGKNSSIVCADADIETAVKS VIAGAYLNSGQICMATDRILVHSSIAPTFVEALKSALQSMSDPSSEPPTLVNVASKAR VQRLIESALEAGAHIIHGSVTADSDAANSDSGVRMPPVLLGGVKEDMAVWQDEAFASL AACMTFDTEEEAVRIANSSGYGLSAAVFTQDLRKGLAIARKIQSGAVHINSMTIHDEP VLPHGGVKNSGWGRFNASQGLEEFLVTKSVTWMD ANIA_01542 MRAKNGPGSWLALTAIATSLNTLALAAAKTINHSYEFNPVVVSG GGYITGIIAHPTEKNLLYARTDIGGTYRWNADEDKWIPLNDFISGADENLLGTESVAL DPNDPDRLYLAQGRYLNSENSAFFVSQDRGATFDVYPAPFKMGANELGRNNGERLAVN PFKTDELWMGTRDAGLMVSEDGAQTWRNVSGFPQANANGIGIYWVIFDPRSEGTVYVG VGVPGGIYVTRDSGESWEAVPGQPVEWDEDILVFPAESQPQSTGPQPMKGVLAENGAL YVTYADAPGPYGVTYGGVYVYNTTSSAWTNITPKTNNSFPAPFDNQTFPAGGFCGISV DSKNPERLVVVSLDRDPGPALDSMYLSHDGGKSWKDVSQLSTPSGSGGYWGHPIEEAA FKDGTAVPWLSFNWGPQWGGYGAPSPVRGLTKFGWWMTAVVIDPSDSDHVLYGTGATI WATDNLSKVDKNQSPGWYIQAQGIEESVALALASPNGGDSHLLTGLGDINGYRYGDLD VPQPMFDLPVLSNLNALDWAGQKPEIIIRAGPCGHNYTDGCGLAAYSADGGSSWTKFA TCIPGINTSSSNPGVIAIDASGKDIVWSSAMTAYWPTLQAITPRTNQSGPYVTTDLGQ TWVSPTGLNVQTPNISADRVQPRTFYSFTDGTWYLSRDGGLSYRAYKAKEVGLPAYSG ALPIANFNRAGEIWLGLGDHGIYHTRNFGKKWTKITGRGVTARQLTIGAGARRSSEPT LFIVGKAASHGALSKDGVYRSDDNGKTWVRVNDEKHQYGGIAMIQGDPRVYGRVYLGT GGRGIIYADIKE ANIA_11306 MIDEGTRRDSPSTDDTKTGRCLSMVQGFERREASTELEFTMTQS NDGERAELLS ANIA_01543 MAPRVIVVGGGLSGLSAAHTVYLNGGSVLVLDKQAFFGGNSTKA TSGINGALTRTQVDLNIRDSVKQFYDDTLKSARDKARPDLIKVLTYKSAAAVEWLQDV FNLDLTLVSRLGGHSQPRTHRGHDAKFPGMAITYALMQRLEELSESDPDRVQIIKKAR VTAVNKDGNAVTGVTFEVDGETKTADGVVILATGGYAADFGDSSLLKKHRPDTFGLSS TNGTHATGDGQKMLMSIGANGIDMDKVQVHPTGLVDPKDPTAKFKFLAAEALRGEGGL LLNSDGERFSDELGHRDYVSGQMWKEKEKGKWPIRLVLNSKASKVLDFHTRHYSGRGL MKKMTGKELAKEIGCGEAKLQKTFEDYNAIAEGKKKDPWNKRFFHNLPFSIDDEFHVA LMEPVLHFTMGGIEINDRAEVLNSEHKPFDGLYACGELAGGVHGANRLGGSSLLGCVV YGRVAGDSASEYLFKKLVAGGGSSAQQRLGQISLHIDPATPGKVSVEWNGAGAAKASG TNPAVSTAPLPANADAPAETSKPPTKAPKKFTIPETEYSMEEVAKHNKKDDLWIVVKG IILDVTNWLDEHPGGANALFNFMGRDATEEFEMLHDDEVIPKYAPHIVIGRVKGQTPS LEY ANIA_01544 MSDHQKRGSSEDSNTPTKQRPDRNDGDPAQSDQDTQSLASRIQK SASGLARNAFFSSTPSGDTAHLLSDSGKAAPSSSSSALAAAEQYKDTTGPSSSASRDH NVHVPAETFRSPGATHSGGFELPRLTEDEFQSTYGGNLSDTIDPLDETKGKGRAINSV PGSGLTSSIYHDGTTAPPTLNPSDGDAVVAILNDQTFDPEFPPSANEPPEYVETELSP LQLTPEEMQMIESFRRQLPPDSQSTTDTQQKRQLNSFSLVPDIGSFLDTVPAASATDA TSLRDTVLANLPGAAEWMSVEEEYHDEVWGYLRPTLEAAAKEIESKKDSGITEDGPAV RRLKMILKHMQH ANIA_01545 MVDRDPGTPTWKFTQCFGDKGDVEDITEADIISTVEFDHTGNYL ATGDKGGRVVLFERNETKKTCEYKFHTEFQSHEPEFDYLKSLEIEEKINKIKWCRRQN ASHFLLSTNDKTIKLWKVFDKSLKVVAENNLSTELTPGGVGGGGAPRAPRVSFKDSSA LKLPRMTHHDTVVAAVPRRTYANAHAYHINSISVNSDGETFISSDDLRVNLWNLNIQD QSFNIVDIKPANMEELTEVITAAEFHPTSCNWFMYASSKGTIKLADMRQRALCDTHHK LFEQEEDASSRSFFSEIISSISDVRFSHDGRYIVSRDYLTVKIWDVNMERQPVKTIPI HEHLRPRLCDTYENDSIFDKFEVVFSGDAENVMTGSYNNNFMIYPTDPAKETEIVLQA DKSAFKAKKVGVPTPMNKGANGKKSNSRTSSPAGPGSRMKKETDADQIDFNKKILHMS WHPFEDSIAIAATNNLFVFSAL ANIA_01546 MNSGNDNWHPGGPSHPAMDQMNQPPRPLGTFSQNPTPQQGPSSQ PSGPVLPPPAAPYHSAGQAGGHSLPGLAELSQTHGNPHPPPSYGQHAPAPAHGGGHSL PGIGQAMQHPSPQSMNQDRERDSRDREIIERQRQEEMVHRERERERERDIERQQLERQ RDQQHHPVQSHTGSIPLHQPVASKVPNTIHGPGGLLSNLGPNPPNGPQNAMQASGGPG GMYGSQIPHGEGTPRSYMQHAAGPPGQPLMAFNGGGPSIPGNVAALAQGQQPILNFCQ DALSYLDQVKVRFVDQPDVYNRFLDIMKDFKSQAIDTPGVIQRVSNLFNGHPALIQGF NTFLPPGYRIECGTEDNPDAIRVTTPSGTNTLSMPRARHTLDGPSDLAPPAGLGPHNR PDYYEHSRPGWQQQQPQSQQPQGGFSDSPGARMMGGLFPQQSGQGQPQDHHYEYTGQQ EQPAPAGAAVATHGQDQRGVSQLQGAASAASAGLGRASLLGAGPSSQGPSMTQPMNNL AGVGSGVLQGSQADLKRGPVEFNHAISYVNKIKNRFSSRPEIYKQFLEILQTYQRESK PIGDVYTQVTQLFNSAPDLLEDFKQFLPESAAHAKQQAALRQQAEENAPMSNLRGDPN YAPGSLTSQTPNRDVKMPPLGNFNVKDSAKEGKKRRTGPGAPTLGGSSVGPAGGVDAA RMVDSQAGRGQVLPAGNANKRAKVHHTKPSQAEAPVVSPTLVPALPEPVQPTFSLTPT QEEFAFFDRVKKYIGNKQTFSEFLKLCNLYSTDLIDRHVLVKRAAGYIGSNPELMAWF KRFMHVEEPEDKIVEVKPKQEPGIVNLSHCRSLGPSYRLLPKRERQKPCSGRDELCRS VLNDEWASHPTWASEDSGFVAHRKNQYEDALHRIEEDRHDYDHHIEACNRTIQLIEPI VQQFLVMTEAERAAFQLPRGLGGQSEAIYQRVIKKIYDRQRGERIIKEMFERPCHVLP IVLFRLKQKCEEWKASQREWDKIWREQMQKAYWRSLDHQAIASKGVDKKLFVAKHIQT EIQAKHEESKNLRKSGFQVPKHQFEFSFTDPTVLIDATHLLLTFIDRNSAGFGADPQK VMMFIKDFIPVFFGMDRDTFHVYMNELSNGTSPIDEGDDESSAADDAATSRSSRKGVT NKKIDLLRDVLERRGEKASRNDKEGSAPASGDGTPDAVLVSTTPIPDPTETFDVAELK WMEHPGQGNFNQHREYNLNETYEKKAHHLYANLNIYCFFRTFEILYSRLLRIKLHEKE AHEVVRRALVMKPARELNLIDKVPTDFFYDTDPKANLYQQVVRMCEEVIKGDLESSHL EETLRRYYLRSGYQLYNLEKMFTGIAKFAGAIFNGDSKDRSSDIINLFFKERDKDETT HNQEIQYRKQVERLVKDSEIFRITYYPDNQKTTVQLLTPEDATLENEELSQEARWSYY VSAYTMRDPTEGVPFSQMRMPFLKRNLPGKLEQEEEYNRFYRPLVHQDGLIIRICANS YHILYEPGSYDWWYRPALPPDETSEDTAKEAAVKERRRDRFTEKFINNPSWAHGLSKD QVDESNQRFRSWIKGSEAENAETSAPAGSATDKPENKDDVEMPDAEKASGPES ANIA_01547 MSASALLRSRVRRPSYLNKIAKAEDLIDLFPNGSYIGWSGFTGV GYPKKVPTALADHVEKNGLEGKLKYTLFVGASSGAETENRWARLNMIDRRSPHQVGKE IAKGINNGQIKFFDKHLSMFPSDLTYGWYTLNKPKNRIDVAVIEASAITEDGGIIPGA SVGASPELVQMADKVIIEVNTASPSFEGLHDITMSELPPNRKPYLILQPEDRIGTPHI PVDPEKVVAIVESDYPDQTQPNSPEDANSQAIASNLIEFLKHEVKHGRLPPNLLPIQS GIGNIANAVIGGLSKGGADFTNLKVWTEVLQDSFLDLFDSGNLDFATATSIRFSPDGF KRFYDNWERYAGKLLLRSQQVSNSPEIIRRLGCIGMNTPVEVDIYAHANSTCVMGSRM LNGLGGSADFLRSSKYSIMHTPSVRPSKTDPTGVSCIVPFATHIDQTEHDLDVIVTEQ GLADVRGLSPRERARVIIKKCSHPDYTPILTDYLDRAEFECLKKGMAHEPHLLFNAFK MHKNLQENGTMKISNWD ANIA_01548 MSSYISTNKLHNSRILLIGGTSGIGFGVARASLEHGASVIVSSS NPERVANAVSELKALYPEEPYVSRIVGKVCDLGKDDDSIEAEVVGLFEFATKRGLFGE EAESEGKVPINHIVFTADPGVSASYLRSLHTIRYIGGALVAKHAPEYMPKQSPNTPSS SITYTSGGLLVRPRPGLSLGLVGAAIVEGLARTLAVDLAPFGIRVNAVSLGPVRTAIF DAFGSKDVVEGMLETYAEQTVLGRVGAPEDTAETYLGLMKDGNITGALVRTDGGFTLK ANIA_01549 MVDDKSSTPAVSHARAHNDFGTNLWVRQGAVEHRHPTAGRGLFA GLQDMKKYNVEHGWAKRNADASTGLGGWLWNKIFGGNYKPYD ANIA_01550 MIACCRPFIDKGTLERRNGIHWRMPAAMAVCWIIGLGLALGHHF YYFSLDGTIVPGQTQQEWSLRVGTGLAFLTKTFLTTSVGIACVQNIWWILRMKPVRLS TLDSMWDIRGNIFNFFDLHIWLRGPNVAILGLISWCVVLVYVVSSLLKCLRCIPLVTV VTPSTLSVRSTIGSMLQEQQMPAIDFNFDKFYTMDDGGPTGPTPSVTRFITGAVIQGT IPSIPAPAPNSSYSLTFPGPLIQCSNSSANVSQQVYDWAHANYAHSTSFMGFMSETDN ISHAMNQLVYEPYQGPDNGDRDADVAGKLIMTVSPYMPLDKRWVVECGIYNASYSVDF NFTNGVQSTRITDLQILGRVRDHRQHEIPPPANEDQLFAYTAMMRVFRDVLIGRCVQS GSICTDTKIYSSALVNSKQIWQLVYNDKKKVGNTTYDSLGSILDVASELGRNITLSFF GSPYFLNTSIGPLVNVTTHPSQTEYVYSQRNLLLAYGLSVFTSLLCIIAGLLTMWDNG IAFLDSPTTILRATRNPMFDEIVPTDSTTGADPTPESLSNTRVLWVQSASPGSNHTVA GLKPLPNTAEPEKTGNDNRTANAGSETGLQAMTPITFSNGIPGWERKRYRPTISMVET ETREASPPPGGFI ANIA_01551 MRGAILATAAAFAGTAVADMHMRRHAHEGLHHRALHASSAVPEE ECGCTTEVITYWGEPTTIPLSVPTSTVTSETTETVHSTSYSTVTVTATSSAAPVETPS ETPSPTPEVTLPTAGVTSYSETGTYTIPATTITVTDTTTVCGATTTELPSGTHTYGGV TTIVETATTITCPYATVKPTGSTVTSVIETTTYVCPSAGTYTIAPTTTFVPTSTVVVY PTPETVTPGTYTNPGTTITVTRTEDVYVCPYTNGNVPTSVPALPTTSAASTTTAVPSS STTTSSATSVPTGASGNKMGMTFTPYNNDGSCMAKNDVLEQVGLIKGKGFSHVRVYGT DCHTLEYVGAACSTHGLKMILGVNVEGSTGFDGARSQFKDITNWGQWDLVSLIVVGNE VVTSNIASAAQLASFVSEGASAFSAAGYTGQVTTAEPIDVWLSNGATLCPVVDILGAN LHPFFNPEFTAAEAGTLVSNQIKDLKQVCTGKDVINLETGWPNAGSANGKAIPGQSQQ TTAIKSLVEKVGDVSVFFSYADDGWKSKFATSDKYNVEQHWGCIDQF ANIA_01552 MTRSELCPTFIPLFLPRIAAHYNQPDGFRLQTSTLVREFDQEKG AAGDSAWKLASIHPAGIRLIMRLIHLRFQSYRARIVQPHMDSALPPVNQLYDFNMPRQ NREKLSVLCYMASEPMEDTEWPRLRTATAISSPKEVGEESTNTTGKRCKPPSQVRKRN NAPEEALS ANIA_01553 MTIPAEGLGEVCATGRRGSEGWLDLFDGDKKICELHWDNRAERR WNDFEVLEPDEGYRVECSGWSSERGPLGHVFVDVSEARKEELRREKELGGLRVFEGPG VGEKSVDVDVAEEVPVLGGLGGIGGNITMGGFIS ANIA_01554 MASPVASTYPHPHPLPSPPPVYHPSSPPHSPSHREPQSTPRNPN YPPTSPVARKPLPEAPRAQVAQGTQRPPVPSVSVNGQIEQSETQSPTARNVHDNSFTS HVPNTAFSNLTLEDNPAKNGGYPPRKESVGVIPLSGNMAYRHTPASSISSFAVEPQPH DEPHIPGKDSGSSFDRDVPSGSQNTSSRGSLDSMAHASENIEPLHYHHRPYLTSQPAV RSTPALGLAENTPGTNTHLTAKRPARPRSAYSFASDNASPQASPYLKPRTSSRNSSSP DVRPLSFVDLLNTPYPQPGPAPVHLGNSHLRSSVGNNASLLSHKQTFDMYLANVKKTD DPAVQYEFAVFMVNAMLEMPDDVLEGGKPSISVEKGKTSNDITRSHLLKEAKSILQGL ANRSYPFAQYYLADGYASGLFSKGKEDYDRAFPLFVAASKHGHVEACYRTALCYEFGW GTRVEASRAQQFYRQAASKNHPGAMLRMAKACLAGDMGLGKRYREGIKWLKRAAESSD AQYNSAPYELGLLHETGYGDDVFVDPSYAAQLFTKSADLGHVEACYRLGDAYEHGKLN CPKDPALSIHFYTGAAQGGHPLAMMALCAWYLVGAEPVLEKDENEAYEWAKQAAELGL AKAQYAVGYFTEMGIGCRRDPLEANVWYVKAADQGDTRAVHRIAAIRAAADGVNPAQA AADANGKKRKNDGKKRFGIF ANIA_01555 MSLPQRPGKTSPRREETSAFREPSRRRRRESDSLSNNDPTSPRH HRHHRSHSSRHQHDIDEERAEEGGIRRKRSLVKPERGRMDPSHPNYLYRQKTQNMPTY NPMTGNEPLIHEEGEAETNSTPSMDSKRKDALYGAHGNVNKPMERVPTRHRSKKRKGS RKISKREAAAEKRRRKAMEQVRPPSLWTTYCSVITFWAPDFVLKCFGMPQKAQRSAWR EKIGLISIILMIAAFVGFLTFGFTATVCGTPPTRLKINEIGSGYMIFHGQAYDLTKST HPAAAGIPDMTNVLYDLPHKYGGQDGSFFFQEVNGACKGLITRTENSDIPTNSNGDLA WYFPCHAFNQDGSSEPNTTVSYYNGWACHTSGSARKSFYSLKNSGDVYFTWEDTKNTS RKLAVYSGNVLDLNLLNWFDDTQVNYPTKFKDLRDNDDIRGVDLTYYFQTGEDKQIGK CLSQIIKVGSIDTDTVGCIASQVVLYVSLIFILSIVIVKFAFALLFQWFLAPRFAAQK TSMGAVDSKARNQQIEDWSNDIYRPGPRLADPVPGDRMSKRASFLPTTSRFSSPYTVS NGGKQKPQWVTMASQNSTTRLVPPASGTTPSIYRQSHNGLGNVSVDNSRLNPSASRTS LVQDSRYSTVIPDSEGIGSAGYVHELVVPQPPPDWQPYGFPLAHAMCLVTCYSEGEEG IRTTLDSIALTDYPNSHKSIVVICDGIIKGKGEEFSTPDIVLRMMRDPIIPPEEVEAF SYVAVATGSKRHNMAKVYAGFYDYGEHSIIPVEKQQRVPMMIIVKCGTPAEATAAKPG NRGKRDSQIILMSFLQKVMFDERMTELEYEMFNGLLHVTGIPPDFYEVVLMVDADTKV FPDSLTHMISAMVKDPEVMGLCGETKIANKTDSWVTMIQVFEYFVSHHQSKAFESVFG GVTCLPGCFSMYRIKAPKGGQNYWVPILANPDIVEHYSENVVDTLHKKNLLLLGEDRY LSTLMLRTFPKRKQIFVPQAVCKTVVPDKFMVLLSQRRRWINSTVHNLMELVLVRDLC GTFCFSMQFVIFVELVGTVVLPAAISFTIYVVVSSIIKQPVQIIPLVLLALILGLPGV LVVVTAHRLVYVLWMLVYLISLPIWNFVLPTYAYWKFDDFSWGDTRKTAGEKDKGHED GEGEFDSSKITMKRWRDFEKDRRLRMQAGWQLPVGGHPPMPYEPYPEY ANIA_10216 MAPDDDAHPAGAHAANLPEQRLERPVDGLDSIATSLQDPYSDEA LSAFMSVPHYPEATSHRTSIPVSEIVNAVIEPGDFFRRQSTSSQRISGSQISPPMELY VSDSEMTDTLSEVGGVPLDEYHIEQMRLAEFASRFVHEAIAQNNHAAVSETTEEASAT DSVMQYELHTSGQEPISFSTAEDDDDTRKFYLDYGDDDYETNSQSSSSGDGHSHVSNV DLDDFYRPPGYTYGSQMSGFDPASSHEDHTNFFSDAEDANTDPAADPHFAESVIHHGT TQERNYDIDQFISQWLYQSSTASIPMLSLSPQVFLQSTLSNIMRWQPPAKITRPSGYT GDFYDIQQIPWWERLRVRRADARRLRDQTYESYQNLPQYSQRRTGRRLPEEEFYFQGK SMYTAHKATIEHFQLRNLMSVPAYNTVHFAHESKLYSWVPAYDDLQCLIDLSVPNAES GFQGPVKISTMKTAVGVSIAGGFFGEYAVRAMGTETKGVEGYVTKDPNGITNHIDIIP SRTNRSPIGIFASNDRHVRTLDCETNTFLTDHELTHAVNCTSTSPDGRLRIVVGDSPD AWVVEAETGRPVYPLRGHKDFGFACAWSPDMMQVATSNQDKSAIIWDARTWRMLEKIE SDVAGYRSLRFSPVGGGPRTLLLCEPADRIVIVNAQTYRSRQVHDFFGEVGGADYSPD GSTIWAANTDERFGGFMEYDRRQWGQQYGLQQSPNEWVKEADLDEDERCILSERERQS RHLWNLCDEGHEELLLC ANIA_10219 MSLQNILVDAVKSHFDKDDDKDDLKPALSHASANASSEDSNLFS QALSFINQRKSEGVQDDIDEEQAVNAHRRYEQGGNMDSKDFGAGAALQALKMFNSSSG QETGGGKDKNAFIGMAMAQAAKMWEEKAGKGEASGDKQSAINQAAEMAFKMYLKSQMS GSEGTGGPGGLMSLASKFLK ANIA_01557 MFCGCMIGGSLWGTGKHLFELTSEQRTTAMEYWWFCNISYAVSS VLAKVSVCIFLLRVMPFPCHRAALYTVTVLAVCSGIPFFVLLVIQCSPVSFWWTRMRG DTNGHCGYVDAIAIMLYIFSASSALFDFTVALLPVILVRNLQMNRRTKAAVAGLLGMA CVCVIPLFLLADVFFGMLRAGKCSASIAIIIRLAFVQTIHDPDYLYGTVQIAIWSCIE VGLSITAGSLATTRPLFRILHNRSSSPYNPFDDPSTPSNDRNKHRRSISWSEPYPSSR SRRTSNRILALENDLGFNFGSGRNNSISTSSRRRSRSSKSTSRPSTSRNKRRSGVTTE GRPSSNFYEPYIGVEMLKMQSTTDVEGGRTDDTEGSSHPAPGTELLDPTTDPNSSGLG EFAGRPEGLQTAASNYTAHLTTAVMRPRRWTSRDGLAEIGSTLAVVWETTPEVKAHCV KECSVQASSLHYFLAGSSESELYRQRPMRSYRAGGECSRFFFLTIGVLVQLDGQKSKV RISSIFSPFSTFYCIALSQGCRKYADHGRILSTIKATRPY ANIA_01558 MGHSRRPAGGEKKSRFGRSKAAADVGDGRQAGGKPQVRKAVFES TKKKEIGVSDLTLLSKISNEAINDNLKLRFQHDEIYTYIGHVLVSVNPFRDLGIYTDS VLNSYRGKNRLEVPPHVFAVAESAYYNMKSYKDNQCVIISGESGAGKTEAAKRIMQYI ASVSGGSDSSIQQTKDMVLATNPLLESFGNAKTLRNNNSSRFGKYLELEFNAQGEPVG ANITNYLLEKSRVVGQITNERNFHIFYQFAKGAPQKYRDSFGVQQPQSYLYTSRSKCF DVPGVDDVAEFQDTLNAMSVIGMSEAEQDNVFRMLAAILWMGNIQFAEDDSGNAAITD QSVVDFVAYLLEVDAGQVNQALTIRMMETSRGGRRGSVYEVPLNTTQALAVRDALAKA IYFNLFDWIVGRVNQSLTAKGAVANSIGILDIYGFEIFEKNSFEQLCINYVNEKLQQI FIQLTLKAEQDEYEREQITWTPIKYFDNKVVCSLIEDKRPPGVFAALNDACATAHADS GAADNTFVGRLNFLGQNPNFENRQGQFIIKHYAGDVSYAVQGMTDKNKDQLLKDLLNL VQSSSNHFVHTLFPEQVNQDDKRRPPTASDKIKASANDLVATLMKAQPSYIRTIKPND NKAPKEFNESNVLHQIKYLGLQENVRIRRAGFAYRQTFDKFVERFYLLSPKTSYAGDY TWTGDVETGARQILKDTRIPAEEYQMGITKVFIKTPETLFALEAMRDRYWHNMAIRIQ RAWRNYLRYRTECAIRIQRFWRRMNGGLELLKLRDQGHTILGGRKERRRMSILGSRRF LGDYVGISNKGGPGEMIRSGAAISTSDDVLFSCRGEVLVSKFGRSSKPSPRIFVLTNR HVYIVSQNFVNNQLVISSERTIPIGAIKTVSASSYRDDWFSLVVGGQEPDPLCNCVFK TEFFTHLHNALRGQLNLKIGPEIEYNKKPGKLATVKVVKDGSQVDSYKSGTIHTGPGE PPNSVSKPTPRGKQVAARPVTKGKLLRPGGPGGGPSKLASRPVPERRPIPQPTPQTAA AQPTPASRPVPQPVAAVAASHSRTSSTASARAPPPPPPAPPAAAGPKKAKALYDFSSD NNGMLSISAGQIVEIVSKEGNGWWLCMNLETSAQGWTPEAYLEEQVAPTPKPAPPPPP PVAPRASPAPVNGSAAVAAAKAKAAPPPPAKRPNMAGRKTAPAPPPAPRDSAVSMNSQ GDSSGASGRGTPSSVSNASLAGGLAEALRARQSAMQGKQDDDDDW ANIA_01559 MSLTLKLSSLVIRTLSKPIANQIKAQAREHERFRRICISMAQGL HRLDMRLRLGTIRDNAAATRRAAAEAELRKHKPTSPTVKTEAETRAEEEAIARAKAAA SEAEKPAPKPHIRPLSESKAIESGATFISETFLFLVAGSLILFESWRSRRKENNRRDD VAARLNELEETVGIYREAFIALEKNGDLPPASRRILLKMIEDVEPEEVPEPEPQGWFT TITSYFSFGQSPEKQPEKETDATQPASVAETPKVPSSTPRSGPAASSTTSVPVSKPEK P ANIA_01560 MERHLQPTMEALSPRSTNQMIKPKASMERKVLDKNAAAAQKASS AKNHAPPPPALVVEPGDDGEQYSTGAFLGKGGFAICYEGTLLRNGRVFAMKVVKSDMG QKKMQEKFRTELQIHSKMRHPHIVGFHRAFVYDQCIYVILELCPNGSVMDMVRKRKCL SLPEVRRFMVQLCGAVKYLHKRNVAHRDLKMGNLFLDRNMDIKVGDFGLAAMIMSEKD EKRRKTLCGTPNYIAPEVLDRSKGGHTQKVDIWSLGVICFAMLTGYPPFQSKTQEEIY KKVRNLTYVWPKDSECANHIPDEAKSLVSCCLNLDEQKRPEPDDIVEHAFFNMYNGCI PKRLDPKCSVQKPTWLRGAEPQGDAMAFGHGLDFDEKFSSYIRDVDDPILRYRTCKAA FYSSCGVGRKPDGTARKSVGHNASKSGFAECLAEEEKGLQPLMPLPEDMVYKYPHDLI GDWSVPESLPLRRQDALADTSVLSSRSSSTSLRTNTVSQSRTQAALAAAQQRRNGSQS HAASLRQQALTGRGTIRKIPSLCDPPQPTMKAMPDVRDAGLNPDPVPPVPTGGLAERP IRTRRGIAASYSGTTSVRGMDTNAVPSVSQASNDLGMLTVGKTRSQSRKLEAANQVAV EPLRVLKDRSTSTGPENLAAVSRQKSARTVHKDLGGVAARKPDSEAARREEPVTRQRT EAQPQPMSSSGSKASLSSTNKPRSSLGLHALLHSDDPCELLPGSSIDDVKIDLRNMLS NLVPGSAARRRVVSQRPPHAYVIKWVDYTNRYGIGYVLDDGSVGCVFKAENGQPASGV VLRDGEKHIRRKARSQENSDSQSWSYSEADQLVPRHGKPVEFYENCSDDLLECRGGIC RALIPPSLFEVKDSSGGVKVRMDSGISRARADAEKIKRVKLVDQFGKYMIGSLGRHGD ETLLDDAATDGAPGQFIKFYQRLGNVGVWGFGDGAFQFNFPDHTKLVLAPGRTRNSSP WMDFYHLSPTAARYLAAKGKMHPSGFDTRAVVSDEIATFLSIAYGTSTSAMDDKIREV LDANSFLQKIAFIKDVLKSWIRQGRLGGRPSSDKPGTEMFWEGSQERPQASGGGSSKF VWVTVGAPGGDGEYRSVSLRDKKGNSVGENDEMEALRERLRLAGL ANIA_01561 MIALALLAPLLAGQTIGSVSPSLKRDDSKSNAFTPGTTDGCPAG WPTCGSSGICYNPDEGATCCPGGTYACPSSTFCLLDPYCCPDDLTPESCAKEYGLTLV PTSPPSESTTFPPPKPSDSDSENDSGGHHRPTAHPTSSDSANSSITLSPTPIRTSSVV LWPSLSVIPTGSPSAGQEPAYTGTGGSWRVRGGELATIAGAGALAIGVLCWV ANIA_01562 MGQERPTGRSLARRLLLAALDREEQGYPPAHQSLYPTIGSINYD PTVETPEPTDARARRFTINRTDASVLIPPSDKLLVFRALTGIDSTPALNLSHHTPRTA PNIGIYTRVVRAETKAAKRYRFHAALINTCLGIQIVVAAALTALGAARGPHNAVTAFG AINTIVAGILTYLKGSGLPDRLKHYQNEWRNIREYIEQRERELCLEGCGLDVEEEIRI IEQMYEGVKREIEATKSGGDNRSFSRDRTSTRRPVIQQTEQSREYAPRRSPSPARTSE AFEKHYHEPRREFTPRPPSPARVHERFEKHY ANIA_11307 MPGRQRIQHKQDEPVPDYEALEAEARRYKHAVFLLVETIRKNSP ADTLELIDAIRNTKSVSEAAGLVMQLSETASSPEGGTQRDSEARSQ ANIA_01563 MGDAAVQKPHILVVIHRAFPGFMTGEIRNEFPDAEVRFVTPQVG DRLPADIVKQSTIIVTGRSLPNPEDAKHIKFIHFFSAGLDKVIHDPVLTDSEIPVTTS SGIHGPPIAEWTVMNWLVASREYSITYENQKKHIWGSVDLYSHGIQDHVGKKVGILGY GSIGRQIARVAVSLGLSVYAYTASPKPTPESRRDRHYIIPGTGDADGTLPVSWHHGTS KASLHEFLSLGLDHIVVSLPLTPSTTHLLGAQEFAILAANKNPKHRNPYLTNISRGKV IDQDALIASLKSGELSGAALDVTDPEPLPEDHELWDTPNVQISPHVSSLGQEYFVRSF DIVRENLERVKDGLPLINEYKRGRGY ANIA_01564 MPIVALPPATVRAIGSTSIISDPYSLAKELLDNALDAFATLVSV EISQDTVGLLQVKDNGHGIPADDYMVVCKRTFTSKIHTVEDLQKVGGKSLGFRGEALA SAAELSGALNISTRVETDPVGSSLEYGRNGELTRTERISHPVGTTVRILNLFQQIPVR RQTAIKNSKKTLLKIKKMVQAYAMARPSIRLSFKVLKAKNESLNWMYAPGENGTLTEA ALRVGGTGIAPNCILKNWPTPSKDGNASPEDLGFRLVALLPKVGSDITKFNNLGQYIS VDNRPLSSGRGFAQDITKLFRRYLRSAASRDGLSPPINDPFLCVHVLCLGGAYDANIE PSKDDVLFENQQAVLSLVEDLLRDTYGDLSNVHEDHGSTGQGRGTSQRNGFEALLSKK QQSAALSTPALDSSPQERSSVSARLFARPESCRSPPEPHSCGEQADRSLLTRLNNPRA TMGTASPVVDAQDQGRLSLHKKIHLPGININPRETRQRDDVRPCLPSPTSSADSPAAA LNTTPSSSLGPSQLSPATSARDRQQQRQIGRERYGNGSLDTWFLKLSQSSQSPAATEE PETQIEDPPLSQLTQDYFGTERARPNGTSDLANNPSQTASEISLQDSLADSGSTEGSP RTLARPARFVNKPGLPVLEQWSARLYSAASPEENPELQKALEFETRKKAAIQERRMQL QNPKPTTSKNSPHQSRYLAARAALSSQPRPSSVTQQSRSELGEISSHGTGVSKTFLSP QDPRAYLIQLQNSDVPGGPKLKRISSAKLPFEKIPEEHDLHSMGLTLPAGLPLIYSSF KNLWINDLYTQSGEQVEGFVSPDIKTAFESWDAHLSSLIRARYRLAKNSDIPNLQFDF SELSRVSQGSG ANIA_01565 MLCSSFSVSRLAIPAVCILIAFLAYTSQIFFLYFEDAPLKEDEV WRINILAICIWICYYRACTVDPGHVPKGWMPSDRERLKADRASGRQRWCRRCEAYKPP RAHHCKTCERCVPKMDHHCPWTSNCVSHFTFPHFARFLFYAVVGIAYLETRLWQRVSK VWGSRHLPSYLGPSMGQIGHLFVLFVTNSLTLFALSLLLLRTLWSLGSNTTTIESWEI ERHETLLRRARRLGGSLPGPGGISVHITKQEFPYDIGIWSNIRAGMGGSANVLSWFWP LARTPDRSTGLEFEENGFEDPTVSWPPPDPDRIPLPPMDQRDSFMYDITDTSGTSGQI DIEAFNRRKEADLRRRRAPTEIERRKPFHVRLEEYSNGSSDAEADTGSDDDSDHGEEG WKNSEGERLRDFGVDEEAEFYDEEDIPLALLIQQRAKRQHLSQ ANIA_01566 MSATQQTQAERKDNWSSEAYSASAAFVPKLTQTLLQYLDPQPSD SVLDIGCGDGKFTELFLPYVSKVLGVDSSPAMIEAARKGYGSEKAEFQVLDCCFLEQN SDVADGTWDKVVSNAALHWILRNEKTRLSTLKGIHASLKKGGAFVFEMGGHGNVAEIQ AAMQYALLRQGISIEKARESYPWFFPSVPWMSSTLESLGFKVVKMESEYRPTKLTSDT NGGLAGWVRLMGAAFLDVVDTEKREEVIREVCEVLQTVTTREEDGSQWLGYVRLRGVA VKI ANIA_01567 MKFTVAGVLALASLALAMPAPEAAAVAEAEALEAPVPVDISPEA LGLEKRANTVCKIVNSSSSKVNCRTGPGFDYPASYSVIPGKYYEFRCYKSGDCYNGNC TWQRINWDGKTCYVNGYYTDSKCTVAALGKC ANIA_01568 MGKGKPRLLLNHRPSIHPSKMQIKSGYYIGIDVGTGSARACIID HNGDIVGLASKDIGLWQPEQQHYEQSTLNIWQCICASVQQALAERAIPSSQVHGIGFD ATCSLAVFSKTTNKPVSVTRQGGFSTERNVILWLDHRAVKETELINATGHKVLKYVGG TMSPEMEMPKILWLKNQMPPEVFADCKFYDLVDALTHIATGEETRSYCSLVCKQGYLP SQVEGSTTGWQGDFLESIGLGELAADGFARIGGVNGETGQHLSAGERAGRLSARAAKE LGLPPGIAVGAGVIDAYAGWIGTVGTKIDGVDVVGNHNRADAFNRLAAVAGTSTCHIA MSSNPVFVPGVWGPYRDTVFRGCWMAEGGQSATGQLLKHVLDTHPASKSAFAVAADRG LDIFSFLDGHLAALAAKQNLPCIAALARHFFFYGDFFGNRSPLADPNMTGSVVGLTAD TSIDSLAIHYYGTLEFIALQTRQIVETMNKAGHAITSIFMSGSQCKNRTLVKLIATAC NMPVIVPRGRHVEAAVCHGAAMLGVKAANLDAPGKTVDLWDVIEQTSKPGDVCHPTTA EYERALLAAKYQVFLDQCTRQREYREMVDRVAFPNQV ANIA_01569 MDPDLDSASQRSESRVEDDQPPPSKKARTNGPRRKPACQTCRRR KIRCDNGQPACGYCHANEIPCVYTARGDERTVGQQELAKVFERLDTLTETVENIQHLL ISRNNTPLAPRDSAPAVQLQRPERVPKRAQEWQQDYLQIPACRCSADAVLTWPIFGGQ FRDNSLITTLFQYSSDGGVEHQSVQSAHPDTGGFRITPDEQIPALVDQFIQNVHTKNP ILDLEALVRSARHAGEYGLQWDAQSCLVLLACALGCISQPFTSSRQGHGLGWGRRAST SSSLGRLREGEAFFMMARKRIGLLNYSVIGAECHFFAGVYLMYTFRPLPAWNHFYQAS TFYRLRLRLIEGLDRSVYEAEQQPPVAQRLEQSLYWSCFKSEVEIRVELPLPQSAIAE YEYPALFPTPPTLSEEYPTRQGQNHISDWDVRDEPASAPEPPDLVPGQAVYNHISRLF NEEQSWYYYLTEVALRRIGNRVLNAFYREDSSTWANVRPLIPMALEFESQINAWFANL PPSMRYYESGPDTIQHGQRTSTRESISLELSWAIGNRFLEIRLWLYQPFLYYAVHHYD QYHKPPPIHTEEESTIIQDLVNSGIDCTLKILQARCLRHRHHGIWFDLRALVTASLIV IAFARSGTNSVELARIYRAGLRTHLTPTLETLAYWADEAPDIKRAREVLDGLLEGLE ANIA_10217 MTLPTRPLGKDGPQVPRLGFGTMGLSAFYGPTKPDEERLAVLDR AYELGETFWDTAMLYGDSEELIGRWFAANPGKRADIFLATKFYFRWVNGERVTDTSYE NCKRCCNESLRRLGIDTIDLFYAHRLDPKTPIEETMKALAELKEEGKIRYIGLSECSS DSLRRACKVHHVAAVQVEYSPFSLEIESEQIGLLKTARELGVAVVAYSPLSRGILSGQ IRSRDDFGPGDLRAMLPRYSPENFGKNLEAVDKLATLAKEKGCTVSQLTLAWLLSQGD DIFPIPGTTRISALEENVESLKVQFTEEEERRFRSIISEAEVAGGRYPDAYAGTLYVD TVLPE ANIA_10218 MPTTSRHKHRIYFAYGINMHLKQMAKRCPNTRYLGVAKLSGYRW QINERGYANVIEASAESRASPTTVQGLCYLIGAEDELKLDRVEGVPTAYQKRVLRVEL VLAKSSLVGRDVSEIASFSGEELKAGSGRGEAEVVEALAYVSKDYIKDGPPKGEYVAR MRLGLRDALELGMSKEYARVIERVVAVGMRGAGAGGMGRPPATSPRPRPQPSRTAAPR ASPPTSPASASYYYFAFGSNMQLAQMADRCPGSKVFAKGILPGYRWHINERGVANIVA TATQDGNDNAVQGILFTVTPKDVKTLDKKEGIAKGYYEKIVLRVKVEPLAISGLKGVK TVVAAGKLASNSQAEAREPRKHRHGQDQHGQRAEVAGVREVEALVYLSSQYKKDGRVR AEYVGRMQLAMADALKLGVDEAYLRASLHSCILGVDEAAVSAHGKRDFIVRQPAGDAS GAAQTSGSPKDRRIIERVPAGTGYQAAA ANIA_01571 MTMSRSSRSSVLALALATGSLVAAGPCDIYSSGGTPCIAAHSTT RALYSSYNGPLYQVQRASDGTTTTITPLSAGGVADASAQDAFCENTTCLITIIYDQSG NGNDLTQAPPGGFNGPDVGGYDNLAGAIGAPVTLNGKKAYGVFVSPGTGYRNNEAIGT ATGDEPEGMYAVLDGTHYNDGCCFDYGNAETSSLDTGNGHMEAIYYGTNTAWGYGAGN GPWIMADLENGLFSGQSSDYNAGDPSISYRFVTAILKGGPNLWALRGGNAASGSLSTY YNGIRPTDASGYNPMSKEGAIILGIGGDNSVSAQGTFYEGAMTDGYPDDATENSVQAD IVAAKYATTSLISGPALTVGDTVSLKVTTSGYDTRYIAHTGSTINTQVVSSSSSSTLK QQASWTVRTGLASTAAANGCVSFESVDTPGSYIRHSNFALLLNANDGTKLFSEDATFC PQDSFNDDGTNSIRSWNYPTRYWRHYENVLYVASNGGVNTFDAATAFTDDVSWVVADG FA ANIA_11308 MTVSPAPSQSYPATSQRYPARYSPQTVTSLTPEMVMFAARWIVS VSDSIARAGNRPARRDTLDFYLLDGARLASHKSANYLQAQTRY ANIA_01572 MASRLAIPPWLTPLTSWTLLQTLTSLTVTFTPSASSLRYVAAAM TAICAYIFQTSIQNHFSGAPASGPLVAMCWVNVLNAIDLLVLSRASFDAYLSYRRSKE EKKDSRRSSTEDSTKDRLLLALTLPYNYRRINTPWQLSRLPVLTPSSFPSSLTVNNRR KFLLHSTVKLILAVIIMQIPLIDPSDPVVLQAVSQLDRSKSVLLLPFCAFTQKGGLHA LWLQARFTLAFGIVIRATIVAAYTAGSIFAVMLGGDPAEWPPVAGSLSEAWTLSRLWG QAWHQTLRRPLASNATFLAALAGFAPKSTVAHWIRVLVTFVESGVVHSACDMGFGIPF GESGGVVFFSLQILGLVLESVCQSIAAKVGLRTGGRFSRAVGYIWVSVFMLWTAPVWA NPILVNLASDGVNLMSPWLGFPAGSF ANIA_01573 MSVLLVFSLVTFALQGLCSLSLHESDSPHVLQLGLRRNQHNDPV GRDRRRFKRQTGTVAVDLHGDSMGGDIYSTNLTIGDPPQAVEVSVDTGSADLWVVYSE NPVCNVRGARCDDSGTYDPTASTSFDGLSDEFAIEYGDSSWAEGYYGIDTLTVADAEV SEVQFAVAVESSIDKGILGIGYSTNVVSTYRYPNLPERLVASNITSSNAYSLWLNRLG SDEGTILFGGVNTAHYTGPLRTLPVVRYNGHYIHLWLTLTGMGVESASDDITKSYSET RSTTGEQEFPFVALLDSGATLTYLPSNIVAQIFSDLDVHLYEPEQFGYVPCDTYLVGR EDYNLTFTFSGVTIRVPLRELVLRDAISGPGRDALQLPNNADEESCLFGILPNTDLFP ILGDTFLRSAYVVFDLDNNEISLAQANTAPGDDRILEIGSGDDAVPEAEDVDDPVTTA TVSLGGSSLILPTGWTNEPIFPSRTVTTSTTATSTGTNTGSGEDTEATDSDQGTSGGT GTQAEGPVATDGAVGVGNSPLLAIAMAALVLNMVLAL ANIA_01574 MGPEPRPDSRSSHTDTNTSASLRPRPRPRNEAHLDPDNLELHEI ETQQDDDSGVSISSGEYRITTRRTVSRTSTSQTQRPRREGLLGRIQRFWTRHVVLTVA QKKNRDYFALERTFLAYIRTSVILAMQGVLIAQLFRLQFAGSSKGLGYHEVGVPLSVT CHCAAIVTAILGAHRFWKQQSAIALGTVYAGGWELNCIGFLTTAVS ANIA_01575 MRRPRLPVWETGRQTDREARRLYCFQVSESSRRSATPSSAWARS MISSYSYAWATRTARWQGNERGDAPTGGSSEHRAGADLCIVLISILLLKKTRSRLVSS AVSLETVGSESEDRPRQSATSLTIAQAFVGLYLGWSSTSTPKALVQDDVRSRLFPDIE GATGGRKQHRHDQHIHERQQLPWETEYNAYFARFQHAVEMAKQLLTAASSMKPMPTFA VNEALLLLCRWRRYKGIWSSGTAARVLTRVIEIETVGLVPGNSIADFSWIDSVHVDVR CSADCCWAKWSIGNAQGQSQTLFYAAPTSAILSYTEPPLSNGHTEPATTSSKVTMAST VMAPTPGLPAVSNVLPDISLIRRAYLLNLGGNGFNVAVFASSFPSSLSWHRTKAWSFM IGTACDRLLVLGMRHASRLSRSMYMVVQSRLAMTVPQCGRMNIMIAGPPSRSGRRHDL YRGHTAERMGPSDIGFQDSGLT ANIA_01576 MKFADIPGGSGMIISRGTLSAMGFSALSCLPYCSPFCKIIYAVP AFTTYLLNESPLPSLQVSLLTPTNDSILFSASSEIKVPDALTMHLDSMHAEIFRPQPR GRPKEDLIPLAEVDISKLHFKGNQKITMKNQTLKLGDVGQFARLVEDAAYHSTFRTAM HAKTKVGLAGLTTSIDITKEVEMPGFSNFTELAINDLTIRERDDQGNNIFAETVLFNP TPASVTLVMKLTLWDKGDVTLSILAANHSIGTATSSINNIKSGNNTLSIRAFLDGDVL EENISGIIREQIPYLRKGDIKITATGKSVVYNGQHLEYWETALQAVRVEVTRSVREVV NMVLDTLDGDDIVGEDGDDSGFGIFGFEIDIPRVQEGVRSVVEGLVEQILDTAKGLDE NEEDTFTEELTVLGRLILRLLQVLGVL ANIA_01577 MERRTYGFETTISRDADKGVFSVNNAALHMATLRVKPRLLTKRM LKLYWCIGVAMLNSCINGYNGSLMGSINSYRQYREYFGFDLEEGTSTTGIVYAIYTIG NIVGSFFAGPFTDFRGRRMGMAIGALWIIAGTIVQATCHNLGGFMAGRFLLGFGVATS ATAGPAYVSEMAHPAYRGAMTGLYNVLWFGGGIPGTFIPWRTSTIDGTQSWRIPVWLQ MVFSGLVLLLCFTIPESPRWLISCDRHEAAIRVLAEYHGEGDRNSPLVQLEYREMLED ISNVGADKRWWDYRELFDSRETRYRSMLVVFMAFFGQWSGNGPVSYYYPQMLAGAGIS SNHTRLLLQGLQNIVQFTGAIFGALITDRVGRRPQLLVSTSIIVFLFVIITALNATNV QVAGDGGGVVAKSSVTARAQIAMIFIFGFVYSAGWTPNQAMYPVECLRYESRAKGMGM NNFFINIASFYNTFVTGIAFTRIGWKYYFLFIFWCTFEVLIIYFLFVETSKRTLEELT VIFQQKRPVQASLDKEEIFVSGDEIVEVRSW ANIA_01578 MFWALTDTKYAGKRRYSTGQAFAKMLPQFLQGSYARYKADTNIF ATWLLEAANQCGYQPSSLFASVPTAKKSQQKGKNNASDAEPLHYRATIKDLQKLAEVI ASSALTVPEPVLTIAKRAIRLRKVVTSWFLGHGDTTSNERHAHFITVLERICETLEWK SSQSTRPDINHPLPTPEAQSDDANVDGFLNRFAVLTVEEPQDTEEAQATPVGSKKVVK VTVVEDNNEAEDSYFGLLFFKTLCLLRDLENMRRFISITWSEYRDKKIDLMNAAIVTD SALQLARELVEEVEADWHTSLTGKEDNVQNIVYNYAVLTRGSSAAPSREIGLPYNKNM ADIAEWCYVPTMVVLESFAKVLQVNHQPVFKKGSFGTYDPKMDRERMSLGQKFNEDQT ILFSILPEFCMVNRFGIQMPVQDAVTRGVVEFIKTKKVTLWLCFASQIFLDVHHTMRH STLGAFEDLRMSGLRIQKTIDDYLQLSKTHPQPRFWPKEGDEEIRNISSTVQSWIIQD RFLDIRVQTKLDRIGPPPEKHALFSQHAILCGLILFHLNTRMQFVGQQLLNQWYDVQQ LAFLHNLVIKSPAHKNLRWPDMDAFIKIHGESHIFIGSRPGDAVESLKRLELVTGISS VANFARDSRSRRFHNPNGKHARSLKPTTTVANLFFTKYVEGTEEGAGIVDFDRVLDEL SQKSKLGASTEGIQRADPQSIITRKWSNTHNIDTLQLLAFLKAKLFEEEPIILYNYFG MHKRSVELLRLIRDKEHQKFVQYFTSVYLPDESFISNIVILIHHVVQGSAQNARAMGL ASGGVEVGSRILISAGDVMREYLKKNGDLACKELRVFCKNKKPIQDDAYDESESDELV HSWLSLEDVLGPKGVASLMTGIQIA ANIA_01579 MAQVCTPESLYGYDHAYKIADQSTLDTIASECTSINGSIYIMSN YTGPFYLPNIRNISGDVHWYADTSYLYDSISFPDLEHINGSLDLQAGYNLRNISAPKL NLVGRLVQVDYAHDVDLRSLQHAEYASIFGNLSSLRLDSLQSINRTLKICNKDSCSSS LSPVTSLELYLLSLESSTYIELEGRISTLVVPELTSVVGLETSPALQSSEGFQLTTAG GPPLNLVFPRLDTVYGRFQVSGNIASLSMPKMIDTNMTLEVNAVNLPSLRRASDGIYI YSDMALDCDAIEEEIFRNVSISNGSKTCSAKEAEPESHGLSTGDKAGIGIGSGFAGII IFVLIPLYIRHRKKEKERLKAVSEVELMPPSYQAAQQDRASLPEYSPGEHRPVASPRG T ANIA_01580 MTSLTRDEYTFAWICALPLEMAAARAMLDKIHSPLPKQSADPNA YEVGELNGHYIVIACLPAGVYGTVSAATVVSRMRLTFPRLQYGLMVGIGGGVPGRNND IRLGDVVVSKPVGKYSGVLQYDYGKAVQGGIFEPTGTLNKPPHAFLTHMGQLEAKQMT EGKAALSKIVREALEKNPEMTEKFAPPEHYTDRLFHSSYHHIPGEDTCERCDVKQLIK RQPRETRSPYVHYGLIASGDQVMKDSETRDRLARQHGILCFEMEAAGLMDELPTLVIR GICDYCDSHKQKQWQSYAALTAAAYAKLLLSIMPVCRTCRTESNASERNLLRHWMVSL ERNPRFVGRQDELSQLEKLLTAPDGPKRVAITGLGGVGKTQLALEVAHRIRNQDRECS VFWVPCTSYAMIEQTFMNIAHMLGLHEVKPAEVKERVKLYLSSQRAGKWLLIFDNADD ADMWLTTTPALEEILPKSEQGRILFTTRNGELAVELTSSNIIHIPDLDKKTAHDMLDR LVLQKNLLQDPVTTSSFLEQLAYFPLAISQASAYINKKRLRRYNDIQNPVITTWLISF KQIQQQDQLAADYLSFMACLDPRNIPSSLLPNQSTDKQKLDALGLLNAYSFTQCQGTQ ISMHRLVHIAIRNWLRKNGLFSLWIQRVADHLEKLFPNSSHHNRGLWRGYLPHALALV HENEFILQLGQYTELFERISGCLTRDGRYSEAEVLYSKLMTINQEKNSYEHPDTLRSM ANLASTYWNQGRWNEAEKLGLQVLETRKAVLGPEHPDTLSSMANLASTYWNQGRWNEA EKLELQVLETSKAGRWNEAEKLGLQVLETRKAVLGPEHPDTLSSMANLASTYWNQGRW NEAEKLGLQVLETRKAVLGPEHPDTLSSMANLASTYWNQGRWNEAEKLELQVLETSKA VLGPEHPDTLTSMANLASTYRNQGRWNDAEKLDVQVLETRKAVLGPEHPDTLTSMANL ASTYWNQGRWNEAEKLDVQVLETRKAVLGPEHLHTLSSMANLASTYWNQGRWNEAEKL EVQVLETRKAVLGPEHPDTLSSMHNLAYTYHSMGRNTEASDLMTQCATLRARNIGSTH PDTLSSSDALTEWQKLDHHRSSKPGKGRKLKALGRVLGFK ANIA_11309 MPILLPLGILAALTYLPRWSMMGDPIHIDLLDLFPNHGRHGYNP FFSSAVLATWPWLATGDVVDTPLVIAKKAQLWVN ANIA_01581 MSLDYGKKELQMGGSMNGGIASGEVFEHGTTKRGLKPRHSQMIA LGGCVGTGLFVGTGASLALGGPAFLLGSFVLMSIVVYMIVTAIVEIAAYLPVAGASMS YYGTRYVSRSLGFAMGWLYVYSLGILVPYEVTAGALVIDYWDSPVNVGVWITVFIVVI VALNLLPVEYYGEAEFWFASIKVFTIIGLLILSFILFWGGGPNQSGILGFHYWEDPGA TKTWLAEGATGRFIAFVGTLVLCAFPFTFSPELLISTSGEMQNPRKDLKKAANRFLLR LVVFYVGAALAMSVISPSNDEALSNGGNNAKSSPFVVGIRHAGIRGLSSVVNASILTM AWSAGNAYLYMSSRALYALAISGQAPKVFLRCTKGGVPYAAVLACGLFGFLAYLNCGN SSSTVFTWFVTITNTSGFVSWICCCIVYLRFRAACKRQGVHELPYRSWLQPYGAWFGL VFFIVLALINGFNVFFPGQLTASSFLTAYIGLPAFAIIYAGHKLLLGRSDPWYLNAGE IDISDGLDIEY ANIA_01582 MVTIKKPQPFKRALHFSEGEFEERRYRALELMKREQLDGFLITK QETLYYLTGYDTFGYVFFQAMYFHIDGSMKLITRMPDLRQALYTSILKPEDIMIRSDD ASSNPVSLVPRVLKQFGINSPNRRIGYEPNSATLTHEIGKLLEEAVDGLCTLVNHSTL FTRELRIVKSPTELRKIRKAAYLADFALIRALKLAKPGAYEGDLWREIVGTVYEGGGD DPANENILVSGNKAVLTRYSAGKSKIDRQITLEHAGVYKHYHACLMRTIHIGGVTKLA REMFRVNILQMEAAMAALKPGREIGDVFEAYARVADKNGFQDQRFNACGYSLGATFAP TWMDYPMFVRGQKVIARPGMVFFIHIILMDKATDTASSIGQTVEVTQDGCVALSKLPF CITRKQTIAAWKKVKKEDYGFTEEEEDEGENLQDVELSEGDVDAEDYDVEYDFSDYQP SAPPGDPTQQA ANIA_01583 MSIPHQSTPDVTIDDVVSLTQTLVQIDSSTPGSGSNTAGETTIA TYIAAWLRHRGIEYHWIEETPGRPSVVAVVRGSGGGKTLMFNGHTDTVTLQGYDGDPL SGRIADGRLYGRGSADMKSGLAAAMTAIAGAKKLHLRGDVILAAVADEECDSIGTEQV LAAGWRADAAVIAEPTEFAIINAHKGYVLFEVDIHGIAAHGSRPDLGVDAICKAGYFL VELDGYAQSLQQRGPSRVNTDAPNVHAGIIRGGAEINSYPAKCTISIERRTIAGETPD SVRKELLAILETLASTVPNFSFDLRMTSYRPPYFIAPDHAFVKLVADHAIKTTGNAPP IRSETYWTDMALLSETGIPGVIWGPKGCGLHSKDESVEIESIRQLADAFVAIVETFCQ G ANIA_01584 MTELVWSLGGARKTKPSRSLSYTGCWTCRKRRIKCDETPVACRA CQRAGLDCAGYDVRLIWNAGPNTRRRRIKPCALGCAPMTEAQVVRALETIEKSTTNSI GPFSVFTSQPPSAICSDTPEESQSLLPADEYVEDIFTDSMSHAHSTPPHSTDGSTADS NTDCQQAAAQSSSSSVEELPTHPLPDGTSGLPYRSTETSIPVDDIDSWDGTWIIPLEQ TLTSRFSGALQFANRSLVADSREFRSHQPFVSSSPPPSDTHGILSTPGRVVSSSDLPR LEGNDRSPQGPVAGNRGVISLDCDLASTMIENTTATMLMDHYMQHVVHLMQPVSHPRN PFKTVYLPLALHGSSQLERSGRRSQLQSASIAAFHSLLSTAAANLQRMQGEQEALEQL ACHHKQRSLIALQSALATKSTPYRDLMTAILSLVSADIMDGGMDDHWIHLEAGIKLLA SRHYSLLVSRETSLLNNICKMLHLFGRTTRVHPERRAWPGYDHVPRGADFDTLEPSIE FIYGITTSIAGAIFRIYRLAQYLAYYREQGRPYPDSLLQSCETLGDELCSYTINSESF AEMDSTEAEPYMIDIARAQAKAFQGAARIYYYRSIQQCARSRLHREQQEVLAALNEAE DLKITFGKNGALPAPISWPAFVASCEAVGEQRRQWDGWWSRVLVYGMGNYSKQHATVH RVWSSLDADPDESMDWREALAAMGVRILPV ANIA_01585 MKPPYYMETGCKRNPGSDSTLKVRWTLYTRLLQSANQGLGIDPG SGQVFASCPTNTVADVDAYVSSAHRSFLSYRETNPRARAKMLLNWHNLIAQSKNDIAK LVVYETGKPMAEAVGEVDYALGFAWWFAGEAERVRGSIAQPSISERRTFVIKQPIGVC IALVPWNFPVAMIIRKAAAALAAGCTVVIKPSPETPLSVLALADLALQAGFGPGVINV LTTDNLNTPDVSEALCKHPLVRKVTFTGSTAVGQLIARHCSEGLKKVTLELGGNCPFI IFDDGDLEQALAALMILKWRTAGQACTHANRVYVQSGVYDTFLRMIVNATKQLKVGHG ASPGTTMGPLTTSRGIEKLERHVADALAKGARLELGGHRLQLEGNYFQPTIISGMSAY MLTTQEEIFGPLLGLYRFETEEEAVRMANDTSMGLASYFFTRDVSRTWRLLENLEAGM IGMNTGNSSAAESPFGGIKASGYGKEAGKDVAIEEYLIAKTGTLTVGAVSKL ANIA_01586 MTGKMHPFDPLSPEEISKAATIVRGQFPGQSPAFRFITLKEPSK QEMMPFLESEHRGIPAAKRPARVSRVQVVLRGNDGANQLRELLIDLDSTGVLKNDHLV GRHSYIDSDYMRLVESACLADQRVQDEIKKLKLPPSSTVIVEPWAYGTDGTYDGLERV TMCWFYLRLLDNPDANYYAYPLDLCAEVSEQLKVIKIYYLPSAQNDRITDQARPFDIK KIHSTAESEYHPSLRPPPRNTTKPYQVVQPEGPSFTIKGNHISWEKWTMRVGFNYREG LTLHDIRYDGRSLFYRLSLSEMFVPYGDPRSPYPRKAAFDLGSDGAGINANNLRLGCD CLGLIKYFDGWHNTSSGEPLKLPNVVCCHEQDDGILWKHTNFRTQNAVVTRARILVLQ TIITVSNYEYIFAFQFGQDASIHYEVRATGILSTCPINLGDQVPYGTIVGPGVLAPYH QHLFCLRMDPWLDGDVRNSLQVEESHPMPVNDPSIHNPLGVGYSTTSVIVPEETGLDL DFTKNRTFKLINENKINPTTKTPVGFKLLPAYSQMLLAHPDSYHSKRSEFGAHAVWVT RYDDEEMFPAGQYTMQSSGGEGINSAITRRRNDPGSKTSVRNEDIVLWHTFGSTHNPR IEDWPVMPMDRMVVGLKPVNFFSGNPGLDVPVSTQERNRSVLVEGDTVVSTSDACCRL ANIA_01587 MAQPMSGDVPELAPLEVVVPTRRRRMIGWAMLQPGEKVEPSQRF DSNITQEHILPPDQKRRRPAVQESEPQHKGHSNAKTKGNMPSKRSSQGSRAPQQSRIL LGETKPGADDSIELKREITRLKIENDLRALAESREIQRLQAEVKRLGRLKTELNRAID RLLSDPTRSAAATPESATSNDAILREKERLIERQICSINDLESSLSEAYEHEFLRSRI RNTDLPTSTASIEDAMILIKRGVIRTADLLSSCLHPPDLLHLVLQMNAATDLRNLFNS TVKDSSILEKTPDLALRAMLFRIVRDQILNSEIWTAFHTEGFMLRAYQRTIQQTAGSE FASTFHKASLLYMLDHDPDFEACFLGAQVKELQIYTMRLLDPFFDSAKLTPNEKDLLR EMDHLFFETFFFRARCFAPDGIRYEVIHFEPGESFDSVTMEAQDAPQEQLQGQERDKK PLIRLCVHGTVVAHRIYETETEGLRKLKLLSQPFLQPSKSRAHSGRAVGEVVSDKAIV VLR ANIA_01588 MADLRRLATRKRRRASTIVDQNVCFTGTDTEDGDSMVPETPPSR SWSRSQSRGSSRSTWTNQATTETGTETSAIPSTETSVEPSVELTEPFTSRSTSAQSDT LSPRTKFIIGLDYGTTFSSVSYIKFDSARPPPTLFGAQICSITDWPGVDSRARNIPEV PSESWYLGDRFYWGYGARQGYAKLLLPGNQKETRGPREELRRTLVRARKDETEAIKDY LRQILKYSKEFLTEREELSEECEVELVFCVPAGWPAKAIRTMQEILLDIAHELNIGTL ATPFVLNEPEAAAAYILEACSGRKRLSTGEVFMVCDAGGGTVDAITYRVCQQHPFRVE EVVPPTGSNCGSSYANQTLKHQAIEKIKSTAYESLKGPSFEYIIEHDIMTEFEYKIKR GFNPADGLDGDEVIVVHGLERNERLGFGHNTMRIKSLNGISKLIRQQISAAAAKGLTV ETILLVGGFSAAPILRSHIETEFEALKIIYPPKGLDSAVTVSHGAVFRAFNKSDGPKR IVQSNFGFLQLELYSTRQPAHRGVSPIFNAIDGKKYGLVLSKQQQFRTRNWQVFKMEE ELVIHQKIWVSDFDNAQNHYRVNAPCNKGAEVFGILQIDLEPVKNEGLLELRSGPEGM FYEIHYELAMEVDGRNLAVKLFYPPGGMCRAQTQLCIAAAFIPGTE ANIA_01589 MPPMPSEEYRSRYRLTEDLKIKFLGPLEPEKWPTHWPEARRRLF HDIVKLGDSKFGTFECTYSSEKPWRAQIKRRAAKLAYLASRCCDEGKNEPSWRASLEH EVLYRFTVEVSCPTCRARLWRSEIEAAIESSDSQALSLDERRKHRMPCRCPEGFGTTD FHGVNMIFSDRAETSIHYQPPLPIRSRSKGYKKYEQPDRVYGLIETQNIKTLLDSPYQ RTTDSQVKQLRDLLEVSPFKGDRKPLLFPFLLLEAKSATVGDSAGVEMQSAFTIHRLL KVQDELRAATRVDSMWATGPLVWFFGWHGQDWYVKGSFIDYTSGTPHRYCIVDLWQGN ISQQSRALQLLLVVDYIFDWARDIYRPCIIRELSILAAREMQPCDPDIFSTVDRSQSQ IASELPGFSWSQESDSLYVSTTGLESGLGDTHPLSGVVRDASNIETRFLSLHITEANM DELWVSLPAHLRESATTFVDTLRPSLDSSWRVTRKTLFSIQAAWTRNVNAPEFAGGSN TDIASDEIFFTNIVILFHMTDDWTLVRQLTYLAISEGALQVLLLRHSLPGLLRDLEAQ NPIIDDSSIEPFIKSIRRQTIASSLTAAVSMLCISSSFTRGPGRIPGKWLLSKAKNIY AGFVFDNSPSTLEIVASFHETREKLIVNYFDDPYLVYSRTRTFLSADSPEQGLWPRLD SICQDKHGCALVESLNLPDNSTGAGARFCVFIISKYDFDRSDVDTATIVRGLAEGDSL GLLLEWLEDLGSQSGRAGWSGGGPGETPDSPIMISSSEESEGDPIEED ANIA_01590 MGKEKHHFSRLPWRKSEPATDEDEAWIRKKAGAHHPAFRTPADY DRSEKRYISDEEQAIEYLENLLRKHDDSQPGEPKFYQCSWEVVIQSLTQAQDAYAKNT GCGQRTGMALDVTLSAFEALPAEFGLGTVKGILGLMFRVALQGIENRAKILDAFSSIP NTIVTINVACTVLRPSQGDLDLREEFYRTLIKGMPLLVDVLLRAAPWYRKLKEILLFR IPETVAVDEVLSEWKGIIDSFNQRMQRMRDRMLGTLHQQGVDLQNQADKHFSATENHF RGLREENSTTHDMMRDLQLMMTVIMYNQKQLSKQKAQEASTWHHGLKSGADATTLLYQ ELQAIRRQGEIQQEENSRLRRENVALREEAALRAETESSWKPTHPSMAALSELELLGV IGVPSNAAWRDLDIVLSQSSSFGSQMLGKAQRLIRTRAFRDWFNQSESSILLVEGYLD ISPAASITPISILDATLISSLLDSSNTLVLFAFAGLHREYEKPEVMNGPGGLLRSLIM QLLTSDKFPLSNLEFLTPERIAACQKGDIVALCALFERLLLQVPPSLQVYCILDGLGW YERNPWGQDLLYVISMFEELAQWDFPQTAVIKPMISFPHHSLLVADAVQIPVLWSRRY WD ANIA_01591 MNRTVGDDWDYTIEVCIGRPNLLEEIREELRRREAIEKKTYSGN HRPLMAESPEKIGENRKLHRVRIRSPTVLSHLERLTRKLGNGSILNEEDNLVFMYPFY ILGVYLDDMREILADMERGVLASGSIPPSEPEPKGLSPSVSPSPIDQMKCFVQFVESS ILPIHTALRQLDAQTSRRLSYAEISLLLEPGELIYVAPSLMTTKMLDRSAVQTVFRCL TRIPADHPISIDDSGWLSSDIGRLLADVYCLDHDGEEYTVCWRKLEMEYFDGEKDITA LPFYPLKFHPNYERFLSNRARQGTAFRALVEDENLHHYYAGWTLITGLFERTESDGKS TESKPEDSEYVDSEVFLDTQEARRHMDDWSSLREPFTTKGSLAINDGAKFCLWHMTEK KTVAEKLNRILTREDLVYWRARERYLLDNKWVIDDRVFIKEEWTDEDLALLPKRVYGY SLRDRKFLRLDVDKFRPHTLKTKANLDKIEIKDSHRMIIRAAVKSHFDRAAQVLNRDE ATHVPDIFEGKGRGLVILLHGAPGVGKTATAEAVALEFDKPLFQITCGDLGTGPAEVE TSLKAIFRYANMWSCILLLDEADVFLTQRNRTDVERNALVSVFLRVLEYYSGVLFLTT NRVGALDEAFRSRVHLSLFYPHLNRTDMAKILESNLQRLPRDDKLSPGATAGPNHVTV MDSEIREFVLQQFDEHYKLHERGPWNGRQIRNAVHIAMCLAFFENGRKGRRAPAILTA EHFRKVHETIAEFEDYLRAARTVDDETLAQMEGLRYDKEGQAYKRQLVGSTKFHRWSE NERQVTHQRQSVREQGQSYRETTSYTPSRRSFLGGDIDSPPESRFSGSGAAARPTSQR YPPREIDDSHLAHERYNMSDSGYGETGLRGTPRNYNLSPDRPRTPNRDYLVDGSPESV RSRSSVRGRD ANIA_01592 MSPPLDSALEPLSEYKETAFPRTEKDPSQYKEHDLVTPEKEIQT GYFSPRGSHSSHGSHDSSASSNISLDDARMSDVNNSPNVFHDDPDTIDEKLSMYWKAA NETVIREPYDYIAGIPGKEIRRKLLEAFNHWYKVDEQSCQAIATTVGMAHNASLLIDD IQDSSKLRRGVPCAHEVFGIAQTINSANYVYFLAQNQLFRLRSWPQAISVFNEEMVNL HRGQGMELFWRDNLLPPSMDDYLQMIANKTGGLFRMIVRLLQTSSRQVIDVEQLVDVL GLYFQILDDYKNIREEKMAAQKGFFEDLTEGKFSFPICHAIGEGAKNRTALLHMLRLK TDDMKIKQEAVCILDNAGSLDYTREVLYGLDRKARSLLREFKTPNPFMEALLDAMLSS LQACH ANIA_01593 MPESQIIELGTLGQIPLYSLERALQDPLRAVKLRRQIVSQHQAT GNIDFTTDGSALPYEGYDYKAVLGACCENVIGYMPIPVGVAGPIKINGKMVFLPMSTT EGALVASTNRGCMAINAGGGVTALVLGDGMTRAPIVRFPSLEEAGAAKQWLGSDAGFL IIEDAFNASSRFARLQNIKATAVGSDLYIRFTASTGDAMGMNMISKGVEQALEAMQKH GFESMDVVSLSGNFCADKKPAAVNWIEGRGKTVTAQATIPEHAVRETLKTSVEALVEL NVSKNLVGSAVAGALGGFNAHAANVVTAIYLATGQDPAQNVQSSNTLTVMKNVNGDLQ ISVFMPSIEVGTVGGGTVLGPQKAMLHMMGVQGADPEQPGRNAQELALLVAAGVLAGE LSLCSALSAGSLVKSHLTHNRKKG ANIA_01594 MTCADVTDLCTQASQLVQQLRTKDGELGFMSAAVYDTAWVSMVQ KTTPEGRQWLLPKCFEYILRTQLEDGSWETYASDVDGILNTAASLLALETHAESRIAS TDPPVEEMKERIGRARAALSRQLQAWSVKDTVHVGFEIILPALLRLLREKGHEFEFDG RAELDRLNRIKLSKFRPEYLYSARTTALHSLEAFVGMIDFDKVAHQKVNGSFMFSPSS TAAFLMFSSSWDDECEQYLRLVLQNGAGGGTGGMPSAYPSKYFEVSWVRGQLARLESK LTELQALTTLLDNGYSTGDLGIEDTDSLGEMLRDALVKGGGIVGFAPSIQADADDTAK SLIAVSLLDKPVSAQGLIDAFEGPMHFRTYHGERDPSFTANSNVLLALLNTPDAATVS PQIEKAAAFLCDVWWTADSEIGDKWNLSPYYPSMLMAEAFGKLLQVWSDGGLKSISSQ FIRDRVSVCLYQALVRTLQTQNENGSWGSHSHEETAYAILTIAHACQLPVVNQLWTNV QLAVSRGRKFLQNSAGDKAEYLWVEKVTYSSILLSKSYVLAALKVSFERSYPACLANL FIVSKKRVIEFARFHSMLPLFSSMELWKVRAAIVEGYLLLPQLRDRRLAVFSRTGMEE DKYFEYIPFTWTLCNNRRNTFLSTKTLVEMMVISFLNYQADEFMEAVVGRLNSSQRSM TRSCIDEIFRDLKDKPELNDAIQAQSGPRNADANGHRILPQAKRIKMGSQLPSDVSRV LSAFVHHVMDHPSVKAAAPLEYERVKNELQVFLLSHIEQADDNGRFAAQLESTRDDFE TARSSFYRWVSSTSSDHTSCPYSFAFYQCLLGFEQASHNAACFQTCEEKYVAEAMCRH LAVMCRMYNDYGSLARDRDEKNLNCVNFPEFAQAGPKSDAVRQKQLFSLAEFERSNMK RGLEVLTEMAAQDRAKMRMLEKVQMFCDVTDVYGQIYALEILRVGCDLAHDCFMLELP AQWSNST ANIA_01595 MNTLNAPRNPTRHPAMTADTLVDAPALPHQNGSTEEKLKERGSF GKLYTYKRSPRALGIQAVAKSIGLELEQVELQPANGVPDFYWNLNPLGKTPTFVGADG LVLTECMAIALHVTNEDSTTTLLGSSSLDFVQIIRWISFTNTDVVTRMASWVRPLIGY TPYSKEEVLKAQQQTTQAIGVFEDSLRDRKYLVGDRLTLADIMCVSLVSFGFAQIFDK EWREAFPYFSGWYMMVMHLPIMKAVVEEVPFVEEGLPNAPPTEPFRAP ANIA_01596 MVSLKTVQASNAGLRALPNITALFVGGTSGIGQSTLRQLARYAD SPTAYIIGRNEARTRPFLSELQQLNPKGRFHFIEADVSLVRNVDAACQQILQQQKKLN FLFMTPGGISLGGRNETVEGIDYLFALRYYSRMRFIQNLLPLLEASSPSRVISVYGGG FEYSINTADLDLKHNFSLLNAYKHSITMTSLSMEHLARTHPAVSFIHVYPGLVGTNIY TNSFPAPVSTFYNYLVWPFMKPFSVDLGESGERHLFHLSSAHYPAKQGIVPQGVPLEA GEVAKGITGEPGSGAYLLNWKGDVRPSTKILAQYREQKIPQLVWDHTESLMDQAVHR ANIA_01597 MVDATSPPGVNAVVNYYVPNSDGSPPATNDMAVMLGQKDMISHK MRIRDLRPYKEEYSLDRNGFQYATIHSTLTDATDETQIKEVYYREIEKLVQDITGAKR VLAFHHAVRTRTGNEFGEQIKDRYQGVEGPAYRVHIDQTPQGALSIVQFMFPDLADDV RNGSFQVINVWRPLTRVQRDPLMVADAAEMPPEDLLLISRKYYNGLHSSNFVIKYDGR MAAGEGPTDGLSGDGKHSWWYIGDQEPTEALVFSSSGFRNGKAIIGTAHDLYSAEPMI NAYERKNASSLYGHDESQI ANIA_01598 MDNYTWHSGTLIPSDSPSSIDRSQLYLEILGVLSVVYLLQTLVA YSKSFKAPFVGFRFWYEPKWLVGLRFSQGALAQVNEGYAKYKNAMFKVARNDSDILVI PNKYVEELRSLPDEKISAIRAHIKNLLGKYSTTLILLESDLHTRMLQTKLTPNLGSFI EVIESELLFAMDQEIPANLDDWQSVNVFHIVLRIVARISARVFLGVPACRNEEWLQTS IHYTENVFATVMLLRRFPKWMHPIVGHLLPSYWAIHRNLRTAKRIISPMVRQRRAEEA KRNPDYVKPNDLLQWMMDGANENDGQPDKLAHRQLLLSLASIHTTTMAAAHCFYDLCQ HPEYFEPLREEINDVIAQDGGWKKTTLNKMRKLDSFLKESQRINPPSLLAFNRIVSED LTLSDGTLLPKGTHFSMPSAAILQDNGVEPGADQFDGFRYYKKRLNPEEANKHQFAMT DNNNLHFGHGKYSCPGRFFASNEIKIIMAHLLTDYEFKYPRGATRPRNLTADENLYPD PSARLLMRRRVVAPPQASITPQLVSA ANIA_01599 MYPWSSTGTSPFSHPDNEGAESGDMSMGEEQQQPHQRRQKFNNL RACQSCRASKVRCDQPNPGMPCLRCQKSGKPCVDAASQPGKRQRQPINSILEMESRIE TILSSAELQDSAGDGETAHSTALRSPSQLSHHIQPFQHLPMGFAIPFNGGNSGTEDLN SSIRSWLNDNITDLDARTTETIFSHYLTNMVPTFPVVVFATGTTAADVRRNNPILFLA ILDVASSGFCALETQRKLRKLIVQAYVHCMLRTEQYTLGLLQALIVSATWYRTIEPVE PGEQMDIYQISHTAANMALIMRLGESLNAKSWGGPMFPRREMKKGPGSAFQADSLEAR RVWLGCHYICSNTSMSLRAPNIMRWTRLMDECLEVLENSPAALLSDRLLCQHIRLQHI TEEFAMHLSAEEASAPAKSRAIQIQVTHRAFKRQLSEWRRTVGDGWDAHCTATSDDVP EDNAQRLTPPPPIVAIEPHAITEFMDTIDNIFRVFTSLDMSTIRALPAMYLIRIIYTF IILVKLYFAAAKLPAQDAVLQVDGLQVSRRFNRVIQMTAGWGPLWPATKLTTVFTKMR SWFESGGDNNCQRLQQAAAWLTGWELKPPSQGRDAHAMNMAEVVSDDGSIVASSSRGP ASWVPSLASTDVDTLAFSHEPPLGTEFSIAPPPFRSMSCATKSCSPQAGAAEFMHDEE VPLEGQRLGDLPNIDQMDDVGMDWSQYTNMGFDLYNLDAPFLPNPPSGFDPDAAMKDN CADRNT ANIA_01600 MPSGRSNSNITMAQLLVVLGATGQQGISVINHVLSDPILSSKYS IRGVTRDASKPAAQDLMMKSLDIVSADFDDPASIYAALRGAHTVFAMTCTIYDEHAKT REEDQGKTIADAAVAVGARYLIWSTATHAGNTSGGKYSVPAFDVRWDVEQYIRGLPIQ SAFVAPATFMQNLRDMMAPQPCEDGTYAIANIHSPQTRFPWLDVVADLGKFVGAILEQ PEKYDGKVLGASSCIHSLVEVAQILSKVSGRTVKYVVMPESKFRSFCPPDGADSIVNM FLFIQDYGYYGVETEKVVEWSIQQVTGRLITIEEFAKTLHFA ANIA_11310 MLSDPRQGLLQAARRRVPEPHCLVIGAGRQQSAVRREGHTINPA GMALQSLLQAARRRVPEPHCQVIGAGCQQPAVRQEGHRVNRAGMALQSL ANIA_09442 MSGISSVCSGTAADSINWVGYLATVYNDTTVLSYNHAVYGATVN NTLVPGVPRDLAYQVSRVFEPHYCLPAGSEIEAEAGESWTPEAALFTVWIGINDIYSL SQRTAPFKDIPSILQSYFDLIDRLHDCGARDFLIFNVPPCDRTPKILALEPSDIRRYG AVTEEFNRQLLNAVEQWRLANVDSTMATYDTWSFFTQILDSPQGYGFVDSKCIGAKKR CVWWDDFHPVSALHRLLAADIGKILGWPDE ANIA_09443 MEETRVNLLEYLRSKTQVDLDTFDITGISFPRLLEHTLNLETVA AGLHDQFPEVTFDELGVEVGAVLLAGEMVPAFTGNLHLMVNPSYAYSREKVIEAAKST PSPISLYPVLPATWEGLQACRDLQAFKIKTLGTTLFSMEQAILAGEAGCVSISPFVHS LRILVDPEYKDPHPVYNLSAQAQRWYRRQSLPTKVKSCSTVGVDELLELAGIDALTII PDDLRALQSTYRDANQVFKLSIVENGTQNDDKIEHISYINDEEKYRADFQKADEGRAK AKTAEAIDIFCGFQAKAEDVIRKAKGQ ANIA_09444 MCGQYSPFPLVPPKLILLQAACVSTALRASSCDTGDTACLCTTA TALESALGCYSLQCTVKEALCKMTCPDYPRFPLLTSAASTNMTRSTCGLPEESEANLT PALAGSLGMLALIMVGLRIGWRTGTKKTVGWDDGFILLAMPDGAVKYHGLGTNLWTIP FDSITAQLKNQYLLIAEVFYMPAEAFTQLSFLAFYQRIFPPKYKYPIYTLSAISVCFG ISNTLIMIFQCTPVSFFWNSWTGEVSGTCININTYSWYRAAMQICMDVSIISLPIWPL SRLALSTRKKAMVLVMFCTGFLSNNKTRITIVSCLRLQSLIKFSKSPNTSMDNNPAIY WSMVECDVAIICACMPSLPPLLKPIFPRCFATTQQSDPEEERTPQPVRLNQIMKKDEF SVLSSSASGDRLVA ANIA_01601 MAPTAILITVPLGLLLYLLFIRPSIAKKQKAPLPPGPPPKPLIG NLRDLPSPDQKNWVHFLQHRDLYGPISSLTVFGQTIVILNDARVAFDLLEKRSNIYSS RPRMVFAGEMVGWEHILAMQPYSDMFRAYRKAMHRVLGTKNVIAQFNELQDVEARRFL LRVLEKPGDLVQHIRTETGAVILKIAYGYDIEPHGKDPLVALANESLANFAVAGTPGA WIVDTIPFMKYLPSWFPGTGFKRTAASWKQTLLTTIEKPYRLVLKQLESGKYPDSYLS NLLEETKGRPLSADEEQVIKWTAGSLYTGGADTTVSTLSCFFLAMALYPDVQRKAQEE LDTVLGSAKLPTFGDRARLPYIEAIVKEALRWHPVAPMGIPHMSTEDDIYEGYLIPKN SLIMPNIWAFTHDASHYKDPATFNPSRFLGDTPEPDPSTLTFGFGRRICPGRLLADSS IFLTIAQSLAVFEISSAGEDAAKAEFLPGVISHPVPYRLDIRPRSKGHEDLVKRIAAE SPFGEGGAKELEEIVV ANIA_01602 MKFSSVLALAASAKLVASHATVFAVWINDEDQGLGNTADGYIRT PPNNSPVTDVTSTDLTCNVNGDQAAAKTLEVAAGDKITFEWHHNSRDSSDDIIADSHK GPVLVYMAPTEAGSAGKNWVKIYEDGYNDGTWAVDTLIANKGKHSVTVPDVPAGNYLF RPEIIALHEGNREGGAQLYMECVQFKVTSDGTTQLPEGVSLPGAYTATDEGILFDIYS SFDSYPIPGPAVWDGASSGSGSSGSGSSSSAAATSSAEKTATSTTAAATTTAVATSTS SATQVQPTSVATFTTSVRPTTSAAPTTSAPTSSAAPTGGTGTGSIQIYQQCGGMNYKG ATGCASGLTCKQWNPYYHQCVQA ANIA_01603 MTKELVSSSAANKALLNMFIAAELRYPVRQCAGARSVRRWEKTC GSVGTQLPSALLLYPVAIGILVVCVTLTRIARIREIYKGKGLEIPDDFDSTLTMPPVH FMQVSAPDDVDVNELRKVEVPGGLDIEILEFFE ANIA_01604 MPLSWTLGLPILTLLSFPAVIQSKAVFAHFMVSNTENYTLSDWH SDIALAKAAHIDAFALNAGYGQNENARSFRNAFTAASELDFKLFFSLDYSGDGHWPKD QVISLLENYTTHEAYFRHTDGRALITTFEGFEAANDWAEIKKEIAQTVGNETCCVLIP DWTSAGPEKAAKVPAIDGLMSWEAWPYGNEKMNTTRDEQYMSLLGERPYIMPVSPWFY TNLRQFHKNWVWQGDDLWYTRWQQVLELQPAYVEILTWNDYGESHYIGPVRRDATRVI AEGAPFDYVSGMEHDGWRSLLPYLIAQYKNGKNREDVEIAEETLSVWYRLSPADACDS GKTSGNSKSSHQAVMEPGDILQDRVFYSAVLEEDADVAVAVGSVNQSAQWTNTPDRAR GVYHGSVPFGGRVGEVVVTLSRAEKVLVQMKGKPIDDQCLGNHTNWNAWVGNATAVKR GGSGIGDGDEVGDAETGSGMAIQMASLWLTGLALLSCLI ANIA_01605 MERPAFLLPTATSHPTKPNTRIPPILAAGKATSLSPNSTTAAEP PFSRIATISRQKLSAEAARGDPDLRRCLGHHRLLARSLYEAKQGMKRYLEEVLESESE DDEDIYGEYEFGKGEVLLQEDELVDDEDDDDDDELYDDDLEDEDDDEDIDDECPTVEE EEVVAPPASITVSPDPAADSAPAPNPDTSVKDQFSAPVPQSVPASMVPCSNSSTAPGP VWVKEKIVGAVRGLVRRRNSTSLSPSPGPSPSTPPPTASPPEAASKSEAQSALAHMAA NNASCSQIPVYIHIHEHLSKPARRLERSQSQSQLQSRSQGHGRFITMRGRQYAQRLGL KVAAAVPVTT ANIA_01606 MSYPPPNYGHGHPQYTQPPPPQGYPAPGPYPPSSYPAPTGAHPA HPIPAPYGVPGGGNPGPPGSAGAYPSPSPQPPYQASPGPYPQYPAHGHPAQPPAPYQQ PPSGPGSYGQANPPYGSAPPPNPYGTPPPPHAAPYPPGQYPPAAGYPPQGYPPGPPPP GVGPYGAPGGYPPAAPVPAPPSLGYDPDQRASGDASKEADALRKAMKGWGTDEAALIN VLAKPDPLHMALIRHTYMDRHGRNLEKDLKSELSGDFETIMLSLAAGPLGQDIRYLRD AMSGIGTDETAINDVLIGRSNADLRAIKYGYVQRYNKALVDDIKSDLSGKTEKFFLML LNAVRPESGTYFDQASVDKDVHELHLATNAKTGTDELGVSAVFLGASDQKLVAISQAF EAKYHISLEKTIKDEFSGHLEKALLAILARAKDPLKFDVETMLAIVPPGGVKADIKRL IYWVVRLHWNPPYLAQIKEALQKRTGSNFGKRIRGALPGGDLTEAVLRVWS ANIA_01607 MHEAGVFEDAKLKLDGQFEERKGPFWLWAKVRGFLADLFHDNVL NIEISIRPHAYGQRLQPRKVMDGSQKGSGRFCRRRTTDQTELELIVPCLVSRQHRAER SPDLEHTIRSQLGIVLHNLGHGPMAAAPGLIDEQTVATVAPRRSNGHLWTSMISMLPS EIVHEQAIADANRTFSSIFQLAQNRAKTISSEDWAHASYSSGRAAHKLYEGHHDTGVR VMEDGRLNISLTPHQPWLQRIVRHTQQHPYPRDSVHRQQSSASFRPNPEDEYCPLRLN IVIHVVGSRGDIQPFLAIGKQLKSHGHRVRLATHLSFRETVEDEDLEFFNIGGDPSEL MAFMVKNPGLMPDLRTIRSGAIRLRRREMKSIFSGCWRSCYETGDGTGIDHHIKDEPD PLSGDEAVDLRRLPFVADVIIANPPSFAHLSCAEKLGVPLNMMFTYVFALPIVSMSRL TAFPHPLANVQSQSTKPSVANFASYAIVEIMMWEGLGDLINRFRKRELGLDPLDAIRA PSLAHRLQVPYTYLWSPALLEKPLDWGDNIDVVGFSTLPTAQDYKPPQDLQSFLDAGP APVYIGFGSIVVDDSKALTDIVFEAVEKAGVRAVISKGWGNIGANHAASDSIMMIDKC PHDWLFQHVSCVVHHGGAGTTAAGLALGKPTIVIPFFGDQAFWGSIVSRAGAGPDPIP WKRLTAEKLAEAIEMALKDETKRKAEEIGEQMRSEQGARNAVCSFYRHLDAESLRCSL CPNRPAVWWVKHLHIKLSAFAATVLVETGLVKPHDLVLYRSKEYDTSRDPKGPITAGA EVLYGVITDFVAAMATMPADMAEMFGADHRHGRIRMKRHPKHGRKPPSPVRKWKKEHY GHRNPDSGVGSNSSSQSDGRIDISETETEKSSTVADDSDENSETGSGDSSSRTDIADL DSTLNPDAHNDRNNNNNIGLTAHQTLSLEDTLRRQQTRDKSIDTHELFMDARYHLARA GKHIIDWVLLIPTDLTLSFSKGFHNAPKLYHDPMVQETPTVRGVKGGLRAAGTEFTQE LYQGITGILTQPKHGRKESGTKGMLKGVGKGAGGAVLKPMAGLWGLAGYPLEGLHKTL RSSLSKSKVRDILASRIQQGLGEMVAAMPEERAAVIERWNKMQAEKSNERA ANIA_01608 MSQFPTPIRLAQAVGLTGAAYLAGNIFAYSFATIPALESSQNKH GAPAALLAKQWSELYARGKAQNPPIAAATAAAFAYLAWSVHSGKSAALQVLAPANAAY LYSAAAALTVGIVPWTLFAMTKTNDALHERAKEVFVVTEKTADEVKELLAKWKVLNAI RGLLPLVGGLVGFLAF ANIA_01609 MLVPIRVHIRDPLILLRRRKRLHRVRHLARGYSHRKNQTAAIAP RTSGMPTPNPTPRPTPRLLSELLSLPLPPVGVVVGVEVVVTVLVVVTEVEVDELLVLS GERLLTTNSTAGIENVWVELLQSHPPYAVQQNVSVPQAVTRFRNLKPSTSPRLTLRWV AALRASNARYILVAVAVVALGYHFGADRARSAGNGTIFSRDECEDGKSVDRETLWSTG DCLKNEIKNPKKSRSEAKGRLSVGSLDEDLRPKDARKLKFWQDAVRNQSPGNRNIASW RLQASPNRTSDNLFSAEVTEGTPYNYTAPCQGLKATLVLHRASLNSATQGLHLVPDNA ESCSGYGKVEQWDVDAYSCQGQAYLDTSIANRTTHLKLASSDISSAMRIHHKQQYPLQ LYAAGSASQTPTSSLLAIKSKILLNKRSKVHRSGRKSSRHWIHRSAYSNGQRCSSSHH FGLRRVCLFATHGDPDMIMTAAEGRLPTARVVAAIYLLFSTDHVPAPGGEIVICNTFP SYSLVIFRRMARRTGYNGLAFKDAREKKESRSKAWVMELLKGQSARGDWTKSLRSR ANIA_01610 MSRSKDSLDSTSSRISKSQLFPLAHPPPKSKSAPNKSPRLRLSS RLVLQIQQSSQSRAIPILELYQPSTFGKSIALPDGSRKVHGRDMYVTQSEMYTHLKRP RKRDAVDGYGTGYGHGYGNAYGHTTKPRSGSGGSRSVSGSAYSSAASSRPKSRSSGAS SGDEGQGECKAKFRARRKSGNDADGEQDDVVAMIHTSPKSTAADAQLFFPQNNQTWET TSSRPGYYRFQSDGSSVVFEWEKRPPSRSHPSSAAENDDGERFVLSVSVSEPTSSHTK RPWLAQLSKRGVHVGGLEPWRDEPGLRALIDGVGDGAGAGGSGAGAGLYTLILTMGVW VAKGEGWVN ANIA_01611 MSAAKIEPGSFNIPVGTFPATCKTPQESINAHALATSILSRLNT ALSTSKISDIAALFLEQGSFWRDHLCLSWNFHTAKGREGIASLLSQVEKEKLNHLKLE IDISTPFRSPQISAIDAFGEVIGVQFFITVSTAIGSGRGVVRLAEGDEGEWYIYTLYT VLQELTGHEEQVSSRRPFGAVHGEISGRPRLNWQDRRKAETNLDENKEPAVLIVGAGQ AGLSVAARLRMLGVDALIIDQEDRVGDNWRRRYHQLVLHDPVWFDHMPYLPFPSNWPV FTPKDKLAEFFECYVKLLELNVWTRTTVKEAKWHADGKEWSVEVIRRTDSGEVKRVLH PRHIIQATGHSGEKNLPEFKGIESFKGSRICHSSEFEGATDADPRKGQQKAVVVGSCN SAHDIAQDYYEKGYDVTMVQRSSTCVISSDAIVNIGLKGLYEENGPPVHDSDVFLYSI PSEQFKAQQVRITAVQNEHDKVLLEGLEKAGFKVDKGPDDAGLLMKYWQRGGGYTIEV GAGRLIADGKIKVKQGEEIAEILPQGLRFADGSELEADEIVFATGYKNMREQTRLIFG DMVANSVNSVWGLDSEGEMRTIWRKTGHPGFWFMGGNLAMCRYYSLLLALQILGIERG LNEVQ ANIA_01612 MSKFRARLYKTDRARDFEHEQDRHVRTRQIYETIDRQSFQWIVV LVAGVGFFLDGYTLFASNIALPMISYVYWREDTSSFRLTCINIATLSGTLLGQVLFGY LADRNGRKKMYGVELALLIASTLGVAMSSEGTHGSMSVFAWLIWWRIIVGIGVGADYP LSAVITSEFAPTRHRARMIASVFFMQPLGQIAGNIVSLIVAVLSKKQGHDDVTRAVDI MWRWVVGIGVVPGVIATFFRFFIPESPRFLLEIEDDPVQAEFDATTLFSDPAPLSSLG SPEIAVEEGYRYPGSASTALTSMTTATANQFNDINLIAPWNEIILPAPALPATATTMG TGKGTSTAAGPDTAGTGAGALTIRSMSIDDFTDRTPSQYHDSLPEGTFLTPATLNSHW RLTKVDITQYFWHEGNWRTLLATSLSWLLLDFGFYGIGLSSPQFLAKTWDSLKLSGPA PPWMTDDTGATDIYDMFRDTSIHCLIILNIGSFVGGVLMLLTIHKLERVSLQKYGFLA LAAHFIALGTMFITVHKEGPVAVTLYIIGQILFNFGPNTTTYMIPAEIFPTRYRATCH GISAGAGKLGSILIQLLSAYYKFGTGPGNEQTVRHGYMLIVFSACMVIGAAVTHFWIP PTQRKSSDGRAKLWGGKAETLETLGLGRRGWKSRFAGVRR ANIA_01613 MIPYSHEHLQDRPFPASARRESTATVSSTPSTATSSRSSVSGSL CFVSEPPFSPSLSPSLFPSPSTSASVSLASRPREGSLNDEVARLPLNPAVTVSFVRSS RLFKLRYTFINIRKDFAGALKCLELGGAVGQQTAFLHSFNTTRLPVPHLEHPITSSEP YTPSSLRISFLDEQTVQTGATVFATQLSYTFESWLDCLQFQELILASRIVFIAGIAEA KSKGRGEECISQNLRILRQDHTGKLVMLFFANSQRKERKRYVSIPLNHIHYGLRADYS RWHLVSCIESVMPGKNSRRPVVLQLQPNFDHLAQMRTLQISFLDSDDKKAFCEFLAAN NR ANIA_01614 MTTLASHRSGRSSESSVDVVAAEKEKLSTVQLSQANLDALPDLR NSASPKRWKTFWKSFRYLQHLTSKQVDDFMASYIIYNLDWSDEKQMVETLGEDYQRKV GDCLQAYYGVLNHLCALGDVEKMYIPPFMSSKATVRENQLLYEESIAHDIGLKPGDRV LDLGCGRGRVAAHMSQYSGAQVTGLNIDPNQVAQARSFNTRLGFESNSFIVQDFNSLP LPFADDSFDAFYQIQALSLCKDLPALFREIYRVVKPGARISLLDWVSLPDYDPSNAEH AELMRRVKPLIGAVGTPTPEILENALMEAGFTVLRSDNASVGGLQAPLIDKVDLYFRS MRQLILGLVKAHMLPRHFKTLINRLCLDGQAFVKMDKMRLVTTSYRIIAQKQA ANIA_01615 MSSTLSTPSLSQFPTPNQTPRLPTPPNSPEETHPHIMSAEENEI LAQESTRLTESGDDFAPTITSLLNEYTDRMKQIQRRRLAEQKGEHEILYAKLRRQKDS ALSGLKQAQDLTTRYKQELDAKVIEEEQLTEKMKTLEKLLAETATQLSDTTKAYAEKE EIWNSVMGPLARLENDNNEIREQLGEIKQQLEGTNASIAEERAISDRNLSELASVRDK LAEEKVQRAAVERRLNEQDDRVRLLSNRIIYLKASKLQLRSELNQARREAEQVNRLRS ANEELGARCETLTRDVSDLRDVRHHHEDEIRRMKQQQDTMTGAIHHLTSEVQVEQKRS EQLQSSVSDLEQKRDGLNNQLQHLQGEYNDLTGALANAHEAARRAEICMQWVVIAHRA RCRSLKAEVAELKSSRLPKVEAKRSLANIRRGNSPARLGVVERPPVTIRKPRWKNQTV NSITTSAAAYLFWLCKTTGLRSALYALTTSCNHEEIKARCQPGMIQIYQLYPNSKIGA TQLKKRLANVGLPKDHDYSRPTSEVTDTKVLVEVHEDRAQEQAQQPAAIPSQFQPEHN NICKATGRILTVRHPRRIQERVAQKDDLGIPSAVLPAHGPSQSCSLGIRVGRRPSYYL RTFGQKPDRGVL ANIA_01616 MSMFPPAPKPPTLLGYHRVLSPSAGVKVSPLCLGAMNFGDAWKE YMGECNKEQTFALLDAFYEAGGNFIDTANNYQQEESEKWIGEWLKKRGNRDQMVIATK YTTGFRTSHRATEPLQSNFVGNSFKSMRVSVDNSLRKLQTDYIDILYLHWWDFTTSVE EVMHGLNSLVTAGKVLYLGVSDTPAWVVVKANDYARAHGLKPFSVYQGKWNAAYRDME REIVPMCRDQGMGIAPWAPLGGGKFKSAEARKAASSGGSNRGAEMSESDIRISDALEK IAERKKTTLHAIVSHPCQYPYLYSITDQCPCQALAYVMHKTPNVFPIVGQRKIEHLKA NIEALSISLSDADMDEIDGATEFDVGFPMSFIFREFTGRNTAADVWLTKASALIDAPP QPGPVRHREAER ANIA_01617 MTFGTANSGTYGHCKKDDAFGMLDAFYSKGGNFIDTAIGISDTP AWVVSKANEYARQKGPRQFVVYQGMWNATMRDFERDIIPMCREEGMGLCPYGVLNQGR FQTEEGFRSVKNTTRGGI ANIA_01618 MFSPLTLAILAICIAVSSSLTVGCYPEDYQIVQNDLFDGLYSLK NDQISNLQPGTCERVVCNSNAAVYWCNDNEDRHAEVKSKQVGDAAWKIIHDCGISAHT LIYAAGVVVYPTKIRVVVIKDDECLDQH ANIA_01619 MLAAQFARTPGRRVMTKLSSQNPILRPASFLINQHNSTRKRAMS SQPSATRTRALVDWASALQFTDLPPAVIARTKDFFVDTLACAVAGREHIAVKSMLEYS KIMGPADGKCELIGFPDVKTTPAFAALVNGGAAHVVEQDDLHNSSMMHPATIIYPTAL AVAQDVGATGRDFITACVVGYEFACRAGEYLGKAHYEKFHTTATTGVLGAAATTAYLL RLDSDQFLSSIGTAGTQAAGLWQFLLDATHSKQVHTAQACAAGVFSAYTSASGLLGTS DILEGERGMGATLGNLTTTPEAIDRDLGRKWSVLESSFKWHASCRHTHPSADALIALM HDENVKYTDIESVTAYTYKAALDVLGLSGEGKTVHQSKFSMGFVLAVAAKKGTAGLTD FTEEALKDPELRELQGRVKMVLDEEIDAAFPEKWLGRVVVQTKDGRTLSRTVDVVKGD PGWTLTREEIESKALALAEYGRVKDLNAFKDAIQRIWRLEEQDKINRFSFS ANIA_01620 MLTILINWHLLRKTHGPAVADARAQYPRIAKYIEGGKGSGGAWH LPSTWTETPNTPVNDVVAAAQLALNRSSNDPSGHLPHSNIPRIIHQTWRDTRFEKWRP KYQASVEKWLRVVEEEDIPYLFWDDAGVAQFMRTFEPNLEAEFYGLPSPVERSDVFRV LVCKWIGGVYVDMDTEPIRSPTEWIMPTDLIPWTDQKTSKLYHSTQAVNAIVGIEADT NPDTDTYWRMGYFFPIQLTQWAFAFAPHHPVLQIFIDRLHETIRLVTRDQQLLPESEQ SGRGLDWVDPVNLTGPIAFTDSVRTYLGRKADLRWNALTGLHDDGKTKLVEDVLVLPI TGFSPGSARFRNMGSKPVTDPSARLYHHAEGFVAALESESRDGQVLPHSVWAL ANIA_01621 MATPTSTSIPPTIVRLPKSHTLIRPFTPTTSDIQSLARHANNPK IAQYMRNAFPSPYKHSDASSWITFTMSQSPTHDFCICLESTNTVIGAIGLKPRTDIQH CSMELGYWVGEEYWGRGIATEAVEEFVRWIFSRDEFAHVVRLDAEVFDGNEGSKRVLE KAGFVFEARRRCAVEKGGVVLDTFTYAVIRDDLKTFGAANPTRS ANIA_01622 MASAADHISTPTSSRESLSGTSTVVSTTPSPLAGTSELLEYVLP ETPSPCASKLVRRAHKHASQSQRAIPYNRITKEFARLNRSPKFAELIQKACGEISPRE TKEAVRGVPNEPSNEDNEHSGGKEDHHQETDGRQPMLPEKSTADDAHSSESARSPPPS PPSPSPPPSFTPKRRTIQEIFYDMEKVMRNSYKAEMGHAYILYDDLNQDPTFKLGSST QIPQRMKSHKLKCRPSWRSIQRPAGIILAPMRLERLAQKELQNFKCDVQCHCGTGHTE YFWGSKDVGVEVLDFWSEWLQGKGKGELKDLEPYDRSGRLKDFWTDRLDLFQASINEY FRCGDSQCAESQEDARACQACLRAGWKRWAEPTRADELKYACRMSISSTTIRRIIQST TSRSIFGISFLMFIVSLISHTVSAWQWISDPRVFLWVIPLRLGSSWIGPGGRLPDLAS PRLLWILDMTLIIVCIYTRLQQDGAARFTFLVPHPSPNPSPGFKKAKGKKRPSLDAGD TLEQEGEVEDPAVGDVESSNGRIMKDSQSELGGHLECNAIGRS ANIA_01623 MDIPTECTVLVVGGGPGGSYAASVLAREGISTILLEADTFPRTE LHSATTASLRHAQLNRPDTNFLAFGGHAWNVIRSESDQLLFEHAKTCGARVYDQTKVE SIEFQNPFGLNGPRGSGFTSNQATNLGRPVSAAWSRKDKTTGTIRFKYLIDASGRAGL ISTKYMKNRKFNKGLKNLAIWGYFENAGSYGEGTPAVGGPFFPRLEDGSGWAWFIPLH NGTTSVGIVMEQSSFTTKKKAMTNPSTRGFLIEHIKYAPGISDLLSKATLVSEVKSAT DWSYSASTYAAPYIRIVGDAGCFIDPLFSSGVHLALTGALSAAASICAVLRGDCDEDI AAGWHSQKIRETYTRFLLVVTSAYAQIRGQERPVLNEYDEKTFDRAFSFFRPIIQGTV EVGGTRLTREEVAQSVGFCMQVIRKVNGAIDGETPDVEDQYGEQTCDRIKKAELKAGL DKFSADAVLGMTVNLQRGALGLVKVG ANIA_01624 MAASRVFAQRLASTMKVARPAARIQARTLTTQRMATPFQTIKRQ QPSMIQASARQAFAARRQYSSEIADAMVQVSQNIGMGSAAIGLGGAGIGIGVVFGSLL LAVSRNPALRGQLFSYAILGFAFVEAIGLFDLMVAMMCKYV ANIA_01625 MSDAPDSLSSDKAKEEEINAAFAGKNPKKFNPNDPSDAANYNGP TDSHILSQTKPQDNEAKGLAHRAGENEPGQVSDIGWGSSDTVQERVVPGLSNEDLFML IRRFNKQIYNVKAVPDAPLQGLDLVRAEDDHFSPDKLRATLERFYTTIIIGVTAFHEG RADQDVQVYFTAWLRDLLIPTIFTTLLTLVLYPPSRKFLFPPAPIALVDTSTGGVQKP KAGVLGSHDSMTGAPEKYKGEAAEQEASNLMAGIATVAVGSATGKHDQGNPEGAPMES KVPDATDIVAKSADAQAAANGEVPAETHDKTREPMKQTVLNSADQAMRVMADVIDTWE RFANALSPTPPFSMMTPRLRLAGVLASGLLVALLTSSYVFMKIATFSVGLAFFGDPLI QRGIQYLNREYPHWKELSQLQNTLLKGVPTNAQLTLTLLRIGEANAAPLPPPPSGSVE KAPSQPASIDHDTLKLGAAPNEIDRAAAPEPEHPNMHQQEKAEFEKPKQKKGFGAKLL SFFRGTTAAGIESKLAISHARAEIGNLHAKNQKGVLRKKGLETLPMGPVEFDARYQGH RGMAIIDSSHEPALLYFTTDETAQLGDYRMESRKNGSVYFDIPVSDIKEMRKIGGMGW KGKLVTGWAVGGKEVVDGIVVKGNHSHQEFQLTAVTKRDELFNRLVAIDGQVWNSF ANIA_01626 MEHIPRIYGPKLQTANLTVPYLSGSWRYGNQMKGFERFRLFPVQ PGINAKLSNGCLNSLSIEFLQGWLYFGLLAEAFGTAEMKFDPDHYTDRSAYTAFVTTK KLNRYIWYPAADEIDITRRASINVLSNAHGSAPPYCQLSYVQNLVNALYLESSGPVKC WLDTVCIPVGDRHSPFRRIAINRMHETLRASDKILALDNTLMTQRTDTGMNWVEMNNA SRPLGEELLFQFNDGPVSSNFVFDRSYAERNILAVSSMLEGRGLQNVLDEPNAIRLAR ALTLLPRDSDSSSSSNSAATKAYEIWMPIVENAGYISDLSEIDDDLSFNIQARVFCPV TKHSNLSTRDIRDEFRLRNIISKREDSRATGTEYDYHAFFEGVSLGFRGRTASKAEDE TICFGHMLGSDTSQITAIKPLKPRVKYWLTLIETNPLLNAAAWALGIDARARLMECRV KRMQTLLTYIGRMPLTILLWKLPLNQSVGWKWAPISLLDTGSGSQGEGAWSSNERGLV KPDGLLVSLPALRLKTPAADKSRTADLGIILLGPVYVTVTTEDEGVGPVGGDITTFKI HLHSVPSEWGRY ANIA_01627 MKQTLFSLIFIPLLAPALALVAPKLPTGLGLEKRQTQCSMLPCD ENTAILCSLLNCGTCEGGVCTGTATGGSEADSGSAISDLTASLGSTSSLGLTSSGAVP STLPINLSALTGSSVSAGGASINSINSTSLTSSTSSMPIDLTGFAGSAGSTASTGSTD STVVNGAAGAVDST ANIA_01628 MAREKTADPGGIRPGHADLSQPAYCLPFDVVLKELGTNVDEGLT KDEAARRLQQYGPNQLDEGEGVSVVKILVRQVANAMMLVLILAMAVSFGIQSWIEGGV ISAVIILNIVVGFFQEYAAEKTMESLHSLSSPTGTVSRGGETFSVPSAEIVPGDMVEL RTGDTVPADIRLVEAVNFETDEALLTGESLPVQKECDSTFKEETGPGDRLNLAYSSST VTRGRARGVVVNTGMATEIGSIAAALRATNSKRRPVKRGPDGETKKRWYLQAWTLTGT DAVGRFLGVNVGTPLQRKLSKLAILLFGVAVLFAIIVMAANLFSNDNEVILYAVGTGL SMIPACLVVVLTITMAVGTKRMVERNVIVRKLDSLEALGAVTNICSDKTGTLTQGKMV VKKAWIPSRGTYSVGNSNEPFNPTVGDVTFTPLSPVDFVDEKEGPVTENVESLVEGNR ALEDFLDVASMANLSHVFKSEEGDWRARGEPTEIAIEVFASRFNWNRDRWTKGSKAVW HQKAEFPFDSTVKRMSVIFSKETPDGEHSMVFTKGAVERIVDACTTAVWDNSSAPVAL NDEMRSQILQNMEELAKLGLRVLALAHRPYNEQGRTLEGSDLNRDDIEKNLCFLGLIG LYDPPRPETAGSITACYKAGITVHMVTGDHPGTAKAIAQQVGILPADMSVLGTDVAEA MVMTAAQFDRLSDEEIDDLPTLPLVIARCAPQTKVRMINALHRRGRFAAMTGDGVNDS PSLKHADVGIAMGQAGSDVAKDASDIILTDDNFASILNAVEEGRRIFDNIQKFVLHLL SENIAQACTLLIGLAFKDLDGKSVFPLAPVEILWIIMITSGMPDMGLGMEVAAPDIMD RPPQSKQGIFTWEIIVDILVYGFWTAALCLSAFSLRMWGFGDGNLASGCNREYSDACD LVFRARATTFVCLTWFALFLAWEMVNLRLSFFRMQPDSKKYFTQWMYDVWRNKFLFWS IMAGWITTFPILYIPVLNTVVFKHTGISWEWGIVFVEAALFFAGVEAWKWAKRVYYRK QARKQQGVRPFGEVSRGPQDA ANIA_01629 MVSGISKRQQLRNERALQDLIRSVPGNDRCADCSAMNPGWASWN IGIFLCMRCAALHRKMGTHISKVKSLSMDSWASDQVDNMKSRGNILVNKIYNPRNIQP PVPTDIDESDACMERFIRQKYQTRTLEDGKPKPPSRQDPSYTRSPEGSPPPLPPKPSR PFGFGLRSASSAVNLNSHSAGSHGPDSPVSDSRRSSFESKLAKLRDMGFTNERRNTTA LRELDGNFDKTVETLRRLGEGRQTPQVRTPAASGASLNPFDQLDAKQPAQLAQPSQVN GTSYNPFDVSTSQPQPLPQPQSTQSLEQSFQNLQVAQPLFPHSTGGYPNRQNSLPTYT QPLASPFVPAQGGLVSSPQPLDSGNNPFFQTGGLTQPSLNTPPPQNPYSAQTNPFFNQ ASAPQQQITFQNQNPAVTAAGGAPFPPALQHANTMPSLTSPFGQPSPFQQQQQQSQPQ AGLPTAQPGQSPFNPYNAQPPFGYQQPAQPFAPQPTGRVDKNSILALYNLSARPPAIP EQQAVQPQAAAGGIPTSQGLNPLGQQTNAPQAPQTPGTQNPFLGFSAAPGSQNSIQAT TQPSAYAMNANSVNPAANTGFIRAHMSQQSVDINGFQNGRHSPDAFASLSARQGR ANIA_10220 MASAARSASRAFLRSSLRPAVRSSRFALPTQGLRVASRRGYSSE ASSGKSSNTLLWAGVALAGGAGAYFYLQGGDVGASTKVFTPTKEDYQKVYNAIAERLA NETDYDDGSYGPVLVRLAWHASGTYDAETGTGGSNGATMRFAPESDHGANAGLKYARD FLEPIKAKFPWITYSDLWTLAGACAIQELGGPDIPWRPGRQDKDVSGCTPDGRLPDAT KNQDHIRAIFGRMGFDDREMVALIGAHALGRAHTDRSGFDGPWNFSPTVFTNEFFRLL VEEKWQPRKWNGPKQFTDNTTKTLMMFPTDLALVQDKGFRKHVERYAKDSDAFFKEFS EVFVKLLELGVPFNSKVEDRYVFKRSE ANIA_10224 MTQTSAAPTTTPSFYEILNLPFPSTGLSKQQLKIAYHKALLKHH PDKAVAVAKENLPSSNHGPAQPPSNQKITIDAITTAYKTLSDPVQRAEYDRVLRLDRN RVNGAGDKNGNGTVFHTGLEVVDLEDLDCDEGGDEAMWYMACRCGDERGFSLSESDLE READSGEIVVGCRGCSLYTKVLFAVQDD ANIA_01631 MDITSGPVLKNEVAPDTKLVEDGPRRADGVTQLYEGNIFEATPE DRRQIGVVSASFLIFNRVIGTGIFATPSTILSLSGSVGLSLFMWVAGTLIAVAGTAVY LEWGTAIPKNGGEKNYLEYVYKKPKFLATAMFAAYAVLLGWAASNSVVFGQYILNAAE VEVDRWNQRGIGLACITAAFLIHGFALNWGLRLQNLLGIVKLIIIIFVIVTGWVALAG HTKIETPHNFQNAFEGTTGSGYGVVMALYNVIWSFIGYSNANYALSETKNPERTLKIA APVAIGSVGVLYMLCNIAYFAAVPKDQFLASGNIVAATFFGNMFGSRAERVMSVFVAL SAFGNVLSVIFSQGRIVQELGREGVLPFSKFWASNKPFKSPAAGLFEHWVVSVIIMLA PPPGDAYNFLVNLISYPLAIVNVFVSAGLIYIYLTKEKNFPNWAPGIRATLPVTVFFC LSNIYLVVAPYIPPSAGQSVYEELPYYLHCVVALGIFALGAIYYLVWAVAMPRLGGYV LVKETVVDADGWSRSVFTKMPVARVKDEGLHF ANIA_01632 MASTPSKSSRSSKEAYSEVAIGPYTRLDHIGKGSFATVYRGVQT KSRTFVAIKSVNLGKLNRKLKDNLAMEIDILKYLLHPHIVALLDCLETNSHIHLIMEY CALGDLSQFIKRRDSLKDHSYTRHMISKYPNVSGGALNEVIVRHFLKQLASALRFLRD KNLIHRDIKPQNLLLCPAPKPAPTQSEAEPQIVPLKGSETSFTPAVGLETLPLLKLAD FGFARSLPATSLAETLCGSPLYMAPEILRYEKYDAKADLWSVGTVLYEMVVGKPPFRA TNHVELLRKIETARDRIKFPEENPASDDIKALVRGLLKFNPVVRMTFADFFENDIITG PIPGLAAEDVPIPDRPPSPEVIPTESAEPQSVSDTIYPRNNDAEPKEDRYPPPHRYVP HNDRPQTPTSSSPMRRTRSGDRPSSAKQIPPAAAPPRPEAVSHATAPGRQELIGRHSS EQQAPGTVPVYKNVDKLKEERELAAQEVAFERDYVVVEKRAVEVNAFADQLAYDPRFH GKQTGALPRRQTTPALPTTQASSQNSPRKAVQVVSGRSRADSAHNRHASYERRYGQSP TSATSAISKALNMASGRLFGVGFSPPLNIAKGGRSPPLAYNPFPAYPTGHAGLLTMGD GARAQASPDEDTRTVKELEECATRSDVVYGFAEVKYKQLIPLAPSSQSYNSPNAPGTN FEEGELTPDAAVTLSEEALVLYVKALSLLAKSMDIAGAWWMRKNRAEALGESSFSRLE PVSIRINKVVQWVRSRFNEVLEKAEFVRLKLLEAQRRLPTDHPSHPNNLSLESAGSGT SVDVMVSPGVTAEKLMYDRALEMSRAAAINELTSEDLPGCEIAYVTAIRMLEAVLETD EVQPSGKGTDKVTLDGVQGEEREVLLKLVSKIRMRLATLRQKLAVLAKRQTPPSSGKM IPSNLAHATPATPATGMATPR ANIA_01633 MIGSIFFIFNRLTEIVFLIPIIGMMAYFIDGYLKANMITPPYIL VLFIVSVIAVFWCIDTLIRHSTTKRSAAFVSFVDLLFFGAFIAGVYQLRFIADADCGD WDGGSVWVSLGPFGAFGRQSGNNLADDLNKTCRMLKTCFAIGIMEVLFFFWTAIIALW LYRRNNDRPVVVKETTTVRRRSHSHGSRRGHGSSHSRRHSSSSRRPHYVV ANIA_01634 MESQSILPPPPPPEDASAPPPPPEESAAPPPPPDVSAPPPPPED LPPPPPEPEVKKKKVGWGTKRPATTPLSVEELVRKKREADAAAARPKFMSKAERERLA LEKRAKEVDAQRRRTNGTPNGVDGMDLDTPSRGFRTPNGDSRSIPTGPRAMRNGDGPT PTGPAAMRSRNDSGTTKSDKKVDKRFNEEDEAAAQAALIKQRYMGADQTSNFSAKKKR KRTTDRKFNFEWNAEEDTSGDYNPLYQHRHEANFFGRGRLAGFGDDVADSVAKKYAKA LEDRDREAGSIRAREILEMERRRREESTRNQLDKHWSEKKLEHMRERDWRIFKEDFNI ATKGGSVPNPMRSWDESGLPKRLLELVDRVGYKEPTPIQRAAIPIAMQSRDLIGVAVT GSGKTAAFLLPLLCYIAELPRIDEFEWRKNDGPYAIVLAPTRELAQQIEIEAKKFTEP LGFNVVSIVGGHSFEEQAYSLRNGAEIIIATPGRLVDCIERRMLVLSQCCYVIMDEAD RMIDLGFEEPVNKILDALPVTNEKPDTEEAEDSSAMSRHLGSKDRYRQTMMYTATMPT AVERIARKYLRRPAIVTIGSAGEAVDTVEQRVEMIAGEDKRKKRLGEILSSGDFRPPI IVFVNIKRNCDAIAREIKQWGFSSVTLHGSKTQEQREAALASVRNGSTDVLVATDLAG RGIDVPDVSLVINFNMATSIESYTHRIGRTGRAGKSGVAITFLGNEDADVMYDLKQML IKSPISRVPEELRKHEAAQSKPTRGAGKKIEEASGFAGKGGW ANIA_01635 MADYNYGGSEEENAEIKKLEAELLDDPDNFETWERLVRAAEALE GGVNRNSNPQAITTVRNVYDRFLAKFPLLFGYWKKYADLEFSITGTEAADMVYERGVA SISSSVDLWTNYCTFKAETSHDTDIIRELFERGANCVGLDFLSHPFWDKYIEYEERVE GYDKIFAILARVIEIPMHQYARYFERYRQLAQTRPVAELAPPNVISQFRADLDAAAGI VAPGAKADAEIERDLRLRLDGYHLEIFSKTQTETTKRWTYESEIKRPYFHVTELDEGQ LANWRKYLDFEEAEGSYARIQFLYERCLVTCAHYDEFWQRYARWMSAQPGKEEDVRNI YQRASYLYVPIANPATRLQYAYFEEMCGRVSVAKEIHEAILINIPNHVETIVSLANMC RRHGGLEAAIEVYKSQLDSPQCEMSTKAALVAEWARLLWKIKGSTEEARQVFQKNQQY YLDSQAFWHSYLTFELDQPTSAATESAQYERIKQVVEDIRSKSALSSNVARDLVQIYM VYLLERGTQDAAKEYMTLDREVHGPASVSKAKTGGTEPVSHTAPQVPPVTPAPPIIPT PQVNPYNYYPQQSPVNGGYGNA ANIA_01636 MSTLQPASSGLIAKLPTRLRNFFARYPPQHYSAAAAPPPDPTTT PPPASETGALPSPYTPNRDAKGHERPDPSAISPSRALLWSNPDYPNPFLPRKNFRTGK WIGPRYGLRQQADLVKLAIKYNVEALLPPGKKSTEYKETRRAERGLQVKGTGIGQKVK GHKWERTMEGRLEDRRKAMMEMPEMIRLWKQRGHGRGWKKWPKR ANIA_01637 MNLSKGTAAAYCSGYSEDVDVIREREYPLLKDTTYLDHAGTTLY ANSLIHSFGRDLTGNLYGNPHSMSASSQLSAQRVDDIRLRALRFFNADPDEFDLVFVA NATAGIKLVADALQNSPQGFWYGYYVDAHTSLVGVRELAKMGSRCFVNEDEVDSWISG LGSRREESLGLFAYPAQSNMNGRRVPMRWCEQIRAQKENADNMIYTLLDAASFVSTSP LDLSKIAAAPDFTVLSFYKIFGFPDLGALIVRKSSGDVFKHRKFFGGGTVDMVLTDGN PWHAKKQSSIHQSLEDGTLPFHSIIALDSAFETHGRLFRSMENVASHTRFLAKRLRDR MNALKHYNGTKVCQLYMSPNSSYDDASSQGPILAFNLRNSRGMWIGKSEVERLASIKN IQIRSGTLCNPGGTALSLGWTGADMLRHFSAGMRCGDDHDIMDERPTGILRISLGAMS SLTDVDTFIAFLEEFYVDKPPEGLPVPLTGNVSLHQPSFYVESLSVYPIKSCGAFRIP DGQRWEVRREGLAWDREWCLVHQGTGITLNQKRYPRMALIRPTLDLERCLLRITCGEA NSRDGKTLEISLNRIGTNSLTTSLCQNASKPSTVCGDKVVLQAYTSPAVSRFFTDFLG VPCTLARFPPQSSTRFHSRATAAINRDQNYSQKQSPSMPGSFPQAPSSPDPYPTPILL SNESPLLLISRSSVNRLNESIKSASQPCSNPGSAASKKAVAADVFRANVVVAENISTA ERPYIEDTWASLSIGSGPEQLRFDVLGSCERCQMVCVDQYTGQRGDEPYATLAKTRKI DRKILFGRHISPVGRPKDAENGCLGTIMVGDAVTPSYDNES ANIA_01638 MCGTRRAEAAGSTNVPGREVLPTNVKPTHYDLTLEPNFETFKYD GTVIIDLQVAEDTTSISLNSTEIDIHTATVSAQGSVVSSSPEILLNKDKQEATIKFSE TISAGSSAQLKLTFTGTLNDNMAGFYRSSYKTPQGETKYIASTQMEPTDARRAFPCFD EPALKAKFTVSLIADKSMTCLGNMDVASEQELEGGKKIVKFNTSPVMSTYLVAFIVGH LNYIETKNFRVPIRVYATPDQDIEHGRFSLELAAKTLAFYEKAFDSEFPLPKMDMVAV PDFSAGAMENWGLITYRIVDVLLDEKTSSASRKERIAETVQHELAHQWFGNLVTMDFW DGLWLNEGFATWMSWYSCNSFYPEWKVWQTYVIDNLQSALSLDSLRSSHPIEVPVKRA DEINQIFDAISYSKGSSVLRMISKYLGEDIFLQGVRNYIKKHAYGNTQTGDLWSALAN ASGKPVEEVMDIWTKNVGFPVVTVSENPTSSSIKVKQNRFLRTGDVRPEEDTTIFPVM LGLRTKQGVDEDTLLSEREGEFKLPDLDFYKLNADHSAIYRTSYTPERLTKLGEAAKA GLLTVEDRAGMIADAGALAASGYQSTSGLLSLLAGFDSEPEFVVWNEILTRVGALRAA WVFEDAQTKDALEGFQRALVSDKAHTLGWQFSENDGHIIQQFKALLFSAAGNAGDKTV VQAAQDMFQRFAAGDISAIHPNIRGSVFSIVLKNGGKKEYDVVYDRFRNAPTSDEKTT ALRCLGAAEDPELIQRTLGLALGDEVKNQDIYMPLGGLRNHAAGIDARWAWMKDNWDT LYQRLPPGLGMLGTVVQICTASFCTEEQLKGVQNFFANKDTKGYDRAIEQSLDAIRAK ISWVQRDRADVGSWLKSKGYLPGNGKL ANIA_01639 MGSPIHISSKEQFNNLLTSSTFVVADFHAEWCGPCHAIAPVYDQ LSAQLSRPNRITFTKIDVDKQQEIAKAYGVTAMPTFIVFERGRPTNTIRGADPTKLNQ VIRKLANEASKSEASADSAQGSSSGGTWVGAAVPKGYSDITEEYDVRGLELLNRDSEF GVARTLFESSKPSALGNGKGKDGAADWIESDTDEQLMLFIPFKSTLKVHSLHITSLPP AEGEDDDEIPMRPRTIHLYTNRSHVLGFDEADDIPPVQTVTIESGDWDSKTGTAKIDL RFVKFQNVFSLNIFVVEGDGDGEKTRIDRIRIFGEAGEKREMGKLEKIGDEQGE ANIA_01640 MADHLFFPEAPPKPLRIKYDGPLYDGSDWDTFPTRCGWLNESGA EDFLARYIPYVKIPEELNYLFQYRSMMECWLYFGMLHYVFGDQLDQSDFILCEEQEGQ QQYITTRHLHKYVENAGDWKKNNRGARTVEIVHKVLNALPGYIRFIGEEMCLAIRLAS LTLWNIAVKRDGPQPDPSFLGAWSLSSKELEQLRLFDGWCPLDAEKCCQAGIYLDTQA YLLQLQRTKPSWNNRTHKSCKKTQCVADNIDESKYVTRHVQEDCSCSHIHADNEQLRT VLLDGGIPLAMITPCGEDELGNQQYELNIVTKRANKPYVAISHVWADGLGNPQGNSLP HCQLEFLYKQARRLLRDKEYIPGYDEKVYGSLYTGAARLAHFATNAARRRDNSVLVWI DTLCIPHQSDARSLAIQRIRDVYTGASRNLILDSELMLVDSRLCNKMEVCLRVLYCSG WIRRLWTLQEGLAAKDKLFVLLSDKAINIGTIPYMLFNKVDEGKLPIFQEGIATMAAI MWYSYFQEPTDSASKINLSVVKNGTTRSHSNLIAWNWFNVATRASSKDRDRPTVLAGL LNLDVGKILKFKEADERMRRLYSMLHVFPQDVLFINGPRFEEYGLGWAMKTCRFTGDF TTLSGDAGDITSRGLCITMYPSLIALSSDLFDLALAKSKRSDPDQRQMDWEKWLDDSK PHCAPELDLDADYDPDYVSEASKSATEPDDTKLSFLHLKGTIPVNLSQGEAYGIILRS ALPDQIYTQCALVALQTSEDGVHYGRYLSTGGLRSATFDRNVHSVDLPEDGYLLAGTW GDAQKYQWIVG ANIA_01641 MPTDQPHGAQPGDSDILHASRLQGRKRKRVSVACRSCRARKSRC NGTQPCSSCEDMDTECRYDQPSTRPRTSVIGSQLGDLPHPNAVLERRLQLIEQRLQVL DQDTRSSMASVATENATHEGRQPLDLEGRSATADQDDGVDGMGAVPLKDGGEEEEYFG MSSNVVFLRFIIETMKQGNPQIVPPTTAMAAPLDLGQTGNGSIDEFDERHTATTSGYR SRRPTTRSLFTLPSQREGDALLRLYFTTVNLMIPCVHEDSFRDTYAKMQRNGLGSAGR TWLSILSVIFAIATNVAAAISPPNERATKSNMYFEQALELIKSDMLGRPSLEMVQLFL LMEAYLEGTTSSSMTWTVHGLAVKGAYQLGLHIRDFRDVSAIDKEVRRRLWYCCIVND RLLSTRYGRPPLIPLSHVRLEPSVHIPFSNVSSATTASSLGFFDAIMYENLKRAHRSH EQLYDQNLALQTCLPTSKVLDRISGLCWKLAEWQDALPADLKIIDPGKEMLEDVPLTV GTARFRVLLSLRYLGTRVLILRPVLNQFLVMGQDVAPSNEHQSEWLRNSGETLLVGLV HTCRNVFRISKSILVGSQRNQNLLGAWWFSCFYTFNASLAIIGVLIVQTLPISVPQPQ ADSACSTAELRGLLDTAMEVLTGLDQGNKTLMKCRDTLGKLLRWIDLNANSTPGNSD ANIA_01642 MSPQRRFNTPDAQTLTRFFDDAASVLGEENVSRTPEHGALNGVR NQDSYGDPFSFSTLHQPSGAVRPGGVEDVQKLLKLANSHKVPLWTVSRGKNLGIVEIN EEYGYAIVEPGVSFFDLYEEIQRRGLGLWPSVPAIGWGSVLGNTMDRGFGYTPNGEHS QSQCGMEVVLPNGDLLRTGMGAMTDNKTFVLYKGQISVSLGLNWRYRDQRLLIGTGVV TKIGIHITPAPEAYATVTVSVPQEENLIPLVGVLSDLMRRSVILNSPSIANIFRIALF SQAPEVQAKLAQHTKPNSHVPYPVLEEIRKQQGWGFWKAYFSLYGSVEMLPALLSTVQ RSFSVIPGVQIQWREFPGTPGRAITAAEIGSEEIPHIVQSPAAGTSTTSPILPPSGRE LYNWYLTAKQHTIDANFDFFADFHVYPRYVVAIELVVYTLAEEPRVHELYKTLARDGV KQGYIVYRTHVSHMDNLAGKLDFNGHVFSKVTGALKDLFDPSGILSPGKSGIWNSERQ YLVQQRFDER ANIA_01643 MSGFEAHFSDRPQFSGFMKPCRFEGDVSHLEVYGEIPKDIDGVF YRVMPDPQLPPFIEDDPRYVQTEKFVREREAQRALLAGLGKYRNRFTDAVELKVRSTA NTNVVFFNGQLLALKEDSPPYALDPVTLDTKGLYDFEGQLPSLTFTAHPKFDPATGEM VCFGYEARGDGTPDVCYYSVSPTGKFTEVVWLVAPVVAMIHDFAVTDNWVIFPIIPQV CDLERMKQGGEHWQWSPETPFYLGVMPRRGAKAEEVKWFRYMNSFPGHTANAYENEQG HLVIDLGLSEKNVFFWWPDAQGNAPEPSSIVSQLVRFTIDPQTEDLTLREPEVLQEGN SEFYRIDDRFATRPYRHCYFDLMDPQLGTDFERIGTKIGGGFPLYNSLAHLDHTTGQV EVYFPGRTHLVQEPVFIPRKNSSTEGDGHVLALVNNYDTMASELHLLDTRDFARAQAK ILLPVRLRQGLHGSWVDASDDDHRVSTVGS ANIA_01644 MAGDLLPAIAYTDKHFQSKMPLLKLRKITVEWRGAQDGIGTDHI LPIFQLPSLRSFHGKMIRDTDSNDDDNYEFDVAGYIQGNAGSSSVTHIDLRSSCSRKG FSDLINAPKRLESFVFEHSNDPNQSEDENIYASRFYSALRKHQNSLQEIVLAYDELKG DDYGWDYVGSFVDFTALKKLRLRSTSILDWEQWGAWENISKNTLDDVLPPSIETLIID GMQGAQMKTLANAFAILLSGGNPHCPNLRYVEITSDWMSEQQSTEVSNLRPRPIPDML PEYASFKDTIESLCFGAGVEFCLRDLHVERIIRENRRSR ANIA_01645 MYFNPVLFGLPAIGIIGHAKYACAGSDSCLDADGGSPHANFAIC CTDRASGAGYIGNTKYDYICEAYATRNGLPTMSAKSAAECARRCDEDDKCVSGTWWSS NESCYRKSTSSSPQSNVWSREYVLLVESGKDSGPEPGPGPDCQQQVVDAIRTERETCS SKISAIVNDKNEKMKDQICEMLTRPKRDWSLLPVDMKHVDEVRKIVEFAGHASDTDSV AFAPDGNRFASGSADESVRFWDIKTQSSKELKGHTDKVSSVAFSSNGKYLASEISNSM IRIWDGETGQYLRQITGHTGAVRAVAFLQHGNDNILASASNDRSIKM ANIA_01646 MASEANQRCWNEAIESFLKESAKDPGKRRLVRDSHACTPDNLVM TVQHQLTSRMTVGTWNARLRRFIDVMTPYCAAVDAIVQHEPHNTALVWGSIRVIIQVI VAQQETSEEINDAFEFIKYQIDNYHKYLDLFPDELFEVTKLIYTRTFEYILRVCAWVE LRPAARFLRAAFSSFRQRLTKIRHSIREWERIQRQIYEVALETQNRESQAVMERATKK VNDQYVFIHFFRNHGDSRLGLASNFALSLISKALGMADIIDNPGAENVIAGITPLMNM PSSKCQLQQLLSILDQLLDLLPTVTLLVDALDECCDIQGPGGDLISDFLRRAGTRTDR RVILFCRSHHYAFTGSLGTGYDIIMDENAISGDIRAFITTEIGKAGLGSLREMVLQKA TKESQGMFLWARLMIQHVAAGRNLKACRRLVDEFPPALFHVYERALAQSAESFSADDH DLRYDVLLFLLGAQENPTAAEISTALALSPDTHQLDSELKLIDAETRIARVCWPLIEF TSDRRIQFIHGTVNEFLLSGQYRPRRPQVDHAPFPLSLEDSHQALARRTLQCLSQKPY QTWQHSARLLHKHLLPQELEAMMETDSTVNEPNDFYEYSCRHWQDHLTQLAEPGPIIL ELLSKFLRENAFVAWSEAMADLLKLSTPTAQVSVRATLQQWHSAKLKPILRPSVPLED YFLVAHQGLRDELEKRARSAILPYLPLVRLGDFYNVGGETIAEWELGYKFKKEVVAGY ETVLGKRNRFTLSARTSMYQEYLWKMQMDEAREGLQDVAKLQLESVGTELPDYYITLY YLGAVEFHLTLFEAARQTLETSANGLKRLLGEVNSKAIQAELFIGYALEAQGHLGSAA TLYNDIWHKWTAIAGKTNGIALMTQTAQGSAYRKQGQFDEAKDLLERSWTERKLLFTI GNNVTVDSGIQLAILWRDLGDNASAIDTIGEVVTSGIFPGDFERACQLDHLRALIDFD SGRYLDGKCRLLRRLGQAGNGDQHHNNRELLWIRITLADVMRSHGEGDDTLILFSDLV TPLSLPDELDDEPEPPQQLELAEKALRYLKSYNQAAATKLLQSHGLKWRRPRDFWILQ GGPIADTARMAPPRNAPVGDLGSGIYVKVSSF ANIA_01647 MVGRCRATPRSCFAQYANSVTVPLKLNSFGQYCPLELSGGTCHL EGSTAYNDLAGVVVPLISFIAFSLAFWWLERCATTPLLPWKSLRDKPMAYVVGLAPIT AFIDMSILSLLPYYAQVSNMRAIMAIGGLGMIFTAGEILGHLLCSSIQRDRHVFISSR ALSFLSLTIRDWVKLVGLVLAGFSSGLANGTSMTMIMRYTGRKGVKQDRCILYGVYHL VIAIGNLLAQSMIIWLLQRLIERNIRSSLHRELPDDIDKIVKHCLESFEYAGTLPAWL SRRVRASFLEAIKTCFGYMLVISAAGAIVSFRYGSRYPKTASETR ANIA_01648 MKKASDERRAFLLLYKVDCILAVMPCNVDITTQEILKLAEEADP SGIRTMGVLTKPDFATEKATQDVAMDLLLGRRNVLKLGYHVVKNRSADDHNSTLPARL ADERAFFAARPWSSIPDRCGITALQTRLRELLTLISRKELSPVKLEIEGRLRKRRLEL EIMGPARDDSNAQRQCLGKLAAQPNLKLITKVVKLNEVFSDTFWKRGHKQHFGATWDD DGEASYGSSTDSFPSKVPLNYFCPKPLKGPIMSLIEEVFESIRGPELGTITPGFCWPS SAEADHLPSTTTSTQNLQEKRNERMCKSLEALAVSSTDKEAYIPVKSLRSRCARISLD TLISYYEVARKRFVDIVCQQVKQYFLLETDDGPLRIFSSDLVMSLGTEELEIVAGEDA ESERRRDILKREMENLEAALGILRS ANIA_01649 MHYYLSSASPSASVEHTASRALAVLGHDPISISSILIPMALADS SPSSTAVRQALLGLSSLHRYGVQAQAFEFKISAINSLKAASFTSIGAAEALQHIAAGM LLCSFEIHRASCTSSQWHFFINGVRQVLYASVLNFKWNSVISTMMDWVFYYHSMGRFS TLHWRPPLHTEYCPSVNCWSVRFPSFPCPNHHPLTLYQVSQLTPSPTNTLLILLNLGY QIFASIPESVPAGILQNLGWELQNLPLPDTPDPTFELFYLSIFVYFNRMTAYALEPRS TNQTRIQRALTIFATLDSCPRQFPLLIIGCEARTDDDRCTVLELIDRTEKTASSRSVD ILSALIKAVWVQDDLAGDRELNYREKMTALISVCSLMPMFV ANIA_01650 MSSYAITGASRGIGWAFLSNLSSNPANTVIALVRNKDGTEKRVA EELSGRTNIHVVQADLDAYSSLEAATAETSKITGGRLDYLIANAAYLTTYDQFDSLST LGKDPVTLEENLLASFRTNTVAQIHLFNLFIPLILRGTAKKVIAISSGHADVELVTSL NLATAPVYTISKVALNMAVAKFSAQFSADGVLFLSVCPGMVNTGLFEQLTEEQFAGAA AMLKKFQEYSPTFNGPRQPADSVKDVLDVIHNATVEKNAGGFLSHKGDRKWL ANIA_01651 MSDFWLTDHIRHLVVQPADARLNPPEAYLFVQDGLIISCGILYA LTYVFCMMRAVKDRVLPGSIKYLSLTLAYELYYAFATTSTRTERICFLVWFQLDLIFV GLALWRVYDHDQWRRVAAEMVVYFVTACGLFRYLGYIYPDDREQVTAYWTGILLQLPV GWTYVYRLLKDRSVLGHSLEIWLVRYLGCFTAYGVFIWRYLNVPQNWEGRVSPINLSL DLSKVNL ANIA_11311 MQKLRRARIMLAAPDAELEGFEKKTAYFPEEFQFHLFDEGSEIY QAAVTTALREYVPIEPTSSHALYDYGAGSSITDARRLPEGNGAILSTYR ANIA_01652 MDAYTMAPNSQAQFAYYPADSQPRPQYSSHPEMQYYGQVSPFTH AQQQSQQPHCIPEHYAPTVINMHQMATANAFRGAMNMTPIASPQPSNLKASLAVQGSP ALMPLDTRFVSNDYYAFPSTPPLSTSGSSVSSPPSTNGTLHTPMQESFFALEKVEGVK EGCEGDVHAEILASVDWQRSASPAMTPVFIHNPSISSQGSDLLSASSCPSLSPSPSPV SSMFGQSQSSLQLEHATSFCDPRELTVESAHHAPAELPPLPSLSCDDEEPKVVLGSEA VTLPVHENPTPSFTSTNEDPLSTLPTFDSFTDLDSDDEFVNRLVDFHPSGSTFYLGGK RQRLGTYSLDEDEFLSEHGMDESEDLEMALPGLPTIQLDSSESQEDMASKKRGNRKSI RKSENGSEAFTGVQAQMSIDNAIEPTSRDHADCQARQNSVVSASGSETTSAPVSVNRR GRKQSLTDDPSKTFVCNLCSRRFRRQEHLKRHYRSLHTQDKPFECGECGKKFSRSDNL AQHARTHAATTSVVMGVIDTNNGQTYEDRDPSTMGVLFDAATKSATSASDDSTETSVD RRSLKKRKREESTA ANIA_01653 MLQPPKSSGLNVIALISGGKDSLYSILHCIRNGHKVVALANLHP PPIPAISSNSDNDSIQPETADDIDSFMYQTIGWSVIPLYEEALGIPLYRAPIIGGAVD AGRVYRNTNDAASPTTKLESAGKDEYEEEDETESLIPLLRKVMAAHPEANAVCAGAIL STYQRTRIEDIASRLGLVPLAWLWQYPFLPAPEARRQLGGEEDEAGLLTDMAAVGCSA RIVKVASGALDEEFLWGDVSDAGGGLRRRIVKGVKRFGMGGGEDIRGAVLGEGGEYET LAVDGPEFLWRGRIEIGEEGREVRSGEGGVGYLRLKGAKVIRKSEDESDGITPGDVRR PALLDGRFAAALDALYNEGEGEEDRAVVVGGDGGGSWSSDQPIQSVNGGIWMVSNLIA PEAGPGAAEQVAAIVKKIQAILDTSVANAAPRTTADIAFTTVLLRSMTDFPSMNGIYV SLFEKPNPPARATVACGDRLPDGVNVMVSMVVDLGPRVQRDGLHVQSRSYWAPANIGP YSQAISVPLQGTERVIYIAGQIPLEPASMDLVEVESPSTVGGPVWAEMFCLRAVLSLQ HMWRIGATMEVGWWLGTVAYFTGQHHIKTRARLAWELWQKMHEATATESEEEEDEGST LDVWDIKYGRRGHEYSKTTLRPSLPNFELVETSDLKSQVPAFFAVQVHELPRNSDIEW QGLGYRCEGVKLTRSETEFGRTADATTSEGLEYSCIEIDCASAEDLEIKIQRVMEVYT PSQPDTVHCVIYSSQPLPQDCCQGQIVPCKSVWGAARGAKHVTAKNRARLPPRYQLEP VRSCYTSCQCSGLLTFNPHTTRLPTTPDHDSLRVINTTELLDIHGAMASSTSQEDEGP SPNHTMITPNTDVADDLRSLSRSPHPHRRNGSVHYYTQTRTSSDSGTEADDESTSLLK GLPPPPLRSRKGARPVGDNDFWLPGLQRWRSTSRSSRRSSVGDNEADILELKSKIRAK RRVEIIRRAFEAVLLLFVGGVVLAQENARLLAWVWKKVIGVYAIYPLNRHGRLRLSRF LSFDIPPSFDPAPLLYPVLIPVYISLSLSNHDPSLVLHNILLALASIPPQAIPMHSSV HGQSVMHWLLTLLPVLVSEQMSWGNIRPTPLSLRGLSSEALTFVFPLHQALIPTLDFL LSTSVLPAELQLLTTALINLFLFATSPQAEILKALLWLGSLCIFTSCRQVLHWEVSLA RIPSWKFRRSPSGSSSPKNILNLLDHRICQKLSRAGSSEEPTSDSDSPDSYLTSTSRR TQHETREKTPESPTDNAAVEDFTQRQTSTNFEDAMRSEKTRTTPRGRRKRSMAPDLAP FLTMTVPQVQVRKWLYAFYVYLAVLAVILGPVRKYVGERALQGEDPFGWALGYLLGNV SWFRFWVITSSLERWIPIPPRVDASLSNAFCHLGRVEHIRQSALGEASMRLIIIAYCL AVLVTGIATVIRLGTFVEVDTRRKVFHGTMVLMFLPTIYIDPAFCALAMALVLAIFLL LDLFRASQLPPISRPLTYFLEPYVDGRDYRGPVIVSHIFLLIGSAIPLWLTLADISRT GDYPWKSWNVQTRDASMLSGIICVGLGDAAASLMGRRFGRRKWFWGGGKSVEGSVAFA IAVTFGLLFAQGWLVLGQWPIGGFDGPKPFSWSGALVKAILAAGGASATEAVLTGCND NVVVPVVLWLLVRGLGL ANIA_01654 MSDPIPSATTTTTSELPSASAAAEDRKAAAALSSLNTTEITSET AASETKQPSSADQEALGKAMSRLEIAAEGTKSHAGSKGKGEGGSKTSEAKKKAAAVAA VKVSGDDVSLLVGIGRKLIGLLPQMNELDLSKIKATELLKAHEGDSRKAIRAFIRPAT AVA ANIA_10221 MGVIQKHDPAPYRDHVDSFTTAATSISNSSHNAYHDETDNENDN ANEDSSVCTAINDSNSNQSHLRLLDPAPVEDEQWVRDSDTGNTSDEDDPVHTAPSEKP VTWSSLPRKGQLAILTIARLSEPLTQTSLQAYLFYQLRSFDPSLPDSTISKQAGILQG SFTAAQFLTAVVWGRLADSEWIGRKRVLLIGLLGTCISCLGFGFSRSFAAAAVFRTLG GALNSNAGVMRTMISESIEEKKYQSRAFILLPMCFNIGVIIGPILGGLLADPRRNYPQ LFGPGSFFGGEKGVWWMEHWPFLLPNLVSAIFIFISWISVFFGLDETHEVARYRSDWG RKIGRRLVRVFRRRRYHQYRSLLDYPEDTSLLVDESIASRSLPPSPVRLRVQRRHKRP NFRQLFTRNVLLTLLVQFLLAFHTSAFNSMTFTFLPSPRAPNSSHKDFFRFGGGLGLP SSRVGLATAIIGIIGLPLQIFIYPRIQSRLGTLTSFRTFLPFSPLSYALMPFLVLVPS YPYLVWPAFTLVVALQVVSRTFALPAAVILVNNSVTDASILGTVNGVATSISSAARTL GPLLGGWGLGLGLKYDLVGGVWWALAVEALLGWVLLGSIYEGKGIDRTKDLIIEREEG EQGQERR ANIA_10225 MSRDNTANSFASFGSDFDPEHEAIASTREIDESPRLPKMKGAFS KLSQVDEEEEPDYVFNTSTFEQYLPNISPIGTSEEEEDHEIDDDISLEVGRAPTNPPR RLDDSRNSYLSMENSVRSSSPAVRLDVPTPQKSAMRSSNRRAVSESLRKDAQLRQATL AHKENIDPNPPSKSRKERRTLSEIHAKVRDSYDGSFLEDERPPAATTTTTRPTRFGNS NISHQIADAVERASQEAYAKEARRAKARASANAGGDTGTQQSFLLPDLPNLSDLVSGV YEDGMPVYTRQPRTRTTRFVSPPADVTDASFSREHMPLDTIPIPEDEKALFVSLRLLQ DKVSELERAKADAEKKLEDIRQENNFLKGGKARQRERESHGKRYEVEQNDYKKDRLIN ENQKLESTNLALQNKVDLLERKSDIQEAALKKLSKERDMAVSQLGVAYLESQDLKSEN EVLREEIAELKARFAQLLPGSKTRDNTAQSEQNTASDASAEADDSQVDTRRSTKDATA KSTRSRSKSRKEDTRTRVSSQVDREISRLERERADEELFSIELPRVRESSMSKKQKVN RPRSTSAQTKKQPNTGRRVKRVVVEEVELTGPVEFTTELTGHTKETTGRTKETTGYTK DSTGQTRKSSAAEQDLTLLSFIDEREIAQLRKTLEEERLARKRRQSNPVLEHGAGETD NLTRQSALKPAPRKSSLKETKVPVPRPASALGDLTSHSKPEATEGESQLTVPAERQRR HSDHSVTPLAQRRRPRIAEEMTSAFILPDITLRHADLAENLTKLPEATQQALNRATQH NGGNCTVCKQSIPGEGCEHTSDAVKIPKPVPVSERMPEPVSYNEEPTLRPAQTPAVAL ATVLKALEDELSHLRIQLVSCQGAYNKLDASISKRQRKALGEKIGKLLKDMDMKADQI YALYDVLEGQKQRGQEMTEQEMEVTLQSIGIEANPKQGEVTGTTERSSRKGGTDYDVD DDDSELPWEGIESTVEMTGGSRHN ANIA_01656 MSSTSIPPESDSQLQPHNGAGKKESVSPLQSHLNYLQIPTTPLP TVVQALHWLLLNPDFHLTPSITPTGKRLITLTITASADTTPSLTGTADLNTLGRIHLT SATRCRDEHASFKTRLLHVSLDEPIEKLYDASEKILSDGLSNGTVRYPPLSEDEMDEC PCCRGDPDAVILFGFHHGNALYFEEDEYKAIWGDEEYHGLLSGSDGTWLMARKEMVER MVEAEEGENKGVSKL ANIA_10226 MAPTTLSDLQPSATIDAKPTTVSIPSSRPEKPPPVQVLGKTKSG KTLKIRSYPQFDNLEDERLYRKQHLAAAFRVFADRGFDEGVAGHISVRDPILTNHFWI NPLSAHFSLIKVSDLVLVDEDGNVVEGDEPVNLPAFAIHSEIHRARPDVNAACHAHSV AGKAFSCFGRELEMITQDSLRFYKSHAVYREFRGVVLDGEEGKRIAKALGDGKAAILQ NHGLLTVGQSVDEAAFWFISLDKTCHAQLLADAAAAAGYKKIIIDDEEAAYSAPQVGG PEKGWLAFQPYYDEQVAKTKGEFLL ANIA_10222 MDRSKKPAAIGVTATPPQPTISLANNVVQATLPSGESVTVNLNG ATVTSWKSASGAEKLWLSEAAVLDGSKPIRGGIPVVFPVFGPPPSDHATSFLPQHGFA RSSLWEFLGKSSSESSGANSGDDTVKLDFGLSSGLLSEDFKSKWPHEFGLVYSVTLSP EGLGTSLQVQNQGERSFEFQVLLHTYFAIDDISQIHVKNLQGKTYIDKVQNATTHTES NSSVQFTSETDRVYKDLDPSVPLVISLGDKELFSITREGLNDAVVWNPWIEKAKAMGD FSPDDGYKKMVCVEAGAVNGWQSLEAGESWEGGQFIKSK ANIA_01658 MAQDSDEPSGATLGYLAPICVAVFFIFVWYLPCLILSCLSPRLI LNSFRYRIVFGTRQRFRGPNRQLDPESWPMGFSHAILTSAELEKRFPLIKFRAWTAAS GHQAKHSERSEVDPDRDSTSQAPGSIPAPASNLALPLNASEKEVQVSASVEHEVASSH GDAHRECAICMEDFDDDDSIRALTCDHIYHATCLDPWFTKRQARCPLCKTCYYPEINP AVPVRPATALIRNQIFPRVL ANIA_01659 MIFNVVYALGELAVMYPISGGFYTYSTRFIDPSWGFAMGWNYVF QWAIIVPLELTVAGLTIDYWQVDVSVAVWITVFLIAIIIVNIFGALGYAEEEFLSSCL KLGAIIVFMIIALVLVCGGGPSDGMYNEYWGARLWYDPGAFRNGFKGFCSVFVTAAFS FSGTELVGLAAAESKTPTKSLPGAIKQVFWRITLFYIVGLFFVGLLVRSDNERLLGSG LIDTKASPFVILAYDAGLKGYDHFMNVIILISVLSIGVSGVYGSSRTLTALAEQGYAP KFFAYVDRSGRPLWSVLITILFGVLGYVNVSSSGEEVFAWLQALSGLAALFTWGSICL AHIRFRRAWAYNGRSLDEIPFKAVGGIYGSYLGLFLIFIVLVAQFFVAVAPPGGGPDG GTYNDAKGFFKSYLALPVVLFFWICGYLWKRQGWLRTSQIDIDSGRREVDWDSINAER ARVAAMPAWKRLLNIII ANIA_01660 MVDVLGPLSARPPTPPRTASRMLSEKDRTEDSPVPVQTPNESPV LQNDSTSTGAPSSRQSKRVNFSPWPKFIKPPSFTNPKSKALLPSNDLKPVRSILKTTN SPGPVHSAAAITYTPESFAMLLESLTQQLAGESTSSRIDAYMQFFGALRAYEKLPTEE EILHKMSLIAEFIQRDISRDLTKGGPLDTNLVIQALKLSVVLVWHPQISPQLPDEFKL FLIEHSVVCLESENLPKSVVTHYLTVLSTQKFPARIITAARTTRILGMLHSITDRVKG NSVISQRLMIYERLFDVAKPTFLALSTLWMDHLISGLLHQIKNVRLNALELGFNVYMA SGSNSTLSKTLRDIFDRPLANNRKLVSEVSERMSRMMSNPETGEHVPQIWGVITLLLR SKRFNIDQWQHFKEWVLVLQKCFNCNDPEIKSKAIGNWNRFVLVANISDTTSRSLLRM LSKPILSQFERKKHDKTGSQPSQLVIASYYNLLYYAFRPDASHQQLDKVWEEYILSPS SNIFSFVPSLSDRLAHVLSSMLWSSHPLRAWSDNRVNEPKRIVPEELPPLDCKWVRSR IPDVLRVFEAILKSSIWGPEMEKSNIAAAWVSLSHALAFAASKEITPSPESMQTVAHV LGLLQRLWNGGPSSLNAVDGDSMDTFFERFRFLSTTMVSALGSIPFTEKLLLKTADSY QAATPTHRHPKANNNPDSPILHLLRLLIDVSGISGPTAAYSRLIGDIVEAACHGKVSR GSRLDILRQCADLYPDGSGFHGVDNFAQVVWTTTAQMSADCLCSSTMESARGRDGSIA RDYDNVIKILSSGLKYSDSFQVWDQLLGASIRALRNEKGDCAITPTVLVPLVGLMMKL DICTACSPASSIIHHCSMIPFSEYENAANDNNTPPFPTALVGLAHQILSGSYELLMPL HSNSAAVFIETLVSFLNSGTFVFRSVLLDQLQHPLGLFLKDESRKLTVESGADSRILT ACHALASTVLNILQILPRYASTSHKFEQIICSGLGSSHISTANRFWEFWKSSFGSQQP SMHPGSISQAVRNLEARAGSETQESNVDTAQLSQSMKEVDGPGDVKTDNIPTERSIVA SEDASVKSRIAFILDAPSESPGFARFEPPVMGSDLGAPALQQTAGWGLQQEAHVQKAC SDPPFEDSAGTAKEDTSHHKEMFSMIDNLRSSSPPFTTPKELGFMTPPHIRNLRNRES GSETPRTPTIPAVSADNEDGFLGSSPTPAIRGRTSSVASAIPPSFPSGDSMDIDPPSS PPELHSQSVDSRQTSPSKLTKDRNAKNKKKNRPRRLRTPSKKNSYSVPLETEQAEQNE GALGQSMKSRLRSATEKPSAKNEGEIAQQAQELQEAAQTAHDDFIHNPAAEIKPQNVS ELQHDRMDPGVDSASEDTDTQATSQLEQDLVYAMDLSSDKADSEAAAYMGKAAITRKR KRELENWTSPAKEERRRSSRIFAATPAAEVEKNQLLSKKQKLTATPQDTGFSPANRAT KKRKHETVEVTAETPRKEQINMDKEATREPGSQRSQKRRSHRISGVPAPDIPDELPAP KKSPRPSSSQKQASKPKQNKKQTNRMSERRRSQRAGASQDEIIPETDSSMEKSVEHSQ LSTTDNNSEQQPQPTDAAHRDQPGPQASQQAEASGEAIAIQIAEFRATGSERAAVTDV EGAFIPKSQAEAEKQALSDSSQPHQPLSAPDQSTSERAPQPNQDFQISTSLRSLIDQV KLSSLDRNAVKELDELLFDLRVEMHEALRRHHASDTHG ANIA_01661 MDLRILQGSEKLQQVTKLLNSLEKDLKDNSLNSAQRTQILLQLR QYGTSPDNAGPIYSKKGIEILSKYGIDGETTDVRHAALRCVANALLLDSNMRQLFVDT GKGGRLAEMLKCDSSEHEMVISRILFLSTYDTNLNFDDLINNHSLGDNINYQILRHSK QFPKSGRKPLSQVDELAFTDTLKLVFNIAKLFQDLAPTFSPSIPYIFKIISRIDIPLK PLDGLLGTLLNCLSTLDLENKNKKPYDGNPIFPTFNQNCNVDKLINILDQAVSAYEPS ELETKAIPLFHTLVTIHEVAPDGPRKYMQWLLLPDDNDRDRPIGQSDTLSSKLLKLST MHYANLKVAISELMFVLSGKNAESLTKNIGYGFAAGLLASRGMDIPKSAGEAFATNSQ DLNPEINPITGQRWAAEKEDTGPPMTKEEKEREAERLFVLFERAKANGILGVENPVTQ ALREGRLEELPDSDSE ANIA_01662 MSKPFDPEQAQNLEDMEKQFAVKAVEHLMTYWSILEKVPGSQLR LTKIDDEIYEHFKAEFPDFDPAETIDEDKMKSKEGKEKWRNWINQYEKKIHDFNFGTM LRANPKTEYERDNTIFAMRMQFYAIEIARNRAGLNDWVYEQAQARAKNSSS ANIA_01663 MSRSSSPDYKTFFLEAEEERKQEREIRKQAEEERNQEKERNRPS TFGEFIRYSHDLLWRPLKAEVPSRSTTGKIDPPTDKYCPKRLLPWTACAARQLEIYES VCRHLQPTEADSRQLFASRAGLEEIGRRFADRAISSEQDLATCERLAVEDHVHDIIAE LCKIPDAKDGEPGGSRSSSEKPAKPDQFCIHRVDSDTRNLLTTVEYKAPHKLSVQSLR EGLRPMNFWQENVKLNTIPTEEPEKSKYNMARLVGAAIVQDFHVMIQEGLEYSYLTNG LMDVQLWVPFDDPDTLYYNLVLTATSEYLPSSFSAGLPVPGGHRMATRYGLGCAPSSD QPHREDSSDSDADPNTSTGRKRGFSKVEPSPPTRRSARRTDIGGCQGKQFYQHVAQFC TQKYLLGLQQGGVMDPCCPNAELHISGRQDDRHIDVKTLVQMVKEQLDEDLDHNFTPM GDRGSYAAPFKVTCAAYGYTIVGKATTSRRWKVVSSEADVYRILRPVQGSAVPVFLGA IDLDRVYFLHGAGEIRHILLMGWGGEDLSHLRSGNSLDHAISRSLRKIRSLGIIHQDL RPENILWNAELNRALIIDFHRCTLRQQQMHRRPGSNKRPRDAAQEHYHKRVREV ANIA_01664 MSCPDCFTGTVHAGTPSGHITNLHGLQTYVAEPAGVPDDEIKGI IIIIPDAFGWEFVNNRLLADKYAESGKFRVYLPDFMKGTAVPAWGLDTVRAVMKTGSL WDWISKPYHVASALYVFPSFLIANRPSKSYPIVESFFTSVRQSPEGQKHPIGAAGFCW GGKHTILLAHGASITITPVDNGSKIKRNLIDAGFTGHPSLLSLPGDIEKITIPVSFAL GDLDSNLKGEKIELIKNIMHEKEVVGGEVRVYVGAGHGFCVRADTAVEQSDRQAAEAE EQAVEFFRKKFAKM ANIA_01665 MAEADGAIVPYSSSNDVVLRHDGSVVVYDPVSRQLVLQDAAEAE KHDNDPQCPYCRRPLRDEASGQDNYHSRTQPEFVNPEYFRMLHNSLPPASVDSATAQP QSRRLVQPVLADSPSSGSGQSRVPNGHGISSAAFTPDYFKRFFVEESVLGKGGKGVVL LVKHVLDGVSLGHYACKRVPVGDDHEWLEKVLIEVQTLQHLSHQNLVSYRHVWLENAK ITTFGPSVPCAFILQQYCNAGDLHNYICGSMQTSTTPQELKERIRRRSRGGPEAPLGL HEPRKLHFDEIYSFFKDITSGLRYLHASGYIHRDLKPNNCLLHKTNDGIRVLVSDFGE VQPQDAIRRSTGATGTVSYCAPEVLRREYPNGPFANFTFKSDIFSLGMILYFLCFAQL PYRNADLINEEKEDLEKLREEIMDWPGFDQGRMRPDLPEQLYTFLWRLLSVDPDLRPS ADEVLSGLEVGANANENLRTKRGSSSSSAPDVHSASRIRPLDDTTDTVSPRRSFSRGP AIRRNSVFESNGSVGDLTPVFEDMDGTQRRGSLSPERDLVVRPRFSNTQSVSPPRNEP HSVGPSIGPTTIEPSIRLLPPPPGGSFLPRRLSGWYPPFFAVQLSLFLAKIAMALQPC SPLAVNPWVLYFLIIAAGINLRTKSLQMQLASLVLHALVVFLSMKLEILCIWPSTTAG MVHGNEL ANIA_01666 MGTGKKEATRRVRQGKVGDGMANVRVKGENFYRDAKKVKRLNML KDGKPQRDAAGNITVAASYQSREAPVARIEPNRKWFGNTRVISQEALSSFREAVAERA SDPYQVLLKTNKLPMSLIRDNKTVNGLKQHEAKMTIETSPFSDTFGPKAQRKRVKLGV SSLEDLAGETMKMHDSYVEKSEQGRHEDGTPIVAGDDVATDLSVGTLPTSREAVFLKG QSKRIWNELYKVIDSSDVVIHVIDARDPEGTRCRGIEKYIREEAPHKHLIFVLNKCDL VPTGVAAAWVRHLSKDYPTLAFHASINNSFGKGSLIQLLRQFSSLHSDRKQISVGLIG YPNTGKSSIINTLRKKKVCTVAPIPGETKVWQYVTLMKRIYLIDCPGVVPPSQTDTPE DILLRGVVRVENVENPEQYIPAILKRVQPKHLERTYGIKETSDAIEFLSILARKGGRL LRGGEPDLDGVAKMVINDFLRGKIPWFTPPPFTPGEEGEKIEGREGRLGEMGRKRKIE ETSQDASEGQEGQDQSASDSEEEFGGFDDEQDDSDNDSIANLEVSDEESGEE ANIA_01667 MSLLRQSRTATVQLRGFSSSSVLRVGPESPNFIEIPRTIQPDLP PKPRVKGTLPVPRELFPKRRADKPNKQYIAAATPLPTKQETIDPDEPHAEYRSWKRSM AEMRRQNFEEGLVELHSRKLRTDKTMEDRSRERQKLRDHVLRQREREDEYLTRPSIPR DMLPKRMPVLPDPGREQRLAQSKARTEKKAAKKVAERRDALHTLYMNARHFITTESQL AAEIEKVFPEGENEAWRSDHKYGENIWNLGFPRGMASRVNENREVERWDVVQGRIKKL GEQITGGTI ANIA_01668 MLSLNRREEEFDSKLDWDNFLEQREEIIFNLVNRIDVAKTEADL QSYASENMKSIRANQALEAKEVSSFQERQNQEQELARLRRQAAKEEYENERKELLAGR ENYLNSLAQGRAGEAAAIARQGQKVMLKKSSARRSEEERIRQKQAALRGTDTQRPAYS GATTAEEGGSGLIKGLKKVKTPEPEKPYDPFGGMVPNKRDYYTLRDYYPSSYLDPIRQ DTRMLAGGYDLQEYYSRTMLEAFAGLGCFVDEEVPMREANSFNIMKPIATQGAVLAAG SGEGSA ANIA_01669 MGVPDSSIEGLERQRRELESNILQLQQSLYHWRTWEAEYEGLKE GIADLGNDATTNDFLRVSRKFGGTFVNEDEFRVIIGEKQGLRRTRQQVIDLISRRIDY VKTNVASMEKRLRAAEPQMEALDSAEHLTRNPADDFPMREIIEELDENGEVISSTTTN PGDQASSLLEILKKAGVKDIPDLPKRDASAFIETHSPDTASKDTFAPAAEQGEQAGQK KEGQEEAGQELASSGGNEPSSSASDAGGTPAEVGKETPVVDVDESPEDAQLRREMLRY GLDEVGAVVAELELDDDASEISIEEEYDPYPYDDEDEEEEEEDEYGRSIRPVLDEDYH RQMRELEAKLNARGMWNVGKDTASLPADVKEDLEHPVQVKVEKTPETNGEPASKAKPK KKVAFADNLDIAPTPKPPAPESKKVIPRKPDVPVLSDSIIERTTAEKASAAVDAPTPK KTSRFKTARGSAATIANASSAAPSTSFQHKPASLEPTPSMPLFPAKPAEPKPFSQPIS DILEKPPSAVKPEGKILADTLVERNIPEGTATAPEPEELDEELHRKEIATEFHRMKNR MARQNGSSFDDEEQEMVSADPREPPKRISKFRASRMV ANIA_01670 MANMRNFAAFAAFAASAQAFVSRDTSCCFHLTASGGASGPVGQL GDGQNRVGGDSGSLPEGQFCINTDGSITDGSGRGCILTPPTTQFQCDLGGTPTPGFSV DSSGLLGYNGQTDFVACATGQNGEMNIYTSPNSADVTGCVSIQLTADSCLGTGAGGGG PGTQGPGQSTATVPVVSPSPSAPGGGTGGSEVPGQSTPTVPIVTPSPSVPGGGAGGGP GPQGPGQSTATVPVVSPSPSAPGGGAGGSEVPGQSTPTVPIVTPSPSIPGGGAGGGPG QSTATVPVVSPSPSVPGGGAGGSEAPGQSTATIPVVSPSPSPSVPGGGAGGGPSQSTE TVPVTSPSPSVPGGGAGSGEGPGTSPSPSAPGGGAGGGGEGGGQSTGTVPVATPSPSA PGSQGPGGVPSECAQCPPTSTVYITVTADCTTETPGVPGGESNTPGVPGGTEPGQPPS TETPVGPGGGGVTPTGPAGGGETSTVPAGGGGPQPTGPAGGGAGGSCPADLSGDYEFP HLIIPIDSSSPDEAYGTQFNGTVTSTVSTIFNFDIPATAAGKTCTLVFLFPRQEDLET SAFDFSGDGTIQFSAVETYATESTTYNNAPQISQNLGQFTVSPGNSYTVCSSECPAGQ TVGYEMSTAGTTELEFFEDYNPSPIGLFITVC ANIA_01671 MDSLPSKLPFSKRRLRPRVVISYIIDYVILIALVICFWILDRIE PYHQRFSLNNISLQYPYAVHERISIYEAVACSGGGPLIIIAIWTLFIDGLFSHNKPIK AGGKRKFTGPYRWKDRLWELNCGFLGLILSQALCFVITQALKNACGKPRPDIIDRCQP RPGSADPKPYGLSDISICTGDPHLLKDGFRSWPSGHSSSSFAGLFYLSLWLGGKLHIM DNKGEVWKMFIVMFPCLGATLIAVSRIMDARHHPFDVITGSLLGILCATISYRQYFPS LAEPWKKGRAYPIRTWGTEPSYPGGAPYGSTDSTAALTNPEEERFREHGNGSSENPEP IPHPEAYQPSVNPFASNAYPRHHDGNWSSSSEEDVTNGYEMQQGYTRTHNPSLGGQLP TYEPGMAYQSQTQPVSGPTSGTGPLYPEATIAPAPGTRAHNDQL ANIA_01672 MTTLSNMLWSENRLYSASQNTAFLSALMAYQTLIESDNKASLFI HTVNDHAFVTFTYSGPVKDGCPAIFMPFQRIPYMRYLVPPARRTVLEMAKGVADVLES EKLCIASEVYQAAEQARLDAVASLADLNRADLTMVIQPMSPLSVKAANDSGGNSFSLN CAGHQIIRIDMGLLTLYYYEYNPEGVFQVLQNGG ANIA_01673 MGAEDWNPKSWTSKPIKQDVVYEDVEGVQSALRKLEKLPPLVTT HEIANLKKSLKNVALGKAFVLQGGDCAELFDYCNQDMIEAKVKLLLQMSLVLIWGANM PVVRIARIAGQFAKPRSNPMEVVNGVEMPSFRGDNINGFDATPDSRRPDPSRLVSAYF HSAATLNYLRASLSSGLADLHSPLDWGLGHVITPSIKEKYERIVNRVKDALRFMQTVG IDTDRGVETVDIYTSHEGLLLEYETSLTRLLKDPTPPSSHQPTVTLVPGKGPIPAQTP ASSSYYATSSHFLWIGDRTRQLTGAHVEFFRGIANPIGIKIGPSMTPTELVTLLDTVN PTREIGKVTLISRYGAANIAAHLPGHISAVQSSGHIPVWQCDPMHGNTRSTPNGVKTR HFSDILSELKQALEIHKAAGSFLGGMHLELTGEAVTECVGGAGGLTEEGLGERYTTFC DPRLNEKQALELAFLVAGFYREMEGGEGVNSI ANIA_01674 MARAAVIPQSPPKRATRGRAKVATTQTSKSSAKVTRAAEVKKRT GRAAVVQPHSEAESEETDDEIGVIESKSREKTPGAKGKTATSRTSRGRRAAATPDMGS ESENDDNDELAQSEAPKKRPGRPKTKTSAKEENTKAAAGSRPRGRPKGTSVKVPSDDD ILKENTRRNALSQDSDELSPSQQRPTEIFVTTGSALLRGQAKTKKKVTFQELTDSEAE VSDTPAPNARRRRGAVIAKDSGDLDAKPVRKASAGSTRGRKPAAARKGSSKPLSPKKA IQVAKAISAYASSDGEEDELSGEKDAIKLVVHSPQKRTPGVSGLGSPVRRINFTPNKV SNPVDENGEPTLPPPRTFDFGDSQFMSSPARRPPPSPFHFTLKETPRRAAIPFPEKPN LGRPETTPNQNSPLRISPKKASIATPARGTLFDRDGGAIPQPNFTPGQNSPLKMSAKK GIFGASFSSQQPIQQQNATPFKSSLLLSPARKVITPFKNSMTHVPTPLAKETRLQTDT ETDDETVSMYDESPLRGQNFEVTGGGQANKLNEVDERSGDERNPALTPDGSPLARETE QLEEDRSAESDHSTESVEEEDEGMLSEEDDVSPLRGPELDEAVQQAEQDVQLMVKEAE NFLAQSIKEELLDCHKDGEDESSTPQISHEMEYENELEEELLQNDQGHGEAEHGESDT ELGDEPEPSPSRFGLADGLEDVFTDDYPAETNTIHDDEEDDEDDEVVIDVDDLTCYGN DEPTLVGEAAADHLISAGAIQPYEIEEVEDTPFMNFLLTYWAPTLPFVEEREPITPFN TERSPSPITPEESQDNVSKSPFLPINSERNSPNVLQEPSLRSQGRRFTLLAEKMSQWK SSSPANAEARPRRRGIFSLGRPSDIASAASRTPKVDIFANAPTLSAEPLCQMEPTPQA LDVHEDKEDEAEMVVEEDEVEVVDEAAGSESIRSTRSPMAEVMEDENPDELSIFKDLS DGEDIEEKQPEAAHVSTVDPWEEEKENGVPSPAPATPAKNPSLPRQTYHTVSKVPLKP EGEVSPLKTNRKRGRSLSITSPVRSSPRLRSFVLPPQKPREAESPPRKSPRLQYGSTR RSLPSETPSKPVPPPQTNRARTPSRSVSPVKSPYKQASGCLCGAVVYVDVHTTEGEDA SGIFIELLQQMGARCIRNWSWNPRVSVSPEEDASSVNGKVGITHVVFKDGGVRTLEKV RQARGLVKCVGVGWVLNCEARNEWVDEAPYAVDSSIIPRGGAKRRKSMEPRALSNING TLVKTNVSGPSSSRRPSMAAGSTSRSATPLSRNDHSTLDDYRGRESGHADAEKFWQTP RTPSAAALGYNLDSIGMSPATPFYLSQRSKLVQQTCPPKQTRQGLFSNASEDAPSRQL KSRLEAARRKSLALKPSARSPFVE ANIA_01675 MRTSTTVLALAGLLSGAASSEVSPVQRSFPNAPDGYTPANVSCP SNRPEIRLATGLSPNETSWLERRRNVTRSALSDFFGHVSVGNFDAVGYLDRIGSNSSN LPNIGIAVSGGGWRALMNGAGALKAFDSRTDNSTSEGQLGGLLQSATYLSGLSGGGWL VGSIYINNFTTISSLQSNDDVWQFQNSILEGPDKGGIQILDTAGYYRDLYDAVNGKRD AGYDTSLTDYWGRALSYQLIDAVRGGSSYTWSSIALGQNFRDAEMPLPILVADGRFPG ELLISGNTTVYEFNPWEFGSFDPTVYGFAPLEYLGSRFINGSIPRNESCVRGFDNAGF VMGTSSSLFNQFFLQLNGTSLPDFLKDVIGDVLADIGEDDNDIAVYSPNPFYGWLPQN SPYAGEENLDVVDGGEDLQNLPLHPLIQPSRHVDVIFAIDSSADVNDWPNGTALVATY ERSLNSTGIGNGTEFPAIPDQNTIVNLGLNTRPTFFGCDSTNTSAPLVVYIANRPFVY QSEETISNFSTFQLSTSLEQRDNSIQNGYAVATMGNSTEDSNWSACVGCAILSRSFDR TNEQVPDICTQCFDRYCWNGTLNSTTPAPYYPAAVLGSGSSKLFPTVLSSVVAASIAI ATMV ANIA_01676 MAFGKDQFLPSQPPANARCRVIDFASTEPPLPEYKRYLAAVIDN ALTETECKELLRLAEASTADGKWERAMINVGGGRQKISTDSRNSSRIILDSPEIAEKL QARLLPFLRELEVDRLENKPLITGLAGRNRTYKFTRLNERLRFLKYVGGEYFRPHWDA HYTTPDRRERSYFTIQLYLNGDGEQDLQELKCAIDAEGPEGSERGCMNLDVEGRLLGG ATSFLPRYEEKERHVRIFPRTGSALVFQQSELLHSGDPVFRGTKFTLRMDVMYELVES ESY ANIA_01677 MSVSIETTSTPVVPLKQEAPAVATTNRLPEFSLAGKVVCVSGGA RGLGLTQAEALLEAGARVYALDRLEEPSPDFYTIQKRAREELGTELQYRRIDVRDTEL LHSTIEAIANAEGRMDGLVAAAGIQQETPALEYTAQDANRMFEVNITGVMMTAQAVAK QMIRFGNGGSIALIASMSGTIANRGLICSAYNASKAAVIQLARNLASEWGQYNIRVNT ISPGYIVTAMVEQLFVQYPERRDEWPKHNMLGRLSSPQEYRGAAVFLLSDASSFMTGS DLRIDGGHAAW ANIA_01678 MEAGRRLSRTSEGVLVRDSPPSPRPCFTSGGRQRKLRKVTRACD ACKSKKKACTGTIPCAPCVRRKLACTYNTTYQRGVAVTPPPSSSRPRHDSPNPTPQQT VLETESESTPPYAPQPSEQRATAEVVDQYWGPTSAHSFLGWAVRDLPATPSDIAQASG RDRDRTSKTSIFHFGDRVAPEVQLGDFEWPDRAEAEALARRYFDFACPTYRTLHQPTI EREIRELYREDTQFDGGRSVREQSSASHAVLLMVFSTATMFRSDANGRITDADDACWK TSELYFAKAEQLLSQEIGEPRLESAQARFLIVLYLLSSSRANKAWFTLGTTIQLMMAL GLHSRRSRKDDEKDLVQRECRRRILWCSYTLDKYISVILGRPRLWHDEDLDEELPARV NDTDLLPTPIPSPKRDCVMDAPVFHALLARTLSQAAKEPYVVAGISHRDQLNTIGALC KSVAEWHARLPPLLSGSIHPSSLVPIFQRQLTVLQLARYHLLMFITRPLLLRNYGRTW PDCEASYVHHLGVCLTAARDAVEMVLTFVRENQLFHAFWYSQYIAFNALSIIYIYLIQ VRRGRIPQISGSFIYEAYSFSLDEPTLYRLSETAQHHLADATSRNSPSWKYSAILQGL RRELERLGLRPPFAEASREHTEPTSVSNMAAVPNDDELPMTENSRYGHIRDPEHMAPD SSLAEPDGNYMQIPGIHRSNEQYFVDPRTEYLFDSFATDKDLMLDFWPQLDSLPIAYM G ANIA_01679 MSLPSHFSLNTGAKIPAVGFGTWQAKPLEVENSVEVALKQGYRH IDCAAIYRNETEVGNGIRKSGVPREEIFLTGKLWNTKHAPEDVEPALDKTLKDLGVEY LDLYLMHWPCAFKASDKWFPLDDNGVFQLADIDYVTTYRAMEKLLNTGKVRAIGVSNF NVRRLEDLLSKVSVVPAANQIEAHPYLQQPDLLRYCQSKGIIIEAYSPLGNNQTGEPR TVDDPVVHTVAGQLSMDPGPLLASWAVQRGTVVLSKSVTPSRIAANLQVKRLPDDAFA TLAALERHKRFNFPAFWGYDIFDEAGEEAVKKAAMDAAPINKTKFTV ANIA_01680 MASPAVLRPATPASNSSDVLTPPVSQGSPATSVTEVEEQTIYTI DDLVRVRAWGDKADEPIVAYPVEGTVYKYYTPRELHNLVEAASVHYARVISQRRTSDD PVQVVGLLGPSDFEYLITLLAISRLGHTVLLLSTRIAEDAYVSLLKNTKASLLIAYPA FNGVAKKATQRTGTVLQPVLRREDYVNSTLKLPKAELDGLVENKHITWIIHSSGSTGH PKPIYQTHSGALKNYANNFGLRGFITLPLYHAHGISCLFRAVHSEKLIYMYNAELPLT GFYLHTTLQEHPDIEVLYAVPYGLKLLSESEESMKLLARLELVMFGGSSCPKPIGDKL AQNGVRLVSHYGTTETGQLMTSFRDRSDTDWDYVRPGPSLLPFIRWEEQMPGIYELCI LEGWPSKVASNRPDGAYATKDLFEKHPTKENAWRYYARLDDTLVLENGEKANPLVIEG VARNHPNVGEAIAFGSNRSRLGLFLIRAEGRTDETDEQIIDSVFPAIEQCNAESPAYA YISRDMIRVLPADTVYRKTDKGTVIRSAFYRDFAEQISHVYDQEDSSGSQVFEGDELI TFLRNSLLEISSSIEPSALQDSTDVFSLGVDSIQSLRLRSAILKTLNLGGQKLSQNFV FENPSIQAMADELTRLRLGRGPQQQIPIEDRMEYLIEKYSRGFKEHVPVPRDDNGEHI VVTGATGSLGAHIVAQLIRAERVRKVYCLVRASSLLSALQRVRQSLHARFLLSSLTPD EERKIVALPADLSNSVRLGLPEDVYEEIISSVTAVMHCAWSVNFNWTLESFEQPCIAA TRNLVDLCLDTQGPTPANFSFCSSVSTVARTPGNWVPEALPESLSYAQSMGYAQSKLV TEHVVNRACRQTGLAARVLRVGQIVADTEHGIWNATEAIPMIFQTAETVKALPLLDDI LSWTPVDTMASSIIEATLSSTAAEVMNITNPTLNHWTRDLLPLLKQAGLTFEALAKHE WLARLRSNLDPKRNPPIKLLDFFAKKYGNDSPARVLLYDTKKSQSAAPSLRNAPGLTQ DFVTRFVHQFRRQVWSSTAAQSSPKNVIFLTGACGSGKSTAGLHLSTKFRITTIEGDD MHSRVSRAKMASGIPLSDSDRWEWLSHIRGAVMDRLLQPSTSAGIVVTCSALKRSYRD ELRKLMYLLEDPVNVTFIHLFVGKENKTELQERLILRSKVEAHYMASTMVDSQLEALE SPEEERDVVVVDAAGMKDVVLREVEEIVRGILA ANIA_01681 MAATNRADIVVDEKIHDPDHIEKTQQTVAIDNIQVLGLSSEDAD FYTNYPPEARKKLLWKVDIRLIPMLAVLYLISHLDRANIGNAKIEGLIEDLGMSGIQY NIVLSIFFIPYVLLEVPSNMLLKNFTRPSFYLGILITCWGIIMTLTGLVQNFAGLLVV RILLGVFEAGFFPGAVYLCTIWYMPKELSTRLAIFYCASALSGAFSGLLAAGIAQMDG VGGQDGWRWIFILEGIATVVLGAMCFFLLIDSPRKSGSWLEPEEIRYLELQHFIKEGG HFKEEKKKANWKDIKATLLNWRMYMLAFILLAQSACSYGTKFFLPTITKAMGFRDTNA QLMTVPPYVAGAISAVFFSKLSDRFYWRMPFVAIPLCLVVTGYAVMVSLHGELDTRVG PAFFAIILTTMGIYPIHPATTSWTANNLAPSGRRAIGLAFNICIGNIGGVVGSYMYID SEEPYYYTGFGLSLALGGTALLVSLVLELSFKWANKKKERMGEEEIRERYSDDELLAM GDKSPLFRYTL ANIA_01682 MGSSASKPARSAAQASRRQYPKQPSAPSPASRPPTQRPPPPAPS PASRQPKIPPQSPAQGPTYHSKEKASLEKSSAIDLDGRDPHFAASLRSIGPVTPNPTL SHSSTFNQTHPPSVFPSTSTNPALLAVTARQRITREAEAEAEELGKGGFEGRRYLDAY TIRQILAMRDRQGLGAEEIERALRLKRGIVGRVGAAKGVFGVV ANIA_01683 MLWWQTLFQLSLLSSVALPAAAAAASWGFTDATVSVQTKGAGVG AGFKEEIPRNGALSSPVSLGNADTLKVALTTQEGKSAKSAHQVFLMLQDPKTGLDISY PFSVKGNGKSRVELTWKDLPVQFLSTSEPLDARILIGSFGSSAAYNKPAFQLSLARNP NEPVPTYEVSRYGQLPEIHHIFKSDPQSPPVAVTLLFIAIVLAIFPVLGGVWLYLGAN INHLPVALKSAPIPHAVFLGSLLAIEGIFFLYYTSWTLFQILPAVAAAGTVAFISGSR ALGEVQGRRLAGLR ANIA_01684 MHCRSRMSHAAFITGANTPQWLRSRRSSSRASQRTAPAPAPELT TSNLTQSSYSLPPTPLTSSFDEILPSAKRRRTQRAINSEDKTLPAGGTETPTHRAFSE PFQAPNSHSSARATRAGNLIQPNTQGSQLHTASLPETDPETPSRSKSKTPASEKPRSE RTITPKPQTRQQTTTVKLESDKDTVKDSPMGAAMSHSRKARKPLPTRNDGSADQENAA TPTESSTSRAASPQSSRRDRKSKLATNTAAKIKSSPAAKTQSTPTSAAKDKPVLNGTA RAATPAKRPEAATPGTSTRPRRRDRKSTKANGQTPDSKRETATSASTETRDEDVQCTQ SQSPNKRNNTVTLNVGRKPLESLLAQQTPNGDPSNNGTPADVENGDYHFEYDTDMYRN NYGLDGHMDAPTSPTSLSTTTSNAARTSGRTRKPTIRALESFESERRHRRPRASSVKA TAEPMEATSSPNSTQKPTQESSPAKFTPAHRPDIMSIAKLIYKLAAQALAPDFVPAPE ADTWISELQQKVDKEQERKKEQEQEARSKAKHQKDNGSDKEKEAGQEMVTSSAEEEAE SGRESTPPSSKPYLDNVQVSTPWTDEDGWVYTGQVNKYEEEYVIIPPKFEWYRPNNTY GDDRLPLPPVRLRSLVQAEKDRAMGYPPLIGDRNIPITQEYFLYENVPEEKAKLKIKE AARERGIYVSRFMTTEEIQTMIDNYDSGKPPVPLDPPVPPVVGEPVKAKEPTRKRRRA ETSTPSKQSEVGSPRPKRRRQDTDDTTPSDPSAGDYQEKLSLRVKLVFENKQLLRKHV AATEAKNAEQSKKRPHSEIEDIPTDTQSPTVQKQKASTPVSAPTTPARGTGQLNSAQV TPESTEQTPAETTPGGRPRRRAADALMANFQRHAEARALRSERAKMGHAKRKGTPLKT VTGVHGDTVESPIRPAANPIKADPVQH ANIA_11312 MFSRTRPQLSQRPRVVVDPHLHPEPRRRTPPITDIRQTNEYKAA ARRWISTIVALPILMYTSWVLYERTYGNKQPKRLRDHVQQE ANIA_01685 MAFFTVALSLYYLLSRVSTQAPVVQNHSCNTADGGYQCFPNVSH VWGQYSPYFSIEQESAISEDVPHGCEVTFVQVLSRHGARYPTESKSKAYSGLIEAIQK NATSFWGQYAFLESYNYTLGADDLTIFGENQMVDSGAKFYRRYKNLARKNTPFIRASG SDRVVASAEKFINGFRKAQLHDHGSKRATPVVNVIIPEIDGFNNTLDHSTCVSFENDE RADEIEANFTAIMGPPIRKRLENDLPGIKLTNENVIYLMDMCSFDTMARTAHGTELSP FCAIFTEKEWLQYDYLQSLSKYYGYGAGSPLGPAQGIGFTNELIARLTQSPVQDNTST NHTLDSNPATFPLDRKLYADFSHDNSMISIFFAMGLYNGTQPLSMDSVESIQEMDGYA ASWTVPFGARAYFELMQCEKKEPLVRVLVNDRVVPLHGCAVDKFGRCTLDDWVEGLNF ARSGGNWKTCFTL ANIA_01686 MDADLAVANNTNRWPGARKPLSAAGQSCEPRTTRFVFLGLSVGA MLSLLCSMMVHSQYCTFTPLDVILYRQTSLTAHDHPIDLAVSSVAVVDLAALKPYPPR PGFDPVWPVYSLPPPLPLVLTSPSYSSHPLRSRQYHSLSDYHLAQSVPGSLSRAPLHE SPSTLSEREGAPPCPGLPLQRSTESNAEHMSKNPPVSAPSPTGAHSRESRGSLERKRR HTNDEFSPTTECRDAVRPRPLSWHPSAPVEPPLQPSQHRPIGVSSILNQPATETPDIR TATGDSGHEGPGERLSVDPPSHSRFPSSSSIHLPSPSINPANPTVLSPAITNRQGISP VSPSARFVGAAGYFPAKSGLGQPPLAQQLPRLHTVAPSSPLPMTDTSNPPPVSGHHHQ TSTNSTSTYTSHRASTNHTPTPGSKEASPTTPASVLSQIGRSSPGISATTAPHSASVY MNTPMYTAVDPITRLPIVVAGQRASGIEAPPGMIPCYVDHKPGSSTQAEKRKANSNAS RRFRDRKRNEMQMEQKITAQQDEIRKLTETVQKQADELRVAVEQRDFYRSERDYFRDQ ASRFGQIPARPISPKLLRPASEATGGSDDKAEETTTDSSSHQRTPGPIAPAPMSGADW SGPLPYPSGPTEPQTRPMASTPAAWDRTT ANIA_01687 MPDDSRLSSVNSGDLPYRESLSSQNASGLVVRISFEIRWATHDG ASTNLTSWWNLHTVRVQRLAGPILQYALWCFPVACKSINSGLSGLVKDGKNGLHSDHG ERLGYKLQRRIIVPLRLPACLEETREDKEPVVQGFSCAVRSRRVGVS ANIA_01688 MQRQMQLNGFWDPGRLAVSHPWNRICQIQGPTAAKDAFSDGFHR SDEIPFALSSSTVAPEIPAQGESEAAGYGTRGYMDLNVAYQKEYKSDVDSMKLGEVPT IIIKANDRLEWTKQPSPTTVLLLRLGNPEAGAVSPSHPVPAQARVSDQECLPSRSGRF LSKNELRFVDIWEQKLYALDLAKGPESLKVLDTSESIGMTANIANGNDSQKDHIVAAA KYGFALVNRNTGELSYIARPWDEPDLLRRMRFNDGAVDSKGRLWAGAMNDPKVQSLIN EGVLFRLDPDLKLSRMVEQLTIPNGIGWNSANDTMYLTDSPTGKIFAFDFDESTGEIS NRRVHFDTGEPKEPDGFAIDSEGCIWSAIYGGGKVIRIDTQGKVIGEISLPTRNITCP AFVGTELFITTAKDDKNDDKFPESIRYGGHLYKVDVGVRGQPRHEFRFSQ ANIA_01689 MATAVSLTAPNGHKYEQPIGLFINNEFVASKSGEKFATVNPSDE EEITQVYAAGEEDIDIAVKAARKALKDPSWKLLTATDRGNLMLKLADLIDQNKETLAV IETWDNGKPYQVSLNDDLSEVVNTIRYCAGWADKIHGQTISTTPAKFAYTLRQPIGVV GQIIPWNFPLAMAAWKLGPALACGNTVVLKPAEQTPLSILYLAKFIKEAGFPPGVVNI VNGLGRVAGSALVTHPGVDKVAFTGSTMTGKEIMKMAAGTMKNVTLETGGKSPLLVFD DADLEQAAKWAHIGIMYNQGQVCTATSRILVHEKVHDEFIRLFREAVATTSKVGDPFS DDTFQGPQVTKAQYERVLSYIESGKQEGATLVDGGVPYKNVKDGKGFFIAPTIFTNVK DNMRIYREEVFGPFVAIARFSTEEEAIDRANDTTYGLGAAVFTKDIERAHRVASEIEA GMVWINSSNDSDFRVPFGGVKQSGIGRELGEAGLEAYTQIKAVHVNMGTKL ANIA_01690 MALGRKRKSESVSVVEEAGEADTPSKRIATDATSTTSTPATPAT GEKRGRGRPRKYPVGSTPVRPDGPKRGRGRPRKETTGTATPKAKATPKSNTPGGVSRG RGRPRKNPIPSDSTPTADGNTANDSGRSYWLMKAEPESRIEKGKDVKFSIDDLRAAKE PEPWDGVRNPVARKNMQSMKKGDLAFFYHSNCKVPGIAGVMEIVQEHSPDETAFDPSH PYYDEKSKRENPRWVVVHVEFRRKFDKLITLNELKSHAGANAPLENLQMLKQGRLSVS AVSPQEWDFIMSLASNEAAFGPSKESKSYDANEPAKKDGGAEKTEATG ANIA_01691 MRDAEAIATAYAQEASWLEIGQTPVSANRSDFDVITAMDNRGQH THLTQSGEISQIPVEHLYLTFDTPLPVPVGLSSPRPGRPAPPPYPDLSKYASPFLWSK PRKSVITWISCGVTAMSAYAAGEYTPPADELTAKWNVDRVVYNLGITLFCLGFGIAPM VLAPFSEINGRRPIFVSSGLVFTVCLIGCGATDSFAGLLVARFFLGIGGSTFSTMVGG VISDIYHAGDRNTPMSYFSGSVLFGTGLGPLISGFIQRRANWRWIYYSQAIAAAVFLV ILFFFLSETRGSVLLSRKAKTLNKYYDLLEEAGYYGVVFDANETTEKAQVQRIRWKVK SDEERDSLAKMVSISCYRPFPVSIAAIVGTVLSVNQERLAARFGKISNSPEGRLYFAC VESILMPVGLFWFGWTSYSSIPWIVPTVAIGCSTIGILSIYLATFNYLADTYHRYASS AIAAQSFCRNVLGGIFPLVTNAMFTNLGYPAACSLLGGIGILLTIVPWVLVFYGPKIR ARSKFASEIMHHD ANIA_10223 MAEGRAAPLRLGSTAPNFTADTSNGPITFHDYIGDSWAILFSHP DDFTPICTTELGAFAKLEPEFTARGVKLIGLSANGTESHKAWIKDIDEVTGSKLTFPI ISDPERKIAHQYDMVDYQDTTNVDSKGMALTIRSVFIIDPAKKIRLIMTYPASTGRNT AEVLRVVDALQTTEKHGVTTPINWLPGDDVVIPPPVSTEDAQKKFGDVRVVKP ANIA_10227 MMSRSQSSLGHLDSFRDEHPHTGVSPGTPAPNSSPNPVNLSGLV CNVRRTSGREPPPLVGATTTILGDKLYVFGGRILSKTRPHLTSDIYELDLIRRHWSKI EASGDIPRPRYFHSVCALGDSKLVCYGGMSPIANPPKDSTNGGNEPQPEVVVMSDINI FDVPTRTWTRINTHDSPQGRYAHCATILPSSAYFTSATAPLSAIHHNPASANPHQGSI GVDIDGLGGAEMVVVGGQDSTNRYIEQISVFNLRSLKWTNTSPLGRSCGAYRSVAAPL TGMRLSDIGSASADQEAQDPIEDVGAPGFPMLIYSNYNFLDVKLELQIRLPDGRLVEK PMPSQASPPGLRFPNGAVINGHFVVSGTYLTSSKQEYALWALDLKSLTWGRIDAGGSV FGHGSWNRGVLWSRRNTFVILGHRKRNLVEDYNHRRINFTHLCMVELEAFGLYNNPCR TSPTSAYISHSGPAVPASFQQKLAQLRSGGRPFSAAAAELGRLAQTVPEMADMELQAV GGERISVNSRILSRRWGPYFIQLLRESSDTASDTATLRTGLQPYPSRNSSITITPSLD HGSTYSNATTLASSNNNPAKSILANLELPSAHSLPPTSRPRVLFLPHTVLTLQVLVFY LYTSALPPVGSPLCTPQILCSLLQLARPYQVDGLLEAVVERLHQVLDGRNAAAVFNAA AMAAGGGRGTGFISGPGGTLEALNGAHAANELADLTNAISLTDTRSRLNSDSSDTEHG TASAVSVASSSAGGGTRGVPLRINTNIFSRRQGREREDSISNASTSSASATSYDFSDS EGLPGDMARSSRRRRGTHGDNEVWTGDLSSVIGLQKRGLRGLMEGRRLRERSAKPPSS GQASVAAVPVDHTANVI ANIA_01693 MTEISRRIEDLADELISEILFFLVPAEDRSPSSSPVNSHALLPG LNERRAHIYGEKTELDRFRLVCKRFLRISTPRKFTSFHLRFSRRGFRRLEELLHMQLA CHVKHFTYMVRPFYQESGNRLLNIQSSSLSGWSQFSTDDLPAAETHRARLQEQVYILT GNHDRELLKKALIAFSSLQEVKLLRLQDQADEQLLDHIRERSLEGTLGLNWEPACTRA INSLGKALLVSKCTSVRFLGPQIDPNAAFKLLQTPSATLSAIGARMACLEVTFHAQED MTSLMQDLSRVFHDFFSAAKNLEAIHLGFATAVPLGLSLDQVFHRIQWKRLRKLSIQG WRLTSQEIVAIIRRHRRQLRDVRIVNVTLRDGSRWSDVLSVLHDEMDEIEHIDLREID YVSGDCVHGIHSSSGHGSSHGVNYGNGNSSGAASSGFHYHHFLNTAVNINHLELAFAL LNLPHHASIPEVISNLSVDELGDNGIHVTHEKRQIWEAWVLSSPRKIARRRA ANIA_01694 MPSDRITPPSALLLLPPPPIVSFDEFRTVYEPVLSSVFANLLNA LNGSNRTASLDIALSLPGLLSPSCQPPTRAFASLQRIVEHMYRLIGVISIERKIEMEA PGGIDSRVILLDFDSVRGTPATAANSGLVERNGPIVDLKTLASSGRLWDNIYYPETSV GQELATAFSNIYTSTKDPNGGLPQSISGSPQWTPGQSLVDSAGSVGSALHHSVILGGT FDHFHIGHKLLLTATALVLQPAGTGPTGQNRTITIGVTGDEMLKNKKYAQFLESWDER CRSTGAFLTSIMDFGPPETEPAHIERIYNPGPNGRQIVMKIRPGITLKMAQIHDPYGP TITEEDLSALVVSKETRSGGAAVNQERAKRGWKQLEVFEVDVIHTGEVPPGDVEDFAS KISSTDIRRRRMELAMI ANIA_01695 MTNPAQPFDSRRSTIYNRQPEELHIPAGNSTSGGHPRQPMSHEY PTSPDTHLPSINIHSSSSQSNQYGGASGGVTGGTLPGSLQPGNSANRPPTVSMNTAPS TIPTLPHLSTQIQQQPQPQPQSTTPRSNAANSHGHSRSSPAGYRPHGSSPNAAFQPPT PQGAKYSPLGLADIRPSGDLLGDHATNAGAAASKSVENQVPTNSNYITPWPIYAVDWC KWPISGNPGSFGGKIALGSYLEDHHNYIQILDTHLAYPDPDTPDASAGELKLEYVKSA EATHSYPVTRILWEPPSSQKQSTDLLATSGDHLRLWSLPNSQPQHSSNSITRPSGQRD MPAAKLSPLALLSNSKSPEHTAPITSLDWNTISPSLIITSSIDTTCTIWDIPTLTAKT QLIAHDKEVYDVRFCANSVDVFVSCGADGSVRMFDLRSLEHSTIIYEPTEKNEKLMSP GNGSPSAPTTTWPPPLLRISASPHDAHLLATFSQDSNIVRVLDVRQPGQALLELKGHS APLNTVEWSPNRRGVLASGADDCLVLLWDLINQHNTTPVPPGVHNPGAPSTTTERGPA AAWQCDYEISNISWSPQGGTTSAGHPRDWLGVCGGRGVWGVAL ANIA_01696 MNVENERQSSPAIHNVPLNDVESGFGRASRSRTLAWPSFRGFSA SEVLYDPAKLAPLLEHFADHWQEYLEDWFTKLGIVITPSYLQHLVGGQPPPQTKLHAI AALDGLRGWACLLVFNFHFLFTYTWKVAVGWGFNNENWHLYQLPIIHVLVSGHIMVAI FFVISGYVLSYKPLKLVRSRAYDETYVTLASSTLRRGLRLYIPSFVGIFLVLVAVRLG AYNYSQKVLFEGHTIRGTNEQHPPIMVRSLTKQLWDWYYTLTRLMDPFDWALYYNNYN PHLWTIPVEFRSSIVLFLTILATARVKTAVRISLVSMLVWFCMRYGRWDVVLFLGGML MAEADLIQGLWETCPSSGEAGDKEKRSWTSAALPQRQFSFRLVNRKRWIALFILGLYL GSTPNTGYKFTPFFMWTWHITPKTYPEPHRFPQTLGALMIVYSINHSKDIQKLFVNPV SQYLGKISFAFYIVHGPILHSLGYSLMPNIWHITGKETDFQYCLGFFIGWLICLPISL WAGDLFWRLVDIPSVNLAKWIETKVLAQTAGREKQERVA ANIA_01697 MDLRTIMNSEAAGTSQRPPSPTLHRSPPQLTRKPSEPTYPAHEQ FPSSSSSSSYPSGYPNGPAQQPAPLQRSQTSPDRAPSYGSLQSPYQYNSTGAQSQRGH TPPAAPYGASASRESFTAPANYPPQHHQSHQQSPTAPQRSQSIQSVLSPYPPASHSYP RRENSPAASQHPYPSQQFSPPAAQGSLPGTPRGSTTALYHQSTPSSARPQSSGRDSLS NRASSPWVNQDGQMHMSPTAVPRASRHDYRAFDNTPQRASLVTERRESDENVSPKTAF PPGSRRDSVAGSEQAALSQLHDKGNSIAAQGNRTFDRNSHPLGGPTASIASQVNSPPS RGSLPNESPKEQQGPPRSSKASSVSESVRANSSPQPPKAKRRRYNEPPIYAQRTSRTK GRCPMIPNPLPPVPKHLRNSAQNPWVLRQQAASQAPPATKIKREGSVVVGPPALQQSQ PSRPSQSAEPPEMKSLGPWEPSITGLEPFEEITKTICDFLFQHVVLRNDAIAGPPGAT AQGQGAIVEVEAKLGHIIDLDRGERLRLPIMTESIITRERIRTSFESNMTLAQHRAMN NFLNEAVKNSMPQANPKRIPLSYAHKKERDSFYEVSPAELPPVIRQNLNPRHKPKVRV TVDQRTGEVLAKIVKCRVADLDIHSPLTAVDWRVSVNLEMNYDGDISHLTPADTGRGR ASDRNKDRMSYRHLAYQVDLTQVARSEPSAKGEFEHELEVEVSAAEIRRQGQLAIAGD PNNQYQDLVKGLVDNVRILARAVPA ANIA_01698 MSRNLMDQDFGSEEEDDDFNPAPAEESDNEEAHHDKTRKPDRDS DARNGSDDEGADEAGEEDEEENEEGGEGEGDEEEDEEEDEDDDDVSKPRKRRKGHGGL SAFIDYEAGVDEEEDEVVFSIQKRIEDRPPGSRNPIKIISAFERGGAMSGYIYVEARR QADVMDALQDMSNVYPRTKMILVPVKEMPDLLRVQKSEELNPGGWVRIKRGKYMNDLA QIEEVETNGLAVTVRLVPRLDYGMNEDSGAPIMDPKRKRPGANPAVARPPQRLFSEAE AKKKHSKYLTATAGLGAKSWNYLGETYIDGFLIKDMKVQHLITKNVNPRLEEVTMFAR DSENGTSNLDLASLAETLKNSTAEESYLPGDPVEVFKGEQQGLVGRTSSTRGDIVTIL VTEGELAGQTIEAPVKTLRKRFREGDHVKVIGGSRYQDELGMVVQVRDDTVTLLSDMS MQEITVFSKDLRLSAETGVDGKLGMFDVHDLVQLDAATVACIVKVDRESLRVLDQNGS IRTILPSQVTNKITPRRDAVATDRNGAEIRHGDTVREVYGEQRSGVILHIHRSFLFIH NKAQAENAGIVVVRTTNVVTVSAKGGRPTGPDLSKMNPALMRNGAPGGMMAPPPSKTF GRDRLLGKTVLVKKGPFKGLLGIVKDTTDVQARVELHSKNKLVTIPKELLVVKDPVTG QTIDIGRGRGGPRVPQNSAAPSSGWQGGRTPMAAADSSRTPAWGAAMSSRTPAWSGAG LGSRTPAWKADGSRTAYGGAGSRTPAWNAGARTPYGGGFGSGSGNSDFDAFAAGSRTP AWGAASGSRTPAWSASANTTSRNDNKAYDAPTPGATYSAPTPGAYGGAPTPGLSAPTP GAWADSAPTPGAYNAPTPADFGEGSRPYDAPTPAMGGAAATPGAGAYGDTDDGAPRYE EGTPSP ANIA_01699 MAKTFSKEDVAKNNKPDSLWIIVDEDVYDLTKFQDEHPAPRIGG KKILTRVAGKDASKQFWKYHNEGILKKYKSQLQIGSLDSKKAPEAPAAAATEAPKKPQ AAQPVDVSSAQSAGPQDPYGDLIPFADPSWYQGYHSPYFNQTHAALRAEVREWVEKEI EPYVTEWDEAKEVPAKIYKQMGERGYLAGLLGVKYPTQYTPHRVQSVAPENWDLFHEM LLTDELSRAGSGGLVWNLIGGYGIGCPPLVKYGKKALVDRILPGILAGDKRICLAITE PDAGSDVANLTCEAKLSEDGKHYIVNGEKKWITNGVWSDYFTTAVRTGGPGMNGISVL LIEREAGGVSTRRMDCQGVWSSGTTYVTFEDVKVPVENLIGKENQGFKGKSSQPYFVV ASVLTEYLVIMTNFNHERIGIIIQCLRFSRVCYEESMKYAHKRRTFGQKLVNHPVIRM KLAHMARQIEASYNWLENIIFQCQSMEETEAMLKLGGAIAGLKAQSTTTFEYCAREAS QIFGGLSYSRGGQGGKIERLYRDVRAYAIPGGSEEIMLDLSMRQSLRVHQMFGMKL ANIA_01700 MVGLASAAGLVGFLSEPDSELKVFALQTLDSQIDLLWTEVVDAI PQIRRLCGNGYQTNIQFLREALYEDEDFPERELAALVAAKVYYHLQEYHESMVFALGA GKLFNLDNGGEFEETIIAKCVDTFISLSTSERPSAGDQPTNLNTAFPTSVEGASSTSA SLTSPITPFSQSALPSKSLLSRQEVTGVDGAHPGAEETGSNVEVPLVLKRGVQGQLKA VIERLFEQCFLQKRYRQVIGIAIEAKDLEVLRTAIMRASEDAKQQNGESRQSEELMEY VLDICMGVVQERGFRNEILKLILELLNEIPSPDYFAIAKCVVYLNEHSMASVILRQLV EKGDARSLAVAYQISFDLYDNSTQEFLQKVHQEISELVPEKTEDSETKEEEPKESDAL LDNQSSSIGANADSKVSAEARTAFKNILDILDGIKTIQLNMEFLHRNSRVDIAILNKL RDTLEPRNSIFHSAITISNGFMYAGTAQDKFFRDNLEWLGKAVNWSKFTATAALGVIH RGNLSQGQRLLQPYLPKENSIDGVGNSGSLYSQGGSLYALGLIYANHGGMAVDYIRDQ FKKATEEVIQHGGALGLGVAGMATGDEGIYDDLRNVLYTDSALNGEAVGLAMGLVMLG TGNMKVLEDMIQYAHDTQHEKIVRGLAMGMALIMYGRQEAADELINGLLGDPDPTLRY GGIMTIALAYCGTSSNKAVRKLLHVAVSDVNDDVRRVAVLSLGFILFRKHQSVPRMVE LLSESYNPHVRYGAAMALGISCAGTGLDEAIDLLEPMLKDSTDFVRQGALIALAMVLV QQNEAMNPRVSSLRKTMMKMVSDRHEDAMAKFGCAIALGIIDAGGRNCTISLQTQTGN LNMPGIVGAAVFLQYWYWFPLTHFLSLSFAPTSVIGVDQKLEVPFFKFHSNTRPSLFD YPPEQQVKAEEAPEKVKTAVLSTTALAKRRALRREKQHRRESMDVDQTPTTPKVADQM PEKMETDEDKGDEELKEGDKEAVEGQKKKAEREKVGYELENMSRVLPAQLKYLTFPDP RYEPVKRPTGGVVVVLDKTPEEPRDVIELKASKETKQPALATESAVPADLQARLAEFT DPSRLLATPRRPENPLSGSGAAAAAGVLTAVDEDEDGEEAPVPEEFGYTSEGEAEE ANIA_01701 MSAIFPQNMAHSLVPVPLRTTAHQDPLLHVERQAKHIQRNLQLL IDAQSEGLLAGLSDQQPDESALKSHATSSRSQLSSLSGASTASVLQPRKKKIGLHTAR ENILKSMQDLMQLREEEREILASRQEEINYGLTDIETFNTKKSKLEQAIAAINENMET QRTRELRAESTRLEQEIHELENKLAQMKTRHRHVVEELAQVENSVEAKLSSYKASLSL LESDVRRFLASPPVTPSARGTAGENFYSLKPNRRTLEMAQEHWRLEQSVLQHRQEEVN AEIEALEDGCDVWKRVVEVISGFEKRLRANMRRSIQDQGEPLQDKNVANNKGNLVQAI MEDLEQTTDLVEQNLGHAQSKDWKLLVCCISAEFEALHEARVMLLSIFDNTDDVNPPT AYGDPGKPRKSSDGDSHGDPLGVDNPEPPADLLQDMNSHSPGDANSETEDDEPDPAWL LPES ANIA_01702 MGATEENQNVFASAAGEEMALEHLGYKQDMIGFSFSIVTCWTAL SGVFIIGVKAGGPPVMVFGWIGVCVVTLTVALSMAEMCSRWPVAGGQLWFMLTGILAM GAVNNSVASNFILGQANLVFPSFTIERWQTVLVTYAVAFSALLVNIFAPHLLNRLSRM ILIWNICSFIVITITLLATNRHKLSASFVFQDFQNFTGFGQAMATIIGILQSLFVQMA FNSIYFGTPPPDSVSSSPLTVLNAEYPRTNSHSFKDISYALPLLARLLGYVTSHKISS THFKGPFSLSLPLSLSLNALGLLFLLFAFVTFNFPSEAPVDDENMNYTSAAIGVIALV GVVTWVTTASKMFTGPVEVNGVSEITISELKGGTEGRHSGHGFALNSDKAKAEPGVR ANIA_01703 MSLIVLFIVILLSLIIRALYLLYRHPLSSVPGPKLAACTSLWLA YHTYIGDECSTLFALHQRYGPVLRIAPNDVDIASGDAIEPIYLARGGFPKTPVYSKFD IDGHSTIFSTLTLPERASRAKAVAPLFSTASIRNSQERLLEVVDDFVDRLRQGAQSGR PVNVLGLARAMAIDAVSAYLFQERYGALDENAEVMSASLFVDAYVGVGAFFNFVCGRV GEFLVGLVEYLTQLSGTAKSFTAIDDYTSRLVRQAVPKSGSYQIRLLERVSLRQTQIE IKDVCFAGTDSTGMDVATIIWYLAKYPEKYIKLRTALENNPPDGDPLSTPYLRAVIRE GLRLSWANPTRLPRSVPAGGWKYKSYSFPEGTSVGISAWQLHQEESIFPNPLSFDPER WLEPNVTDDMLNNFFAFGKGTRACIAQNLGTAEVTLAIWKVVEKDMLRGARILQWFNS RVKGEEILVQWIDR ANIA_01704 MPNTQATDTSVHFNDWPNEQGFDANHEQKTPIELSVTGSIPHYA AGTLYRTGPGRYKVDTKHGNTFQVSHWFDGFSQTHRFQLVPPESPDSSMRVLYNSRFS TDDMIEYAHETGSLGDRFSFGGFSSDPCEAIYSKVQSTYEPRHDSPSFINTGVTLSIN MPGLDSPSSSSISSANEKLNTSSGVKTLTAKTDNSTYKQLHPSTLEPLALATQGILHP ELTGPLSASHAKSDPETGDVYNYNLSFSSGTPTYRVFRVSAETGETSILAAFTAAPAY LHSFFLTGDYVVICVWNAHLSPEGFMKKPGSYIGAIKEFDNQIPAKWYVVDRRHGGLV AVYESQAFFCFHTINAWQETSNPSDSSTSQLDIVAECIMYENPDILHKLYYEYLVSSS SAGRLEPSAVNNGGKMQTRIARFRLPAIPVSSRREGEEPEPLAPLKAILVSTACHALS PELATLNPFYITHPHRYTYAVTDRGLSTFVDGIVKFDSLTGETKLWSEHAQSPGEAIF VADPDGKEEDDGVLLSVVLDGRSGRSYLLVLDAGNMKEIGRASVNAAVGFGFHGVHVA GNGVGGVDF ANIA_01705 MIQAILPAELILFVIDCLLPSMPPVVFSPGHVITRTLLSLTLVC KLVSRAAKKLLLKHCLYINSAYRLSLLLKKGTLSANNSQSSSTRLFLSPFSANNLNIP PLVHQINELSAIISASLTSLIIDMPLRHLYPEDDVYQVRPILRTAFSRMVQLREFVSI RDELYLDTYTIDLQAQGPGQEQKDEPAVWSLWPNLQRLALYNVAVHSSQFIEGLRRCS NLTHLVLVRPDGLTEDVSPEGIDPESLPSLQRLIIVNTGSGFSHTFQVDEETWQRSFI GLLEASRSLGLHGPEDMDSKSDSVASNLSLRTPFGRDNDDISICQEWLLEHASSGALW RMADDRRVLGVTMTPESFLDLAYGKPDAYGESDAVRWESKSLNLWTCDVCSAVFQRVD HFKRHSTTHRTAKPYGCDFCGCVYKRGCARSKRSCSGGQPCSECHSRGRTCSYNRLGN ANTTTAMGQSKLRRFPRVEGPAGSQDIGQLLNHAAQDRQPTSESNGTTWTLGSQNFYA SADVCYRAYSRLH ANIA_01706 MRFASQGGLRPLLSVWLAVSYFLPLSGAYRDVSDAALKALPRPG KDFDIHDGAILSPILIPRVPGTAGSTAVLNHFVDFFRNSLPKWNVEFQNSTSTTPVSD GKEVPFVNFIAYRDPPFAAKGDVGRLTLVAHYDSKYEPKGFIGAIDSAAPCAMIMHAM RSIDEALTKKWESGSPDDYLEYHGIQILFLDGEEAFKAWTDTDSLYGARSLAQHWDSE VNPAISVYKTPLSSISLFVLLDLLGSKNPIIHSYYRTTHWAYQKLANVERRLRDLKMF KSNGETWFPDSSTNERQLSYYGSLGDDHVPFLKRGVDVLHVIDYSPTRGGFPSVWHTI KDDAEHLDIDTVEDWSLLIAGFAAEWMELEGFMPETKTKKRNSDKTELFSVYQSVLFQ FIFFCSLPSVYAPKLISSCPTYTQPFAQDPMLAEALSAALLAQGILLVIPKLHQTPLL PSQNASQYQQQ ANIA_01707 MAAAGKGKSEGLLGITQSDARILLLGILSADNAGKIDFKKLSVI APYKNPATAYSAYRQARKRFYAANGTVDPSSSGAQATPPKKAPAKKKGAAAAVDSDSA NENDDSLVEGAEPVSPSPTPKPKRQRKTAPKPQVVIENEAEMDHDSDDSSLKPEQKQL EADLTNAIKAEGQYTPRLHRWKTDEEQIMTDINLDAEFEEMERNKQLSEARRSSAKLA PLPE ANIA_01708 MAKGPLASSPPAATPLKRSNSSTQNMKNQKSILGFFQKSSPSTP STRNGEHASSPGQKAAESVKRDEKSAKIASKFTQDLPPVPSSELGIPDDDAEDKTQST KKVNYVESDSEGEDDDDEIFRPTRKNSRASKRRKLSPESDDEFEEEEGNAGYSEDEMD DFIVPDDSDDESRPSKKRKKPAVQLKRKSSSMPPPPAVDEDSDLILPEASSGSALKWT YDPNNLEPREARAITTTTSKTSSSSAKPKAHTTEPEQRYPWLANIRDIDGHPIGDPEY DPRTLYIPPLAWSKFSPFEKQYWEIKQKFWDTVVFFKKGKFYELYENDATIGHQLFDL KLTDRVNMRMVGVPESSLDHWANQFVAKGFKIARVDQSESALGKEMRERDGKKGGGKE EKIIRRELACVLTAGTLVEGAMLQDDMSTFCVAIKEAIIENLPAFGIAFVDTATGQFF LTEFVDDVDMTKFETFVAQTRPQELLLEKSTVSQKALRILKNNTGPTTIWNYLKPGKE FWEADITVRELDASEYFVSQDNDNIHAWPEALRQARDKELVMSAFGALVQYLRLLKLE RDLITIGNFSWYDPIKKASSLVLDGQTLINMEIFANSFDGGVDGTLFQLLNRCMTPFG KRMFKQWVCHPLVDPQRINARLDAVDALNADSSVRDQFASQLTKMPDLERLISRIHAA NCRAQDFVRVLEGFEQIEYTMSLLKDSGSGEGVIGQLIKSMPDLTELLEYWKTAFDHN QAKESGILVPKPGVEEDFDSSQETIRQLHQDLDDLLKRTRRELGSTAICYRDNGKEIY QMEVPIKVKNIPRNWDQMSATKQVKRYYFPELRTTIRKLQEAQETHSQIVKEVSKRFY ARFDEHYSTWLSAVRVVSQLDCLISLAKASSSLGEPSCRPTFVEDERSVLEFEELRHP CLISSVDDFIPNDIQLGGSGPNIDLLTGANAAGKSTLLRMTCVAVIMAQVGCYLPCRS ARLTPVDRIMSRLGANDNIFAAQSTFFVELSETKKILSEATPRSLVILDELGRGTSSY DGVAVAQAVLHHVATHIGALGFFATHYHSLAAEFENHPEIKPKRMKIHVDENERRVTF LYKLEDGVAEGSFGMHCAAMCGIPNKVIERAEVAAKQWEHTSRLTESLERRKGGGLVG LGWWSDVAWALRETKEGEDDSSAAITDRSLEVLRRAIEAL ANIA_01709 MASMHMQLKKLGASIERYGEKHGYKRQMIWRRTLTNNNVWWNKT PLLEVLRDLGAYIRIGPMLGRDTVKNRMERGDGMSFAEFTYPLMQAWDWWMLFKNGCQ VQVGGSDQYGNILFGVGAVKTISKNTVLQEDNNPLSDDLDKPIGFTTPLLTTSSGEKF GKSAGNAIWLDKDMTSTFELYQFFVRTPDDAVERYLKMFTFLPIPEISKIMEEQNQDP SRRVAQHALAYEFVELIHGKDEADAVSMQHRQLFRPRTSTAEPTPPPRTASPGNPKSS LSGFVNPQSGNPHAPQTNFANMPSARVTLPKSLVYDKTFSKVLWSAGLVASKSEGQRI INNNGAYVGSRPGVKKNEPGGGMPDDLTFTPIKTWNASKTQEFIIDGDLLILKLGKWK MKLVSIVSDEKFKELGLTAPGWDEVVGKGKEEPSP ANIA_01710 MLVRMAQSSARLRSSYFGLYKCICSTRAPVAGSYVRINSSHRAF HGASYLHEQAGSSPAQPDFLETYKKAVEFPPATYDFQTFLTQASPGQDVTLHGYLGVR SDISKKLSFVRLQDPTMKYNIQLVSTAQNASFEQLRSLRPNSPVTVKGKVQAKKAKNA EMEKRDPWEIVLEDIQLLNDFPSDIWMAPDMVHPPKHRHLQLRTSSELREALEFRAQV RNVCREELEQGRPPFVEIETPLLFKSTPEGAREFLVPTRKHGLAYALPQSPQQYKQIL MASGIPRYYQFARCFRDEDLRADRQPEFTQLDLEMSFATGEDVMRVVEGIIRRLWSTL MDTEAPSGPFRRLSYQDAMTRYGSDKPDTRYGMEIYRVEHLLPVDLINKITPLTNPIV EIFKINGNDNDPAAMSEFITKFLDSPAGAPFNENPEGGPGIFVYDARKPLCGLQPFGF QAAEYVEEIIEPDHGDLLVMQAREAAPFTGGSTPIGDLRRALYSAAVETGFKPAAVGF DFLWIVDFPLFSPSTDSEPGQGGAAGISSTHHPFTAPKSAADVDLLLTDPTKVVADHY DLVVNGVELGGGSRRIHDAAVQEFVLRNVLQMPPERLTEFSHLLEALRAGCPPHAGLA LGFDRLVAVMLGKDSVRDVIAFPKTGKLGEDPMVKAPSPVSLEALKTYHLRLTDE ANIA_01711 MDIDVPVPMAPQADGASEIPTTLCYNCGAPLVGTTICPDCMKLQ IDISQGIQREAVLNFCRDCERWLLPPNSWQVAALESRELLALCLKKLRGLNKIRVIDA GFIWTEPHSRRIKVKLTIQDSVSDGVILQQTFEVIYIVMYQQCPECAKSYTPNTWRAS VQVRQQVLHKRTFLYLEQLILKHKAHQNTINIKEAKNGIDFFFAQRNHAEAFIDFLHS AVPVEVTKSQQIISEDIHTSTKSYKFTYSAKIVPICKDDLVALPIKLAKQLGNISPLL LCNRIGTSISFLDPNTLQSADLNSRIYWRSPFTSLAEAKDLVEFIVLDVEPTGHSRGK WFQSEVTVARASDFSGDKQYFTRTHLGSIIHPGDSVLGYMLTGTNFNNAQFDEIESSN TYSSTIPDVILVKKFYPRRSKHTKRNWKLKRMATEHDPYLLPNNKESVENDFEMFLRD VEEDQELRATLALYKNKKKDDTMSVADTDMMNDDDDEVPKISMDELLDDFDDLEIDDN MQD ANIA_01712 MPKYLSSPTHSFSIPSIYDSIQLACRIYLPQNVLTRNDTSQWRV RGAIVAHPYASLGGCYDDPVVSSIGGELLEAGYVVGTFNFRGAGGSHGRTSWTAKPEL ADYVSFYGFMMLYLSCLTRQLGKTSEMIHLILGGYSYGSLIASHLPESQLVADLFINA HQSTPAHGMLLIVKTVCALSRDEIPQLTQSPIPDANDPGHEARQLLQSSGTTISYLLV SPLLPPVNLFLTLFTDLSLEVATQASGKRRQIPCPKPKDQLCAHRTLAIYGDEDTFTS ISKLRKWSDELSSVPPSQFQSAEVNGAGHFWREDGVEEQARQALRLWLRQRL ANIA_01713 MSPIPDSPLITSTWHSKGKHSNSHTVTKDAPQNGHRPRLTLDPV PSLASVVRGSLSWAGSICSSEDIVSRKKRGFEEELHLKTEDRKQVLYLRMRNAVSAEE WKECACELDKLEDNNAWKATFECDEYDPHLVQSRLEQLEAARLSCDVSRMLYLIRTSL SRDLGGMSNASLYKHTHSGTKNLIDQYITTAVQTISSLVDVSGDNRCDVAESRYILDQ LLAARQAFGRSALLFSGGATFGMNHIGVLKSLWMANLLPRIISGASAGSIVCAVFCTR TEDELPALLASFPYGDFSVFDEDDREENILQKTARFLKHGAFLDITHLAKVMRNWLGD ITFQEAYNRTRRILNICVSSAGVYELPKLLNYITAPSVLIWSAVAVSCSVPVVFSPFT LMAKDPLTGEPVPWNDLHKQYIDGSVDGDLPMNRLSEMFNVNHFIVSQVNPHIVPFLP KDDEPKSVAVPTSRFSRLFHTVSHLAKEEIMHRMAVLTELGVFPNSFTKSISIMNQKY SGDINIYPEIHYAHFPRLLKNPTTDFMLKACLCGERATWPKLARIRNHCAIELALDSA IQKMRARVALSHSQEQLMANAIFQHSHDGSTDRGRKRRGSYNHEIERRKGAASRMGRP SLAKVGRSLSHSFESTQLKEDLDWTWVHPRGFEPRGMELVSSSERGTTPSFASYRESN FFSPDPECSVECSKLPSCSSSSSSSSPPRSSPGDPATRLGLLGTDRGNSQPALSSSSA RGQVASGLDYGGRIFVLHFDIGSFQHSFMIGRICMMALLDAISTYFALS ANIA_01714 MSLHRFRNIDSSRPAYDRRDDRALYKPSSSRTPTLEEDIIPLTS SISISRNNSSKMKALDPRRLSMRLKRSSISPSPSPAPYDQHSTSTFHRTHPDSLPLPR HTSPSPSTRTEFIYKPIHQTDYTAVVAETATAQSRSASKYHYNHLPAGPASGKHMGRG NRVEERTISLQSRSRSRSRARPQARARYASYDEDEINAGDDLYDDMDGEYTSIARPGR DYSTELYTLTADKRCHRAARRLTTVMVPDAEDIYG ANIA_01715 MTDSVVQLKCGVKHDPWGKQGKESLAGQLWAKTAGTTGMSDNET YSEMWMGTYPTVPSRLLRTGETLENYLKRKPELIGQSVKEKFADNIPFLPKILSFAKA LPLQVHPDKRVAESLNLKDPEQFGDANHKPEIAVALSEFELFAGFKPLSDIGALMKLK PLEQFVPANTELDDELLRQICKKFLQLPPESVKDIVTQLSKLPKSEFGAKHEYVPALL ERVSQQYSESDNGALVAVLLMNYMVLQPGEAVCVPADSIHAYLKGDILECMARSDNVL ATGFCPQADRNNVDLFAKILSFKPHSMEQAQLDRKKSERGERQKTYEYAPPFSEFNVL ATILGAGEEERHRAIGGPSIIIVTKGSAELVVEKETKLEAHEGSVWFVGAGVPLDILS KEGAELYRAYAE ANIA_01716 MMPSLLFYSVSLVSRTTADYTGSGSDSVQHGPSDKTTRIVVGVV VGGVAAIAITAGIIFFFMKKRKWDRIRQCEERLIDYQLTTGYSSKLQSRSVTPEDYLS MVTSSPPAASTVTTYAYDPSAIAAGQGQGHGQGNGTGSRARGMSAPASTTLSNSTATV SPEAPPPAYQSLHQRYDPSRYSQISTRFSSSFDMPRSSTSTFGNGIGNAAAATPMTGG YSVLGVQGYNPSLGVQQQQGLQFPQHQYHPGSQPAANWPSSSSAVPTTTTGTAASSAP NIAPVATRGSRSQSESGERGPRRPRPVLSRLITNL ANIA_01717 MECAAIRKTTSGSKKVKVTGWDIQPGIGKDACTQFEVILSDGSQ AKDPPWSTRCRCRSHSLYSTVLEGCEAHYPRSRFRGYCEWDLRLVDHKLHIVLRAVDG VEWSTSLESDSNQLIVPAQQAQLAELFIALCVACQNRFGAAALQLRAESPGPHVFAAP FCEVGGLPCRDRDDTCFELCRASDQSWSILSPDNILWWTREITQEAPQRKHPKPANWG GIQDARRNYKSLKESKKRASMLPPVDLTEAPRVHGSVMKFAQSGKPNGNTINPLRLIH VQTRDMIATAELETKRYAAISYTWSQVSKAKLLESATTRAKELGYEYIWIDQYCIDQT NRQERNAEIKRMR ANIA_01718 MHRIRRKRKVSSELDPRWGDTAISSPNEGSWSQYGASAGTSPVS ASSSDRDHGPKHGSGESSRPHIIRGEDARSRNEVPVNSLNMPTGYYDSKLRRQKTKPR LPKAQPVQPKPITLPKSWEKKDFEDDSADEAGDNVSTLGSPRRLGRDDAHSRGSKSPP LSVTSRMRRHSGQSTTPDPIFSVPVTASSQRTSFSADDPRLAQHTPLQLLPDKKPQKG LKVRDAVPNTASQELVPSYDDLYG ANIA_01719 MGPSGFSKRTISRWTVFGLLRLASALRTTPGSPCESACSPANAT LPSEIVCFDSEYNNTEAGRKFEGCIACQLQSTFVDDASRQSDVEWGLFILTGTVNLRF AFSSCVYGYPEKVTNSSNSCPVACKDIESSVEYQLDSPSGENIETWCDTASFADNEIT DCNACYNLTSDEVRPQVYLANFLESLRYNCHFETPTEEEFPITPSRIFSESLLPSSSA DLISGDGDGDNSNLALIIAMPILGFVILLCILALGCFFFIRSRRKKARRLRQPAHLHA RWNDTGISTPQWAMEYPAQAQGMYGPTVYSPQPHHGYAQSPGFGFGFIDKDGRSQEVG YSKVVEPVIASPSTAYSPEEKVPQAQVQPYQQQTYFQQTPPNNGKGRQE ANIA_01720 MTTLSPDHLKTLEQSRQRLIQLTHSLGSLITSLNQSDPLPTWSS LQTQASIISNNLQSISTHLSENHELLRSISALPAPEFPTKTHANTLEQLLRTKLDPRV EDWVSRGRKADNSAIQGSSGSTGMFSTLPSASAAGMNAGVVGAGAGGRLSEDDLAALW EWAPVEANSEARRRNWGGNFTLEEKERGIQQVVAELGLKRVLEDDDESEEEDEEMDVG FGGRIDGAPDNAGAAAGEHALPLVPINEILRYMTTGVMPAVR ANIA_01721 MDVIQKTLVEPLQPYLRPVVASVPQPVHDAIVSLIGSSCHNTLV VNLDLAQDPECTSLAISKALGIGIVGASGIVKVPQILKLIRSGSSAGVSFVSYALETA SLLITLSYGVRNQFPFSTYGESAFIAAQDVLVGVLVLTYAGRSAAAAAFVAVVAASIY SLLVDTSIVDAQTMAYLQAGAGALGVASKVPQILTIWQEGGTGQLSAFAVFNYLAGSL SRIFTTLQEVDDKLILYGFLAGFSLNLILAAQMLYYWNSPTKTEKEEASRSRKPAIKA ETSSFRRSPASSASPSTASPSPKPSGRTPTTRRRG ANIA_01722 MILRSSLGRLGVARESPLCLQCLNRSRPSFPAVNKLASISRLQS TVAGQSPSSSVNKTYFSSHKGDSHLTPQPSLFTSLSPSNSPSQLNRGHSTPSTSPELS ELPHRRRKRLKEAAAQNNGAEPVIPPDASAQLSNFSSTLPKTSLRRKLAAFFALTKPR LSFLVLLSTTSAYGIYPVSSILALDPTIAPLPTLSTSTLTFLYLTTGTFLSACSANTL NMIFEPKYDAQMSRTRNRPLVRGLVTRRAAVFFAIATAAVGLGLLYFGTNPTVTGLSA ANIALYAFVYTPLKRMHVINTWIGAIVGGIPPMMGWVAAAGQGATTGHDTWRDMLFGE NSLGGWLLGGILFAWQFPHFNALSHTIREEYKGAGYKMLCWTNPARNARVALRYSVLM FPLSIGLWWAGIVGHGFLVSSTVANGWLTKEAYGFWKHQGANGTARGLFWASIWQLPI LLVGALVTKKGLWDGVWGGIFGQPIEDDDDDYVYYEEVSPGKTINQSPTSPSSPVV ANIA_01723 MFQVKTLLTLLLSTSLTVALPAVETRDCAYTCGSVCYSSSAVSA AANEGYSLEQSGDDVNDYPHVYNNYEGFDFSVDPTYYEFPILSSGQIYSGGSPGADRV IFNEDGEIAGVITHTGASGNNFVSC ANIA_01724 MALVSTLHHELALPVPKLEPATTSPLFVSNETSLRQSADNTAED TVTNNHQDAPVSPVQAEPGQTRQVFERAPLPESSRYRQLAITTLIVISNLVQMIVNFA GIAGGRTFIESMGVKQTYATWIAASYGLTQGTFVLVSGRLGDVYGHRKMLLGGGAWLV LCSFVGAFVHTNFFAFVTMRALAGVGGACIMPNAVAMIAITNPPGRVRNLSLGFFAAS APLGGYFSALFLGAFMEHTHWRWFFTFVACLGAVTFIPLWLLSNNQATSSRNEKIDWL GAALGTSALILFNFVWNQAPSVGWSTPYEIALLVLSVILFSFFIIWEHKISHPIMPPS IFRAPSFAILVLVILLDYMTVGTVLWYQLLWLQDVWGWSTLQFAIGWTPFVICGTFAA CLAAWLIPRLAAQWILALGSVTVMVSTVLIATIPLKQSYWAQMFPSIVLFSFCPDLVY TAGQIIASNTVRRHQQGVAGSIIGTLNLYGNSLGLGFASTVEVQIAKTSGNNITGYRA ALYFGVAISAIALLLDVAFVRRVKDEREGWEEGDQDGEAIELAQAATTGAQVSRLEAG QGSSVVQRVE ANIA_01725 MPPPPHNGPFGGPESAHDSVPQPRRLVLCFDGTGNQYMGTEEDT NIVKIYESLERHKPGQYAYYQPGIGTLTYVRGSSRQTSGFSWWTRFRARVSALLDQGI GVSFASHLIAGYRFIMRYYSTGDHIYIFGFSRGAYTARFLAEMIHNIGLLSRGNEEMV PFAWDTFSRYQSSRGNVPQTEEDRELARYMHKFKTTFCRPDVGVHFLGLFDCVNSVGQ FEIPFFRKSYRYIASPSARHIRHAVSIHERRLKFKPALFHIDPKDKDSIDLKEVWFAG NHGDVGGGWPLSKGQKHLLSDIALHWMIQEVLNLPGSDSKLEFMSENVENMKRVATHS LCRDEEPGTAAYQIAQKTNKPHDKLRFGHGGSAVSVMLWWILGSSPNFPVHLFFRNDV SHNRGPPLGNSSRAREGVYEMLESGILDDFPVPKPGGDNPNLPPNLVDDDRRHMQKRS DGTCSP ANIA_01726 MTPLIYLPGRVRSAHAVRCSLLKSPLQHPFRSSYPLSLHKRWST SLSQRPGSDRVRFPGAVNSKFTTEMAFINPMDKPGIPTYRVMDSDGVLIDKSRSELSV SNEEALAWYRNMLTVSIMDVIMFEAQRQGRLSFYMVSAGEEGISVGSAAALTPDDVVF AQYRETGVFQQRGFALKNFMSQLFANANDNGRGRNMPVHYGCEYPKTHTISSTLATQI PQASGAAYALKLQALQNPDTPPRIVACYFGEGAASEGDFHAGLNIAATRSCPVVFICR NNGYAISTPTLEQYRGDGIASRGVGYGIDTIRVDGNDIFAVYEAMKAARTLALSQGGK PVLIEAMSYRVSHHSTSDDSFAYRARVEVEDWKRRDNPIIRLRKWLENEGIWNEDMER QARESIRKEVLREFGEAERAKKPAIRFAFEDVYDEVTEEAREQMKELRRILEEYPEEY DLRSFEGGVKGLDS ANIA_10231 MLTNDFLVDEEFPPLGTTPKDKRSVDSFGSLMRSQLMSDSQPLG RSGTPSLPPGLPLPQGHSISALFQDQFKSSSPVSSVSGPPPGLEVPSPSLAAASRSSE DDATGLAAKPTTDNRQLPNRATSAADVSLGSPVAKSATRVRSQPKEESMPAAEKRGNQ PKPAGSSEGKVSSAKVKPMKLDLSFNTPLAPEPVQKAEPPPYQLSAAPATAVNSRPNT PLTGASRVSDSPAPRQPRVLRVVDTPKAETPPPMSATQSVASFSLTSKVRSRRPSASS QSRSDTPGDIGSEADLYTSTSASRANSPPASSRIGSAPVRSITKSQLKKERKQKAKEA EAKKQETAPVTEEPVIAPIVGRKRKTKKAPAESSNPPPENAASTTKPTVAVTNEPTEK VEPAKKSKAPETVSESKPPPSEAKAPVEEKKSEEWRSKNTVEQLLKDAEATGVPLKEL FAERTSPLQVLLSQLHKSGALDLNNHPLFNPSNLNQRFDMKCTSDDYEDLKQPIELTE QHRKALLRGEPVRLGSDSPSLKHRCLISPRGCVLHHLSPEEEDRYLALEKSISWTIDS FQEYPAIPVTEPDATNRGGGLDALFATPENFNLCWVDETSTGGISAQSPISVHSTTEG GTLTSIPPNVLSAMEADSTRNHNWAISNAAELMNATATSVRSFAAATAKHMLGAAGVV IGNIPDLDDVVGMTDEELRSFAVKSQKELEASRKELDAIDKKLGALVKRNRKLAQQAL AT ANIA_10228 MMTMSSAPSASKSSTCRIRISNHAPADIRFVNSVTIISRLKTRK VDAQIVDEFMMKALFNTKCPTQMSMFKADLALKHRKAAAAKKKEAEKREIEASSRKNL AGVRVVQKNLVYVIGLNPTIRDESQLLQTLRGKDYFGQYGEIEKIVVSKAKPGGNPNQ GIGVYVTYAKKSDAATCIAAVDGSGNGDRILRAQYGTTKYCSSFLRNEQCHNRNCTFL HETGEDSDSYSRQDLSSMNSLPSQQRPNGTAGPSSATPPYIARSSAQPISQTLRRQPS KDDAGGTALPSSASWANKDSAINRTRRASLTGSQASQSPRSALATVATPSDDTKRVEK QQTQQDRPQTTQTPSPEAPSSSPPRPPSAQTPADKGTPLLDNLLKAVNSPCFKFIFSA AGLPPDELALIDNHPSLIDPYGGVKRRAMREKAEQERTKREQELLQSVPAATAEDEGR ESGSLQLGGEPDDTNPPRGRAGRESHGAIQPPSQQGTTTSSAVGSPVSAASHHFQGIN LSNRSLTPLQQQQLMLLKSAGNQQAGLVDPMQSGVGSNALDHAAQARQSLLQSQAAQL NALQQAQRGQNSRFSFADANAKNLANTRMLNQASLMQSGTPNPLAAPSPQHSLASNYY TSGVQGPPPGLKTAGTPPVSGGGMFAQGHGFTSNVNLLGGKQEANPELMRELLRGRSG TNASGLPDQDSKRELLFPSLLQHQTPPPLTPVNGLLSSVYGAQAGNTSDSGSQKQRKK GKKQRNANTSSAGGGVVHLADPSILQARMHQVGANAVAGQGLYGSQGQGGYAMMYGSG FNRW ANIA_10229 MAAPRSLMRLGSGRSLASAARSSRNCRAFSSTPLQQVAKATTAG TDTPNMRHAARPPPGPLRAPVVNPADKYQDKADSLHQYGQYIMACLPKHVQQFSVWKD ELCIYVPPSGIIPTFTFLKYHTSAEFTTVSDITAVDYPTKDQRFEVVYNLLSVRYNSR IRVKTYADEASPVPSITGLYEGALWYEREVYDLFGVFFTGHPDLRRIMTDYGFDGHPL RKDFPMTGYTELRYDEEKKRIVIEPLELTQAFRNFEGGTTAWEPVGAGRDRTPESFKL ASPKPEPPKEEEKK ANIA_10232 MPKRKLSDLTDTNDTAQPQKPKLSEKEYQHLKLQTARLKQKFEF GVTSLSRALKTARGFERQKLGRRQKVAKGGPGTEIAVAHAKKAKSKPKSNVSPEETLR RIEGEIQVLKSLDPTTTAEKYLFKQLAKTKRIAESPVFYRFKQSKEKKIKLEGPKSTE EANVTARLFKSNPVQNVLPGIMEGLRGLFGLEGAGAKGKKDERDGGKRKAGEQAGGRK DVSGDESVSGSEDEDEADARDARGLERDIDMKDAESGDDEEDYSHFDARLASDSEDSN DDLLSEDNDNTGSRHARRSSMSISLSPSRSPSPSQSPPPKKPKSTSASKTPATSTTFL PSLMMGGYWSGSESEPEELEEAPKRKNRMGQQARRALWEKKYGAAANHIKAEQQKGQK GKGKGGRDAGWDLRKGATGDGDRDRDRGRKKFGTGSNAMAMSGKDRFGSGTSTAKERT TQGAKSKKTKPQDDKPLHPSWEAARKAKEQKATASFQGKKVVFD ANIA_01729 MDEAPSTPAVGNQKRPRVSEENRKRAVRACDGCRRVKEKCEGGV PCRRCTRYRRQCVFTHPDQADRLSRSSSISLLERTAARSRHDMLESERIRCMERILQH YVPNISFDIQSLRKTAEELKSKHRGSDSDGGPSVVFDNEDMEDLAIEDEDFTIKALPD NTTQYSGEFSYLNFSMKIKKKIDEWMKAESPEASTEAEPFEERWRATQLQSGAVVAAS VTSLPPRFVADFLVQMFFKYAQTNNFYVEEDWLKDKLNTCYVNPGSLGCNDAGAVCSI LMVLAIGTQFAHMESATPVNHLTSEADHFSEDEVGLTFYQFASKLLPDIIASASIRSV QACLLIGTYLLPLDTSGLCYTYFGLALKMAIQNGMHRKYSGEGLPPHVIEIRNRVFWT AYTIEKRVSILHGRPVSLSDTDVDAAMPVDFPGLMPHGQVSNHTNMVTLIKLTLKLGE VSNEICALRKCRKNQQQDCLERLLTLRKHLVDWWNTLPEETDCRDLNPNGPLFRANVH LKLDYCLTRIFLGRPFLFSSMKGFSSATFQISPVKAPMSSGVSKNRSTLVTDCVEAAL EIIDLCRLLRDETGLARASFTEFSSCRAALLVILAQSLTKRTERLREALQKGMGLIKI MSMGVGSARSAVSVIEALERAISRLEEYSASQGAGQSGSHESAYDRFKNWEMLWKTGP VSPDAHTLTNANTHTNIFQSQGQGQDGYQSGGSGVQPHLASLTPTSSLMNSQSQLHNE NAAVPHHDITSPSDFLTPHAFSQMPHIGLDHFVSNLPQELGEFTAIPCFETEGQTGPS SGAGPGLPGELDMKEGWMTF ANIA_01730 MYLLPEPAVGQLLRDLTRPQCLSFLRTLDTALLTVSAQSFSPPE ERLVHQPLRTAITTKDNNLSLFMPVSNTSSTGIKIVTASQSHGIIGVINIFSPKGELL GLLSAAEITAFRTALTVMSLFVRVTSSGAAAGIQRERIVILGSGRQAEWHARLALLLC PGDIRRMTFVNRGRKRLEELERGVISNLKNRYPDVEFTTLSKEGNDHYEEHLCTELAL SDVIFSCTPSTEPNFPYSYLAGGTGAGAGSERYKAKFISLIGSYRPHMQEIDTETLLS GGGTVYVDSREACLEESGELIKAGMKGDQLVELGELYQGQELGENKDEGPQPFKMAEG QNVVMKCVGMGIMDLVTGRKLLELGRERNIGVEVNGF ANIA_01731 MKAATPRPSVRALSSGRSYRTARFVSRTSNARSSLAADTNSLLQ QAPPSPKKQLASPLAKLPLSSVLRSLLILSVSSSSILLKPCIYTLSALAHPKTALLDV AKNPLLNLLVKHTIYKQFNAGENKLEVQRSINAIKELGYRGVLLGYAREVLVGESKTD PRDEQASRQEIQTWLDGTLQTVDMAQEGDFVALKFTGMGIQALEYLQNQAPPSPFMDE AIKQVCDLAISRNVRLLVDAEEQAVQPGIEEWATMYQKYCNSRTPGRAIFYNTYQAYL CSTPATLARHLEISRKEGYTLGVKLVRGAYLKTEPRHLIWAKKEQTDECYDGIVEALL TRRYNHMLKPASAEHTTELPPVSVIVATHNRDSVRKAHALRLEQASRGEKSDVELSYA QLQGMADEISCELLQGFQTAGPENTKVAESPNVYKLLTWGSVKECMGFLLRRAVENTE AVGRTKQSQEAMFSELRRRARRAFGLRY ANIA_01732 MSPPSAKSMEEGRTPSVQYGYGDPKTLEGEIEEHTATKRGLSSR QLQLLAIGGCIGTGLFVGTSTVLTQTGPAPLLMSYIVMASIVWFVMNVLGEMTTYLPI RGVSVPYLIGRFTEPSIGFASGYNYWYSFAMLLASEVTASGLIIEYWNPPVSVGLWIA IVLVESEFWFAGLKILAIIGLIILGVVLFFGGGPNHDRLGFRYWQDPGAFNPYLVPGD TGKFLGFWTALIKSGFSFIFSPELITTAAGEVEAPRRNIPKATKRFIYRVFTFYILGS LVIGVTVAYNDPTLEAGVESGGSGAGASPFVVAIQNAGIGGLNHVVNAAILISAWSSG NAWCYAGSRTLYSLAGEGQAPKIFTRTNRTGVPYVAVLATWTIGLLSFLNLSSSGQTV FYWFTNITTVGGFINWVLIGIAYLVCFPPSLHLNTPDQKQRFRKALQFHGMLDMLPFK TPLQPYGTYYVMFIISILTLTNGYAVFFPGRFTASDFLVSYIVFAIFLALYAGHKIWY RTPWLTKVSEVDIFTGKDEIDRLCENDMERQPRNWLERVWWWIF ANIA_01733 MQSSMLLRVRALPKTASVLSRTKTATYATYKVPRIDNEPNKHYA AGSPDRKALQEALARTQRNAPLSVPLVIAGKEVKSSSSLTQSNPASHGPVATYSNATA KDVQAAIESALEARKSWASTPFADRASVFLKAADLISTKYRYDVMALTMHGQGKNAWQ AEIDSAAELCDFFRFGVKYAEDLYAQQPVHHAPGVWNRVEYRPLEGFVYAISPFNFTA IGGNLAGAPALMGNVVVWKPSPSAIASNWLVHQILLEAGLPKNVIQFVPGEAEEVTKT VLDHPDFAALHFTGSTNVFRNLYGQISTRVAAGKYRSYPRIVGETGGKNFHLIHKSAD IRNAAVQTVRGAFEYQGQKCSATSRVYVASSIADSFLEQVASEAKSLKVGPPSDFTNF CGPVIHEASFTKLAKVIDEAKNDPELELLAGGSYDSSKGWYIQPTVYRTTNPDHPLLT RELFGPILVVYAYPDATEADFARIAQKIDATGEYGLTGSVFAQDREALAVANDVLRNA AGNFYINCKSTGAVVGQQPFGGARASGTNDKAGSGNLLSRFVSLRSIKEEFVPTYKVA YPSNEA ANIA_01734 MSSKASTSIPLSYATVSLGPPSTTSTIPLPQKLSALHLAGFNGI ELGFPDLLAYASLRIAAAEVKKLCIAHSLEILMLQPFSNFEGWPRGSKEREDAFERAK GWISIMEACGTSMLQVGSSDTPLEKMAPSESRPDPEQGRGPRAYIVADLRELADLLGA KGFRLAYENWCWSSHAPNWKDVWDIVRAVDRDNIGLCLDTFQSAGGEWGDPTTSSGRI EDTVTNEKELSQRFEASMAELAATIPPEKIYLLQISDAYIPLDGEGRPRPLEKGVVDG TAPRGRWSHDYRPMPYDGGYLPIEAVGNAVLKTGFRGWFSVEIFDGGADGRGKEYELK EFAGRAKDAVEKFIERCLPA ANIA_10233 MGSMMAFAFSEIGLDVSIWDVKYDNVQQLLESAKNTNYKGKIEG FKDVSKFTQSLEGKAERKIFLFSITHGDPADSVLDMIKKDLKKGDIILDGGNENYRRT EARQKICEKIGVSWIGLGVSGGYQSARRGPSLSPGGDKEALDLVMPLLELYAGKDAKS GQPCVTRIGPKGSGHFVKMVHNGIEGGMLSTLAEAWSLLHYGRGMGYEEIADLFESWN KEGVLRNNYLLEIGAELLRVKKTPQGDGNGEGVGDGGFVLDDVLDKVVQDDDNTEGTP YWSVMESASRHISAPTLATAHFMRIASGNRIERLEVAKQLRIPSPSPIRGMKDIEAFK EHLHSAVYSSFLASFCQGLELIARASEDEGWDIDLGKCLQIWRSGCIIRSEGIADILQ PAVSGNKGIKNMKYIDTVAQELHRTYPSLKEIVMAATDSDHYIPAISATLEYLKYEGG TNLPTKFMEAQMDFFGAHGYNLPGVPGEDPGPVSKGPHHYEWRPAK ANIA_10230 MFLRSVSSITKPFRRIESPKQLARRMAVLPDTYADPVRIAVIGG TGLRELPGFTQVASLNIQTPWGTPSSPITILHHTHKDKTVAVAFLSRHGLHHQIAPHE VPARANIAALRSIGVRTIIAFSAVGSLQEEIKPRDFVVPDQVIDRTKGIRPFTFFEGG VVGHVPFGDPFDESVAKIVRACGHSLEGEGVKLHDRGTLICMEGPQFSTRAESKLYRS WGGSVINMSCLPEAKLAREAEIAYQMICMSTDYDCWHESTEDVTVEMVMGNMKANAVN AKHFVTAVLDELADDRNAELVQAKQYAGSVKFGLSTAQANWSPEARERMNWLFPGYFE IDLYIRYLLLIQRDIDLTSWN ANIA_11313 MALTKEVQILIVIVGCVVSVLLGYSIHYLATNGFQGENEPKEMS HEQRQYMREFRLKQMNWMARDANAVWFVCMQQQQPQVQ ANIA_01736 MFLTPSPHHPQCLGPLKSRRGCTACKTRKIKCDQQKPSCRRCIA ARYTCEYPSQMTTSYASAPSSTTSSILDLDVDVSLGVSGSSPLGAAGVWRERRAFAYY FHHAAPYLAGGLDQSFWTVTVPRICRAETAVWDAVNAISTLFEFPEMCLDFVFLRHEK TQSSLNNKQIEALSWYSRSLSKIQSGIERGNLSVQVALVSCVLFICIEMVQGHVEEAL GLYGQGVRLIHGLRARARYAPQTASDVDFRETIIVPLFLRLGTAALGISGVPVCDLLS GLDTQQKYLFSSLEDARDAIIPLAAEVQMFWRDTGRNPFIPGPGGEPAIPSSTETEAA VARAQRDRLQARLDAWFRAYTALKTLPRFTRAHQPAAKSEISTSIGAILLTFHTTLST ILATSLSQTACVYDMHVHSYRTIIEQASIALESLRKPDGTQPVFTFELGVGLPLFWTA LTCREPAIRRKALNLLRISPPMQGFSKAQVGLALAGRIAELEEAFARDLLSRTPSPEG ENGGALLTSPYDSFEGKEATTAVKKTDPHIPEEARIQHYAVFRPRDQPHILGPHEHDI PRWGKSPDQLFLRFTRNQWNKESGGWVLSDECVPMTPDEGYAT ANIA_01737 MALESILSLPAATLAAGVAALVAMYWLASYAYLYWFHPLSKYPG PPLAAVSELWYASAWTGGLWNRKIEEAHRRYGDIVRIAPNELSFATAQAFRDIYGAPS KTRKLFPKSDRFYDNGHPNIAFVLDPEEHARQHKIFAPQFRPSAVRTQEPIVHAHVDF WVAQIAARGRSGAVPVDVSKWFEWLTFDIIGELTFGQSFNATRDNKSHPWVSILLDAT YSGSIFNLRKRLAFVGPLLRWMPYISSTARAAVESVSQHGAMTLAFTRKRIESGPARN DVDDFLAHAIRAGGMTDTELADQAMVLLTAGAETSATALTATLWYLSQPAHAHCLERL RTEVRGAFASPADVTGDATARLPYLNAVLEETMRLFPPSPVGPPRISPAGGETVDGTF VPGGVYVSADVWTIHHDARTVGARPDAFEPERWCDGQKPYTVPFSIGPRMCIGVTLAW VEMRIALAKAVLAFDWELAEDAVGGSGDWVEEARLKQLWQKPPLMMRFRPLEAKA ANIA_01738 MASSSETIYMADPPAGVQRLNGDSIQPNMAACAITTALIAVAIV GLRLYTRFFLTAARFQIDDAFVCCAAVCSIMLIPISFEIMQAGVGLHMWDVTMAQYNP GLGIWTLVATIFYAWAVILSKLSILAFYLRLSPQLWFRALTFVLMGISTCYVLIYTFL FIFRCNPIPKNWDITVTTGSCINTRTIMTVLSIANIVMDIITLILPIPVIMRLNMRLA QRVSVVLIFSSGVFVCAIAIQRTVQMLSALESKDYTWDITEQFYWSYIEVNAGILCAS VPALKPFAKRHLVSMFGSSQRYRLQYQERNGAFGLEASGGQPAVGTEQETDAILLRVT PKRGSYTRF ANIA_01739 MYTFELPLQLLVQQFVPDITREPSRSRYTNLQSAGVAQESSWLQ ENRQTLDVRRKPSWGRLPIVAEIEAATELMSAPNVFAKVVRLNERFAVTMGHDAITKF SIPAPDYLGMLNRRRYLDGLFWTDSLNPKISGPFENQTDLDLAIIGKPRQSQPHISII LNMIVRIPNGHSLGLLYWTGRVLGGIRNLGFLQCYNCLDIASRR ANIA_01740 MGTNCKGETTISEETRHLLHQVTLVVLSSSLLLVGSLRSLDITI SITERGLQLSVYPIDIRESFERVTMSLHEQQGDRFREISPMTESNNTGLREESQIQVP AATATYTSASQSRSHRPSINSEGVTMDGLYAAVAGQERRRYSTMDDDSDIWDVPSDPY CHTGWEYVPTPASWHINQLFSLIQPWSIFQGHKSRVRPKSVGSDIISDLSAAYYCHQY ICYNHKPLVYPKYDYCNQNACHARLFLVFRARFKSKGWKCLGHFETLAAAASKPVKKG ETNYEEGEVNEIYAADDGQTRIPKFSSGTLQFELTGV ANIA_01741 MSLTRATSFKRITVRVIGIMKTPQCGLFLGGPREQVTFERSQTI ACSKLRELFTMPKGDYGFLFEIPLSGALYDTLTGPKHEYHAYRVEVLVDRLMWPNLVI SKPLRVHRYPMLSTGLGLAKTVKSCSRHDLDYHFSIPDTLVPHGSVFPVECWFRLSEG VTVSDLTVRVIERHRLCFTATATQAAQYDIHCIRSDAHHVIVEEKYSYDDRPILPEDM NVQQISVPVRLPTGEGTCSQSYSSRNIQIEHVLVIEAESRNKDGESNTRLRGSTNMKL KRIGLLRPTNGTN ANIA_01742 MHFHGIATQAVLASNITTGSGRHVSLSDVKWTLSSSALNSTVPA SLPSQAHLDLLNAGVIDDPYYGLNEIDLQWIAQANWTYTSDPIPDLLEEYESTWLVFE GLDTFATVTFCGHNIASTNNQFRQYAFDVSSALKECTGGPVVRIDFASAPNTVDAIAA DPKTPVWPVQLTAQLPNRWLMRKQQSDFGWDWGPAFAPCGPWKPAYVVQLEKTAPIHV LNTDLDIYRQGNINHLPPDQKQPWVVNASIDFIGRLPAEPRLLIEIKELETGDVLASQ ISDSVTLIGTSITGVTTLKDASPKLWWPSSLGAQNLYNVTITVFNKTEEVARITKRTG FRTIFLNQRNITATQLSQGIAPGANWHFEVNGKEFYAKGSNFIPPDTFWPRVTKQKMT RLLDAVVAGNQNMLRIWSSGAYLPDFIYDLADERGILLWSEFQFSDSMYPVDEDFLDN VAQEVVYNVRRVNHHPSLALWAGGNEIESLMLPLTREADPDNYPKYLAEYEKLYISLI LPLVYENTRSISYSPSSTTEGYLSVNLSAPVPMTERYENDEPGAYYGDTDYYNYDTTV SFDYSIYPVGRFANEFGFHSMPSLQTWQQVADPEDLYFNSTTVVIHNRHYTSEGYGRI ENSSRGMAEMTLGVERYYPIPDNPDSVANFSAWCLATQLFQADFYKSQIQFYRRGSGM PERQLGSLYWQLEDIWQGPTWAGIEYDGRWKVLHYVARDVYQPIIVSPFWNYTTGDLE IYVTADLWESAAGTVNLKWLNLSGEQIIDNAGTPTEIPFTVGAINTTKVYSTNIHDLN LPDTRASILTLSLSSQANLPNAAVKTSLTHENHFTPSFPKDLELVNPGLELSYDAHSG IFTVEAKSGVSLYTWLDYPAGLVGYFTENAFLLVPGQKKKVQFVVQDGPKDQDWEWQS EVTVRSLWDQKSSTFLYALRVATGRP ANIA_01743 MSRRSLWGSLRLVSKRQFAVSEAQYRCFSCSLRTQNQSKSDKDR MTHFGFTNIPEHEKESMVGKVFSSVASSYDTMNDLMSMGIHRLWKDHFVRSLNPGSAL PSRDNDSAEKGWNILDIAGGTGDIAFRMLDHATNINHDYHTRVKIADINPDMLAEGKK RSIQTPYYNSERLSFMQGNAEHMPSIPDNSVDLYTVVFGIRNFTDKQAALVEAYRVLK PGGVFACMEFSKVDNPLFNAVYKQWSFSAIPLIGQLVAGDRESYQYLVESIEKFPSQE EFRGMIQKAGFMIPGRGFENLTGGIAAIHKGIKPLSRA ANIA_01744 MGHLKQQCRIPLASAMSTLGASTPNAFSRLPMTPPEPVDSVLVP PNTVSKDAASVTTAVHVISTERAALAHLERLYQTDQLAQEHLSRAVDQIAGTIRNGGK LVCCGVGKSGKIAQKLEATMNSLGIYSTFLHPTEALHGDLGMIRPNDTLLLISFSGRT PELLLLLPHIPPTVTIVALTSHLHPSTCPLMSFQPMEKGILLPAPIHEDEETSIGVCA PTSSTTVALSLGDALAIATARKLHTTPGRGPAEVFKSFHPGGAIGAASTVSTPLSMSS SSASLTSHPSEFLQGPVRQPQLRHRHEKITPFSDPGVEYQDRMQSDSDAESEKSVPAS PPPNTIANMISVPLSRIPTVSSPASISGAVHSNIRLLDILLTAIQHPDANSWVFVAPD ILIPPRKLRYLSSKGYVDMDVSSLNAPYLAPRERWTFISDDCTIEEAKEWFPPNDPRP VSVVAVVRGQDSEDVLGVFEIEDLWGGNDGND ANIA_01745 MTESTQEQGNDGQRMPPAPATPVEDYVFPEYRLKRVMDDPEKTP LLLIACGSFSPITFLHLRMFEMAADYVKLSTDFEIIGGYLSPVSDAYRKAGLASANHR IAMCQRAVDQTSDWMMVDTWEPMHKEYQPTAIVLDHFDYEINTVRKGIDTGKGTRKRV QVVLLAGADLVHTMSTPGVWSEKDLDHILGQYGTFIVERSGTDIDEALAALQPWKKNI HVIQQLIQNDVSSTKIRLFLRRDMSVRYLIPDPVIEYIYENNLYMDDGTTQPTADKGK TREEPAPSN ANIA_01746 MSSRITRSAARQAADSPPPAGSGPSSTSPAAGSAPSRKRKAPAR RGQSPDSSERPNSHQSPHRKTKRQRRAPSPRAANASAAASRRGTRNRPTMSHPGPSSH PAEESSKKPASPPQQRRKSSRHGKSAQATQSPPPNRQKKRSRTRPDVVMKEADDELEE REKSEEHEASPPSDSNDGTNPSGLDDEDEEEDDGDLFHNSLFGARGSLGLQSTLRALS GMMSGMSSRLRDILQNLRMKDDPSVQLIALQELSDLLLVSNEDNLSGQFSPDPYVKEL VSLMQPNDFGEENPEIMLLACRCLANLMEALRGSVANVVYGGAVPILCQKLLDIQFID LAEQALSTLAKISVDFPASIVREGGLTACLTYLDFFPTSTQRTAVTTAANCCRNLPHD SFPVVRDVMPTLLNVLSSNDPKVVEQGCLCVSRIVESFRHKPEKLEELISPEMLKAVL RLLLPGTTNLIGPHIHTQFLRVLAITSKASPRLSVELLKTDVVDTLYQILTGVSPPEN IDDQAIKMDSVLVMQALIHRPKEQVTETLNVICELLPGVPERPNSHDAGNPEVAATSG SKPSSSKGLAEKRRSLLMGCKSELRRFALVLLPTLTDAFSSTVNLEVRQKVLVAQLKM LQNLDPALIEEALRSVQYASFLAAILSQKDHPSLVSSALRCAELLFQRLEHVYQHQFH REGVISEIAELAKEPLSTEKDTKPSRDSPAASIMDTSEDTRQDKSSIVRPAGHLGTNP DDEESQDDDDRDSEDEDANDSEENDEDQDEDNDDISDSETSSSSARGRSSRLEDAMHD SVIRHARAFMEQYESSQGAEMREKALETLNELQALAAEIEACYSGPGDYGEGIDLFRK LASYFEGDALESITSSELLNSGIINTLLAVFDDFNSTPMREARSAFLQAFMGSTISEK AQSQSTATTRFGVLVNKLQDLLSRTEHFEVMTVGHNSLENTRNNAAYMLGKQLRLKLV ADEDSDIPRSYRNIMVSIHAIATFKALDDFLHPRIALSDRPKTSRSRETILSQIANAA RLRDQLAENHNSDASDFSRPSGSSRPANRSTTTESKATAKENSAGGPDVTPRSKRSQP QPDDNGREDEPLECADERHLSEDDNDDDVEGDDEELNAIVDDLEEEMSDENVHDPSAV NMEVASSGKVTARKEDGTRVSTPSQSTPVSKSSSGPRRSSLSSAGAGSLGMAGRPFSY AAAMASAPSDWHIQFSIDGQPVSSDTTIYRAVHHNRRHLDPSGRNVWSAVHTVSFRRV PGPPPAEPSTVSTNASGSNPQDNSSGMPSSLNQDHITSSILRLLRVLHGMNTTLDDIL AETKDLIALKPEPLAQFINTKLTAKLNRQLEEPLIVASSCLPDWSEDLARSFAFLFPF ETRHLFLQSTAFGYSRAMMRWNNSQGDDSRHDQRRDDRPMLGRLQRQKVRISRSRILE SAMKVMELYGSSPSVLEVEYFEEVGTGLGPTLEFYSTVSKEFSKKKLKMWRENDCGDS EEYAFGTRGLFPAPLSEEQLASEFGKKQLQLFKTLGKFVARSMLDSRIIDISFNPAFF RIADTSSPVAPSLGTVKAVDQDLAKSLLLLKRFANAKKALEAKNLPKAKKTQALMAIE VDGVHVEDLSLDFTLPGYPAIELIKNGSNIPVTIENVDVYVDRVVDMTLGSGVQAQVE AFRTGFSQVFPHSALQTFTPNELAMLFGRAEEDWSIETLMDSIKADHGFNMDSRSVRN LLQTMSELDTQQRRDFLQFVTGSPKLPIGGFKSLTPIFTVVCRPSEPPYLPDDYLPSV MTCVNYLKLPDYSSLDVLRERLSVAIKEGQGAFHLS ANIA_01747 MFSIPRSLACLLRRQTQAPVKHILSPNIPIRAAQTEAAPSALKN THPPPSFQRQHAPQPGTQTATAAPTQNTTDLTTHPIIQLLRQDPTLKETRPHLTMPAN LRPSHFVAGTLSGGTKLTSPPYMFLSRHTPSQTAEERLSTGPRQSRAVTVFHTGRDMC GHPGYVHGGFLSVMFDEVFAHCVSQSFRSGTGMTANLNVDYRKPALPDRVYVLRAETV KVEGRKAWVEGVIRMMPAKKTASEDEAVLVAEGRALFIEPKFAEVEELAFLSR ANIA_01748 MLVFTIPLATALIYITHSAFSFLSNLYLVCKTCPSLPRVLFPVS EVNLLYLALFESRWFNHIRRYWLPTSIADYIADAAFRGRWDVKDRLARKYGGFYLFVA PGLISCHVGDAEVVSQVVKERKGFVKPVKHLEAFEMYGRNVLTSEGSEWAYHHRYSAS AFSDKNNGLVWQESAIQAQEMLAYWEKKFNASNGSDRFTVPDVREDILKLSLNIICSA GFGVRLPYRPATSATAERDKDSAEDLFRDAATPASGYHFTFRGVMEYMNRSMMSVFIA NGILPKWIPRMLVPFFKTDFAAHEDLKKYLHALIEVTENSEHERHNLLGRLVAARREE QSVRCSGSGPGLSNAEILGNTYIFSLAGHETTATTMRFALPLLAIHQDVQDRLYKELE EALRDQPANPAEWEYSTVFPRLVTPLCIMLETLRLYPPVVSIPKMTTTHMAEITYKGE RHCLPPNVRVNLNANVLHYSEAYWGPDADSFDPRRWDKRNAGSFLARNASARGLSGPG LESPNVHKPVRGAFIPFSDGLRACMGRKFAQVEFIATLAVIFHRYRVTLVRVGQETED DARKRVEKALRQSSTLITLALGGVVPLAFVRRTGVAAEDDA ANIA_01750 MGQKRQRDQKGPTLHVRKRKRTGKPTNVAERESKPEVVVGVDDL NWKEVALPDRLDNFEGFFGLEEIEGVDIVRPQGNGQLRFKSAPGKPGKSILKKPTPKS EETEFDDEWNGFSDEDLEKKEGASTVNEVAKAADVNGKKGKDAKNKKDIKAKEPKKKL KEQKEKDGAQAKDRSITSGLSFAALEDEADDDGADISAWEPLGLSPETLTSLSKLKFS TPTSVQKSCIPPILDGHDVIGKASTGSGKTLAFGLPILEHYLERERRKTIDSEEEKEK IPIALILSPTRELAHQLQKHIYGLISNAPGVNARTALLTGGLSVQKQQRLLETADIVI GTPGRVWEVLRTGQGLIRRMQGIKFLVIDEADRLLSEGHFKEVEDILSSLDRVEDGGP PDEEDDSSEENVVPGVERQTLVFSATFHRDLQQKLAGKGKWTGGDIMNKKESMEYLLQ KLNFREEKPKFIDVNPVSQMAEGLKEGIVECPAMEKDLYLYTLLLYHPKHRTLVFTNS ISAVRRITQLLQTLQLPALALHSSMAQKARLRSVERFSSSTANPGTILVATDVAARGL DIKGIDFVIHYHAPRTADTYVHRSGRTARAGASGKSVIICSPEEMVGVVRLAAKVHAN MANGKKLPLESLELDRRIVSRVRQRVVLAARITDSNIAKEKITTEDNWLRNAAEDLGV EYDSDEFDQAKGWGRGRGRGRQERDRQVGSTSKAELAGLRAELKELLSQRVNLGVSER YLTSGRVDIEALLREEGNNSFLGQVDPLGF ANIA_01751 MGKSSKDKRDAYYRLAKEQNWRARSAFKLIQIDEQFDLFEHENP EKVTRVVDLCAAPGSWSQVLSRVLIKGESFGRRSWVEKRRKEQAALENLDGDAPAANQ GADITDSTALKPRKNVKIVSIDLQPMAPLQGITTLQADITHPSTIPLLLQALDPEAYD STSSTPHAVRQPHPVDLVISDGAPDVTGLHDLDIYIQSQLLYSALNLALGVLRPGGKF VAKIFRDRDVDLLYSQLRTVFERVSVAKPRSSRASSLEAFIVCEGFIPPAIHDTLLGM DTLKNPLFGGAAIPQPVSADGNIAVKVPEEKPSIKKSAITSSDSATRDAQTRLLHNDS GDSTTPEPLFNNPQKFAAENRWIPSFIACGDLSAWDSDASYTLPPDYVNLDPVQPPMA PPYRRALELRKEKGGAYGKTKLGTMGRA ANIA_01752 MGSSSPALSTLAGPTYVTAQTLIQQVAYALSDKVFSYSPDSFDL DAAIREWALKQELNANGEAPAVQAMETRQGAGNIALGYLFSQDFDLKKRHVPQGIVAS SATLPYMRAALEQLSLLYSVASPVAAHVAAVDYAGEDGLVSDYVSALSLAEELGLGLV SSASAHESQHMALLTTLLASVLPSIHIYDGVRVGRDNTRVIDVLDQAGLGRVYETVRK TLDDQRSRHLDSQGKLLELIRSLNGELGTDYGAFEYHGHAEPTSVLVAFGTIEASLTA QVARSLAKDGVRVGVVNVRVYRPFVEEEFLRVLPKSVKTVGVLGQVANEQAVQEPGVR STLYEDVLAAVTFATDREQAPSCIDIKYARSQRWDLINIAASFQLVSDKPIVQVDSTV EPLQLLDPATVQEFTFWDVDTSAAVDVATIISQTLAADSASNITTSKAFDNLIQGGVV RVDIRKSSKTLDAPYPVNAADTAYIGNVKLLSELDILSSVKNNGKVLLNVAGIKGDEL EKKLPAAFKQAIAERGIGLHRFDASVIEEPALEPLALQVAFLHVALPAIEESAVKKLA AISGNADSLDKVSKDLEKTLLQAEIPESWKSPEEGAEVAQLVKDLKPNSFVPFDKDES EPATFLKDWQTVAKGLAFKEAYGAQNALRPDLPTKTFTVHVKENRRLTPVTYDRNIFH IEFDLGDSGLTYDIGEALGVHCENDPEDVLEFIKFYGLNADDVVEVPSREDPTVLENR TVYQALMQNVDIFGRPPKRFYEALAEFASDEKEKKDLLTLGGPEGAVEFKRRAEVDTV TYADILLEYPSAHPDFHDLVRIVSPLKRREYSIASCQKVTPNIVALMIVVVNWVDPRG RDRFGISTRYLSRLQPGTPVTVSVKSSVMKLPPKSTQPIIMAGLGTGLAPFRAFVQHR ALEKAQGKEIGSVLLYMGSRHQREEYCYGEEWEAYQEAGVITLLGCAFSRDQPQKIYI QDRMRETIPEIVQAYIREEGSFYLCGPTWPVPDVTAVLEEAIAIEAKNTGKKIEPRKE IEKLKDEERYVLEVY ANIA_01753 MANVALIGCTGMVGAHILTSLIANPAVTRIDTISRRTPQAASSA PQAKLTTIVSTDTSKWASELSSLTPIPSIFISALGTTRAAAGSFENQYKLDHDLNLEM AKAARDAGTKVYVLISSSGADVKSNFAYTRMKGEIEEGVKALGFERTVILRPGLIAGQ REESRPAEAVARFFAGALGKVHSSLKDGWAQESDVIGKAAVNAGLKALNGEVPAGSEK VWYLYGSDIIQHGKE ANIA_01754 MWKELSKIPYFRGVATLRKPQRTFAFNSLAKTQSQAARRTFITT PLRQSQPQDEEDHFHDRSKLDPQRSEETQSATTDEVATRDTAFDPSETSPESELNASQ KETNKKGDSRDPLTVSPADKNVSGTRDPMEGGAAKNADKEDGAHSSGGSPRKNRERK ANIA_01755 MSIRIPNHEHGPRTRKGNTAVNMRVHEPIEPRVGGPLLRLLKTN PTDAEAAEGTPARIITGSEQPGVLERIVSHDFGEILVSESIIATVKDGTRRARRKTTW DEDEAVAKAECIGS ANIA_01756 MCFYNQKRFACGDYCWTNFAYQCNWEYRTGETCGMRLVHATDED NTTKCRLCEKIMTKVRRRDAELDRLNRWKREGSTLVASMDKSRKLINDLENEINELVK QREDRRKAF ANIA_01757 MSRRYDSRTTIFSPEGRLYQVEYALEAISHAGTALGILAKDGIV LAAEKKVTSKLLEQDTSAEKLYTLNDNMICAVAGMTADANILINYARQAAQRYLITYG EEIPCEQLVRRLCDLKQGYTQHGGLRPFGVSFIYAGYDHLREFQLYQSNPSGNYGGWK ATSVGANNASAQSLLKQDYKEDCDLKEACAMAVKVLSKTMDSTKLSSEKIEFATVGKT KEGKIYHHLWNADEINALLKEHGLAKVDDEPEAGDIK ANIA_01758 MDRAQSSLRPQATPNEPSLPAPIPDPAANAAFLTPDTLPPFSST PYAPQGYGASPFGVPGYNEPRGGFRGGKSYHDRRPRGPEDRPKSKHALPGCEPWVLVK TKLGRRFVHNTETNESFWKFPTEVLKAVVEFDRLEREAKERRERGETAETEQATTATG DDAKHRPDSAAATEGGDESDEYEEVEVTDSEGEDERNPSKRQRTDSVENQRPVEFTED DIEYQLAAMGEEYGLDPGEYGEPGEEGWEEGAEGLPLTDEDAAALFRDLLDDYNINPF TTWEKIIEEGRIIEDTRYTVLPNMKSRREAFSTWSRDRIQEIKDRKEKQEKKDPRIKY LAFLQDHATPKLYWPEFKRKYRKEPEMRDSQLSDKDREKYYRDLVSRLKLPESTRRSD LSTLLKSVPLHDLNRSSNLEALPPALITDLRYIALSPKVRDPLIEAYISTLPAAPEVD VTPEQREELERKRVEREKRERALAERQKQVQEDEQRRKRELARSRHLLEEGEAEVQEA MRVGKSGLRSHLEPQAAEQTKPIDGE ANIA_01759 MADVRSLLRSELASRRGTAQTGSNTPNRVTKKRKVDPTDSLTRK RVKQTSAEQLLANAQIHPPRAQVLGEDGDDVEPLQQDSDIMRTESDTATPTQLEDPQD KTAQTSKTPSKLESEAPTNPETQSIDEDEWAAFEREVAAPTRVPQKPAAVAATATISA APITAEELAALQEREKESFRRNREAEAEGEREDAARFLEEEFDEMEQLEERVRRLKQM REQLRLKRATESAEVDEAGTAEVVPTEPDLNVANATTADNKNEDEEDDDDDDADDDWD NWRFSDIKIFDPTLFATYSELPR ANIA_01760 MSSPSSRISSINNSPNPNLRKPSSRRESLDQPSNAQNAPIPDDE HGADLPLTMSASVVLTSLPRDAHQALADAEAIDTGKVTVRFQPLASAPILKNRVFKIS ASQKFETVVNFLRKKLNCKDTDSVICYVNSVFAPRLDEGVGGLWRCFKTDDQLIVAYS MTPAFG ANIA_01761 MSSPKRRIETDVMYGLPPLRKGLVKQEFYVRFKGPEETPFAGGH WKIHVELPDQYPYKSPSIGFVNRIFHPNIDELSGSVCLDVINQTWSPMYDMINIFEVF LPQLLRYPNPSDPLNGEAAAMLMREPKSYEAKVKEYVAKYASKDAVDDAGEDTESEDE LSSAGSYESDGEEPAGRLDDV ANIA_01762 MGVCSSCLGGGRRDSTDPESSRLLEDDIYQPGYSYGALNHNQQA NQPDPGYVRREREALEAICQRTSDSVIDIWSLQPQPHLQPQATLHNSVSSSSSKAHEP SIIVTTIDPDAPADSTSLKRGAVPKHWGEVVINPRKGKRSRPGSSSAVESGDKDVFGV LKVP ANIA_01763 MATTTITHSGRLHGKVAIVTGAGSGFGYGIAKKFVEEGAKVIVA DISEKNGQAVAAELGSKFIYTDVTRRDDWQALLQATLDEYKTLDIVVNNAGATYSNKP TTEVTDADFDLCVNVNVRSIYLSTSVIVPYFLEHNRPGCFIQVSSTAALRPRPGLTWY NASKAAVSNATKTMAVEYGPKKIRFNCVCPVVGSTGMTHLFLGKPDTEENRAAFVSTI PLGRPSTPADVANTCSFLASDEAGFITGVELEIDGGRCV ANIA_01764 MMFDLPDAKRVRRDDLLTRTSSSPSPSPPPESLIPDAQKRLSAL LDFDFNVAPAPEPETRGTEDNELEEQEFEFRLFSSAPTPRAPSTARKESGDSPTTVAA QNAQKLRIRLRSPTPTALGSGEGGFVNPFRGWGYYFSTPELLTGTGAKGDEDVGVALK RKQFEDVAVSGEDMVKLSGVPWPGCHLPWRVICLKREHTKLPKEEKGAAPATPATTYI ADVPERVPLSRKKPGKKRRIQLRKKAAAAKRAKETEAEKRNRRNREKKIKRRQKAREA KAAAAAAGAGDGAGSIAAMEANASATEQESD ANIA_01765 MPGVPPDALEQLKKGFKAIFRRKKKAQADPATGEPADKPVEAPA AAPAAAAPAAAPATEAKPAEPAATEPAPAAEPKTAETPATDKPAETPAQTETSAPAPA PAEASTAAPEKKPEETATESKTETPAATAAAAGAPAPTAPVENSESEPSVPPDSTVPQ TPFETSAEKPAESAELAKPAEEKAAEPAKPADEAAPTAPAAEPAKSESLTPTGPYSCS R ANIA_01766 MILMLSIPSSTIVAKFMKKAPSKYQRGCFPGRLLSGEIAFLGRI FLTSPGNVAIVQKRPNFRYWRPYAPMSFVDPSRPVRCHQLDTLTTILNLTDMSLSQSS PPTQAATARDGSISEQTPLLAGQSQPPAEEEPSTKRLLLVLCGIWVGVFLAALDITIV ATLTGPISSSFNSLSLFSWLATAYLISNAACQPLSGRLTDIFGRKAGLIFSNLVFAAG NLICGLAQRQWTIILGRVIAGIGGGGLTAISTFVASDLIPLRKRGVWQGVGNICFGVG SGLGGVFGGWINDTLGWRWAFLIQIPFVLASAILVALTVKIPPKNANKDKLRRVDFLG AITLVTALVLLLLGLNTGGNQLPWTHPLILTTLPLSAVVLGAFVYIEANIASEPVIPV RLLLNRTVLSGCLTNWFTTMNVYALLFYLPILFQVQGLSATASGARLIPQAIGTSFGS LGSGALMRYSGRYKMYSHITMLLLVVSSALICTLKPDTPTALPLLYFLLGGVAYGSML TITLVALISAVDHEHHAVITSASYAFRSTGSSIGITIGSSVFQNILRSGLWSRFGDRE NAREVISRLLDSLQEIQKVPADWLPGVMDAYMVSLRATFITLLGLAVLGALVSFGMRE HVLHSNMARRNE ANIA_01767 MPVGFVSSTIPSTGISNVGPESVHVTGMDIDEDPAKRTGEKLTG FSASESTNHEDTLAPDRRRKRLLISTDSEFVDNVLPVLIQHPGIEVRFITDEPFALLS GTNKVPHYMDTVDSRTFEKEIGARRWLKAKAAELCEWADLLLIAPVDAGTLGSMLSGL TNTLTLALLRGWKSAKPVLLIPGMTVSEWEHPLTERQLLEVRRFWPWIDIVSPVLWKY NAPEELVQLPWAGLKELHEMIEKTLMISLAKDTTSTTQPTESTASQVGASLEKPIPAK VAPLQSEALSRPSMVEKKGPSSLPLELWLNIFEDHLNDWEIAKAVGIPTKLAVPKEWQ SHLLKMSAPASLEYTILRGSFAAIKKRIDSLPRWKPLSDLACHLIFKFSRTDILSHLT ENHLDLLWTTSRLTNIPYRASAIYGNPKILTWWRDAPALPNKEYIADAMDGASRAGFV DVLEWWRTSGLELRYTERALESASAEGRVNVLEWWKRASATAPSSRPIPLKVGKSVLL AAQSGRTASLAWWDASGIPYTHAESVARIASTHGHVHVLDFWYKLKGAKMIFDCQVLV GPTKNGHDNVLEWWRRSGLRVEFKTCDIEEALEDADPVSGAEERVRKWWARNGLNLGV GTSLSGLPFLLGLHHVLLRLDMFNTGMR ANIA_01768 MFHSTKPYSAVTVQIEVLTSEQYEVEDWSGIVDLIEAIRIQASG PTEAARALRKKLKYGNLHRQLRALTILDFLIQNAGDRFHREFADEPLLERLRIAATDP VSDPLVKEKCKQLFGQWAVSYKGTPGMERVASLYKQLPKRKQPATQARTKVLREAERP SEPQLGHTVSVSAGNGPAKVLSSPKHKHSSSKSLKKEKKEKKLYSRSFNFEKEKPEML QTLASSSVASTNLLNALKRVNRETQRVSEDAEVLNRFETCKQLRRQILRYIQHVESEE YLGSLIHANEELVSALMAFEVLDKSVDYDSDSDQDVLESGWTPDREVSESFTGLSINP PKPPRPTRPLSISVPSSSTHRPEYDTESESEFEPDDDDENNPFGDRNAIITPLVDNFG RTVIVPGILPVKPQSRGTMPDYPPREERRRSRSRSPPRHPKAHGGFRWKDKRSNKDSQ NEGDVRLDRGYRNRSPRRPYDRDQDRERDRDQGHDRYRGDYRERDRYRDRDRDRDRDR DRNRDRDPNRAQKEKKEKKPKPAAPSGSGEAMIVVHVNDRLGTKAAIPCLPSDTIGNF KALVAAHIGREPHEILLKRQGERPFKDFITLADYSIGNGVQLDLEVGTGD ANIA_01769 MRFWSADQADPSSTHQPRLSLFAGVVLCSTIVLGVSVLLKSRPR FTYNFLPLHQSIFSQPYSHIANMSYERERYIAELAVQRATILTQKVFNEKAKGTVSKD DKSPVTIGDFGAQALIIQAIRKNFPNDEIVAEEEASTLREDKALSAEIWRLVKDIKLE DAESNELLGGSLPSEEAMLDIIDEGKSAGGPKGRIWALDPIDGTKGFLRGGQYAVCLG LLEDGDVKVGAIGCPNLPVDDAATISSSIGVDQNSGAGNGVLFSAIKGAGSVSRPLTS GARAESKSISMRPVPDIAQAVFCEGVEAGHSAQGDNAAVAQLLGITSPSVRLDSQAKY CSIARGAGDIYLRLPVKKDYQEKIWDHAAGDLIVREAGGQVTDIYGQTLDFSKGRTLA ANKGVVAAPKAIQDEVISAVKKVLKL ANIA_01770 MTSFLPQTCFRQLARGYLPKRSPAFPAPLSRSQPTRCFSTTLRA QSRVGGAPVSVPPEVSLKFIDLPQVQGRGAGKDQPKVAVEVNGPLGQLTLNIPSFVNI AHDENLRKASLTVADPAVPHQRAMWGTTRAHLQNYILGVSEGHICILSLVGVGYRASI EPTATTVEPEYPGQQFVSLKAGYSHPIELGIPEGVQASTPQPTRILLQGSDKQVVTQF AAEIREWRKPEPYKGKGIFINGETIKLKAKKIR ANIA_01771 MALVISRADVTLEALQLLETLNSSSSCNKLAATKLVSSCKSIGR NAESTGGSDTYLTLERVRSLYAARLAICELREAGIPTPSACRSVDHFQPPRRGLWGRH PRNSFVTDIDNMPKSDFEPCIRVLESRPQWWTSYSNSKQNAVIICQASRIEIDKEDIL ETYNSILHSSAKLSDGLHEALRTAAEESTRSRAFLQSTELLRKEMLREMQESTSTLLE RMFHNLETRLGLLTEVISSTLHRFRLGLSSLEGVSQSLAIPVRNAEKHNELALSLQSK LKSITKDDITELRQGVENIDSSLEWLYARIVRIYEEEASVSERLRGIESSLAEFQQRA DNLDKVQQRQYEIAVAQAQAQQVLEENMRISKGILDQTMSTAANLQAMIGETADTAEA IRHYIPTLPPIKCTDLIYCAFDFLKFQRPCEWLAFSMCHHKRYRARPVRDAKASTGLR IGRVICHPLTGFVTIRARQLQGQAYSLSNLAFPHASSMHRTIACAMRSTAVRYIFG ANIA_01772 MALLRHLLPVLTVGSAVQSAVLVQDQFQTRCENFAGKIDLPNVK VNFASYIPGSTNLTLDNVPTCDQSQVVSSDICRVAMAVTTSNASEITLEAWFPRDYTG RFLSTGNGGLGGCIQYSDLDYASRLGFATVGANNGHNGTSGEPFYKAPEVLEDFVYRS VHTGIVVGKQLTKLFYDEGFDTSYYLGCSTGGRQGFKLAQDFPGEVDGIIAGAPAINF VGLLSWSAHFYPITGPVGSATYLSLDDWDLVHEEILRQCDGLDGAEDGIIEDPDLCHP NATTLLCSPGATSGSCLTATQVNTVHEVYAPLLSSNSTLIYPRMQPGGEQFAAPAMYN GQPFQYSKDWWRYVVYSDPTWNATKWTIRDAEAALRQNPYNIQTWNADLSPLRDSGSK LLTYHGLQDQLISSDDSKLYYHRLMKTMGVTSNQLDEFYRFFQISGMAHCQDGDGAYG IGNRAETEFSTEPEDNVLMAMVRWVEEGIAPETVRGAKFSDGVGSEVEYYRKHCRYPR RNVYKGPGDYTDETAWECV ANIA_01773 MAEIPGLDEFAQTRGADDLFDDEIIPVSAEEQQTIIEPEPESQA QSQAQSEPHQAVNEKDIERDREASSTPVYSDGARSRGGERRGKGRGRGGRGRGARDSG LGSGPRRPNWALNDPGDAGVENGTKAREGEKATETPAAEKEEPGQEDNNTADAPRVPA VRGDRSATGGVKKPKLTEEELSRRIAAAREKSAKLAAAHARAEADQASFMEREKIAEQ KRREERANRRVMDNERERNRLRKLEALNGREWDADKPEEQFSGRGGRGQYRRGMHGGV SGYTRRVEESPGDGELDPDTQPHDSGHGYRGRGRGGRGRGGRGRGGHRGDKPDTTSQN KAAPPTPVITNEEEFPSLPGGEKKEKESAPASGESLKPLSPVTGGTWADQMEAHEK ANIA_01774 MSISSLSSISNTQFNPSSIPAFLVPGPFARKILLQIHTWTVHNN PHKPPHHWTISLIPLRDLANPTTCWKLYHIFDTPSGYEVIDEFEPLYSASFLGPELHS RYDVCLIDVKYMFILDAFLKEILGQREFRWVELLAAYLVMEKWVGEREARVLRKELKV GQYEPAGKKRGRNMER ANIA_11314 MPTESQTTSRPAATQGGNEGLGHVDMDRPADTIYGFHSLKQQKN AAEDKYTSRAMGSAEQEPLEGDKEDTSFMNHKPGGAGTLPGYISSIGD ANIA_01775 MTIIYILALAIAALSVGSAASNYHENLLLQPLPPSSLLASFNFR SNATQTSFQQQHFRYFPRALGQILQHANTRELHLRFTTGRWDSESWGSRPWDGAKEGG TGVELWAWIEAADDEIAFSKWITLTQSLSGLFCASLNFIDSTRTTRPVASFEATGHHV DSDNLHLLHGTLPGEVVCTENLTPFLKLLPCKGKAGVASLLDGHKLFDAAWQSMAIDV RPVCPSSGECLMQIDQTVDIVMDIERSKRPRVPADKLVCDTSKSYHARDTCYPLETTA AKSWSISELFGRTVSGSCPLMDTQEKTVCLRVPPTQEVRPSPELVNARFYDQFTRCYT PPSSEPFDLDVPEQAAINITSSVPLEEPVLHAERTIVGHGQERGGMRIIFDNPSSEKP VDFIYFESLPWFLRPYIHTLQSTITGPDGVRRQAPTTDFIRETFYRPGVDRERGTQLE LALSVPPASTVTLTYDFEKAILRYTEYPPDANRGFNVAPAVIKIAASKPIYIRTTSLL LPLPTPDFSMPYNVIILTSTVIALAFGSIFNLLVRRFVTVEEAAALRAQTFKGRLGGK IVALRDRIRGKSAKVE ANIA_01776 MAAEQRKLLEQLMGADQLIGIPGAPSRNAQLSITDPKVCRSYLV GTCPHDLFTNTKQDLGPCPKVHSEGLKTEYETASAADKAKWGFEYDYMRDMQKYIDDC DRRIESAQRRLEKTPDEIRQTNNLLKQISDLTQTINTGLLEVSVLGETGSVAQALNEL HKIRTAKHQKETCERELKNLQDTSGPSGHQKLQVCDVCGAYLSRLDNDRRLADHFFGK MHMGYSDMRKGWKKLHEELKGRPPPMRHHDEDDGGGWGGRSGGGRGPSYLLHKTKSPS VQRISYLLGGGYVLQAVTNHVYEWLST ANIA_01777 MADEPRRSGRSTKGQHKSLDMVNETPTKKTKAKAQPRDKPPKPS AEPTPAPSEEEEIIRCICGEYEEEEDIERDMICCDQCSAWQHNDCMGLTFAKGEVPDQ YFCEQCKPEDHPVLMDKIARGEKPWLEVAERRRKEAEELKQARRKKGRRGGKRGRPSE PKEPKPEKSTPSRTPAPRASGTPAAEPPAPVIATPAPEKNSHSPEKPPSSSQKRKLSE QEVSTPESGPKTKQAKISPPAASPAPHVNQSPEDKEPVGQDTNQTPAADTTKTERLKT LEDITNPARRNAASALTKVFVDQISSALAGGSFKMSEGKTGEEVGQQLGISVEEALYQ NLMGGGGEATSEAYKIQLRAILFNVKKNPSLRDRLLVGSLTPDALSRMSSQEMASEEL QQKDAEIKREAERQHMIIQEQGPRIRRTHKGEELVEDDQTNVSTEPVFSNIPRRVTET DGSPAAQSPTSPSAKQPETDGHKVKTDATPAEPTPHDEHFPTRSHSPGAGQDQVFPEV ATHISQPIPTGNVQADAEIDQLLKDDDEPESPPYSPKDHHDEGAVWHGRVVMNPVAEF SSFAKHVAGADLSGRIPWNDLIPSTLLIDGRIKIQSAGEYLCGLRFSQSTDVSVVAIS SPDSSKDKSNFDKLFDYFQGRERYGVMGKHPLEAVRDTYLIPIEAGSTKKPEFIELLE NNALEDPTPERLFLVVFAVKTGESNPPSVQPPSLHASMEPAASASPLTVTSGTPQQPF ATPGPRHLLQYPQTPSPAFPGASHATAPYSQPQPQPQIQHQQPPPKATQYNSSLQPQL PTEPTGLPAAIQVLGGHANTPAIQELLQKAPTITVTQLNVVRDILVRRPQAAADYTTL MDELLGESTTRTNGSGTGSGNGHPPPQQQQPAQ ANIA_01778 MTFLRIATKRAIYLHRPANPALPTSSILPVLHSTNVATRVPSPC AIRHSSHSPLGAAQANPRKKVTMQTLRNLYKKGEPITMLTAHDFPSAHVADAAGMDMI LVGDSLAMVALGMQDTSEVTLDDMLVHCRSVARAAQSAFTVSDLPMGSYEVSPEQALQ SAIRIVKEGRVQGVKLEGGEEMAPAIKRITTAGIPVVGHIGLTPQRQNALGGFRVQGK STTDALKLLKDALAVQEAGAFMIVIEAVPPEIASIVTQKLSVPTIGIGAGNGCSGQVL VQIDMTGNFPPGRFLPKFVKQYANVWNEALQGIQQYREEVKSRAYPAEQHTYPIPKEE LVEFQKAVDELPEEK ANIA_01779 MAAVFIPPSRETSVNMSTRRPLANVPNATNSPHRVGLVPAKRPR TTSAQIDIPYGQPPPKKQVVDGAGAENQPMSQTKFAALQGTDPKLFTRKTNNAQPSAF EKKLVAARDKERQPQKATKQEKPPAENISIRQWQRHYRKAFPHFVFYFDAVPIDVRSK CSRQVIALGAREEKFFSRLVTHVVTSRPIPPEIDRRAQTGHTQDTPNESAGDGAMLQT VNPAELEMHLHLAVCPKREQSQDVLHRAREMGMKIWAVEKLQRMIATINDIDLTNGSG HSTRNNAAGSQTKSRGKDDLSQVLQNELNGPSDRSHLSVLKDLVPFKGPFIYVHDMDE KTRPVMVREYPKVARRQDGVWPQFRSAPLGKCPFIEDVPSKREIERQRARQEKEKKEF IKPAVPQSKHTAVEPRNEENLPLKKETSPAEGDELAPHCTRQETPDAPQGLPLSPKKS SESFIPPQLNRKGPFYHGREPAASGVQPSNITSAIRSQMVSSTAAAPGAKAGLSKEVH ELKRKVLEKSHVGYSTNVAQPYRALDTATAERTEKTNSCKSSRPDKLGNIEEETTQSE ATDSTKSRTILRKSGEQRKKERRRDPKPGYCENCRDKFDDFDEHIMTRKHRKFAANSA NWAELDSLLFQLERPLKDEYDYV ANIA_01780 MVACRSLVRQWPRYLRHPSSLRALSRLSQPRVQTQFVRRGYASI SAAELKFGQPLHETHPHLLDPGELTPGITALEYAHRRSRLANKLPKHAIAVLAAAEVT YRASGIFNVFRQDSNFFYLTGFNEPDALAIIINDGSGDNHLFHLYCREKDAKAELWDG ARSGTQAAMDVFNADESGDIERISDILPKVLADATEIYTDIPAFNPGRSSLHRFLYGP TGTSEKLKKLVDHSKVKPLRPILNEMRAFKSEEEVVQLRRVGQASGRAFTEAMRHSFT GEKDLSSFLEYNFKLNGCDGSAFVPVVAAGSNALSIHYTKNDDIFKDGDMVLVDGGGE LGTYISDITRTWPVNGKFSDPQRDLYNAVLNVHRSCVSLCRESAGLSLDRLHGIAENG LKDQLTQLGFDLSGDALRTLFPHHLGHYIGLDVHDCAGYSRGYDLKAGQCITIEPGIY VPDDDRWPAKFRGVGIRIEDSVCVGDDNPIVLTTEAVKEVLTWCNSQVDDIEALRD ANIA_01781 MGKTLVYPKRDSNTVNRYKHRATYDLGAIHSIINSSQVLHVSFN PGPSDPFPAILPMIGQMASFDYPSASIDEPLDCYLHGYVSSRIMNLARASEDEGLPVC VAASKVDGLVLSLTPNSHSYNYRSAILHGYAKLVTDEAEKLWAMELITNSVLPDRWKH SRIPPDKAEMSSTVILKVRIVDGSGKIRDGGVSDERKDTDNTEVTERVWTGVVPVYET FGEPIPSVSNKVEEIPGYITSYVAKTNEKNRDYATTAVGITLPKEEQH ANIA_01782 MVSNDIKSFQEYLKGSKRIMALLGAGLSASSGLPTFRGAGGLWR SYDATELATPEAFEANPDLVWQFYSYRRHMALKAKPNKAHYALAELARRKREFITLSQ NVDGLSQRANHPPEQLHLLHGNLFTVKCTSFYCKYVRENDFTDPIVPALAIPKNIPEP RPFTDDKSGEKASESLASALKQQQKPENEEEAELDISDARIPLNPVSRDALPRCPECK EGLLRPGVVWFGESLPVQTLDLVDNWMNEGKIDLMLVIGTSSRVWPAAGYAEQARAKG ARVAVVNMDPNDMGKGTFTSKDWFFQGDAGVIVPEILKSVTGEV ANIA_01783 MATSYDATTTASELADHLSADIKGKVVLTTGPSPASIGAMFVES IARGLPALIILAGRSTTKLQQTAGAIAQAQPVVKVRLLHLDLGSLASVREAARELASW EDVPRIDVLVNNAGIMGTKFALSPEGVESQFTTNHLGHFLLTNLIIGKILKSDTPRVV NVSSDGHRLSPIRWADYNFQEGEIYNKWLAYGQSKTANMLMAVSLAEKLGSRGLLAFS LHPGVIISTSISGGLDNMDEDLAALKALDRMLGNAEGWRDFKVKTRQQGAATTVYAAF YPGLKESNGAYLQDCHVADPWTDTVKPWGTDKVEAERLWKLSEKLSMEWTVEAGLLAG GYGKSLQMCIQKPKTGDHYFHFVIYGENQLVPGNNTIRIPSVRMTMADDITHFRSIPW VSDLLNDPTFVTHPIPSRKIKASTEDSLFSTTLNSRSTISSCLLQYRILSSNATPLYR NAIPTNEVRIFCTLGSDLNGYPGILHGGIVATLLDEFMGLILSMSRAGGEPGMEGPVT AYLNTRFVRPVMTPGTVVVSGRIVEAKEERKWKIVGNIKDTSNKTSPAWPQYMPRISH ATALLLSTATESFLKVELQMPALLILALALLLVCLSNMIGSYSSFAAAATFHLDSGIY FSGIGFEQPCFGNAGNCLERALGKGKPRGLKKNLGLI ANIA_01784 MAYDNTVVEPIAIIGTGCRLPGGSSSPSRLWTLLKNPRVVASET PGDRFDINAFYHEDPGYPGTTNSKEAYYISDDPRPFDAPFFNISATEAESIDPQQRQL LETVYESLESAGLRLDALQGSSTGIFCGVMNNDWGELQSADYKSLPQYLATGAARSII ANRISYFFDWHGPSVVVDTACSSSMVALHHAVTALQQNECTLALATGTNLIQAPNIFI STTKIQMLSPTGRSRMWDANADGYARGEGVISIVLKRLSDAIRDGDDIECVIRATGTN QDGRTMGLTMPSSVSQLQLIQSTYARAGLDPRRVQDRCQYFEAHGTGTLAGDPQEAAA IHHAFFGPPASPEKNGVYNGCDVSVNGAANGAFADDNDILLVGSVKTVVGHTEGTAGL AGIIKASLNLQHGLISPNLLFETLNPALEPFASHLKVVTELTPWPSLPTGAPRRVSVN SFGFGGTNAHAILESYDANVKESGSTVAAGQSLTAALLPFVFSAASEGSLAAVLNSYA GYLKGKPALNLVDFAVTLLRRRSALKFRVSLWASSTEELIGKMEEEAEAIGKRTKTTN SRRCSDTPRILGVFTGQGAQWTQMGWELVGASPVARAWLEEMQNSLNDLPTKYRPSFS LTGELSNSVSKMKSATLSQPLCTALQIIIVNFLRAIGVSFTSVIGHSSGEIAAAYAAG YLTATDAIRIAYLRGFMASRAGGRNSQPGAMLAAGVSAQEAADICAKSKGTITVAAEN APFSVTFSGDANAIQSLEKKLKDENKFCRILQVDTAYHSHHMEPCCRPYLDALEECNI SVKDAISGITWYSSVHPGDYIDSASLRGAYWIENMAMPVLFSQAVAHALDEGDSPPDF VIEVGPHPALKGPVQQNIAEFFPAASNVTYLAPCIRGSSSIASLSNVIGSLWETIGAG AIDTTRYLRLFGLGQAKFIKDFPTYPFDHSRSYLAQSRMIKNHLHKRSVPHPLLGSLE PESADGEWRWRHYIRQKDLDWLNGHCIQAQPVFPATGYIVMALEAASSLSSRSIQSIQ LGDVAIHQAITLPENEAEGVETLFRFNRMETTDEMTSGTFHIHASTGDAFQLRASGQM IISWGAPNPDLLAQSSGSGSAGMSAVDVDELYAFLAKVGYGYSGVFRGIRSLYRQKDA SHGEIQDVLPDGKPCRFLLHPALLDCTLQTMLGAIGAPDDGELYTLLVPTRIKSVIVN SALCRNPTGETFLSNANVTQIDADGISGDVSLFTHNNEGIVQMEGVEIAPLVQPPTER VVFSKLAWGPLNPGHGSFADPVDFSTHALTMEHVALLYIKLVNSQLTDDERRNLAQDH HRSRLAAWIDRTLTKTSAGEHPILHKDWMNGTQADLDTLLAEASGSVMAEIANVVGTT LRRFFRGEASILEEVRKNDVLTRFYRHDVETEMMNERLGRIVGQLAFRYPRMKILEIG AGTGSATHSILENIGRSYHSYTFTDISVGFFEEARSAFAAHEDRFLFAPLNVEIDPAH QNFEAHSYDLIVAANCLHATRSMVETMAHVRSLLKPGGYLVMLEITNLDAIRATFLMG GFEGWWAGEKDGRIWGPMLDVLTWEQLLRDSGFGGIDLRVGLGDPKLCLYEVLVAQAV NDQVNLLREPLSAQAETHTQQQWGDLLILGGSTAKTSALVKELGQMLKARFHLVLVAP TLESLSLTGCSQLSVLCLTDMDSPCFRGLTEQRLQALQMLISVTHKLLWVTAGPESDC LDLGLTKGWLRSLAYERRESLHQYLNVENADAVTAELLATTLMRLVYTESGNDYMLSR LVHATEHEMYFRNGRMDICRLQYENDMNQRYLSARQWLSKQVRATDEISVVPVGKNRY KLRVGTGERQLLRCQSVDGVRIHVQYSTVSAVPVGDGFLHLVLGEDKSTGARLIALTE RYENTIECPESWTFKVRHEILAGKEALFLGILAGVLLAAYLVDKTPPHSTLFVHKAGP ALCNAIWTRALGKNVNPFFTTDTPTSKTQNTTFVHPRVSTRRLKELLPRNIAVAAALD GNTTDGVSSRVKGLLSLPVRVETLETLYRSSPLRPVCGDTEIHDLLQSSCLLATRLSD MDQQVTVVGIDTVANQDVQPDHILNWNPSSPFEAQVKPVTSLVTLSASKTYILAGMTG DLGQSICEWMVSKGARHVVLASRTPKVAPDWIRTMARKSARVLSLPMQVLRIVSSMRT VLIVYRTIQQQQFPAVGGVVNGALVLEDRLFEQMTLDVMQSTFAAKVQGSMLLDELSG PDVDLDFFILFGSITGVVGNFKQTAYSAATGFQSSLIHARRARGLVGSIIHPGLISGV GYITRKGSRWVQHVRKTTGSLLLSERDIDKLFAEAILAGRPECDSDPEIVIGLPLIDL EQHPDIFWSSNPLTWDFIDYSIKSTSQLGGTLHSRGSLKAVLQSDSISSIEDITPIVS EALIAKVRSKFSVSANTIVSPSTQLTDLGVDSLVAVDIRTWFATELAVDIPLLQILGG ASIEELTATAVAKLPAGVDLIDDDSVLGTV ANIA_01785 MSHQSTINFIRFTFVILSLLAIYTILIPSIRKGFFQHITECEVT GKLSRSSGADARMIESFTGVPVLDIFVKALVTSFWPVINGENPALSLLGVPAVASMGV SYLLLLLEARRTRSLLSVTWRLAWVGLLQTNFSQAIILPIYCAIAFSSSKKTNGFRPI PHVTISLILCVYTGMALVALPSPAVIPDGLKQVVVAFMVPWALWVFVMVFMASYLFPI EVEKEKSRRTIYIFALVIAATTHLGALLASLLHADLGPADVFLPPLPWYVTRFPSLEE GMASFLQWDYLIASVTLFLWAVAVYLRDCDEHVDWQRFGLEVCAISVIISPAAMAVLL IWRLDEMLSRRGIAKED ANIA_01786 MDKRSFKVIVVGGSIAGLTLAHSLDLAGIDYIVLEKHSDPLATV GGSVGLLPNGWRILHQLGLRHQLEQEACPVKVAHMTYPDGFVFSDNFPAAIQERQVPE IQFPIGYMPANDERFGYSLSVLTRQQLIEVLYLGLRDKSKIKVGQRVIKIQHHQNRRG VSVFTESGQEHVGDLVAGADGVHSITRSQMWLQLGQKLDAEKERRQLVAEYSCVFGIS SPLKGIPPGEQLIACHDNATVLAFPGKDAHIGWGLIQKLNRPCNSPATTQSSDGETAL IMAKSAAGLGLCKDLKFHDLWVNTPKYSFTILEEGLFQIWHHGRIMTPNMAQGANTAI EGAAALANTLRRISQIDKPSEDDINRLLQGYTVRQQKRLRAVHAISRSVTRVHARQGR IKKIIGRYVYPYTPGAALHTFSRIIAPAPCLDYVPMPFPGPGWTRALVSGWSPISGVL LLVIPIIALVYGYSVINFGRDSINN ANIA_01787 MATVSELCCAALNTSIGNRIAFPGSTAYNESLSSYFGVNAQLPP SCFVLPLSAQDVSVAVQTLTSQPDPCFFAIRSGGHTTSLGASAIEAGVTMDLSGMNTT TYDSSTNTAFIQPGARWGSVYETLLRDNVLVPGGRTASVGVGGYLTGGRNSFHAARVG LACLSIKGYEIVLADGEVAKVDQDSHPNLFRALKGGSNNFGIVTLFDMEAFSTEGTIW GGTVLYDISTKDQYIAAGTAFTDNIPNDPYASWVGMFAYNSTTDQTAIFTSLAYTRPV QSWPQAFSEFYAIPNITHTLRSATVLDLAVENSFPYGYRNVLQTGTYSNNAEIIQKAV IILNNQVKMAKLRARGKDYALFAIVQPWVPLFWEHSEARGGDVLGLERFETNLLNIAW DYSWDNSADDELLYELAQSAREQLDEYARSTGAYNEYIYLNYAGRTQDPLRGYGLENL EFLRRVSEKFDPDGVFQRLVRGGFKIDRA ANIA_11315 MGQHGHRQSSISAYGSVSEGSIDILETYINRVDCDFEVPKTL ANIA_01788 MRRVERNGKLAPGCPWSIRQTGVYQKLVQPADSSQEAISTFFLV APSSAIESDLMRNLGDITNNVKAAFLIHKSIVAESLAGWMDYMCWLEEQLTKKSTRVM ATPNELEEDRHELRQLGDNITDLRVVLQTKVLTIRRLKKDYQRYCSIRCKDSRNCKCG QIIQEFEEYVDEAQMYLERAAVLQDRVQSVQNLLSDLLGYEELRTLRELMAHTVQGST AMEQVAVIGLVFIPATLVENFFSTEFVKNDSDGLRVSGQVWIMVAVAVPMTVCVLVFW RLWLRYEFFRLRPLRLARRSLKALVKAKRSKDEDPGMKV ANIA_01789 MDMFLNYLAQVAYLCFHLLGAASRLVFQPRPADYVRHLADQPSN DRPSRSTLHNEELIGSRADGALQRLQEGLKAYRLTASIEAPQKFIPRSHLQRLVRESV QEILREQSIVEDKKIPLFSQLISEEATQLFATLVDVKKEHHIIDFLEEGIRDKSLPFV RTRKLRRLGSGLLTRQKKPIQTINDWDWQSIEALENKQYRVLSPVFRSGAHYELDNLH ILPFIEQETEVENVPMEAGGYGEVSRECIHPDHHEFQTSPARDGLVVAVKRVFRKADF GLERKVYLDLGPIRHPHLIELLFTFRKKDKYQLVFPWADGNLKDYWERSPVPEFTRDL LLWSLEQMAGMASGLACFHEFTNPAHGHTRFGRHGDIKAQNILWFHAENVLKIADLGV ANVRGRDSRSNIPPSTVADSPTYSPPDIQRQQHISRKWDIWSLGCLYLEYITYLVLGC PAILRFSSLRREPSSQYPELFTDEFYATDYESVKPSVNDWVAQLQQNSRCSCVLHDLL NLIMTEMIVIDSPTLEVPHSGCTRK ANIA_01790 MAGPCQLFYLEDLQAKDPHKASDEQSQIRVPSIDSTVISINSPR IEASSVASSLTLVDEPTTMGPPAYGKLFYGQNRDEELAPRPLRPRRSVQSSLPDVEPP SYTQLATKPLPPTPSLSRDSRMQRTTSPPVDRGNVFSPISPLSRLDMAIPELERPRNV VATNQAVDGPGCPTKPTNSSTICVFCLDVEQPSASGRTHESLAKSTRETARQVSSTPT EAVPLTTFSHEAKDISSKARHNSVLTRAFQRLWPAKLIRHRNPAIRPSSASAHRPPTP FVRVEPHTQRQPRPAGAAPPTPITRMKRVLSVLMDERMSLYLNNLVAAAVDESLIRDT QVLVVDSVQSARPSIQGSTCDRSPVDHPSLRRPVCSLYELDGHAKKISPPAWELREIK EAGGNTEKPGNQAFTANLYLRHYTRDLIHLANIKFLLQDHCEPLLNKDMVAGLRDPYD TRAERVDAAIWRVWIFCQLFGNRKDRENDFQGQSRWLRGQRTTGALELPQVCRTSPDP ADFNTVLFSPPDGFAQGNPATGLSRQQLLDMVDIWVAMGQLMECLRKQTRLARQHGVF DSAETPPNTAREELRMLRAWLDFILTLGPAAVLELVPAGPNSDPARAFGRAKANGWTQ WSPPPLKAPRSNFLVGIVRSLLQTMPDNDFDGNAI ANIA_01791 MSIVYSYATGTCPQARWQLVLLSRRGAGIQKFCSNLLEEKAWRV PASYALTPSPNNRFLQDASGSPFFWQADTAWLLLHRLNYTEAETYLSNQAAEGINVVL AVGFTQIGIDSPNRNGDLPSKDVDVTGLNEHYWAPGVLNSTTAYDFGRFIGSRTLTSP KTLVGDTNPYRYYNPVYDAMVYGIVNGEREAISSLSSKPLLTIHPTNHWFSGGPLALA SAFFEDSSWLTLDASQSGHTDYAPNSPIPSWNCRRGWETVERMYATGGRPVNDNEPHY ENRYTNGKSANVVWNASDVRIGSWQAQMAIPAQDIIVGDAGVGDARITPTRDSDGLWI MVYTPTGQPFEITTTDLRSSNITASWYDLLSGEYKPFQFQRCSERKGSKLFTPPTANG HSDWVLVLEAL ANIA_01792 MKSTLGSLVLALVTAASSAPTTLHHQGLLRRFSSLVVFGDSYTD NGVYSYIPPVAPQSNETSTGGRVWPSYVQQYSGVNLYDYAVSGAVCDSVIANTERSGI KQDQMSSFLTDNSYRDNRTGSRALINPSDETVYAIWIGTNDLGYGGFLTEVQPDGMPL TYFTDCVYEQLDRLHAVGARAFVLMNIAPLDLCPEYARPENGGDETGQFWSNKAGYDT NVTRSSEKMRQYFTMVNEVFEYRTPFELLLANRYPGSRFAVYDVHALMTDIWENPGNY LNGTVPYNVTSSVYQCGDACSASEVRDSYMWYDELHPSEQTDRIVAREFVNVVRGETK WARFWRS ANIA_01793 MTPPTTLPTALHPRAAHITQSVHEYLEGTYPFSSPETKYAFYEM DFPRFMALLAPSGRIERLESAALFVSLTAILDDAFSQMSISESRQIGAKLLDIMRGVA LADVLNPLEKILGKVVEDMNAQSPELAKDVLDGAVALFLAQTDKARLEITQLDEYFEF QFRDVGGDLSGPSSTASRKRRSDF ANIA_01794 MLEKGGFLRLFEGAFPASSKSQKLQKPSKSRMLHLVPYIFLAWV AYVVSLVIYRLWLSPLAKFPGPKLAAATLWYETYYDAFKWGKYTFEIAKMHEKYGPIV RISPHELHINDPDYYEVLYSRDSPRNKYEYFTKQFPVAGSSIATVDHYHHRVIRSNMN PYFSITRARKQEPLVQALVNKLIERLQAYKGTQTPINLQHAFTCFATDVVSDYSMGVG FHYLDEPDFIPDWSDTLAWSVKNGVYLKAFPWSGALLNSLPPWLLSRIYPGMGLVFQF QDRCNRIVQRIMEEQKERGYEEVKNQFDHPTFFHDVLTSNLPKELKTPDRLAREVQAV IGAGSETTGKMLTWTMYYLLENPDKMNALKEELNRLDPDQTATLVDFEKMPYLTSVML EGLRLSYGSSSRLQRIAPDRDLKFKEWSIPAGTPVGMTSVLIHHNEEIFPDSHEFIPE RWLDPEKRKYLEKYMVSFTKGSRQCVGMNLAKSEILLCLPHVVRKVNMELYETTRDDV TLAHDLFLGFAEEGSKGVRVLIR ANIA_01795 MGLSKTNRIMILLVIDTAFFLLELIAGYSVHSLALVADSFHMLN DVISLLVGLWAVKVANRETSSKMYTYGWQRAETLGALVNGVFLVALSLSIFLEAIQRL VEPQEVRNPKLVCGVGCAGLLSNILGLVLFHDHSHGHGHGHGHSHEDAEGVDAAEQGQ VHDHGHSHAGRDVAGAITEPTAAYSRRRTIDSQHRSSRRGFEDIGGHPASMRQDIIFA ANRNKFPDEDDSYESDERAEDGAGDNGGPTERSTLLGHTDRAANYTDEHAPVRDQTKK DPHETHNHAQPKPKDKKHGHDLNMRGVFLHVMGDALGNIGVILSALVIWLTDYSWRFY VDPGISLVITVIILASAIPLCKAASRILLQAVPHGLSIDHIKEDIESLPGVKGSHHLH VWQLSDTKTVASIHIQVDTEIKGEGSERYMHLAKQVRQCLHAYGIQSSTIQPEFPRDS DTEDNQVGSAHLPSGSPSRTPSIRDGDPQACLLECGDECAGGHCCPTKPT ANIA_01796 MSYSYLPTSTHAYGPARREITSSGILQSIHDALPHWVSQRMSSV VHTASNQLEKYKSRTELKALTLRIIRTLFTVTNGLIIIWIWTLWWGERTVFRDSVDAC AWDAWEKWPSNARPHHVAFIADPQLVDPHTYPDRPWPLSTLTIKFTDQYMRRSFSSIQ HTLDPDSVLFLGDLFDGGREWSTSRSSSPEERWRQYNDDFWKKEFHRFVKIFLGPWSS QETQSTNSRGRRLIASLPGNHDQGFGSGVQLPVRDRFQNFFGKGNRVDVIGNHTFVSV DTVSLSAMDQPDPRTGSTGGGNGDGDRPNQEIWQEPEDFLNAMKVHRGRAEADELRFM GEPRKGRLFKHEVSEVSKPSIYREDDPEIIGFPAILLSHVPLYRKPATPCGPLRERYP PSADGLEEDEQNALKISGGYQYQNVLTKTISNDIVSKIGPNLVQVYSGDDHDYCEISH REFSGSPKEITVKSISWAMGVRKPGFVLTSLWNPIDPTTGTSIESSSPGSTIQNHLCL LPDQLSIFIYYGVILAFTLTVLLVRAVILALRRTESTTPEPILPLTENPVIRTRSRAV SHTSSSSIPNTAFIKPGGLASRATNNYNPRYSPPHSYNDPSAYPSSDYIGETDTSKWK PSHADRARRGSETLFGRAWTEFTRSVENVARVALAWYFFLIWMW ANIA_01797 MGFMLRKPDDAAGSAAPAILIGLFVAFGGVLYGYDTGTISGILA MKYWRRQFSTGYVNPNDHIPDVTSSQSSMIVSLLSAGTFFGALTAAPVADYFGRRIAM ILDCFVFCFGVVLQTAATAIPLFVAGRFFAGFGVGLLSATVPLYQSETAPKWIRGTIV GAYQLAITIGLLLAAIVNNSTKDRNDTGCYRIPVAVQFAWAIILVVGMIILPETPRFL IKQDNHEAAIKSLARLRRLDINDLAVVEELEEIKANHEYELSVGKASYLEILRGSIVT VYYGTTFFQHSGINNSFVITLITNIVNVVSTLPGLWMVEKWGRRPLLLFGAVGMCVSQ FIVAIVGTTTDSGVANKVLIAFVCVYIFFFASSWGPVAWVVTGELFPLKARAKCLSIT TAANWLLNWAIAYATPYMVDSGPGNANLQSKVFFIWGGFCLIAGIFVWTCIYETKGLT LEEVDELYAKVPVAWRSKGFVPSVHYTDVRDVAAGRKGSGLAELEADAQAKQISEHVE KASV ANIA_01798 MLITQNPVQDAVTTTIESLYGTPGLPLRKSLEWQQDTSIMPANT ETLDATTRPAKPSVLRLQAVDRVPNEGDDDEISTATDGLLSDRRPSLPPLANPQVPVF TLPAHPLFPPLPVYEHPPSAVDTLRYLAIRLVSFMLSLFFLLVVVLGAFVRGIWLFLI ASGHSIMGKSPRQQRKFHAEERVRKLDRRTSSRRWKLRQEKREVDEEAPDDCPPLEGG KDPVVDDVTYYARRVGLDVETYKVQTEDGFILTLWHVYDPHEYSPLPASERGPRGPHV FGGQKDPKTFGDARRRKYPVLLMHGLLQNSGAYCANDDDSLAFFLCKSGYDVWLGNNR CGLEPEHITMLESDPRMWSWTIQHMGAFDMTALISRVLYETGYEKLGLVCHSQGTAQT FVALAKDQRPELGERISVFCALAPAVFAGPLVERVYFRFMRLISPGTFRLIFGIHSFI PFMVTVRRWLHARIYGKLGYIVFSYLFNWSDSRWDYELRDRMFQFAPVYVSTETIRWW LGADGFSTHQCILATKEAYLAEINESCNITRSTPPHCSTAWYGPQTPPFALWIGGSDQ LVDGRKLLHRLESGREPHVHVVHSKVIKEYEHLDVLWAMDMIEQVGREVRQILWETMP ADARVLSVTPRGVE ANIA_01799 MASLLYQLLFLLVPLLAAGLPANPVKKAGPQPPGEDPFYTPPDG WESTEPGAILRHRTPPYPIAAFGLAEVNLDASYQILYRTTDSFGEPIATVTTILIPHN ADYTKVLSYQVAQDAADPNCSPSFAIQQFSDAGEALALVMPQLEYLFMSSALNKGFVV IVPDHLGPRSAFLANTLSGQAVLDNVRAALASTDITGISSQATVALWGYSGGSLASGF AAELQPSYAPELKIAGAALGGTVPQIPPVIRASNKGIFTGLIPAGIQGLANEYPAAQQ LIRDAILPDKWAEFNKTQELCLTGNLIEYLGKDIYTYVNDPNVFESPLANSLTEPNAM GHNTPKIPILIYKGVNDQISPVKDTDALYDTYCSNGANVEYVRDLLAEHALMTITGAP DAFMWLTERLSGVPVKKGCRRKTQLTGLQDPKALAALGTTVVKFLLSLLTLPVGPIGR ANIA_01800 MRVPIAVQLGLLVLLTALAGLAALAIATWINNYNFVVDVKSQSL QLVASIKSSQITSNLDLLETTSKTIITRILIQSALQRYYAGNTTEENFASSITDVQSA LGSRGYLSLYQASIYARNVENGESKARRLLNVTSDEVPEIRLPYDHPNGTAVMLGDEG LGYPPSLYPNLTEDATTNKLYAFDGVPITTNQPLLLGPLATNDSFSLISLSLPIINNT SAADILGYITVVASAANLQDAMSSRQGLGSSGQVLLLAPSRPENRFPTGSRPATATSE PQIAGLDNQEVRYVFRPTPLPGNKRRHSPAVASGASFPLRDYPIALKVLNQPYDSINH SITDLSSKNENGTRVAVGAIQQRSDLVEWVLIIEETHGEAFAPVSRLRKIILACVFGT AGVIALLIPPLAHLSVAPIRRLREATRKSVNPTIGPLSPSLSTMVDPIEISMHNGNEK SEKGGFFNRLKRGRGNLATGHDRDNEPHQFQIPGRVKERKHIITDELTDLTKTFNEMS DELVIQYNRLEERVAERTRELEKAKIAAETANESKTLFIANISHELKTPLNGILGMCA VCMGEDDLPRIKRSLQTVYKSGDLLLHLLNDLLTFSRNQIDQAIRLEEKEFKLSDIRS QLQIIFQNQVQEKQISFSIKFCHPDTPPINDTPEVISRAPGPVYGPPGTAKLKDMVLW GDQHRILQILINLVGNSLKFTPEQGTVAIRIKCVGEEKNPSASPRPESRALSRWRSIG SSHASSRPKTSLDRPRDGGDEETTRPPNLRTLILQFEVEDTGPGIPQHLQNRVFEPFV QGDLRLNRKYGGTGLGLSICSQLARLMRGQIQLNSEQGRGTLFLVRIPLGFVKESPPS TASSMTSLAGSRTSSVFSLDDLASVTKAPSNHSVASQHESTKSNAEIQDSQPRLVGLS QPFFAPPVPQAKDNGLKKIRALVAEDNVVNQEVVLRMLALEDVYDVTVVKDGQEAYDT VKANMEEGKVFDLIFMDIQMPNLDGIESTRLIRQMGCSAPIVALSAFSEDSNIKDCMN SGMDMFISKPIRRPALKQVLRRFTPIPEEAEKPQM ANIA_01801 MPKQNTIVNSAFPNSSPRIIHSEIVHSTLRNLDSADTISRCNII SSSIVKTTTPTSASNTDNPVNVLSGGPVTSPDPTPIRITLKRSKITTSTLTNTSLHRA TITSSSLSNIPRARSVTATSSTLDNVSRLRRVDLANTTVTEQSTMARSQAKDSVVSRS SVSRGSLEKSRVLRSRVRKSRLKDCEVSDCVIVNTDFRGMVLRNGVWRNGRLVGCCRA GEGEGVVVNGKKMDAPMKGEKNSGCGKLMEGWESETESSDGLDSDSDDSNVEIAGKKT EEDLPPPYTP ANIA_01802 MADANIQTLLQKPRSECTEYEIAQIEEYELSNGPLSLLQTAVRT NTQVLISLRSNRKLLARVKAFDRHSNMVLENVKEMWTEPQKGGKGRPVNKDRFISKMY LLLHLVKRRPC ANIA_01803 MRITSIASLVLVGCALAAPPQPQFEGLGGETPSPTPTSLPVPSG SASPTFLPPPGPPGPGESSLPFAVYGARGPPYAEGGEGLPTPSGGPYPTGFPGSGIPP PVPTFSVPIDAVKRQFEGAPAFGGEGEGQGPPLAPTPSVPGFPFGPGGIPSGATPTPS GTPSGIPSGFPFQA ANIA_01804 MRVDSTVLALVALATDCLGLAIKSNEPELLRRDALPIYKNASYC VDERVRDLLSRMTLEEKAGQLFHKQLSEGPLDDDSSGNSTETMIGKKHMTHFNLASDI TNATQTAEFINLIQKRALQTRLGIPITISTDPRHSFTENVGTGFQAGVFSQWPESLGL AALRDPQLVREFAEVAREEYLAVGIRAALHPQVDLSTEPRWARISGTWGENSTLTSEL IVEYIKGFQGEGKLGPKSVKTVTKHFPGGGPMENGEDSHFYYGKNQTYPGNNIDEHLI PFKAALAAGATEIMPYYSRPIGTNWEAVGFSFNKEIVTDLLRGELGFDGIVLTDWGLI TDTYIGNQYMPARAWGVEYLSELQRAARILDAGCDQFGGEERPELIVQLVREGTISED RIDVSVARLLKEKFLLGLFDNPFVNASAANNIVGNEHFVNLGRDAQRRSYTLLTNNQT ILPLAKPGEGTRFYIEGFDSAFMSARNYTVVNTTEEADFALLRYNAPYEPRNGTFEAN FHAGSLAFNATEKARQAKIYSSLPTIVDIILDRPAVIPEVVEQAQAVLASYGSDSEAF LDVVFGVSKPEGKLPFDLPRSMDAVEAQAEDLPFDTENPVFRYGHGLEYEDN ANIA_01805 MLRRLTTRLPLRPLQTPALRSRSQFLATSSYCTYSEMPDPSDRK AVTRYLEQTHDKIFESNRAWVASKKGADPEFFNKLAAGQSPEYLYIGCSDSRVPANEI MGLDAGEVFVHRNIANVVPTIDLSSMSVINYAVGHLKVKHIVVCGHYNCGGVQAALTP TDLGILNPWLRNIRDVYRLHEKELDAIEDDGERFNRLVELNVIESCRSVIKTAVVQQS YEENGFPIVHGWVFNLKDGLLKDLNIDFPGILADIQKIYNLTKGSS ANIA_01806 MAAPPSSRTDFRIAILCPLPLEAEVVKPLFSRIYHAVEFPTLLK VRGDPNSYTLGRIGTYDVALVHMPSAGKAVASSVVAHMKMSYPRIQIAFLIGICGGVP FIDKSLAARGNTNIYLGDVIIGTGVVQYDRLPLGPYRKDALEENLGRPNQEIHSFLSK IKTRVDTLSDRQCRYLAMIQRHVRAQCPGAQYDIVYSRTYNHREVNCQCSLGVDADET VVARRRNFDVVPFLHFGTLGSGDSVIMSHKFRDDIAKKQKVIGFEMEAAGVWGQYPCI LVKGVSDYADSHKSKRWQYFAAASAAACMRALLDEAPISVNQESTLGSISRDHVQGLF SIEKAQEVFIRMLGFGRTTFRLNEVPEAHKETYCVVLSFFFSIKGADLERSAIEMYRS LLHQLFSSRAIPPDAKDRFSEIAFNAVRIRETSNWCIRDLKGLLLSVIQSLRNQRVLL IIDALDQCRQDERGDTILFLQHLVDFAMASGAYLKVCLSSRHYPNLNITYGIELVLEN QPEHHSDIRKYVESTITGVRSRAAKEVQDKICVRASGVFLWVHRVVPSLNEAFKMGDM RKVQHLYDETPHDLERIFTDILTSDEEDIDDLILCLQLVLLSSRPLSREELYFAISFA DCGLARRDIDLQTDTALDRFIVHVSKGLIEVVQSRAQFIHESLRDFLLRRNSLSTLRA ELRQNIIGRSHEKIARVCLNYIYEVSENRAYSDVVRELADLPRHMRSEFIRRRIPFLG YACSDFLYHFKAAQAAGVLEAPFLNLSKIFQSLRSSKAWVSEP ANIA_01807 MQLFSLLLATGLASAYTVTTVDQFMFKNIDPIVSPGLYVSHMHS FFGSDAVNTNTSTSAELREGCSTARNPNDFSVYWIPTLYLVDKSAPEGQTHQPITPMR FSAYYELLNEAEIPLPENFQMVVGNASATGPEGLDPDVQVSWACEGDEAEEGKELAAF PTRTCSTHLQTLLWFPDCANPDTFETAYSKNPKAFEGYGENWCPIGMYKIPRLRFSIR YDLRKLLPDGWDGEPPLELACGNSFCSHGDFINGWLPEAAEYMLQDPSKREYFQIKGP LGAGDEGTACERTAEDSDPEHGTSDYLESLEMMGKATAVDAKKVRRHRRAGLHRV ANIA_01808 MGLIKAVATAALLLGQVHSVLSRAQNQSIVQVTISDHVQQGGLA NIYLNWFETPPSVIEAVYSRCLDLNTSVPYQTIGRFSVRGQPPQRLAWAVPESAQTDS CVYVYGIQSGASPLVLGKSEPVHFTYKPKKRSVADTANALLKDFDALGTWFDGVAAIK KKTELHGGVTSGPSPKDRKIAIVGAGISGLATAVMLDSVGVHNWEIIEASDRVGGRFR TRYVGGTDEWAEMGPMRLPYSVTYKDDNETLRYTDHELTFQLARILNDMNKNDPKWKI DFIPWIQHHANELIAQGTRRHPDGRIPTRGEIEADPSLKDAPEMLSAEYANTQDRMDA ILKNKDTLKSIQRNVWRAHKTAMDEGLDDWSEQAMMRHVFKASENVTDQIWTDSDYDV FWDELHHNSNLGLDGSRESLGETHWLCIDGGFNRLSDAFLPHVQSRLTLNRQIRKLEP IPGPHNTTKTRLSWYPSTQNRTYESRDYDYTIMAVPFTMTRFMDLPSFSSVLSRAISE AGLRFKSACKVALLFRERFWEKGPRPIFGGYSKPPSLPVGALYYPVYGHNESRPGLIM HYRGGDWSDRFVSFSDEEHVQTVLDAIVSIHGEQARDLYTGDYERLCWLQDEHTATAW CRPDVEQHRLYIPAYHQTEHNTIFVGEHTAPTHAWVSSSLQSAVRGVVQLLLELGLVD EAKEVNKRWMGRWIKL ANIA_01809 MSNPFPIDNLPYGVISTSDDPTPRCATALDNDAIDLSALERDGY FKTVPGFETAVFSQPTLNTFAALPKSTHRQVRALLTEHLVDVNTRSKYATPLEKVTNH YPMETKNFSDFYCSLEHTQNCSMIMNAPISPNWYVIPSVYNGRTSSLRVSGTPVVRPN GVFASNPSEEPKFQPARLFDFELEVGVFLSRPFPPGEILDISNTPDYIFGLVILNDWS ARDIQGYEMPPLGPFHGKGTATTISPWIVTTEALEGCLSGSAKVQSPAPLTHLAWKGK KEEETWDVELEARVVRNGQSYVVTETNLKELYWTPYQSLAHLTSAGEGLSTGDIFGTG TVTSARTNSNGENIGIACLLERNLPHNKLASLAAAGIVFLEDGDEVIMEGWCINRQTG RKFGFGECKGVVLPALKV ANIA_01810 MTSNGTNGSATAYHASSTQEAIQAENDFAAHNYHPLPVVFARAQ GTSVWDPEGRHYLDFLSAYSAVNQGHCHPKLVAALVDQASRLTLSSRAFYNDVFPKFA EMVTKYFGFDMVLPMNTGAEAVETGIKIARKWGYKVKGIPENEAIILSAENNFHGRTM AAISLSSDPESRENYGPYVPNIGCTIPGTEKPITYNDKAALREAFEKAGSNLAAFLVE PIQGEAGIIVPDDDYLQLARSLCDQHNVLLICDEIQTGIARTGKLLCHEWSGIKPDMV LLGKAISGGMYPVSCVLGRKDVMLTVEPGTHGSTYGGNPLACAVAIRALEVVQEENMV ERAEKLGQAFRSGLEAIQNPIIQTVRGKGLLNAIVIDESKTNGHTAWDLCMLMKEKGL LAKPTHQNIIRLAPPLVITEEEIAKALEIIKAAVAELPNLKGAAEDKVVPPPEKKVKI TLEN ANIA_01811 MPMSARSRRSNPRLPPSPSSSSSSDAVRASPHSSPPSRLRPPSA NPDVSSNILLFLIGFRLVNALTVRTFFQPDEFFQSLEPAWKIAFGTNQGPWITWEWEH QLRSSLHPLIFAAVYTVADLVARTLGLTPTSRAELLIAGPGITQAVIAAVGDFYTWKL ARYIYGDRSHESWATVRIRSNAIEADADQLQLALTVVSLASVALYRSAWGERQTLARE ALICGSSVLAVSTVVDRFFYGFWTFPPLRFLYFNVAQSLAAFYGRNDWSYYASQGYPL LLTTALPFTLVGLYRTLKTPPKLEKQKGSILVQLASISLAMPATLSVITHKEVRFIYP LLPALHILSASPLVEFFIPALTTTNREYISRRLTLIFLLWANVAIAIYTTLFHASGVI SVLSYIREQHQIHGTANIPSLPKESPASYGGITTGFLMPCHSTPWRSHLVEPTIHAWA LSCEPPVGLTAEEKAAYRDEADQFYDNPTQFLQDNMVGGLRHIPRRPSYATPPSSQRQ PTQLFPPHEWPDYLVFFAQLEPTLKDALRGSSYGECWRTFNSAWHDDSRRRGDVVVWC LDHAKQQAWQSQKHQRELEDRDRQFDHIIKRFQRDATPSKSWHWSHWTSPFSSQSKSW SWPWERKKRTLFGYELPDLPQWGWFGKRKKKTLLSDFWF ANIA_01812 MAAATISAASVARQITAYLDISPHSFSDSDFLFSSNSSLSSSST PALFPDLSAAFPPVDLDPNAPQLFYDPLLVPSVFPGDPASSSGSDQFNDLTTAAGYPS ITTTTADDIDWTALGCLPQSEHPANSLGSQSQGLTPSVDSPDPPFNSTQPRILTTDLS TTHITTTKPIQPQPMPSVTSLSTSRESSPKEKEHLSRITKRQLNTLAARRYRQRKLDK VAQLEEELAAVKRERDELKMRVSKLEGETEVLRSMVKDKN ANIA_01813 MKFSLAAAAAFVSAVTAATLPSAFTLVADGGNTVLTDGEYLYVG GNTTTNEIAIFHSTPDTGAVSFTSKSSTPTGFQNLYVVEKTVLPVGLTRPHSGALPEG ASMLDFGVNEEGYFTHADKDYFAIEGYGDNPQKTIYWYGAHSSTYRAVNLWVKEFKE ANIA_10242 MSPLAQSQCVRRKFELRGLFGNCLANPSQKLHSLLWYPGNSLSS SSFPEQQNYGLQWGGGLCLPSLIFSAGSWSPLSTEDGSSRISQDSWSALLPMLLLLPL LLSSPKTASWSLYFAAGTWFGKRKLILQLLSPSNV ANIA_01814 MPIFPHLGLPPTASDLPSLTIDHNSFAMSSAASPAGHSTANGAA RPDAQAPLPVLSRSLLVEHQARGKEGILKDPCFYMINAVNERVSKDLEGYGFGHRDLD KVLVRFFRYVFESMNPDLEKAWKTYKKKSDSPEYADGPTQAQGK ANIA_01815 MASVNADYAFSIESFMHSYRQDIHPSKHGALKVAEACGTALRQA QILHEISHNTIPNARLEEELKLREKFRGKPYAKKGEIETDIVDLATVFIHVHLPRDKS RVRRILRETFKVQSEPTGAIDDVGTEPELTNMDAEYYWVSLGEEVWPPRNVMIQVETD PGDRCLETQLEMNTFLHKWAAIQGREMGQGADCGDVLPLWELTRLLNLRYVNDFRNFL ASLDFSAGPESAFALRAGEFAPGLELSLAMFVADSLIRSAQGKEMIQKTIEFWRSRPE AEQQREKTRIVRDSFIWLVRLYSWGNGAADMLCDSLNKQMQGRYQRAIAWLGKPATRS FYYGSVAVLAENEANELEKLWRLFEGHHRLPIQYIFNLARLGVKGHPEPCWDGFYDAV ADLDLLPNCLDDPDYQRRR ANIA_01816 MIVCEKCIGMAQTKPTYQYSWQVPGGGWERCEIDGCWAYMRKGD GVGSAGKGLWFMVLLGM ANIA_01817 MGLSNSAVIVIVIVACLAAVTLGAALFRQYNPAEGGIVGQRFSR EQEMYMRSVRLKNLGFNYRESRTPMPRDVESAMTADDSSRY ANIA_01818 MVHLKTLAGSAVFASLATAAVLPRQSASLNDLFVAAGKSYFGTC SDQALLQNSQNEAIVASQFGVITPENSMKWDALEPSQGNFGWSGADYLVDYATQHNKK VRGHTLVWHSQLPSWVSSIGDANTLRSVMTNHINEVVGRYKGKIMHWDVVNEIFNEDG TFRNSVFYNLLGEDFVRIAFETARAADPDAKLYINDYNLDSASYAKTQAMASYVKKWL AEGVPIDGIALSSLANTGVSEVAITELDIAGAASSDYLNLLNACLNEQKCVGITVWGV SDKDSWRASDSPLLFDGNYQPKDAYNAIVNALS ANIA_01819 MSSPTIFVCGATGTQGSAIISSIQKHQPTTKIHGITRDPSSEQS KALQAGGVMLFEGNFNDEDSLRTAMTGCTALFLNLMPNLTEIAQELAQANRILQIAKE VGVHQVVYSSGLVTNAERRKYWDPTSFVAKIVLSKKQVEEAVRIAGFKYYTIIRPGNF MTNFLAPYVYRQYPGLAERGEFTTAFTRDTVIPMIDPNDIGEFGAAAFMEPERFHGKE IAIASELLTLDEILASLSKAAGRQLKANYMSQEEIDKQVKTNPFVGGQLMARDMVDEV DIEYMTTFGVQTVHSSELQTTSSISDTYTPHHFIRTVAKDSSQAPIRDKQEQSITTDA LPAKDMKEQ ANIA_01820 MAPTSEIFSHHHHHLLLHYSCSALNPTNALVKPVSGVRAAVKKM SSVERDNPPPRRKSCDACRAAKRRCDLALPACFRCLRRNIVCVYPGLPAPDQIPELLA VLNGQGTAEPDESIFVDQFAFDAVPEPGLPSVVDLDRGLGPSSRPSPSPPPADQARTY TVPVPVYTSAGQMVHVRSEMTFTLSELMSSRFQYAIDILKDTPRMMVTENQTAWSHAQ LYGNGMPRVMQGAYACCALYITKNLINGPMITAHIHSRHQELALSPLPATPPDLLAHT QALLLYQIMHLFDADLHAANTASSLDTLSISALQAAASLLFNSTHFPPSLDQGLDTDG PDSNPSPSILPPTVTSPAPFWTLWIFEESARRTILFTFYFIQILRLVRGDKDMRCDGK LGLLHSWYASAYLWNAASAAEFAEAWTGKKHFIWRGAPLCLLIPSFRCRVPSTPIMVV AYSCGLRQLGHIGPVLLYGRAGPFIPLNTRVDNFVAPSTMTDSPQTTRKRLRPSYNCT ECRRRKVKCDRSRPSCGQCRALDLTSACLYEDNPRRLTVDRDTPKNNHSSEEQRRSCV TGEDMPASSGGIHGAMSKTRVFGHGHWMNTFSLAFSSLVNGASSDEAAETVFECKRLA QMIKQQRPSRRCLPPDLYTSLPDRGVMDALVELYFTTFESCYGILDYYSFMEEYRTSL ASGESADRSFLLLILLIMTVAGPLHSKASVRSEVAAYARIWIDIAQTWLSAPLEKDRL SLKAVQVHCLLALSRQVNQVGSDLVWISAGSLLRMAMQMGLHQDPDQLGHMDSRQKHM RRRLWYTIMELNVQAAIDSGMPPMITDADYTTRPPPDEMADSDAATADGQPSLQGVLA SSLSVRLRVTKVINSMQEEPSYDQVLELGGQLASACFEAARVINQTACGSSTFTSSFC SHLLRRFTLCLHYRYAIRARSNPLYSHSRHACLEAALDLVTLLEDSVYSQLLVTGGGM FATLLPGARWSFSWNWLQMPKKMPPSSQRDVIELAKNHSCEMRVALCSTQRIGWYTGT ANIA_11793 MTDSPQTTRKRLRPSYNCTECRRRKVKCDRSRPSCGQCRALDLT SACLYEDNPRRLTVDRDTPKNNHSSEEQRRSCVTGEDMPASSGGIHGAMSKTRVFGHG HWMNTFSLAFSSLVNGASSDEAAETVFECKRLAQMIKQQRPSRRCLPPDLYTSLPDRG VMDALVELYFTTFESCYGILDYYSFMEEYRTSLASGESADRSFLLLILLIMTVAGPLH SKASVRSEVAAYARIWIDIAQTWLSAPLEKDRLSLKAVQVHCLLALSRQVNQVGSDLV WISAGSLLRMAMQMGLHQDPDQLGHMDSRQKHMRRRLWYTIMELNVQAAIDSGMPPMI TDADYTTRPPPDEMADSDAATADGQPSLQGVLASSLSVRLRVTKVINSMQEEPSYDQV LELGGQLASACFEAARVINQTACGSSTFTSSFCSHLLRRFTLCLHYRYAIRARSNPLY SHSRHACLEAALDLVTLLEDSVYSQLLVTGGGMFATLLPGARWSFSWNWLQMPKKMPP SSQRDVIELAKNHSCEMRVALCSTQRIGWYTGT ANIA_01821 MPAMKILITGAGIAGTALAFWLSKLGHNVTVIERASSLRASGLQ VDLRGPGIQVLRRMKLEETFRQHAVAEQGLQLVDRRGRRWGYFGANRSGKGLQSFTTD FEIMRGDLCRMLVGACGDQARFAFGVHAVKVTEADEGVDVVFSDGVADRFDLVVGADG VGSRMRRMMLGVGEGSEENPGHHSIGVYGGYCTIPQEVQEGEEYNATAFIAPGNRGIM IRRHDPHRVQAYVFCNPRSSERLLNSTRGDIEEEKRALAEVFRNAGWKCEEILQALVA SDDFYCERMGIVRLNAWSRGRIALVGDAAYCPSAMTGMGTPCALTGAYILAGEIGRHC GAIASTENVTAALEAYDRKFRPFVDQVQKGLTDTDNYMDKFPASPLGVSVIYLVFWLA SLLRLDIIAQWVLREKTNSWELPEYPEMDTL ANIA_01822 MGVPRMPTNTTKLRTTSNSYLQPSNKYPALDTMSLQGKVYAITG GASGIGLATAKLLSSRGGTVCIADVNPTTLAEAESYFSSKTPVVQYTITQVDVSNRQQ VDCWIASILTKYQRLDGAANIAGIVGKDHGVKSVAELDDDEWDRILGVNLTGLMYCLR AELKNIANGGSIVNMASIHSTTGVPKYGAYAASKHGVLGLTRVAAKENGHREVRVNAV APGPIYTPLMQGQWDRIGRPSDAPFDDPIAFRRQGTADEAANVVVFLLSPESSFVTGA CYSVDGGWI ANIA_01823 MYDLVITNGICVTASDVAPLDIAIQGEKIALLAPSGSLAKQGTR VIDAEGGFIMPGGIDCHVHLQEPSLFGKGTSSDDYESGSRSAIAGGNTTIITFAPLQK ETTATPVNVVHDTRALADGRCYSDFSFHLLMGTAAPRALQDFPRLREEGISSIKIYMT YQALQLRDGDILSVLLAARKNKILTMIHAENGEVLDWLTHQLEAAELFAPKYHSNSRP PILEAEATNRAIALSSLIADTPILLVHVSDVGATTRIREAQTLGQPILAETCPQYLFL TRHDLDKPGFEGAKCVCSPPPRDKNDQEAIWTGLDNGTFAILSSDHCPFRYDDAESGK KTCITAEHPVGQFKYIPNGLPGVETRMPLAMSAGKLELTKLVQVFSTNAAKLYGLYPR KGALIPGVSDADLTIWYPGKLDLTVTNDMLHHNTDYTPYEGRKVTNWPRYTVLRGQVV WDRDNGGVVGRKGYGQFIKRDAALGIWKPDAKTFDLESL ANIA_01824 MTVACTECRAQHLRCDFKKPTCSRCSDARLACIYLPSRRGGRRK PAALAARQVPSPSHSGTSLEKKDQPPALVSDARLVGLYYEHFHPAHPILVPSRLYESR DYPRYLRHVVAFIGSQYSAVLSKDSLAEATALELSTTVDRTPCMVQALLLYSIILSAR NEHHQAEISLARATEIALELGMYQKEFAATMADSQEPEAESLRRTWWELFVVEVYTAA LQPKPQLQLRCSQVAYDVPLPCEESLYTSQETIPHPPSLDSFSMRLFTDEDEDEAAIH RYSSYSYRIEAARILARVLVLNNLPETQTHPDHAQAVTNALVSWANHLPPQKAASGII DMYGGVDEMLFQAHITIHYASMLLHLPRSNLSPSLDAPDSPGHGHAGSKTIYPAIPSI RLPPSSARQVHDVMATEASKSLTNLLSMRPCASARTYSPFAVSGLLLGGLMQLFTSEH HPPACADHHHNRVILVLGALKLLRQNWALAREAHRQLRLAAAETVSIISSCRRSVALA SAGLTSTAGGNKSPSNCSWTPRLVPAGGNPGLRNEASPPLATSPVFLSEYVDPTCSDP FLFDRLSGLAGVDYLQLLVY ANIA_01825 MLFARATRRSIFLPSARLAVSRHASTASPSPSLSPSQWPVNSAA HSHRVVVVGAGTAGLTISHQLLRSKRFSQDEIAVIDPSAWHHYQPGWTLVGGGLKAKD RLRRPLQDLISPRLKFYRHTVNTFCPDSNMIMLDDGCRIAYEHLVVVPGIEIDYGSIR GLPQALENPSAPVSSIYGYEFCDKAFKTIENLKKGTAIFTQPTGIVKCAGAPQKIMWL ALDHWQKTGRYTYRPGTGAATAAVEEDSPIKIKFATGLASLFGVPKYSAVLEQLRCQR GVEGSFQHDLVAIEGNQAVFNVALPHPEGDAGRNGNGSGSGTVAASTTRKVQFDLLHV VPKMGPYAFIKKSPISDKAGYVEVDEATTRHRRYSNIWSAGDASSLPTSKTVAAITGQ APVLVHNLLQAMDGKPPDAVYDGYTSCPLLTEYGKVLLAEFKYGGEPSETFNRWFGID QAVPRRAFYHLKKDFFPWVYYSSMVKGTWRGPKGWSV ANIA_01826 MQLLQRLPPAAPKAARSRAPGVIGHSWPWPATARFTRQYSVTPV PALSECLAPSVGATPPAVAGPGPRQQLSVYPSRHLSPTRPRYHSSPSSSSQNAHSPSM EPTIHSIFENKTGSWQYIVADPSTGTAIIIDAVLDYDPATQEISTSSADMLLSLIRKE GYSVSMILETHAHADHLTAASYLQARLAQEQSADHRPAIAIGEHIGQVQRVFGEKYGI SPDEYRNVFDRLLRDNETFALGSLTVTALHLPGHTPDHMGYQIGNNIFTGDTLFHVDL GTARCDFPFGSAESLYRSGQRLLSFPSHVKIWAGHDYPPGGPEGRPPVPYTSVGEHKE RNKHLKVGVSEQEFVAMRKGRDEGLAPPKLLHAALQINIRAGRMPDLTSEGFRLIHLP LKLDRVKWHSGETTQ ANIA_01827 MKGLHGGIPLAVLAATARAQVQVMGGPGGTDVGNHASIPTENTA TNTVNDDFKDDHSFELEHEIEVYPPGHGHHKRVAGGHGPSVISGPSGDDIGNGFAAAS VNTFSSEVNEDYKDDHSVDIDKTTIIKPDHKHHHRRGDNFPEVPHKPTVIGGASGDDI GNVADIPTVNEFASSVTESYVDNHSVDVDKNTIVKPHWRRQHSVIDGPSGDDVGNAAF LADVNKISSSFTGKYKDDHSVDVDKTTIVKPGSHHGYQGQEKGEEKGHEKGHEKGDEY DQEKGQEKGDEYDQEKGQEKGDEYDQEKGQKKGDEYGQEKGEGTGQEYGRGFHHPPNK REARGHPSTAIGGPSGNDIGNVVDIPTVNSFTTKFNGHYTDDHSVDVDKAFIVKPHKA RALRPGQEDEHGKNDEDVTVIGGPNGKDVGNGFGAANVNAVDTETNESVNDDHSVEVE KTEIIKAGDGHIHPIKPQPEEHGTEHHSGAEEPEPSKPVQQDSHSKSDPECTKVHEVV HTVTRTRTAVETATHTVWPQPAHSEGQEPSHNQYPSAGNPSSPHGQEQGPTAPQYQSE QDGSKHNYPETGSSSWNSKGSEESGEPGHAKGQEQAPSSPQYGGKNDDNNKSNSENGS SWSDSQAPQQFSSSENGKDQQQVPAAPQYQEGNDSSKSDSEGGSSWSNSQAPAQSSNS QYSGQSGTSAQQSPSKDQGQDKSSDEWSSPSSNEEGSYNASPAPAASEVYYGAQSSHA AWESTPTSESHSSHGYSHVQYQPSPTSEGAYHAPATSAITVAEASSFSVIPVHVPSGT PRAHAHGSVVVASSSTPVSSAFRVHNPSVPTGASPEQNHRNSPSPSPSTNGVTEFTGG AGRVAKIEGLCGVLVGVFTLLAFAL ANIA_01828 MTSSPGLSEEEPKQTTPPPAPPPQQSQRLVADEKKQSSPDQEPI VLAVSVQEKPPDVDSPSPLEPEHPQQTLEEYDPSVGAKPCSPFYRHATPSSKLARLAP QRKRSKSIPVGVGSPIDDLEGQRPPWQRLYDVEEGQTEGRDSRTKLKLWAQKKKYCDC LSGLSNGQRMAVKIAIAVLLVGSMVGVALGITAAVGGGVWRGENHTEQIG ANIA_01829 MNPAEHEDSSRSIPRKRTRTGCVNCSRRRRKCDEAKPTCTGCKR RGDRCQWRVYGAFRDANIKVLEPGHPSMSQAISRPSRQKEKFKILTVEPTRWREGKND ASREEKTPGGNEITSPASEQALETSISGPAGPEILRPAQGPDDPFPSPGHMETAKDLT SPSDSTQFTNERRHSYISSPELIVDELTALRSLSQSYPGMTPPLLDSSVFSDLDNPAD DVFLPGSAYEALHTALRNRQLWTARPDTPSRAASPTGLNYPAPDHRASERARPDRFEL PPDRENILWQNYLNEICLWLDMFDSHRHFASTFPQMAKSAPHLRYSILALSARQMERK QNEKSQSESLSLYQEAIHLLLPELESKSTPVIASCVILCVLEMLSYVCGGLISEEETI IPIYRWIPSDMNPPNATQLFLASDHDTYANYTVYLCAQTLGVLFRRPPGSSPSYPGSP DDNSDCYVARWSRLFEAVEQWYENRPSQMKSIFSVSTATSADWGRERPFPTVLYANGA AKIRSLARAPDLRHLHVQRPPPLWIAGKVMSHHSEHGAIVETLTRIERETGWATAWRV EDLREFWGDDGE ANIA_01830 MPFLVGKPLSLAITATAGSGFLLFGYDQGVMSGLLTGDAFVRVF PEIDTTVGGHGNSSLQGTVQGCFFGAIMSLLVGERLGRRWCIMAGSVILSIGAVLQAT SYGIPQMIVGRIVAGVGNGLNTSTIHYGMSYVNNESQFRFPLALQILFAIVTFLGVLV LPESPRWVLWSVQPNARFINQDDPVINMEMAEITQTMAEERQAAAEGSFKRLLTDGPQ RFRHRTLLAMGGQMMQQLSGVNLITYYNTVIFEQSVGMTHNLALLLAGFNGVAYFLSA FVPVWTIDRLGRRKLMLFAAAGQCACMAILAGTVYDGGFSAGIVATVMLFLFNFFFGV GMLAVPWLLPAEYAPLAIRTRTAALATATNWIFTFLVVEITPVSISSIATAHTFTLPS STFAFCRSFTSSTHFTRNLTLEQIDRLFTGEKVRLHWDASMGVAGDTEHRLQEKMGDA EVQHVE ANIA_01831 MSPIVLPSESTAQPASPLFALTNRTVAITGGGRGLGVTLTSAVL EAGGDVACLDLLPAPSAEEWAAVQKLAAARGLQATYVQCDVTDEVAVQAALENIAAVG LGRGMPLRGLITCAGIQQMVPALEYPVELWRKMLDVNVVGTFIPAKHCAQLFKQQGTG GSIVMIASMSGQIANRGLTCTAYNSSKAAVHQMCRSVAQEWGQYGIRVNTLSAGYIRT AMTDALLVAKPEVEETWMRGALLGRLGVPDDFKAPSGLNEWSSKSAS ANIA_01832 MQLLALTLALCASIAALPTQQTPLPLEDPIKSPFPIHHSCNATE QRQLATALQETVTLAEHAKDHILRWGNESAIYRKYFGDRPSLTAIGAYDIIVNGNPDN ILFRCDNPDGNCALEGWGGHWRGENASDETVICELSYTTRRSLSTMCSQGYTISEWET NTFWAGDLLHRLYHMPAIGQGLVEHYADGYEGVLELAEGNRTEAVHDSETLQYFALEV YAYDVAVPGIGCVGGEEENDGQGEEQTEEPAQDDQQDEAAEEEIPENCHTHEGGELHC T ANIA_01833 MRILLPVLATASLAHAVSITGCHKHGDDVYCLTDDGGEVQVLLD NPPAGDPPSEYTDCHEHGSTWYCVDAGGNDVEILGEITDSSTSTNSTNEETTTTESTE ESTEGENCHFHAGVEHCLAPGESESGGSTSESSCGIQTRDYDMPLRIGTLFVVLVTSS IGVFLPMGLVKLPSATINVWASTIIKQFGTGVILSTAFVHLYTHADLMFGNECLGELD YEATTSAVVMAGIFLSFLTEYMGHRFILARAARSAERSQPAENGSNISSKSAAEQEPQ PHHHATLAGLGHHHGGDPTNPNTKLSVLVMEAGVIFHSILIGVTLVVAGDSFYKTLLV VIVFHQFFEGLALGARIALLPGRTFPSKAIMGGVFALITPIGMAIGMGVIHSFNGQDR QTLVALGTLDALSAGILVWVGVVDMWARDWVIEGGDMFSAPLGHVAAGGISLVAGMIL MGVLGKWA ANIA_01834 MKPCEPRRAGRPRLEASSDVVHSDDRRAQIRRAQRTYRLKKEAV FRNATARAEQLEERLRTAVEEVALLSEAAVETQLHHSHPEIYARLRRLNGILIKGGDS PTDASPTELSPGSSGHQVPMLNAQVQSARQSQLSLSRPPSLPARQYTYAFQEPRFARR LLRYCLEHAYRVFADPRSDPREIYRMFRLVPCVKDRGKTQPRFRQLLMGGHTDPLEVP GLPFYNVGGAGSHFPDLDEDGNAIYPVNSRMPRRVLGVLPWDELGKGDVDALEVHGLG GEWFDSRDVEGYLRLQGWDVNGSLFLMQHIPNGAAERVGSQPYVLDVEGFFSPSMTTL KDQIAVEAIDADCYRSVVPPIRMGDLADWAYGGNILAIAVQAAYATVTTGQHLYSISG HFVRPTGPAQKLICRIERVCDTRTFQTRQIRVVQSRKSEQLCLIATADFHIDESDEMV NYSARPQLPVPTSSAAETETEDTPEPGLYRIINMLMEVCPHQGDRNGKDVSGIVSAER FRVHDTLRPEADRIAALAFYMDRGLAYISANHSGYSLSQASACATLDFALRVLTHHSY LRRTILICRTGMLAKDRLVAENARALGEGRVFKRDGWLLASMTQTTILRPKKV ANIA_01835 MPDAANSFYALWDNPSLTLAIIIGLTSYMALVNRLRYRRKAKIE APFVHAHGVGRRLLSSMTVKEAHAILAQLQELEFPYAFAKARRMALLKAGGIPTMSKL FAVTGQNNRRNAGKRAVDTEILLREAQSQPRDSDRYATAVARMNYLHARYRRANKITD ADLLHTLGDGLLEILNVVQREEWRSLTEVEKCALGIFHKNLGEDMEIPFDVLPSAAQG WRDGLHFASELCDWTVRYEEEVARPTATNDQYVRVYVDSALPSFITPLVRQMLGGDLD DRMRTSLSLEKPGRLLSITLSVVRNLRRFLLKHLALPRPALLAVRLVQDSPNPETGLY NFERKGLQPWYMRPTFWSKWGPGAWLIRVFGGKMPGSRGDRYQPQGYNLTTIGPQPQQ GKGLEEMGHDIEVIKARGVATCPFSQAKLGAFKRGC ANIA_10234 MTITIRPAVPSDAPTLAAINIDAFAGQGFVSNAFPNLGYEVVYQ LKTNRYLQKIAQPRVHVLAAVDEDSGAIVGCARWSFPGPVAATRTGDRDQGQDNDKLA SNVEAESASMPLPEGTNRAVYDGFFQTLKEKGKKHLRDDDIVLDFLATRPDYQGKGVG TALLRWGIEQAHHQQRRIYLEATTEGYPVYVKCGWRALETVEIDYARWGGEGNQELTL MVRDPSLPE ANIA_10238 MDIQILPLSRSDIAQAVECIQTVFADDPFFQYMFDQNTYNIARN AASLSAHFLHGLAIHAPIYVAKATAKAAASDRASDRIVGVCWWHPPTPAGVPAPLAHR TQDLLLSVRQLLFNIRYRGRGGLRLDRYRQWKALQAQKHRRIWTDPRGYYFCNVIAVR AEMRGLGLGRRLVEVVTERADEEGMPCYLESSKGMPNLAIYQKLGFEGVGEIECVDGN GKEACTVSLSPLRLSH ANIA_01837 MKFIGLATLSLFALASADKFPVPDSITVAEGSSKCGDQAQLSCC NDVKYGGDTTVVQKGIAAGLLSDLLGAGSAAEGLGAFSGCSKLDISLLIGIEDILNQK CKQNIACCAKSGGSADGDLVGATLPCIALGSIL ANIA_01838 MKQTLKEHGSLYALHSATATPYRCLLDDASIVIRWRNLPVCGGG NERKYGAMGVRFLVSRDGHLRPVQYTAGCAVIPVTPIEEARCPQSEGASDAISRRRTW SLAGSGCTICKVVAQVR ANIA_01839 MASTETSEKYDLKNTITNADTPSPPSEQQLAPESSHLDYATSGG LSHMLTAESRLPAFGGAFQPGLYRPPKKIANPAPLGLCAFGLSAFLLGAVQMKVLNIT VPSILVAPAFAYGGLVQLLAGMWEMAIGNTFGATVLSSYGGFWISVGIIFTPGGFNIM GSLIEASGGNTDMFNDCLGLFLLAWFIFTVIMTLCTFKSTLAFCSLFIFADIALLLLG VAFIHRDAEGMPNESLCKAGGFFAILCAFLCWYNAFAGLAEPSNSYFVPPVVHFPWSE QGRKSRRPAPVECTESV ANIA_11316 MMMSDLECVASGPPKDLGMGTGVGETRFVWREKNDFQKESGADT NHRPDPVGCTQ ANIA_01840 MPQTKPYIPTYVLGVGMTQFLKPRRIREYPELGYEAGIKAMQDA KITYDDVEAGIACYCYGDTTSGQRIFYQFGQTSIPIYNTNNACATGSAGLHLARTMVK NGGADCVLVIGFEQMRPGSIKSVWDDRPSSVGPSTALMEKTHGKHNSPRNAQYFANAG VEYMKKVHANTSRYGAKPEDFAEIARVSHEHSQRNPYSQFRTAYTLQEIMDSTMIQPP LTKLQCSPTSDGAAAAVVVSQAFLDARPHLKSQAILIAGQQLLTDDPSVYSGSAIDLV GWGMTKRAVTQAMAEAGITPKDVTVCELHDCFSANELILLDCLGFSEPGKAHELVRRG DITYGGKGPVINPSGGLISKGHPLGATGLAQCAELTWQLRGWANNRLVENTSVALQHN LGLGGAVVVTVYKRADGGTNRRLSDEEVASASGVGHNPATEARVIRPADAERVRSRVK SDYPLEDTVKKLTARI ANIA_01841 MTLKYLITGATGGLGKQVLNYFVDHVPASEFAAASSRASNRARF EDRGIAFRHVDYDDEESLDSGLRDVENLLFVSSNTFDNERRDKQHRRLIDAAKRVGVK HVWYTSLAFGGFSDDSRAAVQQAHLITERILKESGLTYTSIREGVYAEAFPVFINWYP DSTTVTLPADGEIAYTRRAELGEATARIMLQGSYENQIVLFTAEETITSKEIVDIINE TTKRQVKLEIVSPQEYIRINSANDQGGKPKAFFEMVLTWWEDIAKGELRTTHPLMREI LGRPPTKPQDALRALLTENPDYTWHQNYAK ANIA_01842 MIASSSKLRVLDTTEVAQADESSDARQRKRRANLYDAVAGRVNS HGFIPAKPYASTYRDTASSSSRSLPPEEVLFRRKGMPIRYEETDRYFAHESLPPDRPL PSSELLESIHSYTADFYDQAVSVNGRINHYSMNGKSLLFMGVLLEELAKESLGDTGDL ALVEGDDMPTDDEPVEKFGRRKRRKRASSLSSMYASSGEDLDSVVKRKKRHKKRRLAR QTSATDIDTEAEDKQ ANIA_01843 MPPKLSKSAKQGLIVSHLRSTRTCHTLKDLEKMLPSVASINSIQ VKDYIKELTDEGRIRVEKIGSGNWYWCFGGDEVKERERQLVQLRKEVERVQASCKRAE ADSAVRKEQRDKEFGQGGEEEWNELMERKKALEEERNRLLTEWATISTTGEGKSVGQM KDEMEESRQRAQMWTDNIYLLEEYVRKLTGGDRDLMQAVQRECYGDEYVEGEGLRELD WST ANIA_01844 MVRATCSCVMSVNPLSAQTSASPLSRNRSSLLSKFRTQLGQRNR AITDFYIEPDDPWRSYFPGDVIKGTVSLTVVRPVRITHLVISLHGIVKVFKNNVPAGE TPPDVGSLGPGRGRRGAEYLGNGVATLFEDEVVLCGEGRLKEGIYKFRFEMSFPPYPL PSSISFERGTISYMLTSTLTKPTTMNPTLSCRRRINLLENIDIAAFPAPKPRVVTLEP ISKRSKPKGKTKAAGFDAPDTASLEPSASGGITVPEHRPPLSPAPSNVSSSSRLSNSS QSFQIVTDPGSTASSGVRNSEARSNTPSVTDGIITAKAEVLRAGVLPGDTLPIKITIN HTKQVRSAHGIIITLYRSGRIDLHPAIPMGSTANGKKPIYEDYYPRSRTGLGGLTLGT SRASSVFRKDLSQTFAPLIVDPTTLTADIKTSIRIPEDAFPTITRTPGSMINFRYYVE VVVDLRGKLTSPERFLPRFNLVSSGRNFSSNGKIVHPADTNGSAITANWGDNILDTDQ IRREKGVVAVIFEVVIGTQDTQRRKSEARRMSSTAEEAEFQQPVENSVDGDYAGHDYQ GSMAGPEPGYAPLENTAYGPDQIRWPDYPEQSEHEHYPFQPGTLPSPQPDEPMDEKAR LRRAEQTLLPSQPPCDPEAGPSSAVEAAMPTAPVLPEDDHLNDYHHLPSTTVNGMTGM APALMSAESVQTVIAGSSSAPLTSPSRPSEEDKQELERQRLMMEASAPGDPDARHNDR ADDGPSAPIFHDDDDDQQLVGGAANGDELLPRYQR ANIA_01845 MTLRYGSFATFLIVCPTSFFLGIIFSLFPYDYPILWSTSPTDST AYDSLETHLRLLHASPPLIPRILHIVIFLGLAGLIMKLWKPSESNMLFDGASLVLYAC GITVYVANIVKGLRLASGGVYGAELANAPDEVGQILGREDSLKVLSASNTILALVLVG VLVLQAGQWYAERKDAQEDESFAAVKGEGKGKGATKKKAN ANIA_01846 MAQSLPTPFTPDCDFTSLDTPRGGSGSLSITALARFEFEAGKGN DGTKILMVEWEDDDWSRSSCPPGGSWNVEWEGKKAVLPADEKTSSNTRRLYFLIPPHA TIPPVITLTYNPPASADSSQEPKPIQLNPLPAIFPPELGADGRSAGKKGVLHTIWAKK RVQVLEKEIREESLNNVEGIAFHMALQEKEWIEANFGLGPDSQKNSIQSQDPNYPMGP ATPVSPSVGGKLGEKLRGLKLQTSQRELSAAEGSHLLSPQSPDVAVSSFNSFHSIQPS TTRSNPTSNPPSGSVKTVAHYPPESLQAQQNVGFGGGFASMGAIAPSVSSGSDSGEDL FAKALSPRSPDLPRSPFSFSPETLHM ANIA_01847 MPSIKPVLPPLRTPSNITFPSELHTDSSAGSGKNEDSSSTPITP PAAYTEFLKVFTPIFSSPTSAEGVDFSKYKFDRHNVHSAQSQPSSAVSGSFNFNEPAR SATTLPPLTPLVPSHSRRELSNLRRLRIPPALRYSPTSAEPPRSAGPRSAGPRSATLD RTPYSPIDWRLRYLDAPRSANPRSSNHKMSVRHVITHTITYKTTELDAPPRGKRRKHS HDDSESNAAFWNMVFQRGHYSVLFSFVNISHMNNNLEAYLGAEAQQPIPSQKPVSWHE LLVYLVTGSIAL ANIA_01848 MPAAPRKKATAAAKRAAESQASSGSESTPKPEGTTKSHKRSRSG CFTCRLRRKKCDEKHPSCGACNNLCVKCEYKRPVWWGNAEQRKMHKERIKNKIKQTKM NERNGSLAIDPASRVRSMAANSPTSPEFEYGRPFPEPSYDIFASQFPTPAMAQTIYAP QYPYEVDVKTEHQTFVNDVQLRHDSVSSTFSTFAPPQLNAPLPTFPGDEWFHDEYFRA PVLPGIDPALCEQPFPETYDMLQNIPVSDHDRPLLEHFIHNVLRVSFPVLEAHQRGHM RAQAVLQTLETNKCYLHCCLSVAAIHLKTTEGITGEQIDHDIMRNRFEAISQLCQALG DDTEHQEILDATLAMIFFHSSVGPADDYLPDIPWLDHFQAAANLVQRLGLTADAPNPY VLPPFSMTLTAWIDILGSTMYRRTPEFAHTYRSKHLGGVSLGLRELMGCEDRVMYLIS EIACLDALKSDGRVDEMQVCSHVSALGQQLEFTEPPDQIMESPFSATTGLLRPEVLTK NMTAVFRIAARIYLCSLVPGFEATQPSNINLVQALANTLQYIPSGPDGFDRSLVWPLF IAGVHSLPGSQFRTVLMERAAALAEHADLGSFGRMCRVLQEVWRVNDEFAEQHSSLDE GASSSSQTASPVKAEPPSSPRESENSWTTKEAKKPHWRDIMQQNGWNYLLL ANIA_01849 MPDITDPIYASRLTILQDLFANSISAPTAAKQLAESSLSDNEPL EERLNRLWDLIIKLAREHPEHQDKLVDVVVDLSELPSPDPAEAGEEPGSEPLTIHGME VWKDLPMLGWRFRDFWNVSIRPNSNPEDRQKAVSDIINTNKFAALLTATDEPVFASFS WFALVTLRSALETPTEQMRPAEPLEAWIPAAAAWIETLGVEIYKWDEEFESGPNVGAR GKGGPLWDGKHGFCKGRWELWRKRFGEISRMEGELAEGMVRAVRVKPSFIVTENSPDS SCFSCHPPT ANIA_01850 MFRFPITPSLRMTVRPPGPIAPRPCISKSTFRCSSRSALASSKR TQPPRSFISYSRPVPRAFPQAHARSFSSSSHRHFYNGGNRYRRFDGPGRQPLIVHLLQ KARPIHFVMVGGVIGGVYVYNTDTVEMTGRRRFNCVSHQQELKMGEQSYREVLRDCQG RILPEYHPLTIMVNRVLHRLVPMAPIDGADWKVHVIKDDNMVNAFVLPGGKVFVFTGI LPICKDEDGLAAVLGHEIAHVVAHHTGERMSNNFVTMGVIFLAALLFDISGNIPSLLL NLMYSLPNSRTQEAEADNIGLMMMSKACFNPEAAVDFWARMQRAEKQAPPQFISTHPS NYNRMEAIRGWLDKAQAEYENSGCMGTGSWMPGFRKASNDFW ANIA_01851 MSLSLPGPSQAGLFKPGYQSHDAEDGAVIRNIEACQAISQTVQT SLGPYGRNKIVINHLQKMILTSDAATILRELEVVHPAAKLLVMASQQQDAEMGDGTNL VIVLAGELLKKAEELLRLGLKTSDIVQGYEKAQNFALKVLEDLEVDRLQDLRSQTELT KALRTVVASKQSGTEQLLASLVAEAVLSVLPKNPVNFNVDNVRVVKIMGGSLEQSRVI KGMVFGREPEGSIKKAHKAKVGVFSCPIDISQTETKGTVLLKNAQDMLDFTKGEEERL EAAIKELYDSGLRVVVAGAQVGDLALHYLNRFNILVIKILSKFELRRLCRVVGATPLA RLGAPMPDEMGSVDVVETTEIGGDRVTVFRQEDATAVTRTATIVLRGATQNHLDDVER AIDDGVNAVKAITKDPRLVPGAGATEIQLVERISAFADRTPGLPQHAIRKYAEAFEVI PRTLAESAGLEATEVLSRLYTAHHQANAGVKSTDGDDDDEEESEDSEEERSSGGGEAE AYWTTGVDLEGSSSSGTLDTVEEGILDLLSSKSWAIRLASESARTVLSVDQIIVARQA GGPKPPGPNPNWDED ANIA_01852 MLFPNVPGVASLLSLFAATLILNTQALAINTIANLTDRQPRVSY GLYIHHCYVPGVVALTFDDGPYIYTEELLDILAQYGAKATFFVNGHNLAGNEWLIQRV VNEGHQLASHTWGHTDLTVLSYDQIVDQMTRLESAFVASVGVVPTYMRPPYLAANDYV LGVMAELGYHVIGASVDTKDYENDHPDLIGRSVAKFNQELDQGGTIVLSHDIHEQTVR TLTHIMLEEVYERGLQPTTVGGCLGDDAWYR ANIA_01853 MAIPRIASRLFFTLPLSIPQGVRGFSPNKAVAVRPFSYSARNGS PKTDILVELAKARRTVYQLGSNSPVPDSEIEKLVHAAILNVPSAFNTQSTRLLVLLHR EHERLWDVAIEVFKNLLNTGAIPKEVWERQTLPKLQGFRAGVGTILFYEDPTHIKPFS EKFPLYRNQFQPWAEHSNAMHQFFLWTGLESLGFAANLQHYNPLIDGPVAKQWDIPSE WKLVAQLVFGSPKGVPGEKSQKPIEDRVKIYGRR ANIA_10244 MDASQVTNCCYLKKKSRSTLDSRNIAPVPKLLAISMYATNQTEY LPTMRLLVKRNTDKSSVKRNRTQGILHNIRTPNQTRLAMTSEGLEGLRDVIHNEKRRK KENHKTLETMALISSWSFNFRGMKTICNSST ANIA_01854 MTQLKSFELPIGTQLAYLAEGGANIIYRIVSQADHQILPAEYRG KLLRLRKDTPAGVSYQEIARNFDRVIRPLFNPEELVDQELVYLPKGLSQQCNDQLRAA ELTGKRPKQRRGVYLSVTEPFGLLVTDMTSFGNPGTDLAELKPKWLVQSPSAPVSARR CRTCALRDMKNHDARRVGASEVRSFCPLDLVSDKFEHVLRATKFVKGYKDHTRLAKVI YRNPTLLKLLAHQRSMKDVGLYGPSPLSRDKSIAMTLRDCTMYIKMPRSEKGRVEIRL GDLDLKTAGGGKAQYWLELEHRLLSEGWYMGAKNHTRSCDCALQSPRTQPPPSM ANIA_10243 MASIPTPPHCTADFCLIPIGTSSPSVSAQIADVQRLIEKSGLKY VMHSAGTTLEGSWDEVHRVIGQAHTLLHQQGIVRIQTDIRVGSRTDKVQSFEDKVAKV QELLKQ ANIA_01855 MLGTTGLLALLSLASATTVIPRQTSSDSTACNNAASLCSKSYGD ITHLGAHDSPFLRDESTGNSISGNQYYNTTVQLDAGVRLVSAQVHDSDSQWRLCHSSC DLLDAGRLRTWLSEIKSWLDSNPNEVVTVLLVNSDGATASDLAAEFEAADITDYAYTP TSQSAPSSWPTLQELIDAGTRLMTFVASLDDNSGATYLMDEFTYIFENSYDVTSPSNF SCTADRPSSVKGNAASAISANMLPLQNHFLYQTILLDYQAPNESYVGTTNAPSGGEGN LGDAASTCQTAWGRQPAFILVDFFDKGPAIETVDKLNGVTNPVGRTNITAVAEDEESS ASTYSNVFKGLVDLVRSAQAGASTSMGDWIWAGGDWGEILGGGIALS ANIA_01856 MRLRDLGTQLERLDYESDVGHTDDVGTRLVDDPAHRNDFELWLE LLRYRQRHYGDIGTLHIWEGMTKRVGGLQLPVDGESADFFWQSFVNYGLKCEATLNEV VDYALELEHRTGKLWPKLYQSIVGTFVKLGMANQALKWHKRLQKAHTPSDGDIAECFT AALLDYDSSGRAYVGLRARVAAFHEICKHTNGHQVYGQVISALMASGKSKELLRMHEF LVGQGDHPRSIEELEPVLEYVRIFSNNATKARLERYCDERFGRDNAADSSVLLPSSTT GRSPAVGVKHQLEENGLKDDFGARIFATKALSIEMIIAGFRTFHIPAIGPQSLREMAR RARGSYDILEKLEQLEQSKISVGNSVFVRLLRRLAREKEEILLSDLIHSDQHHDVLED YETQASLLVSHYAARDWRQYNLTLVVLKELLGEGSKLLNIHFQKHLAAGEKDLAAQAV TEMLAQGFTLEPATLTFMINYLFGPRKPGRKAVNQPNLRSTHSLPSAFRYLQNIALTG TSVPVKLWVELLKRYGMVGRWEELRACCLWLARRYSTIPSTPRDDVRASKRDPLILQQ IFDRHMQEAIVSWGFIIEPPTMLKSKDYHVTGMHGERLVPFVRGILLLRELRSKGIDI QSPLIRRVSKRRLVMLYGPPFFSNRKRNRTLRALNPYPADRLIEDVNRAWGEPELFDE EVKKSLNWMIQFHRPKLSPRHRADRADLRKYRRKEISG ANIA_11317 MLRTAPRMAGFVFRENRVPYYQRLFQQHDGKRQWWKTSRSGWVM YPYLISVYGMGVACTYAMGRMVFGHKTWFGEK ANIA_01857 MSNHVNGVNGVNGVNGVKPVFPENAASKEYAKALDAADPLASFR DKFIIPSKANIQSKRLAKPNISADPCIYFCGNSLGLQPKATAKYMEAHLDTWASIGVN GHFTKIEDSPLDPWWVMAEQAAGSMSKLVGAAPEEVIAMGTLTSNLHLLLASFYKPTA TKHKILLDWKAFPSDHYAIESHIAWHDGLDPKKSMVLIGPDEGEYEIPTQKILSIIDE HADEAALILLPGIQYYTGQYFDINTITEYAHSKGLMVGWDLAHAFANVELKLHEWDVD FAVWCTYKYANAGPGSMGGLFVHEKHGKVDYSQGEDSPQFRHRLAGWYGGDRSVRFKM DNKFRPIPGAGGFQLSTSSATDLTCLNASLSIFDQTSISELRRKSVQLTAYLEYLLLK DTTDETRPFRIITPSNPEERGAQLSLLLKPGLLQRVADKLQSASIVCDKREPGVVRVA PAPLYNTYSEVWQFVEQLKAAFQE ANIA_01858 MLSSPDFSLEEYEFSAQTGFLPETPPLRCLPDHYYAPWETVAQD LAASIENGTIRQAVESLPLLNTTKLRTKPEWRRAYVVLSYLTHAYVWGGEIPKEVLPP AISVPYLEVSRYLELPPVATYAALNLWNWSTSSPNDDLTCADNLSVTLSYTGTKDEEW FFMVSVALEARGARVIEMMLNTIQAVTVGDDQRIVAYLNQITEGFNELARILERMYEK NRPAVFFHLLRPYLAGSKNMASAGLPNGLFFDQGNGKGEWLQYSGGSNAQSSLIQTFD IFLGVEHTAMGGPTKTELPKAKLGKTPYIQEMRNYMPGPHRRFLEMLTRNANLRPYAM SCKLGSPVRDAYNTAVMALGSFRDKHVQIVTRYIILASKLPPPANTPVRINLASTTQT QMKDSTEKVSTGFSGTGGTDLIPFLRQTRDDTKATAYYAD ANIA_01859 MPSATGTKRVRGVSIFRPFVFGSEAQPFDPATKPSNVSSDHTHQ WRVYVRGVNGEDISYWIKKVQFKLHETYVQNVRTVEHPPYEVTETGWGEFEIQIKIYF VPESMEKPQTLWHSLKLHPYGPDAEGKKERREVVVSQNYEEVVFNEPVEQFYDYLTGG SGTQQMQKGKSGKNAKQAQQQRGGRTAEIPFNETPENPYSRTAENKELDRLAEANKTV EQMIKDEKERLIAREKRLAELRASEGVPAQPLKKSFSGRIPVHSQQRMAGTVGPPDS ANIA_01860 MASKKLVVAGGNGFLGSRICKSAVARGWSVTSISRSGEPRWDVV TSSPQRPGWAKSVEWAKADILKPNTYKPFLKDANAVVHSMGILLEADYKGVVQGREPI ISGLQKAFSPSKRGSQNPLQRQEGEALKAQEKDGQFTYELMNRDSAITLAQESLNEHA STFLYISASSGAPILPSRYITTKREAETTIATQLPELRSIFVRPPFMYDSSRKLTLPI ALGGFVASQLNALVGNRLNFFGSMVDKPFQVDMVGEAVVEALEDPSVSGPVGPKKIEA LATKAWRKSML ANIA_01861 MSSERDQEQLSLEESREGEGKSTTSQTESNASDVAEDPSTKEQT GDKEDSAAARARERKERFKALQARAKSATERNLKETAAETQRLATDPSLLSSLSRKHA FASHNLLKADTEAAGEDFERKRAWDWTVDESEKWDRRMEKKQRHRDDVAFQDYTQDAR KVYKRQLREMKPDLEGYENEKMAAIEKAAASGDLEIVETNDGEMIAVDKNGTFYSTAD TIGFTESKPDRAAVDKLVADLRKAEEVRLKKRRDRRGGDEDGDVTYINEKNKQFNQKL ARFYNKYTTEIRDSFERGTMI ANIA_01862 MPSQLAVCRIPSAFNSANAHKIFEPPVVFQGALERCERGSTDGD ILAFINGLEARLKLIDGGNHVAAHIHHMGIRYACSALSEPSLRHHLQSYLAAGGKTLG LYESLALVERLLSALQTLSFQDPKRDVSGLLRLVTGEAGPESPSLHRILFWARNDVSP MSVGGYLSLLVRAKDDTIRHKIWNEYLQRTVRNRSPIFREYQSAYVYAMSLVDAGDSP GAVSALKQVSVLARNDLPGISHFERVRDLLEDDAIKEVLSQIVSEREYAKILDIKLSD IEQRLGITWLNDLEPDESLHLGLSDNRAASDQPIFDMDGDSSGYESPERLVAEIRAHG NSKSIADYCKIADLLDDYEGSLIPISIESWPTSDTKFYWTPQRSPLELGKAISVSDYS QDVLLSKVGLARVIASKEESPYALVQTLHLIQLGYLLAERPRSSEEGSDVSPRLEETG YLVAWDRAYARMLVVFAGTCRGPVDAAIEFGDISEPSAVRAIARVEPLNNAWAVGPKT RIFRYRLEQDPSPDLFLNMQT ANIA_01863 MHFKLAAILSLAPLAFAGISNARILNNMDNTNIVMTEPNSMKTN GMETMKRPFMMRPATNETIAIGHAIVANLCEQPIYLWSVGQDISPQYMINPGEEYVEE FRRDPQTGGIAIKITTVKDGLYTSAPQTVFAYNLVEDLVWYDLSDVFGDPFQGQIVSI EPSEPEIHWENGVPPSGSQVRMLEASTDLVLSIC ANIA_01864 MARRFLAAASALLLAIEAAADNWPFMTLQTAPFQPPQVSVTKSG HTDPGYIFVGPRGNQELGTAALIYDEDANLIYQGPQEVTANFKVQKLFNEDVLTFWAG DMMKLGFGYGTVHILDNTYREIYTVTLQDNFVSPDGNPRDSYIDLHESHVTDRNTLLV TAYNVTQHDLTSIGGRPDDHMLDGKFYEIDIATNEIVFSWSALDHLDDIPLEESKQGW GDDVGSQETPYDAYHINSVELMEDGYIISLRHFWSGYFVHNNGSVLWRLSGEEGTGDF EIDDRAAFSWQHDIRIYNQTEEGFAMSLFNNANTPTNEVAATTGLSFDVDMINRKVHT RRILNDTDDVIHSVSQGSYQLLSEETQHVLLGYGSIAQVKEYDADNKEVLTVKFGEDN AVASYRGYKCQWKATPFWKPALVVRRTGPDSIFVYMSWNGATEYDNWAVYSSTYSDGS DPKFEATVERTGFESSIELHGLPSGFLQVIARKGDIPLGSSDVASLQTEVGGEVETET GN ANIA_01865 MGRKLSLFRAIYLASASCMGSFAFAFDTGVISGVLTLESFQRDF RYTESQKTTVNSNAVSILQAGAFFGCFLTTPVASRFGRRSGLIISSLVFTVGTILQII NAHTLATFYTGRVIAGVGIGAATVLIPMYSAEMAPKEIRGRLGACFQLFFALGVMIAY WVTFAVSETQPPKPKQWQIALGLQLLPSTLLLIGMVTVKESARWLAVKGRNEEAWESL KWVRGGEDTPELQQEFDEILAGIAEEARVKENFTWRELLLPANRYRIFIAITIQLCAQ LSGNTSLAYYATQIFSAVGAGSSAKLVTGFFGVVKVVGVSIFQLFVIDKIGRRVPFMA GAFAMGSFMLIIACVLATHPTSSDGADSGATPAGIAMIIMVYAEAFSFNMSWGALPWL YVGEIFSSRLREVGVTVGAASQWLFNFMMSQVTPHAISNIGWRMFLMFAIFNYAIVVY SWVFLKETLKVSLEEMQGIFGAGTEPDGKPTVEAHESLTK ANIA_01866 MNSPAESDRITRPTDPDGLVLEAWGQGLMVGSLVVMAAVTISNM RKHILLHKLIFAELILAIPHGTWIFSKEPIYGWHLSASAIGLNVSWSLHNVIAWMKNR PFFSKRVSNIYIITVLLVQPYWVLEIYANFTYFNNVNKIFLTTRPLEPLFRELVTISP RFGIMLASMCLSLAFVVVDECSVLGVFNSASLPSGVQPFWKLSFIFKCLCDTVILDDF KTALDRMRDYWFQKQVRGGDIILHGGDGHRRLGYSGRAVGDEDYDIEMMSNGAQQGSD FGKGTSDSFPHAEFTQSDAPYAALECHTFSNTQIPTLW ANIA_01867 MPRYLAVPPRRPLPVPRDDEHLAYLRQVYKGRNCQTGEMVALKE IHLDSEEGTPSTAIREISLMKELHHDNILSLYDVVHTENKLMLVFEYMDQDLKKYMDT HGNHGQLEPAIVKSFAFQLLRGIAFCHDNRILHRDLKPQNLLINSKGQLKLADFGLAR AFGIPVNTFSNEVVTLWYRAPDVLLGSRTYNTTIDIWSIGCIIAEMFTGRALFPGTTN EDQLQKIFRVMGTPSERTWPGVSQFPEYKSDFPVYPPQDLRQVVPRIDPYGLDLLRCM LRLQPDLRISAVDALRHPWFNDPVSDLPIDHGMEALT ANIA_01868 MVPAIRVPASAASRARSLLRTVQYTHPPSCPCHSNPNHHHHHRS PTLADHVRRHMATPRDASREKEYAFEMAASSIRFGPGATKEVGMDFANMKAKRVCVVT DSNVAKLTPMKQAIEGLTREGIEFTVFDKVRVEPKDSSIKEAIAFAKPYNPDAFLAVG GGSVIDTAKLMNLYTVFPEADFLDFVNAPLGKGLPVTKPLRPLVAVPTTAGTGSETTG TAIFDLVSKGAKTGIAHRNLKPTLGICDPLNTRTMPSAVHASSGLDVLCHSLESWTAI PYNERTPRPTNPINRPAYQGANPISDIFSLQALRDTVKYLPRAVKDPEDSEAQSQMLL AATLAGVGFGNAGVHLCHGMSYPISSQNPGYKHAGYDVDHPIIPHGVSVAVTAPAVFR FTAASNPDRHLAAAEAFGVDISNVKRESAGEVLGEALARFLSDLGDQPLGLKNLGFKA EDIDGLVEGTLPQRRVLMLAPNLNSEVEAERDELRSLLEQSMEY ANIA_01869 MSQFIYRPLPETSTLRPRCSKTHYTSIGSSTHISLERQHVQFFA DFIRTAFPTMYYHNRFRATDRLDFPSYVIQDVGSYVFQDAAVACLSSVYLAYLSQDSA LLKTSRQMYAQSLHEVARAVQTPDAMSDAMLSTMMMLSVYEMYAQTSNDAWVIHADGV RRLMVSRGARSHARGMARSCYIAYRGFLVATAIYKGKPCFLDGEEWQQLSYQVGIEDS QKPNEWSSSVHPAELVFMEMVKCPRYLSEALELAYSYPSPLVTAAIPDLYQRVQETRH SLREATATLRACTNRDRHSHSNQSLESLTGDSGPNLLLQGAEQTLVVLRDLLERLARA ASRPEGSSALSFRVVSELDRGPPVAPSNRIDLLSVTWLDRIASSMGMIGTSIVQA ANIA_01870 MGRILSLLLLASFVSALEQDPLVSEATSALAGNPVFPGWYADPE ARIFNDQYWIYPTYSAAYEEQTFFDAFSSPDLLTWTKHPAILNFSGIPWSTNRAAWAP SVARRRRPGPANSITGSGIADTDAEYDYFMYFSAGDGAGIGVAKSTTGLPEGPFVDAI GKPLVPETVFGAEAIDAQLFQDDADGDNRIWLYYGGWSHAIVVEMNEDMVSLKGEYLE ITPEGYVEGPWMLKRNGIYYFLYSVGGWGDNSYGVSYVTGPSPTGPFSSTPTQILRGN DQVGTGTGHNSVFTPDGEEYYIVYHRRYVNDTARDHRVVCIDRMEFDSEGNILPVNIT IEGVEGRPLS ANIA_01871 MLDENLPTFYLKPVKDSNVSTIYLLQFGNEPEPAYSLRYPDRSS PDSRNRFAVALYDPYVPDVVYGEVLIIPEWTQPTLSAEAIRQNNGVSPPPEPILPTRF TIQLYNPDQQVIVHYKPKSWNSPPSWSFEMPQHSFRVPTGSTLDRVQIDPAAADTTPK LRFSWKKDGKLSKDLTCLLRGKTTAIPETKTKSKEPDITVSIFKGLRELTLYEPNLYR VEMEDFKGLEVVLLLGAITIRDVYFASPKEAFRISRQNSASVSPTSNSPTTTPSTNRL PASPSGLVTDIPPAPQPPPVHSPQLTKQQEQARKEEERRTKRLLEEEEKAHRRRQAEV DKETRRLQKLYGEEERKALQSCWAVNAALRLPTVPAIARSNVYNVLANTPSSRTTNRG QAASAEQAE ANIA_01872 MPVRATIVSLGMVTAAVPVILVSLLARRTRVLASDGATEIPFTV DLQRSLARGLLSILPLETIRQFFKARPVKFIKKSNRFGPFKDQLCIPVSNSSCNGYWI CQGPPGCPQTPQESDIVLLWFHGGAYCFGDPLGPAVNLLRVAEIAAARGVSMSIFSVE YTLAPAATFPSQQREAVAAYLYLLQNQGIPAKKIIMAGESAGGHLLLSCLMGPPETGL ARPKGALLLYPWVNLTNHSPTFESNQHKDVLSKRLLDRCVESAIGERGRVDALNLENL LKQWKPGTEKSWKEILPAYTWVNVGSHDVLLHDVQTFVEKARADGARLDLEIADKQPH AWNFSVDNRWVELFCKLKPGDRVPVGMMPGSAAIAEGLFIVLYEGM ANIA_01873 MPPIIHCVRHAQGLHNLCTENHVIPDPLLTDLGNEQCDQLRASF PRHDKIDLVVASPLRRTMYTALQSFEPVFKANPGMKLILLPDIQETSDVACDTGSDPS ALRKEIEEKGLPVDASLVHEGWNVKTGRYAPTNAAVGARARDARRWLKARPEKEIVMV SHGGVLHYFTEDWEDSSQFQGTGWVNTEYRTYTFSDQIDLEDLEGNKLDSDNASLIET PESRQRRGKEGPTADREKQKELYKKGVQGWDDQGLQLSTAEREAAKIPAGKEVDGTCS RSFNRYNRSFYYLNRPGRPEAPFKAEYKTRGWTGSVSTKFHTGQMRSSHNKPPSCPYK QTRSYWTILFCTLYKPQVSFPSTTHQLTVSDRTYLIAP ANIA_01874 MDNAAEKRPEVRYLGNDVAMSASTPHGSPEPSNNPVLRMKDPKS FTQNLFDTLALRMIEWLPLRRSPGSFDLDHSRSINRETPRSPNSDTCQAHVDSDKDQP HYRQRPYQAGGGSNPQTPSSRSAGGQTTAMEWRLPNQQFKRLSLTEVDLRRSNSKSSL DEKSLPELKPARKLSVSAHTDASADDFVNLPSPPALKHRPQKHRGRAVDANPTQPHEQ PRKERRVSWDGAKFLNDVQSLHQQDNKSHTPKHQPNSDHCHHNSSHMVQEVSAIQSLS HLNSEIIDGLQRMLILSDEEAEKWREELALMEMSGSFEDADTRVATPRQRAVFTFITQ SVFYALGSTRQLLRSFREGASHPANKLDLQQLQPALRKLFSICPKDIIFHSLWNALEA LFVPPRELSTSGRSSRRSSSNSNPATTVSAPIIIRRASETVGHQYVTDANAADVTAVV LFALASSLPEIDPSTWSCMVRMRSTGNVAMSAVMELFRLREAQLVVEATDVLEHELAL RLVDRLVRALTARLAFHEISKTRSGYIQDSSKRKNNVLDYLIETLGQHHDLVTERKNH GDTSLRSPSTPAIITEWLRTLFMKSWDGNPEISRSSSQGGVVQILSVLHKERTRLGLA SEDFYTPFLSERLDPLEMPVQWLARVPNNKTLHLLSYSFLFPPSALVIYFRALNYSAM SKYYEAALTTTKHVYQTAFGAMDIQDDVGLIPRLRTSMTTYFVLVIRRDNILTDALNQ LWRRERRELMRPLKVQMGMDEGEEGLDHGGVQQEFFRLLMAEALDPSYGMFTTDSRTR CSWFQPCSWEPLYKFEILGLLMSLAVYNGLTLPVNFPLAFYRKLLGLKVKHLDHIRDG WPELTRGLEELLRWNEGDVADIFMRTYEFGFEAFGRVETVDMEKVDRDAPWPNSLPPQ SGRSVRYSPSWSDVGRYTDLASLSPPSSMAAETADSQDDTAKSAISLPPLPSPTSPAE EASLVTNENRGQFVKDYIFWLTDKSIRPQFEAFAQGFYTCLDRTSLSIFTPEALKTVV EGIQEIDIAELERHARYEGGFGAGHRVIQDFWSIAHQFSAEKKAQLLEFVTASDRVPV NGISSIMFVIQKNGVGDARLPTSLTCFGRLLLPEYSSKSVLEEKLNKALENARGFGVA ANIA_01875 MADLPYTCNTCFVAFRSSDGQRDHMRTDWHLYNMKRRVASLPPV SQEIFNEKVLAAKASSNAAAAKASFEKTCVACQKTFYSENSYQNHVKSSKHKAREARL NRENADDTSSVMSSTFSLGEPINKPREADVAAVTESLKEATIAEKDEDEEIADADSYS SSHCLFCNNESTSIEENIEHMFKSHGMFIPERTYLADLEGLIRYLYRKINENSECIYC HVIRNSPAGIKTHMKDKGHCMIAFESEAEQIEIGQFYDFRSTYSDEENDDDSVEMVDG GVKVSGSDAEDDGWETDASSLDDDDEEGNAKSAPAVYRTEYELHLPSGRTAGHRSLAR YYRQNLHNYPTAEERYARQLAIENGEIQEEEKPRGRNANRALVTRANGGTGMIGVADI DKRNVVESERKERTRAIRQEQRYTARVNRAANNQKHFRDPLLQ ANIA_01876 MAIPAHRSLALRPIVMGLGKLLALSFSHISLVSAAPTSQFLAPR HDAHAETHALPANDATLWLYLGVAAALVLTGGAFAGLTIALMGQDEVYLQVIQTSGDS PSERKNAASVLRLLKRGKHWVLVTLLLSNVITNETLPIILDRSLGGGWPAVLGSTVLI VIFGEIVPQSICVRYGLPIGAWMAPCVLGLMYLMAPVAWPVAKLLDRLLGEDHGTIYK KAGLKTLVTLHKTLGEAGEQLNSDEVTIISAVLDLKEKSVGAIMTPMEDVFTMSADTV LDEPTMDLILSQGYSRIPIHSPENPRNFIGMLLVKMLITYDPEDCKPVSHFALATLPE TRPETSCLDIVNFFQEGKSHMVLVSEFPGEDRGALGVVTLEDVIEELIGEEIIDESDV FVDVHKAIRRMNPAPYSRVPKGRFVEDPPALSSQGPLVDLGGDSPSTTDQAVTRRRSS VEAPLARFQLRRSQGDKERHSMDALVTRLGSTDEIREHLKHLGPSNLASRPRQTRYQN VKIKRSSISSPAPSGQTDIDSVEHARHPSSGLTTTSDATTLKPSGLNPRDGAHAVLIN STNQKSPEPLVKTGPTLSIPEPVNEEQDSAPRSANSRRTGSAGSDVDPAYSSSYFHRG PARSGSITEHIVDVNGVRKVVLHTTSSNSSSEGEGPSSVPKTKFPIRQGSEIIDLNDT DSGATEQTKKKRRHRRHKKSKDSTNRDEETPLLP ANIA_01877 MDEKLRLDINPGNKNFTNAAVVIIGAGISGMCMAIDLIERNKCH NFVILEKSSGVGGTWRDNKYPGCCCDVTSILYSYSFEQSTKWSRQFPGQEELLAYLTH VAEKYGLYKYIRFNSEVTEARWSDEEKKWKVSTKVSGDKDNQFTRSYVLSTDFLISAV GQLNFPREPDIPGLNDFRGKMMHSARWDWTYNYENKRIAIIGNGATAAQIVPEVAKVA SHLTVYQRTPNWVIPRSDTAISPLEQALLTYLPPLRIRKRSLAMDFRESFHEVIRDSQ SQTARLARDITAQRLRTQLANKPELWDKLTPKYAPGCKRLIITDDYYPALSRENVDLE TRRILRITETGIAVEGDSQQEYDLIILATGFKTVEFMCPIKIHGSKGRALTEIWKDGA SAYYGVTVEDLPNFGMFYGPNTNLGHSSIILMIEAQSRYLNALVARVLQARTQGKTLI IKPTVRALQKFNMELQKALARSSFADANCSSWYKTENGKITNNWSGTVVDYQRSLSQV RWADYEVEGTAKDAVRPGEVTNLGRVHEETYISNRSLVLTIAGMLAVAGGVFLRWTSL VRAR ANIA_10235 MPCCGDREKNAAPVALEEQWDYMNLDDFKSESCLSPFSYFFLFV FLLVSLAVYAVDTFTAVTLLAFSRWAGQIEPAIPFKYSRWIFAVCILLSFALLAWRWI HAIRAIRSGSVAQCYLNSLAARVQSIRFGHHGRGWRRFLVFGELTKNRKGAEYVALFA YFAFESWMNTVLADGPRQVVNGITLYSVMRMDLLPGGENAVEEDKAGILQFFDNVKIL AEENNLRALVLAGMVFTVVVWVLSIIKLVIAIILYLLFLFHHIPAEDGTLKAYCRRKI NTRLKRIVRTKVNKALAKGVALQERSPTNPNLTPGRQPTLPVFGDEDKAPMVSTLSRS TTQATLATLPAYTSRPPTAAPQEREPTLPNVATFPEKPDLSRTVTQSSAYSDPATFSA TSAVSAYSPLDRQPSPAPPVPPLPGDASMITGRTQTPVSRSNFTPAPYYNRGPPSRMG TPGRQDLYSPDGHNGPSSASSFRPYDIPADPYSRTKTPSSAVTNVDGPMRAYTPSDAH KSRPSPQSVRPPRSFTPGTQATNRGSPAYSNDEQPVRTLTPMSTRVPPRAQDEYIPFS ASASNVAATHPEAASEYGSEHRPGQAYTSPTYNPQPPRHPDHYY ANIA_10247 MPDVNGLHSSPGKRPAATDRATPSADAGASPQPDFPQPTPRKTV QNTEPIDIQRGNIATAGRMAGDGNRDCQEAGDAKSGHFSITSEKAIGLVFEHSEESAA KQGSENRTLHRTSLVNVLENSEATAALHRFTQEPSSAINKDKDRERTLKLSEAKIQEL TSSPQSIPYRAAPHCDQEHSQKLVYSDGPPPLLSPIESEISRNSHGEHKTSSNEMSAP QLKDVSDGAEALNGLGLRTPSTRTRPHIGRTVSTPQSTRKQTLPGTNNDRLAQTWTSR HRQERPSVSREAEPKNLRSPPPPLETALPSPLPQNIPLPPASIPTYLQLELASGSPSP LYIHRPSLNDFPYESARVKLERLVNFLVLPPALEQVLWFGILACLDAWLHSFTILPLR FIKAVYILVQCWVTNLAAEARYLASFVRKGVGRVWRRRNRKPSVSSTSNAVSVERDAK SSMSEPRRRHRSDTFRHRRQRSTPSALLPDDKADILKGLLMATTCTVLMYFDASRMYH WIRGQAAIKLYVIYNVLEVSDRLFAAIGQDVLECLFSREALERRPDGRSKIFRPFGLF LLALVYTVIHSMALFYQVMTLNVAVNSYSNALITLLLSNQFVEIKGSVFKKFEKENLF QLTCADVVERFQLWLMLTIIASRNIVETGAFSFGGNLISTSVSGTSSATNSTLLSTPP RSSTSILPQAFTFVPSSLMASFSHVNSFLPALAQVLGPFLIVLGSEMFVDWLKHAYIS KFNNTRPAIYGRFLDILAKDYYTNAFGDQNLMRRIGLPIIPLSCLFFRVSVQTYQMFL AALLPQPPPLSPSSSIAVETTSLAAIHSQYVPAGPVPSPPPITLRTVFPATAAHAEAW FRRVLANTMPSADHSVYIFTVVLVLTGFVLLLILKLLLGMLLLTCARSRYKSMKQREA EHAAAASSSAIPTASDHHGPPRARDYTVDGARRVGGWGTVEVNEDSRRWIYLDDPESL RKLKEKEKASQKGKGVYGDDLHLDHVQRYEMVAKRIW ANIA_01879 MDNTGLAESDSPSDIDEQTKAWESDPRSVRAHLAQTDGVDDAVP QIECGIGHRVQASRSVLALVVDEDCVFAGLQGGDIVAWSLDNYDLVLSVRAHQESVLD LYLSEKGDLLFSSGGDSVVNVWSTRTFERLYSIHSHHDVGDLFAVSYSPSLNTIYCGA QNTSIQWCNLSQSGAASTQQSAAHLSKRTHRFFDSKGPDGTRAPRADGNSVADGGQVL TFKRDHHNLFAHHGYVYTMTLVRGLLESSPNDEVLLTGAGDGVVKLWRLEQGKPDSAP ALMARLHNTDPVLSVAVEGSFLYCGLSGGALNIWNLDSHQLVKRIAAHTGDLWAVDII HGMAVCGDSNGIVKKFNSRFEEVGSWAAHEGTMLASAAGKFKDRHIYATGGNDNTVGI WDLTDVSLSASEKPPIDNDEMVSCLAKLVAFKTISASPKFAGECNQGAAFLRRHCIYL GAKTKLLTTGEDTNPIVFARFSAISPETTNKTILFYGHYDVVGADANRQRWKTDPYQL TSMDGFLYGRGVSDNKGPILAALYAAAGLARQKTLRCNVVFLLEGEEESGSQGFHETV RKHKQQIGPVDWILLANSYWLDDYNPCLTYGQRGVVHANLIVTSDHPDLHSGIDGSSL LDEPLKDLTMLLGTLVGPKGKVNLPGFQDPVLPLTDVERERYGAIAEILLKQHPQIKD AGALIDSLMHRWREPSLTIHSVEVPGSSKSTTTTISRKAKASLSIRIVPNQSADEVAA ALTLYAQEQFDQLESQNELTVEITGTSDPWLGDPDNEIFETLSEAITAAWTPDQQSLK HQYSLPRRAIGDRTASPRGAKDTVTSALRRQDSEDSLASHIDRIIMSTTTSKTTTRHR SSLSTTVPTSSTLTGQSNKQTADSTTASPPGPAATSSALSPTGTDGPAEVSREKIGVR PIYIREGGSIPTIRFLEKEFCAPAANLPCGQASDNAHLYNERLRVENLYKSREIFSYV FSRLPEKT ANIA_01880 MPFGETIAVIDKSGQVVSTSKHLFGVFSHAKNAYRERKAQVQAE RNLKIAEKIAEREALRALQNYTIEDAPSVASSQRSRSRHHRHHHHHHHSSRSQYQYDR PRGGSVYEEDLHSSASRARSHYEPPTEMVRRHTHHDITIREPDARPVTARSKSDAHID MDLAYGEFHPSAIAPRGSPQDQQLQSIDDPELNGLVSRAQWLLEEANCVQHTATATID HLQKNPDAMAAVALTLAEISNVAGKMAPSALTMLKTSAPMIWALLASPQFLIAAGVGL TATIVMFGGYKIVKQLQQPSRALREEVFEEQSDPRQMDEMIEFNTDCLNSVEMWRRGV ADAEAESVATVDGEFITPRAAAMSGIDITTARMSRDPRFKFDDDDSVASSRRSHRSRH SRSTHSHSHAPTKVDTRAESIFSRRTKAAPSKAPSKAPSKAPSRAPSTSESRYSDKDK SKKPKEKVKRSSRLRLMFTA ANIA_01881 MPVNKTYGQQVVIHRADLHNALIEKALELPNVELRVNSHVATVD FESTSVVLVNGTVVRADVIVAADGIKSIIRDQLLGEGASQAIPTGDAAYRIMLTKAEM ESDPELKRLVEVPEATRWLGPERHLIAYPVRNHELFNIVLVHPDRHGVEESWTTRGSK QRMVDDYAGWDPIVTKLINLVPDDEVLEWKLCLHPPLKTWIRGSVAMIGDACHPMLPY VAQGAAQAVEDAAALGVLLSDITSKTEIPLALQAYEKSRKERAETVQQSGSTNRITLH FPDGPEQEARDEQFRASLKGGSNPDKWSDRQTQEFLWGWDAEKAAMETWEVLCMALAL RLHICQG ANIA_01882 MTSKQIPDIEVKPAPGISYFTPAQEPPAGLAADPQSDGAPIPKL FQPLKVRGITLHNRIGLSPLCQYSADDGHMTAWHMAHLGGIAQRGPGFLMVEATAVEP EGRITPQDLGLWKDSQIEPLKGVIEFAHSQNQIIGVQIAHAGRKASTVPPWLSSGDTA TEKVGGWPDRVKGPSNVPFTSRFPVRKQMTRDDIENLKTAWVAAVKRAVRAGADFIEI HNAHGYLLMSFLSPAVNTRTDEYGGSFENRIRLSMEIAKLTREAVPDHLPVFLRVSAT DWLEESRPDLPSWRLEDTVKFAKALAESGYIDVLDVSSGGTHADQHIHAKPGFQAPFA AAVKKAVGDKLLVGTVGMIDSAHLANSLLEKEGLDLTLVGRGFQKNPGLVWAFAEELG VEIGMANQIRWGFASRGGGPYLRKRSGKI ANIA_01883 MGKGKICVAFSGGLDTSVILKWLIDEGYEVVAFTADVGQEEDFA AIKEKALKLGAVKAEVVDLRREFVEELCFPAIACNAIYENVYLLGTSLARPVIARAQI EVAKREGCFAVSHGCTGKGNDQVRFELAFYALQPDIKVIAPWRDPRFYERFAGRNDLL AYAAEKGIPVTSTKAKPWSMDENLAHCSYEAGILEDPDITPPTDMWKLTVDPLAAPDK PEDFTVHFEKGLPVKLEYTENGQQKTATDAVDIFLTANAIARRNGIGRIDIVENRFIG IKSRGCYETPGLTCLRSAHVDLEGLVLDREVRALRDQFVTINYSKLLYNGLYFSPERE FLEQAIPASQKSVNGKVRCRAYKGNMIILGRSSETEKLYDMSESSMDEIGDFAPTETT GFIGVSAIRLKKYGQMKQAAGEKL ANIA_01884 MAPIPWGMLYVSVVGYSVLTSNLFTFFVLATALTALKIVYASFL YPEYLTPIKHIPTPPLTAQKRSWVRGNTDTYVVISPFEGMLNWTKSVPNNGLLRYYII GNIEQVLVTTPKALSELLVQNAYDYQKPESIRISLARIAGEHGILLVEGQEHKRHRKN LMPAFSYRHIKDLYPTFWAKSVEMVKCIEKDLQDRRDTGDITVTVRPWASRATLDIIG LAGMDRDFGSLADPQNELAAQYHRILEDPPLWLKLLFAAAFVLGNEELVMALPVKRNR DIAEGAKYVRQVAQQLISEKRERIKHNPEKAEGGGGGKDILSVALNSGNFTDIELIDQ MMTFLAAGHETTSSALQWSVYALCKHPDIQTRLRQEIRSNLPSVSSNDPKPITAEAVD SLPYLHAFCNEVLRFHPSVPITFRTTTRDTTLAGTLLPKGTQLTISPEVINHDPDLWG PDAHIFNPDRWLGPGRANTGGASSNYALMTFLHGPRSCIGQGFAKAELACLVAAMVGR FEMELADPGKKLAVRRTATISPVDGVVARLTPLDGW ANIA_01885 MPPAATEATETPQTQLTLAGKVIAITGANRGIGLGVAESCLTNG ASHVYSIDISTPEPNSDFTSLSDRFPGKLHSITANVTEEETIVAAIDAIIAEAGGLHG MVVNAGRTHHKAALDFTKEEIDTLFSVNLYGAFFTARAAAKAFIKQGIKGSVVFTASM ASYRPNKRVPSAPYGASKAGVRNMTHTLAMEWAQYGIRVNSVSPGLVQTAMTYWVPQQ PDWEQQLKYYGGIPRLAQVQELGASYTTSIDIPVNGVIGRFAEYRQWS ANIA_01886 MALPAWNILCGKTAAIRWAQPGLDAPSPSNTSDKDVESLRKQGA SAGEVLEIVGDVTDLKTSTALIDEAVKRWGKLDAFVANAGVFRQAELFELEPDLLNHS LDVNVKGTFYSCRSAARQMVKRGHGGSIIAISSVSALVGGRLQTPYTPTKAAVLSLMQ SRAIALGKHKIRCNALLPGTIITQLADHDIKDPAKKAYLEARIPLGRVGEPEGMTGPA VFLASEEMSRFVNWSGLLEDGGMFCNLQ ANIA_01888 MDDWKEANTHLRILRDAEAGGYGVMATISYNIEQVKGMIRAAEI ARSPLILQFFPWVITTYDGMLVRAAAEEAKRASVPISIHLDHAQSEELIERAAELPFD SIMVDMSHHEKEDNLRKTRHWVRHCNERHIVTEAEPGRIEGGEDGVMDTAGLEARFTT AEEVDEFAATGVDTLAPSFGNVHGEYGPQGPRLDFESIRFAKVRERANGRVRLAVHGT NGFSPELMKQCVAAGCCKINVNRCVLDDYYEHLRANAGKMPHTQLIEEGMEKVTQATI KWMEIIGSVGRA ANIA_11318 MPPRSLRFRGVSIPINDAPQVYAAAEKKDGGFIRNLKLDEGLGS SDLVAGLKVLSISGGESFKGGLSCWEVELDQ ANIA_01889 MALYGYDQGVFGGVVISPDYLRVHELEGPSRTNVLSTVTAIYNV GCFLGAVSATYAGGKLGRKRSAIPGIAIMTIGAILQTTSYSVPHIIVGRIVSGIGNSI NSSTVSVWQSETAPARLKGKLVILQNALLLVGFSMSNWIIYGLAFANGPAAWRFPLAF QLVFIIIMIYLVIPWLPESPRWLIMNKRDKEALKILSDIEGKPMTDAFVLSHHKQ ANIA_01890 MSTQHFLRPISQAGRLRPIKFWKSSTIPSRQIGNYAGKTFTVNT GAQIPAIGCRTFQDEEQQEGAVLEALKAGVDLYLLHHPCTFARGKDRFPKGEDGLMRM GETTYVDTWKALQEIMKRTRKARAIGVSNFSRDETKNLINAEMVSFFLVPLSQAYDGG NMNSFYRDVYWSNRRANLGRLLDEPVLSEIGAMYSKNPAHVVLAWSVNHGRSVIPKST IPWQIRQNVESDFVLEEDMERIDELNADLRFNTPQETYRWLLYEGLD ANIA_01891 MTLKPTFKPTLILHGGAGAIHRSTLPPELWAKYEASLLTYLRAT RHLLDNGARALDAAIHAVSLMEDDELFNCGRGSVFTTAGTIEMEASVMVTTVRGEDDV PNNSDTMKRGAGVIGIRNVRHPIQLAREVLTRTGQDENGDPKGGDGSRNASMHSQLAG PYIEELAREWGLEFKPDEWFWTKKRWEEHRRGLEKRQSQVESEPVLGYGYPSQGTVGC VCLDQWGDLAVATSTGGLTNKLPGRVGDTPTLGAGFWAETWEVEVGDHSSSLPMRQPE RMQYRQSRAEQNPLLRARQKSMWDITLADCWPDFYHFFKDRPSFETDPYLDTTVTLVK PSHLDIKSRCLQYQKKRRAVAVSGTGNGDSFLRTAAARTACAMMRFSHETVSLADAVT AVAGINGELQRSAGRRWGKTGEGQGGIIGIEAEFDVAAAGYGLRRGKVVFDFNCGGMF RAWFEEGVDGSDIEHVMVFKEPYR ANIA_01892 MDHSRDPCPWVALSDFGGAFCMGAIGGAVWHGVKGFRNSPYGER RIGAITAIKARAPVLGGNFGVWGGLFSTFDCAVKGIRKKEDPYNAIIAGFFTGGSLAI RGGYKAARNGAIMCAVFLAVIEGVGIGFQRMMADQTKLELPPAPPSDKAVA ANIA_11319 MSPRRSLGDYRAQCRYNRRLRRSKGDDVHAYVRGVTRSTCRRGL RKDWCNEP ANIA_01893 MEARRHSRPGNSSERTYQRTYKACIPCRQRKAKCDLGELPDGSP IGPPCAKCRREQRECVFSETRAWERRKKRGRCPDNDHRDNVDGYTESNLSSHQPTAKN SHTRQLSASTLENSMMRTVVSSGNDALNILFEAAAHSQEADLAEARMDSRDTSRAVNA MSYENAFSQMHSAVPTGIFSMAIRPVEISNASKEVLTTWETCRFVMMGWFTSREAVTL IDLIVVDLLRARFYENMSSLSPILTDFYADHRNHRELITCDPVLCCTMLMLSSRYHIL PGPGGESRNFFIHHRLWQHCQQLVTRLIFGQERTSNSKLRRIGTIEALLLMSEWHPRS LHFPPESDGWDSDLVIKAPQPRDEDVSSKNRFLEDMVEPAKRSDQMSWMLLGAALSLA HELGIYEVNEDKRSWSLAYEGYIPSDQIKLRRQRVQRLLYVYINQLAWRIGCVSLMPQ SLNHAILNRQTSRNLKHYNDQWLAFMDSWMDLTKLAKSVTDVFFPSADFARQQLRSGR YIDMLDHFRPLLLKWEEDHLRPEVLNKRFYNDLFIEYHFVRAYTHSIGMQAVVERVLA DSDPDVEIRAASIDQVDYEYIQEVIDGCCQVLQKASELGEAGALKFSPVRIFLRITTS SIFLMKALSIGTRHAKLRESLDIIERCIQALKSNALDDIHLSTRYAALLETHVSRLRR NLLASKATRSTNGYTVRSPLADTGAEDSTPTIGVPAGQIVPELGSVPTFQNMAADDWL SLPFDPSMAPFGMSGGGQFPAYEGGALNFIWNLPS ANIA_01894 MLFADLPEELLWHIFITYFGRDSHTLVLLATLNKRFHRITTPIL YSHVTLSLVDGDESRKVRRFIMSVFSSPYLAQCVRSLDLNELSWVPHQSLSRRRKELV ARMMRGDILGRPDRLDMFKLVTVVRRLPLSDKLKHKWCAELQEVAPSLDSLIALLFVF LPSLEKLESNWSLDPMYIWHLLPQADMGKVESSRSPSPFVLRNLTHLKVNSETPCGNS SEILPLLQMPSLTHFFGSNWGPIRWDGGVAGVDIQAKGLRSGKTTSSITHLELRYCNV DMQSLRTILRSCRMVKTFIFHRDWDPRVHVKLSGASLSKALRPLRKTLENIALHFEPG LYIHQEGEIHPLDFSQFSVLANINVAAGYLIHDPEDFDSYEFSKTYGSEDEQEPINVP LHDRLPESLEILRITGFSTPQQLEFLLKDCCGMLQHRSRFPRLRELSIEANFDEADAV FDTSALQQEADRAEVVFRKINTADYPDDGIDLLTPAGRNWGMDGEFKWSTKLF ANIA_01895 MSTNSDLRVTLYTYFRSSCSARLRIALALRSISYTSVPINLLKG EQSSTKNTAVNPSATVPTLIIEHVDRSQSPITITQSLAALEYLDEAFPDNPNPLLPPI SNPQQRALVRSLASIIACDIQPVTNLRILQRVAPFGVDRAAWSKDLIEAGFAAYEAIA RDSAGVFSVGDTITMADVCLIPAVWGAERAGVNLGQYPTIKRVAEALEKENAVKEGHW RTQQDTPTEFRC ANIA_01896 MASWLQIPKNSPFSLANIPFGIISSSKLSSRVPAIAIGDYALDL SKFASSGGFSQLPVIQPHLNVFNQSTLNAFAALGRPVHRQVREYIQKVFSTETPFPQI LRDNAALQKEALLPLSEVTNHLPMQIGDYTDFYAGLNHAYNVGVLFRGPDNALQPNYK HLPVAYHGRASSVVTSGTPLHRPQGQILTNPAANPKLPTFSPCKKLDIELELAFFVST PNDLGHPVHIDKAEDHIFGVVLMNDWSARDIQAWEYVPLGPFNAKNFGTTITPWVVLI DALEPFRTVGLEPGNRESLLPYLREKRADTAYDIPLEVEVTNAGGEPTVISHSNAKNL LYSFPQMLAHHTITGCNLNTGDLLGSGTISGKENQTEGSFLEQTNGKNPIKLADGSER LFLEDGDTVILRGMAGTEGNYVGFGDCAGTILPPVQLDL ANIA_01897 MPVTEFSFKDPYTYQNGFDSYHESEAIEGALPVGHNSPQKAPYG LYAEKLSGTAFTAPRHENKQTWVYRILPAAAHENFVEEDASSYHTLSDAKKLQHIPNQ LRWDPFDLDETVDWVHGLHLVAGSGDPTVKQGLGILLYAAGKDMGKEAFYSADGDFLI VAQHGVLDIQTELGRLLVRPNEICVIPRGVRYRVTLPDGPVRGYICELYQGHYQLPEL GPIGSNGLANARDFQAPVAAFDDEEGPTEYRLYSKFNNHLFSARQDHTPFDIVAWHGN YYPYKYDLGRFNTMGSVSFDHPDPSIYTVLTGPSDHVGTAIADFVIFPPRWLVAEKTF RPPWYHRNTMSEFMGLITGNYDAKTGGGFQPAGASLHNIMSAHGPDMHAFEGASNADL KPTKIGDGSMAFMFESSLMVGVSEWGLKTCQKVQEEYNEHSWQPLKRHFKDPRKAQ ANIA_01898 MSATTINSSKPSLTTNDSAVLQALFDAESSPSSAVTVDLSLPQL PAHLGISLEEHTALQAREREIITPLASTTQPTPETSQVQSAINALDAIINEYPRYPSA YANRAQALRMLIDDENELKQKSASETASRLSSLLSDLGNAITLATPLSPADAVSTSQA RLLADTHTHRAYLLLKISRQLRNGDEHEIKFIPDTLKEIGSEGLEEMASRDFFFGGRY GNKVAQQMAVQTNPYAKMCGAIVKEAMRKEVEGV ANIA_01899 MAPSAIDPPTSTSLPSSISSYRGYDHVHWYVGNAKQAASYYITR MGFKRIAYRGLETNSRAICSHVIRNGDITFVLTSPLRSLDQISRFTPEEQDLLREIHH HLEQHGDAVKDVAFEVDSVDAVFNAAVANGAKVVKGLTTVEDENGKVTMATIQTYGQT THTLIERGAYRGTFLPGYRVETSLEDPISALLPGVLLNRIDHCVGNQDWNEMDKICEY YEKALGFHRFWSVDDKQICTEFSALKSIVMASPNDIVKMPINEPAKGKKQSQIEEYVD FYNGAGVQHIALLTDDIIRDITNLKARGVEFIKVPDTYYEDIKIRLKKAGLTLHEDFE TIRALDILIDFDENGYLLQLFTKHLMDRPTVFIEIIQRHNFSGFGAGNFKSLFEAIER EQALRGNLV ANIA_01900 MSGSTTPKTTTTKASQRPISRTESSNPRAFQINQLRRRFRPTES NDESGTTLSFELIPSDPDFPFELERLQCALYVPLTYPARGRPSLKVANPEIGAAFQEN ISRGFDDIVDSSLRMGGRGTLLNYMNSLDRHLERLLTTTERGPTLKFVQNARKEESSK TLVDNMNSLALAQGAESRRDGSPAPVTAPSPAPAEVRPVYTAEERAQAERRRAVETKQ LEARLQRLPLFQKARDSLSFVIPVQPTKVDRLPIALRPVKTVKLSVPLLYPLEPSSIE IQGIHGNEARAVEAGFSQWLKDHLHLNLVSQVNHLASNIHNFAKTVVTEPDEPKQPEL ETKVLEEPQPPVEDHSGTNEDKPHIHVIQRPPEWTVSNGASGSEETDITTTEDDCSDD ETDGGAPVPDLPEPATEHGVALSFPFLELYGIELLELVGLYITVKCDRCKEQLDVRNI PQTKDSSGVLSPKVEVCKKCTNPMSLGFRRLLMHPNSNRAGYLDLDGCTVVDLLPSGF IPTCAECSTPFPGPGVVAVRGESAMANCRQCHRKLVFKIPEVKFLKVGSAAFTSRERA PARKKPKEVLGIVAGQELPRRGRCMHYSKSYRWFRFSCCQKVFPCDKCHDAATDHPNE HANRMICGFCSREQIYRPENCGICRSYLIGKAGSGFWEGGKGTRNKTLMSRKDPRKYK RRPGSTPGGTSSSKKK ANIA_01901 MLSPTLFSAYVLVGAIVAVLVNVIRQIFFRNKNEPPMVFHWVPF VGSTISYGMNPYKFFFSCREKYGDIYTFVMLGKKMTVYMGVKGNDFILNGKLKDLNAE EVYSPLTTPVFGSDVVYDCPNSKLMEQKKFIKFGLSQSALESHVPLIEKEVLDYIKTS PRFKGDSGVLDAPAAMAELTIYTAGSALQGKEVRKKLTAEFADLFHDLEMGFTPINFI LPWAPLPQNRKRDIAHARMRETYMEIINQRRKNPDAQDHDMIWNLMHSTYKNGNPVPD KEIAHIMITLLMAGQHSSSSISAWILLRLASEPQILEELYQEQLANLKRDPRTGAFEP LQYKDLDLLPLHQNVIKETLRVHLSIHSILRKVKNPIPVPDTPYIIPTSHTLLASPGA TALSDEYFPNANMWDPHRWENQRPDKEDEEGELIDYGYGAVSKRMSSPYLPFGGGRHR CIGEKFAYVNLGVIVATIVRNLKLYNVDGKTGVPATDYSSMFMGPMKPAVVGWERRFP ARS ANIA_10245 MVQLGQPGVRQCFQVFGFIVNGLRKVRQGAGTDLVHKAVILNLV RINNRRPFTTAPQLP ANIA_01902 MPSRLSTPVLTVDTAKIHKVDTANTQSLHGMWMVFSKCADFMEE GRRLENLSWRLWTRETFCVEPESSSDTSVLPLLRSEAADLPELSASVESAASDQAERI EKHIKRPREDYKPAVVREDSFSLGRAKEKHFTSLDLERMVLNIKEKKTLEPISPVATL TQPVVDITPRTSTPTPTPPSNTTLKRPTFALNERQKHSTESCSTTAPDGNDSDAANVN VNGSDTSVSSSGILPSKPQLIKSPSIVRGFSPSQISSSYRSQPRLSSDPDASKTQVPA KLSPLKKKGNMFTLGGSSGDEDESSFEDRMMQAPHRSSLSDQLEKRPGSNLNPKNKVA TFKEQVGNLKSMKERQSGNDEDAIETDDDISESAIEDDEDSDWEDSITESGRSSVDER DMFQRVDSRPNLVSRRSLLTMMMHQPLRMGDASRSTPALQRSRLTSPNGPSIPASPPD DDEENLTMKGPGVPRSRPIIMKPTPQSVAHSPRTTRRNMLATELTESLRRHLLWERQQ KSATANAFKRRHTTHDMKNLKEYPGPQNQQVAMPSSNGVADKDVAKTGSFNHYTDFGP WEYHAKGW ANIA_10246 MRFISFRHGYGSIRQPVRKTVNLSPFKLLRGIESNLPFFFWWLS LRFVFALTGTHIFAQLINLLCHFMC ANIA_01903 MALRDKRPKCIGLSPRFRDRGPSPEGSLGLCQLGAKQAWAMSFL VLKVLGLLPNTPLTNTPMPEGESDGPGYRGALGALRRRHYKSLQSPTDFQANDN ANIA_01904 MAMQLDLSQASVMKDEQGRPFIIVRDQGKKKRQHGTDAVKSHIV AAKTVASIVKTSLGPRGLDKILISPDGDITVTNDGATILSQMEITNNVAKLLVELSKS QDEEIGDGTTGVVVLAAAMLEQASDLIDKGIHPIRIADGYDAACEIAVAQLDKISDEI KFTKKDTANLLKVAKTSLGSKIVSKSHDQFAQIAVDAVLSVADLERKDVDFELIKVDG KVGGALEDSLLVKGVIVDKDFSHPQMPDEVTDAKLAILTCPFEPPKPKTKHKLDITSV EEFKRLQEYEKEKFTEMIQHLKDSGANLVICQWGFDDEANHLLLQNKLPAVRWVGGPE IELIAIATNGRIVPRFEDLSADKLGTAGRVREMTFGTTREKMLVIEECANSRAVTVFV RGSNKMIIDEAKRSLHDAICVVRNLVRDNRVVYGGGAAEIACSIAVEDAAVKSPGIEQ YAMRAFADALDAVPLALAENSGLSPIETLAAIKSRQVKENNSRLGVDCMLTGNNDMRE HFVIDPLIGKRQQLLLATQLCRMVLKINNVIISGDDQQEY ANIA_01905 MPPSVAVLSDDESTGESIPYEEAEDKRNGVDDANHADEDEDEDE DEDVYVVEKILGHRFKGDGTLLFQVKWQGYDDPRDETLEPEENLEGAQELLEEYFKLI GGRPEKPSKKRKSVGRPPKAASANKPEPKRRRRSRAADTEETNTSEEKAKIKESVAPD WVPKSKNWENEVKTVDTIVREDGGLVAYLHWNNGKKSKVSIETCYDKCPRKMLKFYEQ HLVFKDSDA ANIA_01906 MSHNEQHGGFVKLLEKDADVQIVDHRRKNLPANAYSYQFIEKSI QKGRLENLEAYKAGPSTTRPVGASNIPTKGQRAAFTIEEDQLIYDYMQHYERDPLSSV MGNKIYQLFAANHPGHTWQSWRDRYLKRLRGRPRPGGMSKSNDATAVREEDRKSQPIP APTSTAHPVVPATQRDETSVQPRERKRKRSPEPTVSGSRTSNGTLSTQQRTTNRHPTP SAPQVATISPASASQQRKLPQLDTLPSPKRVKTTTTRISGIILPQENGPTEEAMDKDP ADESNTSIDSVFLELPFLPSSPVAEEAPEQDIDSWIDSRVRAGKGSEDQVIEALQCTS MDPDLAEKVLESLSAGKGIPTNMRGVWTAQDDRDLEAQNTRDIQRVIEKHGDLLNYRW DYLNMTRAAAR ANIA_01907 MGLLRTIALVILGFSAFIFTVLFGRLPVFRKTPIGLLHRIIWLH IPHGISYIDARLFNGRILRSWGQAGNYILYENHPLVLIFFTTILVIGELIFIPSAWPR ISVMHQLYIPIIIALPYYFLYVSVVTKSYITPDNHAEEMKRYPYDKVIFHPGHSCETC HFLKPARSKHCSYCKRCVSRQDHHCIWLTNCVGLNNYHYFLYLLLSLSVMLTYGSWLG YSLLSQTLDRLIPPSSPVRLRKQSWPTFLNMWAAVVAYDTRIGGVTMLMFMTAPLAFA FLVYHVYLIWAGMTTNESAKWSDWKDDITDGMAFKFIGDHKRSDSPLLESAETADSWP GYSDQILVLTEGDPPKEGHQVHKSSNDVIQPTNPDAPIDRRFARVRSMKEIDNIYDLG FWNNLCHVFGNYAAGKAHRA ANIA_01908 MAERASAEMKPKCTPAELMLKVILMGTLSHYETRGMIDDKRLDH MLSAGKQILYKTHQESDVRHGLGLSPDIWQGLTDVFTKAIPVLESQSFIWKSPPAANY EHSSSNLIAYNYFSLVKDIERLNDLCTIARNLLATTKKAQNMAAEKGFDQRVLALVDT CVRVTARGFDGETNARNEERWQKVVNLYKRLLITCLQFLHNFIMHNEQRKMVLWLDLF GYHSTGDSNIIQPKEPLDQASSQPEGLAPIVKTGERIVNPPIRALYDQTAEDLLLETI SNFPREPATIKEEAAMLLLANIKDHMEKLLGRDLTAIQEMGKDPDQVKEIRAALTAIL GAKVDGWSDLQDRAKDLPPALPDDEPPRKKAILTIDRSPTAGFPRICWSDLPDLNEYG VVAAGDAPIAEEDTTMPRSSQSAAETLQEAKDELMARLQEASQMGDERDHDYDAGDAG TVGDDDSRSLEAVADGSIDEEEEEDDEDDDDYRGRPGDQQRGLLTDIPLVLGPAEIEA LPMIIQAGIVDSFGLKGGERTGSRNMQALRCHILLTQETGRNLLRELLIFIAAWDLPD DELYFKMMVQIMDAVLRNGLMSHAYSDFGQPKDIISPAQAVVVKILTHIFRAKYSPAS VTGSGQANATKNPAPLSRVDVLTVRYIFTIFRGNIIPETCALIYLQGQIRAGRALPED FPLNLWDMERVYEGVYQFLEFFAVLTENNDWKNLLVKWEIVYDLVTLIKELEASIPKG QLSQLNLGRNSPSREQQQSASPGPIAVERPYDPSDPDPTDGGTASRPESPPITEDPSE FEWRNLKKLVVLVLSSLVWKCPEVQDQIRRYGGVETILSCTNFDAHNPYIKEHAVMCL KFLLEGNRENQKLIEELEAREVRDDNGMLQQRGLEAVIDKTGKLAIRPRDGQSSS ANIA_01909 MSTYEVEHNLTSDPSTSQSQSTTRRRRPDLSTFFATLSEITPDE SRSRPYAVPVPGDVSAAFYSLAEALNIMRREGGGGNSGATGPAGDIGSFPTLNPDGTP IRVHGDDLLAQMIQTLLRDAETPPKEVEGVNEEFCDRLDRVPRSSLKPEQACPICSNP FLDDKYPLVVRLPCHPTHLFDLECVRPWLRLRGTCPLDRTDFAKQERDKIEERKRIAE QDDEEEWDGMYG ANIA_01910 MRRKSVKPSEYPALPFHFIRFVLFLSSLLVAIILAVFAYKLHQA DQKFPWAFLVLIIAAFLSLLNLIFTTILHCCYGLSPRLSLITNSVVFVIWAVAFVLLA WAVSHTILTTCNATYWATSTGIAVCRMFKALFSFTIIGAAALITSIILDVIAYRRQTR LGEYDPMALGIEGHSLAEYKPGAHNRDSSALSGSFPHPAIGAGPGLVDDDRAPLVSGG RYDASGHLRGRSEEMDIGESRPLHQPPPYASNPVLERYSDGPQHPHSGMRMSAYDNPY GYGQQTSYDPTAYR ANIA_01911 MLQVPVREYNSVASGVSTKAIILVGGPSRGTRFRPLSLDVPKPL FEVAGHPIIHHCLKALAKVPELHEVILIGYYDETVFRDFIKDSAKEFPQFRISYLREY TALGTAGGLYHFRDPILKGKPERIFVLNADVCCSFPLGEMLRLFEEKDAEAVILGTRV HNDAATNFGCIVSDSHTKRVLHYVEKPESHISNLINCGVYLFATECIFPSIRSTIKRR TTRPRLLSYPSSDNLEASFVADDDSERTEVLRLEQDILSDLADSNRFFVHETKDFWRQ IKTAGSAVPANALYLQKAFQAQSEELAAPSATIVPPVYIHPTASVDPTAKLGPNVSIG PRAIVGAGARVKDSIVLEDAEIKHDACVMHSIIGWSSRVGAWARVEGTPIPMGSHSTS IIKNGVKVQSITILGKECGVGDEVRVQNCVCLPYKELKRDVANEVIM ANIA_01912 MPSSSLTIRSLTPVGNTEMDRSIPTAEITSPKQEEASVESISSK SLDNLTQSMTNLVKKIKNLRHLGIEDSHITLPKICVIGDQSTGKSSLIEGMSEIKVPR SSGTCTRCPMEINLSASKRGEPWICRVYLSRKYMYDGSGKMTKPKKNQPLGPWLPMEQ EDEHFITITDKSQTAEAIKCAQLAILNPGSTPANYLPSMTGETDPQDCEVKFSPNIIR LDISAHGFPNLSFYDLPGVINQVESDKESYLVKLVENLVKDYISQDNCIILLTIPMTD DVMNSSAARIMNDIRGAKKRALGVLTKPDRVQAGDSYTQWIEILEGVKFRLEHDYYVV RNNPDPSVEHSIARQEEDDFFTSPPWVSELAAYGDRFGTRNLQTALSKLLFQQIQGCL PLIIEEINKRAYRIDEELSRLPAPPSANVPFILCKKLHTFEERIHSQIDGGSREYPLQ KIWNNIAEDFKRALAKTRPTVKLLADLDKQKIASTRDEDDSECEVVQYSVKRKLPGDS LGNWSPGARNGMKNPSKYHTAHFEKFSSPAREFTWEAIREINKDSASAGIPQQVNPRA IDYMNKISVAHWRDPMVTFINASHQLVKDKLLHELNGVFVQYTQTGLFRELERIIKNY LRKLHVEHLAHVDELYEIEHCRPFTMAYSQLNQAAEDCQKQLQSKRLAARANHYLDLQ GKFPRDDPRRENERKKLGLAELGADDFALEVRMMATTRGYYEVASSRFVDSVCQTVHT KLFMKCRENLVKTIENELGIGDENAVEKCNELMSEDVERQRRREYFERQKEKVMKAQE WLNAENGTTDGEDELMGDYEPAVKTELLDTY ANIA_01913 MTDSNPVQEAEASMANLLLDEVTGEKVSKSELKRRQKLREKEAK KKEKEAAAPPKPAAQKKVSAEDEEANLTPNQYFEIRSKRINKLRETKQPDPYPHKFQV TDDLRKYLKEYESLAKGEQKPDTTVRIAGRIYTKRSSGAKLIFYDIRAEGVKVQVVCQ AQNASGAVSFEDQHEHLRRGDIVGIVGFPGRSNPKNRPDGELSIFATEVVLLAPCLHA IPSEHYGFQDKEQRYRQRYLDLIMNDKSRNVFITRSKMVTYIRNFFDQRDFVEVETPM MNAIAGGATAKPFITHHNEHDMNLFMRVAPELYLKMLIVGGLERVYELGRQFRNEGVD LTHNPEFTTCEFYWAYADVYDVMNLTEELVSGLVKHITGGYETTFHTQTGEEYKVNWK APWRRVEMIPALEEATGEKFPPGDQLHTAETGEFLKKVLKKTGVECSPPLTNARMLDK LVGEFIEETCINPTFITGHPQMMSPLAKYHRQNAGLCERFEAFVCKKEIVNAYTELND PFDQRLRFEEQARQKDQGDDEAQIIDENFCTSLEYGLPPTGGWGMGIDRLVMFLTDNY SIKEVLAFPFMKDDKTAAEGKSAAEVVGIQPQPEEGIPHK ANIA_01914 MPTKDRREARTFSQTVPPLPPSDHFKCKWKKIQASTAKCDVCGK RNTKGSMVGCEHCDWQTCHGTCPADPKCMHRRDGERCSHHCDHESHHDVAPANGSRRA RVTAVNVGSARPRCIHAAVRGRACESTNEGVSSRPASVRRGASVNAGASNTSLLSDHD GGFSVRIAGARRLRVGRQIIASALFSRPPSASVSGDLAAEENPRMIPSITDSSTNASH RNYDSFAQSDLTAARSTPSSPPFNGKGNTSSFGTGSTHSTNQADGDTVLPASNPSSIF RHPPVTSICNTASENACTSCCEEALQFSGANLTKEDLDGAECLLALRKYADIKLYMAQ CGKRSSHDVKNTRGYAFFLARKDRQWWERANISRRRDTAHDVCRNMDGNGDDKEDDRE YEDDNTPNKSNIG ANIA_01915 MDATFLRSKARKAFARYASDSAAVNGAKPEASKSAFPDVSSKSV AYWLLASAASVFGIVVFGGLTRLTESGLSITEWRPVTGSLPPMNAEDWESEFSKYRAS PEFQLLNPTMTLSEFKSIYYMEWIHRLWGRFVGLSFVLPAVYFVARKKVSKPMVLRLG GIAGLIGFQGFIGWWMVKSGLKDDLFAPGSHPRVSQYRLTAHLGAAFVCYTAMLWNGL AILRSHRLLSDPEAGLKLLDSLKDPKLKLFRRSVAGLALLVFVTAMSGALVAGLDAGL IYNEFPWMGNGLAPPMSELFDDRYSRHEDRSDRWWRNMLENPSLVQLDHRILAMTTFT SIMALWAYTRRSPNMKRLLPPSAKKGVHGVVAFACMQVGLGLTTLLYLVPTPLASAHQ AGSLFLLTWVLVLGSRVWHPSRTAKLLQLAAKARGNSLPNVTRQAAPKL ANIA_10236 MSYAVETKKRKFHRVLESLTKPSSPGSSQSSSVAAAQHTPSAKR ARLSVKDDSILTSVRKDVLKLARSASRASSVSPQSRPSFVPWDRERFLERLETFRRVD RWSPKPSAVNEVEWAKRGWICTDVSRVTCVGGCGGSVVVKIPDELDELDGYDADKIQE RNEVPTIHRLPLTNPDIAISNLQSRYSHLLKMADQLPEPDSLQVPVGFSTRDTISILP PGLLQTSEELHETTETQQCHSDDNQNQDPVSQPSVQSVPQINEAAFILAFLGWDSVDG TQGLAGCGACFRRLGLWMYKPKGDGEAAVPLDVASEHMEYCPWINARAQSGTGRPAGK TDKLHSGWELLAQALKVKYLRQIRSSTPVGSRAGSEAPSADEPAIDEQDEDVKRAKDR EWWARIRRMRQVLNVKSPKRKQSTA ANIA_10239 MESPRDPQSSRPVHTSAGKLESGGSPTAAANGQNGSPVDNKRRL GEKEDTSKAAEDRSGPKRRRVQERHQKRRPGRSPHSVYSRRDADEIAHSPNRNEQSRR SPSPLPPRRSPTPEAQARQRKRPGGGARRGLVDPETIRRRQEERERAQENDAMRYSLS RGVTDIVRQHYNAVPQRGREWRKTESKIKGLRSYNNWVKSTLIQKYSPDEEFVSRTVD TKDWANDAALPPVDEKRLLVIDLGCGKGGDLGKWQLAPQPIDLYVGLDPAEISIDQAR DRYAQMRTGRGPRQRRGPIFHAEFAPKDCFGEWLGDVPIVQQVGIEANVGPGGSLMAS RWGGGGFDVVASMFTIHYAFESEVKARQMLRNVAGCLKKGGRFLGVCPNSDVISAKVA EFHAKRKESEAEKKKEAAEPEDGEVEEDIKKAEWGNPIYRVRFLEATPEDGVFRPPFG WKYNYFMEEAVEEIPEYVVPWEAFRALTEEYNLELQYRKPFLDVWKEEKNDSELGPLS ERMGVRDRATGELLMTEEEKEAASFYHAFCFYKV ANIA_10240 MLATPGSSHLHNPASSSSHRNRGGSTAAATPSRSGTPPLPQYEP PIAPLTDTGRQALLALLRAQNLRQLKTHIGHVETKLTDAAGEVNERLTDAKVRAKKRA EREKEKGKEASRFTTGNEDGDGGENSVGEEADEAAELRELEESVEAVTRRLDKNMRRA IDSAVRVDGLGEVLGKLQVETETSANASSQRQRQRRRRRAEVEEEDEEEDDLYEATPE PEVDGEVLSLREKLERAMAEDQAKWNEMTLTERYSKHNDYVGFYRIIHEAKNPGEDMP PLPHASTWFAHLEEPNISSGSSSDAAPSRNTRRMPRNPSPASDSDDLAIERERISLRC PLTLVPFRDPVTSTKCPHSFEREAITSMIDQSAMTVPAAASRSGRGGRRIRAVQCPVC SEVLTNNDLREDPVLLRRVRRDEARRRRELEEEEEAELVLSGRKKIRQSGITLGSDDE DDGGESESCNRAIMHIDQVRIKQERARSRGVTEVENHEQEEQTTATESETQD ANIA_01917 MASTTKESAKPAVDFLHHPYTRAALPFINGGLAGMTATVVIQPI DMIKVRLQLAGEGVRTGPRPSALGVARNIIASGKVLDLYTGLSAGLLRQAVYTTARLG FFDTFMKALTKNADTANRKVTFAERAGAGLTAGGIAAMIGNPADLALVRMQSDGLKPP EARAHYRSVIDALFRISKAEGVTALWAGAFPTVVRAMALNLGQLAFFAESKAQLKTRT SLSAQNQTFAASAIAGFFASFLSLPFDFVKTRLQKQQKDPKTGQLPYKGMFDCARKVV RDEGWLRFYRGFGTYYVRIAPHAMVTLIVADYLNLITK ANIA_01918 MAPGANIHIPPAGPPEPGPLYSDFYQQQIERQRNNNYHSTSLRN MVATSVNRTALHPGGVQPGKGHTELEEELHEHAHIDYDRVAIIANPSVAALYEDALVY ETGTAITSSGALTAYSGAKTGRSPSDKRIVKEESSEKEVWWGPVNKPMTPDVWRINRE RAVDYLNTRNRIYVIDGFAGWDERYRISVRVVCARAYHALFMRNMLIRPSAEELKHFH PDYVIYNAGSFPANRFTEGMTSATSVAINFAEKEMVILGTEYAGEMKKGVFTILFYEM PVKHNVLTLHSSANEGQNGDVTVFFGLSGTGKTTLSADPKRALIGDDEHCWTDRGVFN IEGGCYAKCIGLSAEKEPDIFNAIRFGSVLENVVFDPISRVVDYDDSTLTENTRCAYP IEYIENAKVPCLSDSHPSNIILLTCDARGVLPPISKLTTEQTMFHFISGYTSKMAGTE DGVTEPQATFSSCFAQPFLALHPMRYARMLADKISQHKANAWLLNTGWVGAGATTGGK RCPLKYTRAILDAIHSGELAKAEYETYDVFNLHVPKSCPGVPDELLNPKNSWTATTSF SDEVNKLAKLFNENFQKYADQATKEVIAAGPVVQ ANIA_01919 MNPVLSDSEAYDEKPSISFTSLGLLVLDEIRLPGQEPLTDILGG SGAYASLGARLFLPTPLSRSLGWMMNIGNDFPELRQRYLESWGATMISFIYARIKANS SSAKDFMYTTSILQVDVSSLKSTPLSRSKAYHFLESPANIRSRASEILALRQGFGIPN PLIIWEPAPLSCKAENLQPCIEATGTVNVFSPNHLELARLFGEPLSTRFLDKEKIQSM ALEFLNTNEGIGPDAKGFMIVRAGEEGCLVCARHIPPTWLPPFYRYGHDKVVDPTGAG NAFLGAFTIGDLLTEDAVQAACYGSIGASFALEQVGLPRLTRNEGASASAELWNGENV LERLSEYKRSIGGAEVLGHIDHITSTAQSIQDSRMLLEPPQRAKPAASSLHNAGYFMR DPHRLIVFVLVKHRLPKQQLLKSSGGLVIYINEELVIQETRSKIWADPEDHKTLIISS VAALTKNDRPLNNETPR ANIA_01920 MLHPILETSALNVILVVTCLYVLIIGFISLKVKQRWYLGEALPA FAVGAAFGPSAANLLRVPHYRSNESESTTSEVTYALARLVIGIQLVKAGYELPKRYLK RRLKEMTLCLLPLMAIGWVASSACIKLMVPHISFLAALIIGSCVTCTDPILSQAIAKG PFTDNYVRRHLREFISSEAGGNDGFGFSFLLLGLALLRYADTPANAAVLEEFDLTRGG ADLLGATDVGRFGGGAGEALKHWWRLWDYCWLHVPKGPYFFIQEVVALPLVVKVHGSV LILEQEVDRLRQLYTGSCVAGSVHCNMLNWDGMYNAELQTRHDSFNSSLETILNHITF GYLGLGMMILVFRRIPAIMAGYRFMPEICSNWKEALFMGHFGPIGVGAIAYVEYARRL FPDPGESDEEINSLTAAMRPVHGLSVPILYVIYKASRVPKVHDHPVEVVLLSKNEPLP NNSTVDRQRHSVLVNNQFAEPTHPSVLNDDSDDEKESSYLQESCERIRPRSERSSMSY ISETPIPQEASQRLNHEVNPRNLV ANIA_01921 MVADALVYHPALAHYLRFVATTVGRDKLLRTLQYFSRFYAWYLY RTNQPQTAIAPYNAVKKQFGTTRKILRIGKFIEHLKAAALAADNKGPIDPVLRYLAIG RQLGYAGYLSLDTVTVVDVIGFRKLASVKRLQDSAYRAWFSGLACSVLAGVYTLWRLS QKQKTLDLKEGEGVVEAKKLEKERSAARIQLISDLCDLTVPVSAIGLANLDDGLVGIA GTISSLIGVWSQWRKTA ANIA_01922 MGSDPQYIKFPDLSLAQHVFNLSNPACPQTLRQSSQKKLQEAIT EKKMAPFYRHLAHPVEGILNHSSEGASQPPSSSAVKPLSTLASRRLSQKIDFPWDEAL YQSLVEDNRKELAEFQKEEDEAEEAAGDTEVQAARGKRAEFWARVGDKDKAIESHETL LEKTTFLGSKIDLVLAMIRIGLFFGDTLYVKKNIERAEGLIESGGDWDRRNRLKAYKG LHLLTVRSYSAAAPLLLDSLSTFTSYELCSYSALVIYAVLAGSLSLKRVDFKAKVVDA PEIKAILGSGEDRLAALTGEISSGPGAQDEEMKDASSSGATTAVNLTAFGGNTGIQAE AENPIDFTPLSNLVSSLYNGNYRTFFLALAAVEDHFLTQDRYLYEHRAWFVREMRLRA YQQLLQSYRVVGLNSMANDFGVTVDYLDRDLARFISNNRIACTIDRVNGIIETNRPDD KNKQYADVVKHGDALITKLQKYGQAVRLRGSERS ANIA_01923 MTWQGIATHVRTPLRRAVTFQPNNARLARQSQIYQSRSFSRAAL AAGRSSVLSERTMTTRTTVPNASQRALSTAAVRCLTLDNINSNVKAAKYAVRGELAVK AEEYRVRLAQGDKTLPFDSVIFANIGNPQQLDQKPITFFRQVLSLMENPLLLSNKDAL RTSFGYQDDVIERAEKLLAEVQSVGAYSHSQGAPLIRESVAKFIEERDGFPADPQSLY LTGGASSGVNTILNVICNGPNAGVLVPIPQYPLYTATLSLLNAQCVPYHLEEQKAWGT DIGTIKKSLEQAKAAGTDVRAIVVINPGNPTGASLSPADIKSVLDIAAEEKLVVIADE VYQTNVFIGEFTSFKKRLRELQQEVPGKYDNVELVSLHSTSKGMVGECGHRGGYFELV GFDPLVAAQVYKFISIMLCPPVIGQCLVELMVNPPKEGEPSHELYQKEYNGIREGLRQ RAFALYEAFQRMEGVECQEPQGAMYLFPTISLPPKAIEAAAAENRAADEFYCLRLLDA TGVCVVPGSGFGQKENTLHFRTTFLAPGTDWVERIVKFHSEFMAKYK ANIA_01924 MLIDGEKWACEACVRGHRVSSCHHNDRPLTHINKKGRPVSQCPH CRGLRKSRTTHTKCECGDKKKNSHKNDIDPHAPEKRDLKQDSRPKCGCIHGQRCTCAL KKEPHLDTVPETGVPPAAPPSEQPRKPQLTSTKSESTLTVFRDGHHKPAHKHNDMAHK CGLPYTIPRSHTIHSASDTARRSVDHLPLSQSSFMGESTANSSKEQPRTSIYSFHRRV KSEHGSPENVPAVPSGDVPTNVPPLDLSSLFSHSQPMEDPTLATVPAPVPMSMPKSLD PIETNGLSSFSLPFSAYPATNPSPVSGMQFQDTCQEPFFTSPENDLAFCSAAFNAPSV DWSSVPLSSAMPTTSTQPPSYASFDYGSMAPSMPAPSSSGDVSELEEFPPLPHLGASG NDLHDLNSVSEGSDIDHYRISSASSFIGLPQAQMLSSNNLESITIDEFLQSANESTAM LEQQLQVSMGMEPKGLSQGVYAMPDTQNFDKSIINADTTLPMTTATTESLWPTTMFDP NSTPLDESNFFPPPWVQ ANIA_01925 MTGSLGLFLLISRMRPWPSSLYGWRWCFEVNRFTVPPICAGCHV RVLLSTSSNGGLRQRACTIRSLASRTFRCHTDHLSAKERLAQMQAWSAWKTERTF ANIA_01926 MVWREDIQYNTSNGRPVSAKWTRKDNGSTDLGMIYFEYLVDASR RAGLTGAKTYGPGVGDAFAEALEGTRTLELETDLTNEHRWKWLGMVFPLHDGTWSVGI AIRQNRVGAKKKACESTSTLDFYLKMLKKTPVLADLLGGGQLQSNNLRTTSDWSYSAY LYAGCFVDPLFSSGVHLVMNGGLSAALTICASIRGHCTEDDAIEWHTQKITGWYTRFL MIVKSSLDQVHGCDEYILNDMEEPAGFDIAFKYVKPSRHTRTRRLGLSLNEHDGANNG DDILLKVARLNRVVSFSEDFTTDVIDGMAPNMCRGALGLVPIAVGICLRRILEIAILC E ANIA_01927 MNSREHSDMPPAPSYPSPNAAQMGQGTMQYYTNRQLTTDELLSA ELSRENSGPGINDGSSNGVHHGQSMVLGSSNATGADIGRPPSPDQHQQQQHMLSFPPS QQVGVDPNHDLSYGDQSARRKRTKISRACDECRRKKVRCDASSESGVETCSNCRRLGV VCQFSRVPMKRGPSKGYIKELAERLHTLETFNASISWTQPLTPLDAGENATDPFNPAV VAGSAPKPGNLFWAGNDSDLPAGLDIPDMPKHDEDMTPLSVDEGALNAYYEKVHSILP ILPNTKDRLLGLLHQCSREVQEIFLYSLYTLTRVDIDRLAGTFERVTSYDNAQDLLLY YTRQPALVRSTGVNLIWLQSILLMILDCDSRGPDNFVLKDGIPKQTLIQSATKLGADL AKSLGQLKAKRTTDPDVDSEANLARRSWVALAILTRWYAVSVADTSVLGTHEIGGRED ERVVGTVAAGIASYSSFLSEILTLAAAEPNVCQSNTGIGRVISANLAASLERLSGLDD FRGTSEDGSYGFVESLQNQLYWTTRLLIKRHIFVYSPYEIIFSAEEVINELHKATTQS RQSTPFDLHSLALATMTLLEASVLPEHANECWDALSKVEEILDLRQKRALQRTEFGDI FHTESWDAKIRLFLEWRRTKSQETQLHDPNLGKAESAPPPVVGPNEQRSLQHLADLAV GAEGSVAANASPPPPSAIPSTENHHMPAASPNLASAPPPQGRIVVDFTLLTKEGYLNV FAGLIYRRSR ANIA_01928 MHRSTFSQQPHEPTTLARFQLAKFSYTTLSINHRGPLTWSHVFG NGDLFGVFESYAALSSTRVLFRVVHNGWETLVRRFQVKFSSDRDFYSVLATLSDINCP FAESNVSSVRPMSGPTSSLLNLGQFDPVSRSQHCPSTVTENSTSVIGMALPYRAATTS STTAHPSDAVFPTSLSGSTTISSTSRQISTLGGISRTPFGSTSSRPNVQPSNTDADPF KRPCTSIASSVNEVDNIPPASPSQATGTAEASSSPPKTKKAASTKRAAKGRKPPKKDH TVLPSAPPEDLTKSPDQQTTTTTATAKTTSTPVVPFENVGLPAPANLAKYTSEPAADR TASLQAWLCAHLEDPNFLQLCEDIEGIAERYYLGERREW ANIA_01929 MSSQTPRTSLRQGLRQAPKVNQPFIPDTAPVRRSHMHGNQSPQP TVSSQTHSMSPANPGSPHISSENWENKEHRVPMSTRDVWTPGNRPSLFSSIFDRGKTV KTADFYDPYFPLKFIDVPKSDHIYKRAHYGLQSGIPDEVDFALYHLVQISNQRGDKFK FEGFPLLADNLMEKAMEITELCTGVKWELQYDFRQATDRVNTLNALHGTRDILDKIKQ IPVILPDDTLETYEFNHRLRNIKEATLIIRNMVLLPDNAFYIASCASGLLRDFLVIML NIPDQPRLNELKNDALDIAEEVTRLMRTDPEDPLWISLLNCLYSPDRAHVVRALWALT HFSTELDEPEANRAMESISKETLQQLYLLTLIDLDKDILSGALDFWYQYTLFRENIET MMDVFNFSTVFIPRMLALLTYEGRPSKRETVLQEEKVAPAPTEIPRVPPELLKDLMDI SEPERSSRWLRCCFVEDAECEITQIALWQAYQSRFADPRVPGGGVLPAAEFIKNVSTT FTNAQAQVINGPGSSTRFIIKGIRPLETAYTFQGFPYIYCKWQDNTKPTKTCQRAFAL PADLRNHVFSDHMGLRQAETPGQYNLENAETPVHTCQWDNCTKFRSSGPSANTAMVAG HVSSHLPEDRPADAEAPSSKRPVLQERIVRKWFYLDTPVTERGEPVGVAYKAALVLRN LARNLPNGIAPGFNGLSWKKAYFLSHRPKIIEIWDRNRSLRKELTELIMILEREEYY ANIA_01930 MALGVPRGRHAMAISAVFTCLATALAAIRIYTRAFMVKQMGSDD WTIIISLVFSWAFFGIFVGETAYLMGEHQELIPSDILEKQMICFWASVPIYQASLITT KASILLQYKRVFATRGMHIANWILIGFVGVWGTWTFVSAWLNCVPVARFWDPTIDGYC LDQKALWFSNSAIHIFTDIVLLIFPMPVLRNLQLPRRQRLALMAVFALGAFVLVTSIL RLKSLLVISDSDDPTYDNVGAATWSAIECNVAIICACLPATRAFISKLIPRFFSTEKS KSTTNHYGRSRSMNLPGFQASVAGGNETSHGYSMNTFPKRSDKAKDGLSSSPPTEIKV TTKISQESVVTRQQDEFSSLKGLIRD ANIA_01931 MSRRPNPAQAAQNQQTIKALLKLEPNKVCADCKRNKHPRWASWN LGIFICIRCSGIHRGMGTHISRVKSVDLDAWTDEQLQSVVRWGNARANKYWEAKLAPG HVPPEAKIENFIRTKYESKRWVMDGPMPDPSTLDAGDDDVPLAVVQEKAKIERSASQR VAPARQPAVQHRPQASIDLFDDDGVAPPVRPSTTEPSIRAPPKQPQAAPKPTRPGDSL LGLDFFGSAQPAANSRPASVASTPAGGMSRPDLKQSILSLYSKPQPTAHERTSSFGDL ASPPPQSTSSSNLGGLTDAFSGLSFPTSPPAQRPAEKPSPFAGLTSFANSKSAPAAPK VTSPTASIGSTGGGLFDSLTSPTAPSAKPQSRTTSISSNSNDFGFSSFQSPPPPKANP PSASLSNDLFGLSSPPISAAPIPPPARSPPPVAAPQPDISSAFNLSQPAPKPVAPPQP LTTTTSIPTLSAAAIDPWGSNAWSTPEPAAPAAPQPSSMMKLPDTLTANDVGAGWGAP SAAASKPTPPTVAEDEDFGGWASAAPISSTSTTNVNAPPKPAGGFSGSDDLFSNVWE ANIA_01932 MAPPPVNKSEDEWRAVLSPGKQACPAHSKSPPWAMAAGCSIEME SEYDSHYPSKGVYTCAGCHAPLYKASHKFKSGCGWPAYFDSIPGAVQRHVDNSFGMER TEITCTNCGGHLGHVFKGEGYPTPTDERHCVNSISLKFTENEEGEGAKAKA ANIA_01933 MPRAISFPPEVDTGPHRLAPDHNMSQSKAGRRRRSSSIIYQEPP ESIEHTSDQAALPNLNANWVNAKGAWTIHFVLIAALKIFYDILPGVSQETSWTLTNIS YMFGSFLMFHWVRGIPFEFNAGAYDNLNMWEQIDNGDQYTPAKKFLLCVPICLFLLST HYTHYDLTYFVINFLATLGVVLPKLPFVSSPTYRKSSGTASSSLSNVFSYRPYYFPAK LSFPLCFILSFTLYYSLLFSTFVITLIPTCSGAILLADLGGVYSPPLLFWARGKSMIL RLGIRSPATRAKSHPQNQKRRKRIGDRRCLARAYTGQIFITDIPLFFPRQSSCCFFIA VLYLGVCAVCVTGLI ANIA_01934 MKRPLCLPVTLRNPSLLSWFNISHPNASTPIFIPSQEHLALAAT ILVHPSTTARPKTTEEQEAPSVSLRLLRLVNNHVGPINGKFSKAFRFKHFERSRHGGR RHVDRAAENSVTNNERDRNLDKDINIDMAQSASVWSRAEDFWHAVGWAFNCAVLHPAR WKYWRIWLEFMCEVLEADWDERQRRHEEDPSHDDQILKDSMIINYINTSTAGSGRDRR ILRAIFADGGTSAVNEFREVFHRELKLSQKKTNKEKDTRKRGAAVDIEAEEYGDFYLS TDHEDEGSSSGHGSKRPRRAARTGKDVGTATLDRAPNSATPDPTLASSLLGDISSLAL RQRLCHLLSTVSQFLPASFMDLSNLYQLFVENIRPLPLAIYQAFVSPLALPNFSPAAH STLCEFLLYRLRESSAPDSKEEYLSQAKLEECFLPYAAATASLSDNAKMSITLEALLI LLADSDMIEVTDETKRLTELGIIKRAEKAQQETRKNQAAKMVDDQETSWLVESAERLT FLVEDVIPST ANIA_01935 MASVTSLDKDLRNLRLSRYTPQAAAEVRSWIEEVLREKLAPGDL LEALKDGVALCNLPPHDVFLTVDLYEAKDPAQVLQCISAFSRRANSIQPGKFPRAIGV QSKAGTLSPSLTGSSQGPHTPTKNRGFSDANSSASGRSSPARSPQPVSSWSKKSDQFV TQPAWNIHQYGYMGGASQANQGVAFGARRQITTAAPHVPSLAEKEKRKREEEERLQLE QEEAARIHQQQREAEEARAREEEERRWAEETARLREKERREVEEERKRWDEEQRRWEQ EEQQRLREEKEAEERLEKERQRRRDTSDVRLTGQFLSQYRASEAAPTQTASSESQESQ RIRELEQQLEQAKEREREYERERQALKEKENKPAEAKRPVPVPPKPSYDLSSLEQERR LLRGEWERHQDSKPAAEVQTPPPMPPRRPLPDPTTAQPPKIQTSESRVDRFLSSNPAP VAPKPATHRFQDYTTTSEVDAENSRRIASQQKTRAGGWASKSLLEREMERERERQREW EENQKQTQEAAARGAKDPTLTAGPGGAWDVHQYGFMGGDNQNRGGPGLGVGGARRQII GPRPPP ANIA_01936 MSPINSLWFKWKSLRLPWRRSFLVGHDLAGNTYWEFRDRLNAGR YRRILKPPPRTHYGDVEVSQPPSIQEQQEDLLRQQRIQLLADMQKKKWEAEQARLDAP TTQQPRPATQTSNPTMKAASNAPAPGSESKPQTNDPAQIKASAQGDPFFRPKDAPGEK WQPETWTPKPANR ANIA_01937 MFAHPFDHSFNDLFNQYVNMDTSSTDANKDVSFPSEFDQLFPLD SFSTDCGDQSPVISTVQHNSQPAQDWGKDLWSLSQNTGCSTNQDSFSFQDSTQPSTAL DLSIGLEADATGHSQASVPRSTPSTPPATPGPKVKGGLFTPKTIRHHRESNDRRGLLR KQSFSPGLMRSSQLQKGSCRMAYPEAWAQRLQNFTIRSSDECLPLSPPPSDILVQQEN VKHTPVQMRNAAEGFQGSTELPQQIDSGYITQSPAIPMPSPSANALAGQQQRYLSQTG TSALTPSPPSARDVFSSPHSSDPQSMPSWHSESLNTPAFQYTPELSDHQTWWSPMPSE VAQRHASYQQMIASPAPQRPVQAAANHGDFLQGGLMIQLDPTQFDISSSFPSSTIPTT ANNHDNLAYNVEAHAPQKYVDASSFNTQAVPHPSRSPSISPKADTSPRHGSANRDGMA MKNAPRRPHGRKLSGQSTSTPKPVKTPNSLSTSPRGGKSVTVSFVNFTANDRQKILTG VAPSGSSKTKARREQEARDRRRKLSEAALQAVRKAGGDVEALEAVLC ANIA_01938 MNSHGEDRVAIIAGATSGIGADLARDLAARGWKIACIGRHREPG QTLLQTIPDGNARFFPADVSKYDEYANVFRQVRKLWGRIDALCANAGIVDTSSVYIYD WKNRSVDDIPPAPELDVVDINYKGVIYGTQLATHFMRHNPRPGGRIVVTGSIGAVFPH RSYPVYCGTKAAVNHFVRGVAPLLKEKESILINVVMPGIVSTPIVPPEMISAVTPECL TPVETVLKAYRVFLNDTTQMAGEALECSADKLIYHHLPEYGNGHITQRAVTVWEPLFR MLHGEDSHLPDAIP ANIA_01939 MNAHDLSRKSWESLSSTFSQLEISLSRDSCYASDEVSVTSAPPA ATDTTKKILVATPTETPIPKSILKRPYADIEEDEESESGYASDSTNADGIFEEFDDDM CDDVDWDDTESSCVEDIESFDGSFISFESSVRFDTNVQYIEPSEYQEDESSDAGMTSH ELMELARASYPNKSHEETYSGAETSDIDDASHEAIADSIEQLPEHTGDLLDLDKRLFV AYMNGINGIANHEYKARLRARVADFKSGLAPSPFLDLDSANALYLDTVLSHVIGTFRN IVVKDEFFELVRASGETVHIPQGTRLNQDIFDKIERLLCERLTGDGVDIGPDELSFFA SGVAYALENWRCYSVITP ANIA_01940 MQHIRGPEPEFGTTLSLSRSRSRSRSASISSDSQLSLIHLAPPS VNPPPSFIAPASASQIITSDQEFNAADFVADENDDSGALVTPEALSALNGFLDHLVLN ILAAAKSTQLAAIRPAVADVLKPRLANLVVSVADEELSEYLGDEEDEQSEFRGGQSPE GEFDLIRTWKLTRLRCMVYTRLGDLEEDDEEEYIAQEGLVDEEGAPRRFTNHIGNITP AAAIFLTSIIEHIGEQALVIAGETARSRLSPKLVVECDENEDGVGKERGSMNRLVVEE LDVEKLALNPTLGRLWRTWRKRTRTPNLSRLASRDSLRRRGTVAGTIGMNSRKSSFSI TEDPLSPPLEEPQSESQSEAQVEAQPEAQPEIDPVSIPLPMGENDVEEIENEDFPDFD TAEIRTMQAVVAHKVRPQSLMVLTLQSPKSPSSHTGSPITPISARSAPPLSRHARSRS LPSPTCPSEAPEVDPAYPVPRRPSPAASEERRRLDTMYEHEEREEAETVKEDKGRKLA GLTTELKPEQTPPSNLPDDVADNVTKGVIASAAKVATSQAADTESPSIEPETEVIEGQ GTVQKPSPVQRPKRNPSKDATRNSDRQATPASSSDVESLPKKQSEEVPIPVAPTEPSV SLPPTSAREVRPSLDSVRGSRPASNSSDSVHSSFSRAQRPSALNLTSGSQRSATSSVS SSVTERAAVQRIPARPSTSSASSPHPSIVPKSRRSGSFSSNREKRPMTAGSTTSQKLK GLINRSEEPNPRRDSEGMTRRFTLLSRQKVSEKWIKPTAQRPNTVESANGSVDTSRPM VSPRSQPSPKSRASEKPRPAPIQVPRANTPQKSKVIQARDARPVYESSSDLAQFIRNS GPASPPILNKSVSRQSSDAQLSRRSSRAESAMSGSTRGPRLQAKPPALPKSETNSTSD LIDFIREGPPTAGARRIPRTVAPFRSTMDSDDLAYEHGATPSITSTQGESTTTKSLAS VGSHTRLIDSSNKASTNTRIGSTKPPSSSAGQIPVEDDPRPKRAQRRVPDPYALDWDD EELEAMLEEEEEKKNPKPKRDEESLIDFLRNVPPPPEPEQPQPIVAPRTKTPNGGSSS MKARLLRSASSEKGPTLKGPSTKSSQTSLRQQSDQYSKRPSNYTVKSSDAMYGLASVS ERQTDTSALADFLRNTGPPSPAPPQGPSKDTSFARRFFVRRKKVEA ANIA_01941 MHLISSLSLFLAFALSALAMTITSPKSTNQKVDFSKPFTIRWTT VPSDPKQFTITLVNMDGHNVDQDLAVDVDASEEEYTIDKIEDIPIANNYQINFRSTEK NNMGILAQSPRFNVTKVAEDEETAEPTANATRTQSNMAPTETDANGAGRAMGVFSGSV AMAGVMALAVFAL ANIA_01942 MKVQLATILIGLCGATLAAPTHVQQEKRLWPFHFPGEDHESGAE PSLPLPCYPFPNESDDPTGSDFPRFFPRPPFDERCGESPLDSMSEGEDSPLGTISNDG EGLPWQLSGEDEQDNESQGLMGGGKLGMEEENDIGGNDNEI ANIA_01943 MVENNEATLKELEKSYCPPLDAALITAIALDYDLADQVQLQQLR EALDALALTAWEQDDLPFDPSGTSGLNSSSELGLSQADTNTNPSRATDTTSEFSSLSL SDRSQSTCSPGLTYTTGPDERPLLSGDPEENKILYLCEMFPNTERFTIEYTLRKSNGD VDRAMDELLNFAFLKNESPNAVPKGVEGFGNEDAGRKKSRKRKGKNKHGRNQDSGLTV SLDSELVLQDSSNTVNKWDAAQKDVDFICARTFPTLTRETVTSTYHANGASLSATIHF LANSNAPKDKSSIDKHPVMVRQVDELMQDFPDIAPEILAGLLVITRDSISAAHELAKA YMTNPAPRSAVELIKFTSSPPPHEVEEVPKRRTADSRSYEQATASAGYHSYAATEALT KASAAYRRGKSDRLMGGAAAYYSAVGREHLERAKREAAASADALVSQQSSWNELDLHG VSVQDAVRIANERVGIWWESLGDSKYMRGSDGDVARGGYRIITGMGRHSHDGTSRIGP AVAKSLARGGWRVEVDQGFLRVVGVVRRR ANIA_01944 MPDIPSDEEDSRNASLAAQRNRGSASASASNPSSRHASREPPSK RRRRGRGKQDVDVQDFVPKGATFSATSLEIDPESESTSASESESSSGSESESESESES ESESGSDSEKEIEPEIESRGGGLSAPAPNWNKTGKSVIRTSLHGRQAANANLNGNGKN DAESESAAAKKFEAVNGMYWRSRSSSASPGWKERKTVEEGKSETAVTDDNDVQIEDGE VNGVQEVSADSQSDDSGSLDSEADDSIMLNIGSRGQNRSQHDVIQISDDDSDSEGDGY DPESLSVSQTPATVNILDGSNGDGVDGESIADSESKERALLRFAQKYPTSPSILADLT REDMELQARIMFYNRDINDINLQLPITCMECLQEGHLAEVCPTRECVHCGAWNKHQSS LCPKFRRCQRCRGRGHDAKDCPSALKSSASEIPCDLCGSADHLEYDCDYLWKLPRQDT TSLPVLVSISCAHCTSNRHLIGDCPSLSRPFLSSSFTVRGIDPNLITNINSVVNPRRG GAGALSGRPGRQRGNGLKIRGRADQHAHSPSSDSDDMMSMSLTRGGQGRRAGAGGNRS GNRGSINIRIGGDRSNGGPPPSSARDYRDRDDPYFRGGFNSRQRSMSPGRDRGRPGRS RGRGGQQPPRSPPRGQGRPPGLSNQSRGGRGNGKGGGGRGGRGGGGGDAYRPMPSAAK KAWDRYRL ANIA_01945 MSPLQYNPRSRRFAPLNPSRAEPSNDPQLKGIVFDVDGTLCLPQ NYMFGEMRLPTLDAQLEASNKVKAIERKAMQHQEPQPGLVELMEYLRKRGVRRALCTR NFEAPVTNLLQNHLPEHVFVPIITRETPGLLPKPDPAGILHIAKEWGLEDGGKNLIMV GDSLDDMTAGHAAGAATVLLLNDHNDHLKEHMHTDLVIEKLHELIDVLENGFVGSKTR IELTSTDAQT ANIA_01946 MASLIATLLTMTDFSQPSSGLLSEWDSGQHYIDDLESQDEGSVV RNVNVGNTFALMRAVVELRVLQTTTAILRFTRTNPVSISDPDSSIRRAIDRREQRISR LIDAMTKDDFEKSVGEIASRMEIPAPPVPQRILSPSEMLEELTIEEQVSHEEDQMFLC APVSADSIRSTVSTASDASTPKLNTLISDDPIPIVDENIRGRTEGTLSEDDRRASGSM ASSGPTPGTESSYSSLGRSLSVSNMNSVTSIPRQYKIVSAAQYTKIIAERQPQFSFLN YPYSIDSLVAEGPRLDRSALELDEHANEYKRMSADSFPGEFPVSEGLEYSSLATAKHH TRIPSIRTSVRPFSDL ANIA_01947 MPETSPSPQALDFLICSTCGTQYPTPSTLRSCKICDDPRQYVPP TGQSWTTLRALQNSQDPKYKNIFTPDTIHGESLISIHTEPKQAIGQRAYLCRTFSPGN SRLFNVLWDCITYIDDYTITRINELGGIDAIVISHPHYYTTHLVWAEIFDCPVYLSSE DEEWAVVKGDKQVFFGESSLSFAPSGNYGGDDGRADIIVLKTGGHFPGSTVLWWRPLK TLLIADTIAVVPSGRYWVDRPAGTASFTFMWSYPNMIPLSADDVHGIWKAIKHTEFDI TRGAFIGMETDTDSKKRLLDSAQIFVKAMGYLDHAIHQEECH ANIA_01948 MGITTRRPLLPAPTESIVDSFGSSVTGTDLGTDISRRTDKTSYS IPDDGSPVTIPTRRKHRSRGEDSTKLSRSAHHSQTSLLIEYFEGGKGSGGSGGAIVSR PSVRVRVTPSSRKKSKEPSVHITDSSGNRRPSYSRRISLGSPSKSKSGREILGDDLSY SSSADENQSPGPVEIELLNRDQGSELSTLSRDRRYLPTSDISSMPADSMLEAPSSGAQ QQRTESPELEEPESKDYLKTPSRQRSRSLSTERIAQRAAEKVSNYSRDASSKRRAGKN RGIATDYQEVEAKSPRHRGYTKDDDMASPESSMLSASAVSSHRRSGDQYSFRSNTSKS SLNNPKLLETVEDAIRRLILPELKELKKDQKVMTNTSKFERDISSSHSPASSESRDGL VRRLSKHASAPNVRKPKVVLNKDSRDEGIVLADGSISSQKERRASRDSEMTAESGYVR GSRPELSEQDMVRRQKSKGLRDAERAGIVASRLTANNLKHHDSNSSLCKSESRRGSEP KNINETELVFQKHNVAPMPFRSALESEMTRASLLSEQTAEPEPRDSRHDLGLKHSNIS SHNLSVHSASDNGREWSHSPSVEAAAGAIAAAAAANLLDTHSDSNELVHGGSRRALSP IQSVASNQSESHVRQGSTQHVPQEYSGDEREMEPKLSIDSLSSAPSTNLARSKREGIT AESQDEISRYQKEAGPDLGYEGSSVLSNQTLRDKTWQEESDDGAYRHSLAETASIDPK HMANYADGSESDFIEKANQGRPVAEGVGVNPRFVHPAAVESAVASVLDPSILDTKSNQ SAGSHSQSNTFVQGETGSTRSLGQLNQHSPTSRRGSPLKQQHNVSSSPDATSFPRRMG VTSPPQSVTQSIDDLTEPVELLPDEHGESPPPQDRELSPEDESEINTNPSIIQGPAAG VGPDSTWQYNSSSGKGGHSPLFDNAATAAAAGAGLGLASSRQEYGHDYYPGDDYADAY QDQQYANGPIFSTPPGAKDEGYVSAANPISPSPQPGIKGFSGIDTNGLGLFDSPGDDA FEPSHQRHFSGYSHGVGSPLYDSATGRGIERIQSKDIVALMDHLTVRDAQRNARDTEI LVTLVRSAAEMRNSFEEMKKFIAQQDGMILDSNERTYKAINGPRPLPASGSRTRQASV EDGEDSPAKRKNLFKRALKGLSLKSGNDLSRIEDMLEQLLDDMEALRSSQNDMMRSRG PASVDPDGYEPEGHAGTSSPGDHSGFLSNSSRAAPEPRGTGLLRDAENRISTVPEADE DVLDERGEFLSPQLPLQENGEPRRERAGSAPVSTPPREAVASGALSNSNETTPKASDK ARKHKSSSSSFFPKISRWSRTTASSMGDNIRNSMQPGKKERVSFDASRSGSDLAHGPY KAPDYYDPQGDDRLRSNYTLDEGSPQQENRPPSPLVPSQISEAPKYRAHRDSLDMQHP QPRQGPTGRYQSQLESQAQIYGASGNHSDQWGSNPSFSAINGNRRLSGASRLSPISDA GYSETSMRSSRQGPPRPPKIKDDGPLFPERPAKIKEGEERSYADRVVSRSSAMQSPGR STPPARKPTGPRPLNSNSQYNSPNRRRRNYRDSPEHVDEEHDY ANIA_01949 MPAAVDTAKTISKKRKRKHGGSAREATDNGVATSPAENGVEGKA TETTTELTKDKDVELAKKVVKEEAQKKRKVSHSPSDEEESESEDEEKKEEDEKDNVKE DSGKANVPDLPSVDAVSLPQPDGGPKKFTELGLSEKTLQGIKEMGFETMTEIQQRTIP PLLAGRDVLGAAKTGSGKTLAFLIPAIEMLSALRFKPRNGTGVIVVSPTRELALQIFG VARELLTAHSQTYGIVIGGANRRAEAEKLTKGVNLLIATPGRLLDHLQNTPGFVFKNL KTLVIDEADRILEVGFEDEMRQIIKILPNEDRQTMLFSATQTTKVEDLARISLRPGPL YINVDHRKEHSTVEGLEQGYVICEADKRFLLLFSFLKRNLKKKIIVFFSSCNCVKYHA ELLNYIDLPVLDLHGKQKQQKRTNTFFEFCNAKQGVLICTDVAARGLDIPAVDWIIQF DPPDDTRDYIHRVGRTARGANGRGRSLMFLQPSEVGFLKYLKEARVPVVEFDFPAKKI VNVQSQLEKLISQNYYLNKSAKDGYRSYLQAYASHSLRSVFDVHKLDLVKVAKSFGFS TPPRIDIQLGSSLSRDKKQQQQGRRSYGSQPKGLKFKRKHEDD ANIA_01950 MWSISVFLCFLVALIHTPLVAAERLIESKALTLCQDSSNFTATY FHVRYTPNNNSLLIGFDGVSSISGYVEATIVLDAYGYTAVKQSFNPCDMDLEGLCPMN TGPMNIRDAPITIGDDVASQIPGIAYTVPDLDASVRVYINSTTTHESIACLEASLSNG KTVYQKGVGWATAIIAGLGLTASAITSGLGHSNTAAHVAANALSLFSFYQSQAMIGMT SIHLPPIVASWTQNFQWSMGIIRVGFLQDICTWYQRSTGGTAATLLSELSTISVQPLK KRAFDAASGLVPRTVGALIKRSGAESEKATSETVEVRGIDRVGFIAGIEETNIFLTGF IFFVVFVALVMLLVVAFKGACELLAKHGKMKSDKFLDFRNGWRVVARGILFRLTLIGF PQMCILCLWEFTRNDSPAEIVLAVIMLLSLFAALGWASFKVISLAKRSIALHKNPAYI LYSDPTCLNKWGFLYVQYRATAYYFVIPVLGYLLVKGMFIGLSQPAPVVQTVAYVIIE AAMLIAVSVLRPWMDKKTNIYNISIAAVQFLNAIFLLFFSNVFNQPGLVTGVMGVVFF VINAVAALVLLILVLIGSIYAFASKNPDTRYQPMRDDRGSFIKSQTQLTTELDALGAT ARGDMKTTPYKANPFDDENGSFSSGNGASVSRQNLEPNPTGLPNQAPHSPVDPSLPLF PSDNSSARGPGSSADTIQRSQSPIPRSFTSSPYRTQNNQSPWQRGAGYDH ANIA_01951 MSVRKAHNSGRNHLRNVVEYYQQIGQEKAQSVIDSITSSYAAEG QAVPNPAMVPPGAYPPPFGFPGQFPPPPFGIPPPGPGGAAMPPPPGARNLPFPPPFPP SSGPMGAPPGAGPAGLPTPPNMPPNMATPPGSAPPPGQFPPPPGGFPIPPPNFQGGFP PMPGAPGQGPAGFSPSPGPGLSGPPGGGSGPDAPGGFAPPPGMGPGGFSGPPPALGDK R ANIA_01952 MPAVNYRVVEPHPSVPHSGRPALHTARGGAGNVINLKNTKTTDS RTATGPASLTRLDSHVPSTFTSGRGGAGNVHRSSERAIFSFDEELEREMRRAAPVYHV GRGGAGNMIHRENENESILSRKFSSTSNTSAKSNISSTSDRAREMARRGLEKGWEKIK GMA ANIA_01953 MTEATLHNAPIVIDNGSGTIRAGFAGEEIPSCYFPSFVGRPKHP RVMAGGLEGDVFIGQRAQELRGLMKIRYPLEHGIVTDWDDMEKIWHYVYENELKTLPE EHPVLLTEPPLNPRKNRDIAAQIMFETFNVPALYTSIQAVLSLYASGRTTGVVLDSGD GVSHAVPVFEGFAIPNSIRRIDVAGRDVTEQLQLLLRKTGHVLHTSAEKEVVRMIKEK VCYVSLDPKREEKEWMNSYKSESKHADYVLPDGHKIKIGQERFRAPEILFDPELIGLE YPGVHQIVQDAIIRTDLDLRKSLYLNIVLSGGSTLCKNFPDRLMREIKRLAVEDMKIR ISAPAERKYTTWIGGGILAGLSTFRKMWVSADEWHEDPEIIHRKFA ANIA_01954 MVLLTRYLGRSARIVARRGPIAIQHRPFSASAPTFLPEDPPDPV PPKDPRPEDLPPAPEYSPELLSKEERQYYDMLSPEEREEFDAEHRRAVEEFNDPKARK AMFDEIEKEVNNIDRQIPLRFTEPPGKPRGFWARDEDDEFGIVEDEDDFHNDEITSMA HAEVELHREVREYARITAWDMPLLSKLAKPFTLPPETHILRFRYTTYMGESHPAENKV VVELSSSDLVPRYLTEAQRQTLLKLVGPRYNPDTDIIRMSCEKFDTRAQNKRYLGDLI ETLLKEAKEGDSFADIPLDLRHHKPKKTLQFPKEWIMTEERKKQLEATRAERKRLEQQ RQGVVDGNAVIAQAVKTLPALNPALKAHATAEREKVAVKVGARGQKQKLR ANIA_01955 MCFSMSSSLRPQFFCTRPNGTLTPLIAVDELPAHITIHGAPRVL SPNETQGMTSLGAVSTRGQFYSVEGAAPANGKNQPGSNDLQSHLLRLLNDENIPAAQR NALGALLQQSLPQSWQVTNPPTNGWLISNNGSNSGAGNSQQVGCLYKHEMPHDRAMLE KLGLRDIPRWYRDKYNIPSLLPNGHGHPRSNPANGNEMSLRSIQYTPQMGAYGAGEHS DINESVKQDAPAYTPQQHQHGVLLPGTPFSPLASPTGPFTPRQAPRSSSGHLNAGNRK FDPLPFDHAAFIPNNNPPYRPSNEASYEALGKYQHENVVQNFPSLTLNPITTNTDYLP ATFDSPVSSGGFKHSLRSRRLYQGTHDVEPSSSQDLIEANTLHAYHNQATASSNGASP TSKTTGSAVASPVADIGRRSTNSNPPTRGPSPSASSPGASPGVFRGRGRNNRGQAKAF GTIGTKRSNGKQSVESS ANIA_01956 MLGFDYKKGNNDLQPAGPQMTAVEVPVLSNLREYEWLPGHSTVR YIIHEVLSEDSYEPSYLVKLESHELEVISSSRLQKLENGREALETFQNSRVRYREPSC ESESNIDKEEDEGQETTCSPPGSELDDSRPSRRAKKTKFTEFFGAVSSDDDGKLTKSD SSDEDVVAPRSRQSVLRKRPNYHSTLNNGFGANAHSRTRASTRSRKPLRYNLHEMYED DISEYEAVLSNHRKYVGTKEKFDKIPSSDLFRGRHREVCEVCSIEGDLPDKGPLVFCQ GCTDAYHQACLGPRTAREHLVTKVASDKFILQCRRCLGSSHAKDSRFPHQGICTGCNK PGKMSNPLRERLTSKQEQQQRQENGGEDPITAVSSYLINNPDNLLFRCKACHRSFHFD HLRAGRISNWQCHDCNSLPGEVNAMVAWRPLSTASKKSPKISELDKEYLIKWKEKSYA HCTWMPGSWVWGYINPVMRRAFLRSDKSHLPRMTTDEAIPNDYLRFDIIFDVKFADND LHMYGEDYDEDLERIDNVSKAYVKFKGLPYEDAVWEVPPDRSNTEAWNDFKAAYADWA KKPFISTPNQISLQKHLANVRKQKFKSREAQPRIMTGGEIMDYQRDGLNWLYFKWFKQ QNAILADEMGLGKTIQVIGLLATLVQDHKCWPFLIVVPNSTCPNWRKELKTWVPSLRA VTYYGSSLARKMAQEHEMFIRGDPDLRCHVVITSYETMVDDSCRKVLSRIPWAGLIVD EGQRLKSDKSQIYEGLSKMKFPFKVLMTGTPLQNNTKELFNLLQFCDQSKNAEELEEK YGTLSKENIPELHELIRPFFLRRTKAQVLTFLPPVVQIIVPVTMSVLQKKLYKSILAK NTQLIKAIFQRNEEDQPLKQTERHNLNNILMQLRKCLCHPFIFSKAIEERTDDPEVAH RNLVDAAGKLQLLELMLPKLQARGHRVLVFSQFLENLDVMEDFLDGLGLPHRRLDGRM TSLEKQRMIDDYNAENSPYFAFLLSTRSGGVGINLATADTVIIMDPDFNPHQDMQALS RAHRIGQKNKVLVFQLMIRGSAEEKIMQIGRKKMVLDHVLIDRMAAEDDDGEDLESIL RHGAKALFDDDNSGDIIYTSESVDRLLDRSQAEQATNPDTNVSASEFSFAQVWAADSQ GLEDQLNVAEEDPTISNQTWEKILQERERAAAEEARKKAEILGRGKRKRATVDYSAVD ADPAPARALASRETESDAEFREDEAGVASDYSMEDDISVYEGATIKPKVHAFQRVILL PQVAQTPQSVQAPTPNGVGMNGHVDRNGDACFVCGRVHPMGSCPLKLAGVEHCGLCGL AHYGQARTCPHLKSELQVSRMIEALKQSNEDKHLVALAKKYVYGIKGDLAQRERRKSR KGPAADASINSTKTPTSSVPPKASILPTGQPVIDITEDQYESSNGQPAPSGIEGSNTN DRKRIAC ANIA_01957 MSLRPPLRTFQSALRLQLTLPLSQKSIRYASLNSAIGRGIRRSQ GVEDSGTSPNDRGRSRAEKPTYTSRNDRNRKVHRQALPEMGFDEDEFIRSGNFRALPP EHQRFKNAHDLRGNKESNAASRRRLPQNMRDSHESRSAKSGRSSQYVPTPEDEEKRRS RRIEHHKPTEENPERVKQHVWVPPTIPYTTSASEFIYGTTAVEAALRCCKRQLYKLYL YQTAGEEELSADKRVLRKLALSKNVKVKLAFGEWNRLLDKMSAGRPHNGCVLEVSPLP RTPIQALRPVQLGDGEFKVELAPQTREEAKVNGTNDCIKINNSYTQQQIRYPVVVLLE GVVDTGNLGSIIRSAYYLGVDAIVFAGRHSAPLSPVTIKASAGAAENMTLLDVQNEVD FIKRSQANGWRFYAADVPKTGATYLEPGALQPPGSAVANEGHPDALIGQSPSVIMMGY EGSGLSNHIKGRADSIVSIPGARLLPGVGAASDPARVDSLNVSVAAALLMETFLRVPL GVSPRIFIDVIVNRAGPFYQDGSMSCILSLLLRNKALILDRQSDNGSIRYRCRITVLI PIGPSSYEATFCHPSTTLLQSVLPKSSLQLRPSCMQKYLTVTYVTALQSSD ANIA_01958 MVDWNAQIRAPDITHYTWSHRKLEKLEGSETLRWRPDTGLVTCI AGSIVNGHRPAVTSAFRLAQVAELKSDLILPASPPDLLGPANVRITSDLHGTKTRAPV GSSPLRLENHLSPRAQPWSA ANIA_01959 MSAANYPDPSLPRPSTSDDFELIVRQNPNRARVAGGKEKVREDK RELTWGIDQFAERKPVDPPPIVQIRVREEGTYLAHLYDAQEDAPASIPPSTALTGTLV SSLHRLKDVDNTDGGFFVWGDLSIKVEGDFRLKFSLFEMRKEDEELTGNSSVRTDVVF LKSIVSERFTVSPPKSFPGMAESTFLSRSFADQGVKLRIRKEPRTLIKRTAPRPEEYP QAAIPRSPSDRTAMQIPGSSYPAPPYQPTSRDYSYYAPVKRQRTSVDYGARGMYDADG RMRQMETYPQTATLYGQPGGYPTPMMGYPSGHGGVPDYAMSYGLPPSAQVPQMQDPAA QSRSSQQATMQSLGMVNPPGTPTPDSARAMMQQAYPRPQYSASTAVLPPLQQSRNYPQ GTNGATRGYYEQSPQATPILPSQPLGTSEAERYGVPPGHTGYDHTGSANGTPR ANIA_01960 MTTNQRHRSQPPVPEPEYDNLEIRCTWSGEYEEVSNIRISLEVK CVPNTQLQLLSLRSPGIFFEESFLERLPQTEDDTGDLDDTKTPQSPLFGFSPLVRDAS RDTGLFVDGCSGCIPETLPIVEEKEQALVASGSIKNGSDARSAEVVLGNPVPSTPTTR CRSDSVASEAESFTRSRKRSASDAELPDSGSDVGDTHGVLKDISNHKMRQKSRFAPAS PADRPTESLSSEHLDASHKQMESPRSPKNLGARKSGPTKTTGLTIPNENASPRNPSRS TVTEAWLMDKLVSPGKSTPKGKQPQKSSLIPKPASTGSSETLQLTSFATTAVGFHLTS RGALEDQAPATATRKAQSVKETRVRFQIPNDAPTVTERSEGQISSPATSRELGAAMER TSLISSGKTRLCYVPGNSGDSRRPGERTDVEQPEPSDQPEVEVVNGLLILRNSKRVLP ATYKVTIIAARSIYYPNEQGWSDLEIPGIPRTKSGRIGVLLFLMPAQHGLEIRTTDVN RATIVEDCLIAEFVSTGNLVIPLRRCSREFCGEIGDFTVDQEIISQSIVGVATTPGQS DQSVIQMRCHAACSVRLYNRCFWSKRCIIPLCVDGGPSGFFYCDLTSQQRVTKSICIT ARRTKIGVSRIRVVCSPKDIDRLYLRWTIEFPGRRAAYWIPRIYPALSTSHELSQHSL RYKLLEVMNDPSYLYSRIDATEVNCDSSEIAQIYRDYEQAPDDVSEDAESILSQPAAA SNASRSKWTAEMQDGLNPSHFLKRVLVGVLCLAVLRFAYVKLPDRSSRTHPSSMGHVE VLQQTEQLPSFHSLETQFKDYQKWLGNLDSKPNLNALDDDRHAASLAGGEEAKMPASD GKQNAQSVEMEVKVEERAKPSVSLRDRVDYWLGWTGPV ANIA_01961 MAPSHPVESTPNISGDAADATFTSTNPATPESLVETQKTDMALL LENLDSFIAVGVLEKGVPGFPAAEINALEKQNWVRTTTHEDHLNPQISYVRVYVLPDD VGRKFIPRSSAPLRKALKAVMSKVDPSPDAWAGQERSGQRIVDLSSVGAEDESLWYIF NTLEGPSPDVEMMRDPYAKLAMQDLLSTTASDESAVEKGQTYTGVLGLKTPLYPYQRR SAATMIQREVQPHQMLDPRLQSCSSPTGQKYYYDKEKGKIARERTMYSEACGGILAET MGCGKTLICLAVILATRGHFPQIPLEYQSMRNPERTQTRSLLEMAAAAAGRLSLPWKA HFNYLSQMGEIYDGCIKSCEANRGSYIFRPPAGRYTGRTVVEYPRIHLHSGTLIVVPP NLVDHWMSEIAMHTEGLKTLTLRSSADLTPPPEDLFAYDIVLFSRTRFEKEADDFNGG GRDRRKEPSPLTKLHWLRVIVDEGHNVAGHGHKTNMSHLLDQIHVERRWVVSGTPSSG LYGVEVSLASRETHTSDTDLTEATTAVLHGRKKTGKGLDSELKDLDKLRRIVVDFLGL KPWSNSRSDDPADWATYIKPVGPNGRRRKAPSLRATLQGLVVRHRLDVINNEIPLPRL YNKVVHLEPTFYDKLSLNLFIFILAVNAVTSERQDIDYMFHQRNRKHLSRVISNLRQA GFWWAGSDFDLQGTVNVATTYLEQNRETMTEDDISTLTQGIKIAQTALNSGAWNGFKN MHELGVFVTGFPSEARNFWALDPAQAQCEPLLLGISQARLAQQFVTKHLCEPDPAEGL SGAGIKIRRELSHREGFDASVAAPKKTSPESSVKRKAKQTFLNGIYKELPPYSPLTQT KLIATASAKLTYLLDQVQEHSKAEKIIIFYDNNNSAYWIAEGLELLGVDFRIYANTLK PTLREEYLILFREFEQVRVLLMDLRQASHGLHIAQASRVYIVNPIWQPNIESQAIKRA HRIGQTRPVFVETLVLRDTLEDKILQRRKAMADVEIQNDLLDDYTMSSIIQHERFIPM PCCEDSTSLAFLRHPTGFFNRHRLPIPDNDEVQTRPRMEAKAEGNTLLTTPVKRRRSV SVTPTSSKGVGFVNLEPDLLSPSAKRRRSPSRLQFRDPNGIIMESPRPKRSISPAQRF VVRSAVETDAELAGARNMPTFIFALSGPSSSGKTTLARLLQRIFSNQPQTQEGSQLSK LISTFIIHEDDFYYSDDKIPYTTTKSGARIQDWDCLGALDIKFLTSALRYVHEHGHLP PRLRSKEDLNEVSPGSGVDDALVDELRGYVSSRTQMILLSSSSMVAEERLDGKEKVTI ALLEGFLLYAPPATEKGGKEHGLRGVHDQIDLPMFLAAPYDLVKERREKRSGYVTIGP APTTELPQRSSSASEAEEERVDLEVEDDRPPQNFWTDPPGYVDDIVWPRYVRDHAWLL LPEDDGAEALPTEDQDELIRRVGQGVKLRMDAGVTVAPGQGALPMADLLKWAVEEILK HLEKSTRP ANIA_01962 MADLRVPSYRYSESLPKVDLLSLHRSRVSAEKDLSSPSTALEPT KPTSTHSIKTIPMRERPSPADRSVSSSPVKSTAQGQRESVTQFCLCQPDPKIPRPRNA FILYRQHYQGVVVAQNPGLANPDISKIIGEQWRKLPQATKDEWKALAEVPKSKEAEEK ARHQQQYPEYRYQPRRYGRDGSSKSLGSGLSHNPPGSTICNRCGGRIMNPPVSPDTIF PGGSVSSRRHSLAEQGSSRNYSVDQGSRGVKHRSHGHGHAEHRPSRARQWEENGSVSP DSKRRRTNPDSHVPYRTDFHRDKSPPNSPYSMSPHAGRTDSLNAPRGLSISHSLGMQA PRGLHPVKEHPQPDPSLTLPPLKASASMTGAMTPATPFSQDGFHKDKESAVMSIPFLN KIKLLAKISPQLPISCREGDPRRRGPVIAVDGQDAGLVKTVTEYLSNAMSKEGKFQVR VFDGPEISEPKPKREGSVESGEMGDKQVEYFSRISKWHQVSEGIKNFVKSEPLRRFTD SGSANEDDESPHVSPKTIGPNKATEVNISSPHSSSENGSEAASSPPETTFTPNSSSTA LPVAIVPRYQLTTADAYACMVPIADQYNVADHWQWMAALWRGAVGPDITVYIRECDIQ ELKQVGNPVEIRLQDAKAVVVRRLANAPGPKELEEKVLKRLGFEIEDYITQ ANIA_01963 MRLTSKFHIVCAFAVFSILLSALFLGSQRFYYRRVGTADQPTVE FQAPASPDRRLVVFGDTWSDNNAKEIQGGKVWTDWLCSFFSCHHENLAQTAKSLKGTY IGSVVDNEELAGTFLNLYKSPLSDFRAQVKQWVDTETKGIQQLDEAVIHDRRNRTIVV VSFGVWDLWNMIEKDYETATKSIDHIVEVIMEQFEVLSQHWGDDDLKIVLTLVPDVTF LPAFRPHGDQNVSQYKETVKLVFHWNSKLRSAAEQWGKGTIYLFDTESFVSDLIRDRQ LFMAGVEESNGLGKNQDPGWENVEDPCVESSQQWVVTSESKHCEHPDKYLFWNDMHLG PSAHRLMGTEVFHGIEEMWLR ANIA_01964 MKLNISYPANGSQKIVEIDDERKLRPFMEKRMGTEVPGDSLGDE FKGYLFKITGGNDKQGFPMKQGVLLPTRTRLLLADGHSCYRPRRTGERKRKSVRGAIT GQDLAVLALSIVKQGEGELPGLTDTVVPKRLGPKRATKIRNFFGLDKKDDVRKFVIRR TVTKEGKKDYTKAPKIQRLVTPQRLQRKRHRIALKRRRAEAAREAANDYAKLLASRVH EEKAKKDELRKRRASSMRK ANIA_01965 MATNSIKLLTGNSHPELANLVADRLGIELTKIMVLQYSNQETSV TIGESVRDEDVFILQSTRPNDINDGLMELLIMINACKTASARRITAVIPNFPYARQDK KDKSRAPITAKLMANMLQTAGCNHVITMDLHASQIQGFFNVPVDNLYAEPSMLKWIRE NLDVSNCVIVSPDAGGAKRATAIADRLDLQFALIHKERPRPNEVSRMVLVGSVKDKVA IIVDDMADTCGTLVKAADTVMQHGAKEVNAIVVHGILSGKAIDNVNNSCLKRIVVTNT VPHKEKKELCDKIETIDISPTLAEACRRTHNGESVSFLFSHTVA ANIA_01966 MGRIKKVAAQKHEATLSPYLAEFVARATTISLPELPSHLRTFPR VWPFPRGDLYHWINVLNRFDEILASVIDRYGLSNGPQTTPFSRQYLVHCCTSEDPKLD SRDIEAKLAGLGYGSEGDRELLEALLDFSRLLLEKCGNRSLYNSSERLGELLNTTSLS LLQSTLRLSLSLAQRYHSRHRGGSHLQQSLLATHYNIDLEKLQKIAAPFSRPTLTSRP GISPSGIKNKEKVPQTKHNANDLTSLTREDHGWDDWGYVHLLYYPSGSAEQAKAVTES GPGGSLAHVPTTPTPLRRSHTTGSTPRVGRIPSTEDSPASVVNTPAGKVDENLPGGKT LDIPSSVVLTSSAEEIFSLHSSEVPDDSKYELLNKIRTAKGLATSQSTREQILAIRIL AITNLAYIYPEPLFQQKILQFDMEHPKRLQLAYQLGELVHLGASDDLPVSRTVQTFSI QALDALAKHKARAIDVCAALSVNVNHGVLMFLTRKAVNELSVEGDGNDDSGQDEWRDA LLALLRTLPGSSTRTPETLVAAGLIPMFVDVLNLRTDKARRVYSRVMEFLDSFVLAVR DAFGILTNAKGFDALSDLIDYETKSSFQNVSRGAGIPDYYKTSSIDYQIPYFQQQTLR WLFRFVNHIMQHSGGGFDRVLRNLIDSPQLLTSLRLAIEHAPVFGSHVWSNAVNILSS FIHNEPTSYQVIAEAGLSKSFLEAITLSSVTSPEASPAESGSAEPSAAEAENEPTASS SITTGPSDDEKQKSRDYIIARLKGARLAPGIMPATEALSCIPSAFGAICLNGSGLELF QSSHALESFFEIFESPAHVKCLIKDDTNLVRSLGSTFDELVRHHPRLKSSIMTAIMVM VARVGFLCRTKAWSHGMGAKLWKEDSQGKPVISGEPWQLFRAIGLDGFGPGSSDDVHA YGVPTINTAATLPSGGKLSIGNLSDLLPPLDAEIEPKDEDADGLTATDYLFAMSRFLG AFFENQANCTYFIESGGVEFILDLATLQSLPFDFHNTEANQELTVLVHMLVETKPHLV VPSLICRTERVVDLLTDFWKSADEQGFFFSLVQPERGGHGKASSSVDEPLKSSRDNGT FFAKHMASALILIDLLREAFSLPLYQSRPSQQTSVFAQVNLADRYSVLVKKLGSLHAA CVWEEILLEKNIPDTWDQATKVQPPSSDRPAESSGLLTDNISNLLNGGTRRDVPTGSN SPNPQTPQPTSDIQPKLTDGDVAFRNVQALRYLLSSLPSSITGFFRNLGLCLIGKRRI DAYQKQNATTVADVIAGAVLEQLQFKPANSSSNPKLKFAYLIVILSSFSHLLFEAAPD RHHSYYLTLVLFAFKKSNGLGVLKDVLTPPQSVPDSENDVSARLTSAYGGIKIILSFF AELASGKSIMESNQTQAMSSDRDRGRPDYFNAGQFLVDLRMQILPMARDMWNSDFATQ STSSVVKCLVDILRSSLDGEYETGAARRSDPPAALADVQRKRFLIHKDRVKTLQDKGF DSELVQEALYRCNNTLSAAEEYCRAQGYLRAPELIPPEANEIEAARSSTSAGEGLEDP VGSDVTAFDSGAFFDSDPISMLLAQAHRRPAVDGRQDTNADMPDDITRALTQILNPRS ADDIHDDNAASNQPESSNARVSSSAVSPERRTDQQPTRRRDLITVEDLDSEREKVRSN LIERCLDVLNEHHDVSFELSDLIGSAIKKHRDPESFRKEVGELLVQSLVSLQMEDFQA AGKKVAAYAHLLALVVQDKEMYNATLDELKECFTTFLQFIAVPSEKTPDESFPWVGHV LLVLEKLLSDDAQPPQINWALPDNSDPSSIDDGPAQLQEPLISNEEKMQLFEVLVEVL PRIGKDDTLALSVCRILVILTRIRSIAVRLGEKRNLQRLFVMVKQLSSSTNDKLQGAF MLILRHIIEDEDTIRQIMRSEIVANFESKSHSRPIDTTGYVRQMYHLVLRSPEIFVEV SNEKLKLLRYDSRQRPQHLTLKSEKKTEAGAKPSGSAEQKPDNAQTDKEKGKAAELKT PVVEKPDGVIHYLLSELLSYKDVDDKEPSGDNLETSAVEQSETPTQTDVEMSTDEPAP SVSSTELQGSRNPKKSEKPAFQADDHPIYIYRCFLLQCLTELLSSYNQTKVEFINFSR KADPLVTTPSKPRSGILNYLLNALVPVGTMEHDESVAFKKRSNTSAWTMRVLVALCTK TGEIGGHGRRRNDQNSNEEDEPELAFVRRFVLEHALKAYKEANASNEALDAKYSRLMS LADLFDKMLSGYAFVSGDTAFPSSTRQIAKTMFEKHFISALTASVAEIDLNFPSSKRV IKYILRPLNKLTQTAVLLSETSDISTIGGSEDDEISSATSVSDMEDEREETPDLFRHS TLGMLEPRHEEETSSEESEEEDDEMYDDEYPDEMDYEEEMAEDDGEVISDEEDEIEGV GPIEGLPGDNGMDIEVVIDDEDDDDDDEDDEDEDDDEDEDDDHSEMDDDEILAGEITG DRDNESLDEGDEDEWESEEMSEDDDEADIMNQLEDELADIRHTDQRHDGGRLEDIFRA LNEAAGGVEDLQADSLGDLHDDIADDELNEDDEDEEIDELEEELDEADEDQGSYHGFD DDEDLIDPWGWDGDEPPLPRGHHHHHRFRGPNPAWAAVTGMLPGRHGIVPIPSYRLQR TQVPPRGNDDGTNPLLVRNDRGADAPGQRRGGANEAFTDWVHGLGPVSTGRLQPMDSP VTFMNAIMQAIGQGGPGFGVFHRHDGIHVHVDRNAILPHRLQDIFGLGRPQAPPARLR DDPSQAVSFALATTRSRWQEEARILFSSTYVEKTQRVVNSLLKVLVPPAIEEEAKRRE EERARIAKEEEEKEQRRKEEEEEKERKRKEEENARQREERERQEEAERQASDAAPEPM DDVQRTGVTAEPSTTAQPAQAEPSPAEPARRVHTTIRGRQLDITGLEIDPEYLEALPE ELREEVIMQQLAEQRSQAAAAGEEPSEINTEFLDALPPEIRDELLQQEAADRRRRERE NARRQAGTGSAAAAAHPEEMDPASFLATLDPTLRSAVLAEQPEELLATLGPEFVSEAR ALSGGRSRLTQFGDIPRVDHRQRTEQADDQEPKKQQRRQIVQMLDKAGVATLLRLMFM PLQGNARHQLNDILHNVCENRQNRIEVISLLLSVLQDGSIDVSAIERSFAQLSLRAKT PAIQKTPQSVKRSLGFQSSNVSNEVTPIMVVQQCLGTLAYLSQYNPHISWFFLTEHDP ASALKLKAYRKGKSKENKANKFALNALLSLLDRKLILESPTCMEQLSSLLASITQPLT LLLRREKEKQAEEEKGKEPEQGEGERPAEPQQQAEPGETTAAVESTADTNMTDAPPDA QVGDSQGAATSTQTEDAPSTDAKAEPVKSEEEKHKRRTIEPPVIPDFNLKLVVHVLAA RECNGKIFRDALSTINNLSAVPGARDTIGNELVSQAQSLSTTILLDLDELIIHVHEAK NGTDMQGLALAKFSPASSDQVKLLRVLTALDYLFDPSRVDKSKANDTEGSAKDVLQTL YESSTFGPLWTRLSECLTLIRQKENMMNVATILLPLVEALMVVCKNTTLKDTSLARNS RELSVSSGSGDTGLSMESLFFKFTEEHRKILNELVRQNPRLMSGTFSLLVKNPKVLEF DNKRNYFTRRVHSRGAEPRHPHPPLQLAVRRDQVFLDSFRALYFKSAEELKHGKLNVR FHGEEGVDAGGVTREWFQVLARGMFNPDYALFIPVAADRTTFHPNRLSGVNPEHLMFF KFIGRIIGKALYEGRVLDCHFSRAVYKCILGRNVSIKDMETLDLDYYKSLLWMLENDI TDIITETFAVETDDFGEKQTIDLIENGRNIPVTQENKEEYVQKVVDYRLVASVREQLD NFLKGFHEIIPPELISIFNEQELELLISGLPEIDVDDWKANTEYHNYSASSPQIQWFW RAVRSFDKEERAKLLQFVTGTSKVPLNGFKELEGMNGVSRFNIHRDYGNKDRLPSSHT CFNQLDLPEYDSYETLRQRLYIAMTTGSEYFGFA ANIA_01967 MGEDKETNILAGLGNTISQVENVVAASLRPLPTATGDGTYVAES TQTGLAKDLSHVDLKDVRTLAEVVKSAATGEPVDDKQYIMERVIQLAAGLPSTSRNAA ELTKSFLNMLWNDLEHPPVSYLGADSMHRKADGSGNNRFWPQLGAAGSAYARSVRPKT MQSPSLPDPETIFDCLLRRKEYREHPNKISSVLFYLASIIIHDLFQTDPKDNSVSKTS SYLDLSPLYGNNQDEQNLVRTFKDGKLKPDCFATKRVLGFPPGVGVLLIMFNRFHNYV VDQLAAINECGRFTKPDESNVDEYAKYDNNLFQTGRLVTCGLYANIILKDYVRTILNI NRTDSTWSLDPRMEMKDGLLGEAAAMATGNQVSAEFNVVYRWHACISKRDEKWTEDFH REIMPGVDPSTLSMQDFVAGLGRWQAGLPQEPLERPFSGLQRKPDGAFNDDDLVNLFE KSVEDCAGAFGASHVPAIFKSVEALGIMQARRWNLGTLNEFRQYFNLAPHKTFEDINS DPYIADQLKRLYDHPDLVEIYPGVVVEEAKDSMVPGSGLCTNFTISRAILSDAVALVR GDRFYTVDYTPKHLTNWAYNEIQPNNAVDQGQVFYKLVLRAFPNHFDGNSIYAHFPLV VPSENEKILKSLGVAEKYSWEKPSRISHPIFISSHAACMSILENQETFKVTWGRKIEF LMQRDKHQYGKDFMLSGDRPPNAASRKMMGSALYRDEWEAEVKNFYEQTTLKLLHKNS YKLAGVNQVDIVRDVANLAQVHFCSSVFSLPLKTDSNPRGIFAESELYKIMAAVFTAI FYDADIGKSFELNQAARTVTQQLGQLTMANVEIIAKTGLIANLVNRLHRRDVLSEYGI HMIQRLLDSGLPATEIVWTHILPTAGGMVANQAQLFSQCLDYYLSEEGSGHLPEINRL AKENTPEADELLTRYFMEGARLRSSVALPRVAAQPTVVEDNGEKLTIKAGQVVMCNLV SACMDPTAFPDPEKVKLDRDMNLYAHFGFGPHKCLGLDLCKTGLSTMLKVLGRLDNLR RAPGAQGQLKKLSGPGGIAKYMNEDQSGFTPFPSTMKIQWDGELPQLKEDF ANIA_01968 MSRGWDMVLSRIAIMAPSMVELMFTLTVQSRAVASTHDYSCSLF ASLGPQISWRTVFIVEYFGPLVIPILFLYPLRPYLYYNFDNIPQPTDIQRLVCALLVV HFLKREYETVFVHRFSNATMPARNIVKNSGHYWLLAGCNIAYWVFRPDSSAATGRVSP ALVYTGLALYVFGELANLNTHLVLRNLRRPGTTERGIPSGFGFSAVTCPNYFFEVVSW VGVYLVSGLSWSVLFFIAVAAGQMALWAKKKERNYRKEFGDKYKRKRYAMIPGLI ANIA_01969 MQFAVPPRKGFSPAPYARTSFLTFQRRKQLKTIIILSVTFLAVF FLLSHPFYPSIRTAAGPVGSPGVVIVTLLDRAMYSDTYLQKIIKNREDYAQRHGNYPR SWAIVPAVRHAMASHPSATYFFHLDVHALFMNSNESLEARLLNRHRLESLMRRDVPVV PPDSIIRTFSHLQPEDIDLIITSDAEDLSTGSFVLKQGDFARFFLDTWFDPLYRSYNF AKAETHSLEHIVQWHPTVLARMALVPQRVMNSYSKESTRAAVDGTYKDGDSIIRFFGC DTDPNRDCEREMKPYYNLWATKVEIK ANIA_01970 MSFQSSLYTAFRSLSLTASKRSFSTTRPAQKLPKVPDYIPPYPY GPNYMFRQSNTGLYGGATIQFGNKISQGRNEGKTRRFWKPNVRRKKLWSEALQQFLFI KVTRKALRTIRKAGGLDQYLLDDRPARIKELGVFGWKLRWQVMQTDKIQEQFKQERKR LGLPEPPSFEEWLKQKEGEVKAQVEEHTNIAELTKPTYNEKNH ANIA_01971 MVQISEVKGNSRDNRTAAHTHIKGLGLRPDGTAEVSGDGWVGQA AAREACGVVVDLIKAKKMAGRAVLLAGGPGTGKTALALAVSQELGTKVPFCPIVGSEI YSAEVKKTEALMENFRRAIGLRVRETKEVYEGEVTELTPQEAENPLGGYGRTISHLII GLKSAKGTKKLRLDPSIYEAIQKERVTVGDVIYIEANTGACKRVGRSDAYATEFDLEA EEYVPVPKGEVHKKKEIVQDVTLHDLDIANARPQGGQDVMSMMGQLMKPKKTEITDKL RQEINKVVNRYIDQGVAELVPGVLFIDEVHMLDIECFTYLNRALESSISPIVILASNR GHTVIRGTDDISAAHGIPPDLLARLLIIPTHPYSPDEIKTIIRLRAKTEGLNITDPAL DKVAEHGSKVSLRYALQLLTPASILARVNGRPGGIEEADVTECEDLFLDSKRSAAIVN QDSEKFLY ANIA_01972 MCENMPKWKRINPGVRYLVDAIAKDFPPERLHLQTKVNEIARRS KSQYDLLTSDGKHSRFDHVILTVDGPEILRLLCSTVTEEESHVLQSLAVTTNIAVLHS DFPATANHVAPDYEFIKASSNYRRRDLVPPKFCSRYDVNVLQNIPASRFGEVFITFNS LSPPHPSLVQGVWEFTEPEPSADSLGAQSRLALIQNTRGLSYGFCWTGRGLLEDSITS GLRIAVEDLGATVPFDVTFHPHPLDSPEFSYKRSGLRYNLVRTALEAVRTVVLVLEIA LLLLGRIETPVLKTRTPLDRASRISGTF ANIA_01973 MSNPLDTDAGSEMLSSYETELNLVQADLNQKLDQIAELSGEQRN STIRQAERALEEATELLDQMRLEKQNIPSAARSKVNTRFRNYATDIDEAKRKLKSLSD DRKALFGDRYTDDPQDAHLEQRQQLLSGTERLERSSARLRESQRIALETEGIGANVLA DLHQQRETITHTHGMLGQSESNVDKSIKTLKGMARRMATNRLITIAIITVLILLIFAV IYSKFS ANIA_10241 MLPPPGVFAPNPPGPENNTLFITVRFSASIPDLRLDIDYPETTT ATGLKQAIRARLPPSLSSHRLRLIYAGRGLEDTTPLAVSLKLPPPSNRFSKSLSAPDK DAASEDTSNQGISTKRDKGKAPIREPPRLYVHCSIGDIVLSAADLEAEAAAVSTLQIQ GHNSHRSNDEKTKDSHSRQQSHDGQASSSTIPAPRGFDRLLSAGFTAAEVTALRSQFM ATLSVSRTRDTMPTGAELRELEDRWLDEGSSTMATGGTVAGGEGVSFTDDDGGFGSNS RGAIDDMLWGAVMGFFWPVGCAMWLRREEGVWSWRKGLAVFVGVVVNIAFGAMRIMG ANIA_10237 MSLCKPTMQYSVTSSAFLRCLKQPPSIRMAPNYRLFSSYGNAHR SSKRDMQTATAYRPHTLPSSFPISPSPGAKDSSVAADFVHYREPAQRNSQIQNTELKA DVNQGEPQKSKPVESTPTTSKPAEKPRRKLRPRKAAMKLTPIAVEQLRKLLSQPEPRL IRVGVKNRGCSGLAYHLEYVDKPGTFDEVVEQDGVKVLIDSKALFSIIGSEMDWQEDK LSARFVFRNPNIKEECGCGESFMV ANIA_01975 MSTIMESPLTQQSRPETFKPKVSSDYAEPSEGFWREFFLLPPDR AQLNAILEALSPDETLSLQSQTQQLFARGIREAASGSSPVNSYALQKYTNPSSDIITV LAGLDKVDQVISNFVAVLDSIIRSGSNNDIRFMAIRTAIAMTSGAYKTSLVSYFTHRD LFPSIMKLVHESESPMQVFEPFLLLGLLANYNKFEFQNPYQLRLDDFVNETSIQKIIK GVGLSCGALRNGYVAVQDDFPEGWTLMGTLMYFGLGVLAPGRKEKPTPPSPEEAKEMF AALPAQQAAILLATYDFTNANKLFGYHLINSPSDKDTEESPFSSFLSLTSYLLHHAYR STRVRHYAELSLFVLRILSEDSTSCKLLCSEESKRKVRLCRQRQPYLPLVAGDRVLAT VIFDITIDAISHNLRRRLDVQIYSHTIAILLRVLTYLSMNRIRLSYHWSELWRTLLSL MRFLTTYVSDLTTSPHISTLTTSLVDLIAFCVSSGDTFLPDPASYDDLFYKLVETGPI IAKFRDVYNLKPTSSSNTPSSLSKSADANKDIHVAAVETLISVSTHFYTLLFNPGTTS ADADKAATKANGDQSQNPTPIPAAQKKNMSPREVHRIIKQGYDTLSIQPPEGLSAWTR YRETDWKPDLKRAARCAVDDATQLVA ANIA_01976 MAPAGQTTYQKDERVLCFHHEILYEAKILDLRHTDPDDRKSPYE YLVHYKGWKNTWDDWVPQDRLRKFTEENRELATTLRREAEAALRQKSTKTSLKKKGGS DHSSARGSEERQTSVPGRGTKRARDNDIEKEEHFYTRPSVRIVMPDNLKSLLVDDWEN VTKNQQVVALPAKSSVNQILDDYLKEERPKRTGSSEVDVLEEVVMGIRDYFDKSLDKI LLYRFEREQYRVLRKRWESETADKGPLDVYGAEHLTRLFATMPELIAQTNMDLQSTNR LREELSKFTIWLSKNSNHYFATRYVTASNEYIEKSRGVPNPAPGTATSRLV ANIA_01977 MAPSETSILSNFLLSAASLPQIMSLKQFTGLFPKRLQSHPHIRV LYRELQQLREQDMDIVNENIDKEVRLGDAQKAELRKSIVKTGVDGSGANDQREMDMDF QLFGPTSAGSDEQHSVSSLLSAMEAACSDIEREIAGVDGEAASLLSELNSTVGDLSDL RYGKMHGSVGATDAEVVSEAIRGLDNLEDACSRKSAV ANIA_10253 MPSISLHPRASSRNNAHNPLPQLLQTPSGLALLELQGTINLPFQ ENLDAENESTDFNSPSTYETPIGKLMFPDYSQNAKDDTSWMKRAYLYVGRYQRMTGEV KKLPKPLAIIQRRQTDGADDAREQLEVVEIVKYKLIFKNRPEPVNDV ANIA_01978 MWKLGDFALSRDDSSSHPVLSGGQRGYSVSRAQVRLPGSFSKVV KAREPTPHLSRTSPGLNFSVSEDGGSSLNTCTSVILGIPVKLIDVRIPRADRVAYYVL ANCIRRHQIRAQESMSVQHPTLPVSDEIIGIIPDTKALIEIQSLELETIIIGQN ANIA_01979 MAPIERITLFKIPDEAARDRVLEQYKVLAKTAVKDGKPYIVSAA AGPTIPDPRCKGFNLSVKTTFASLEDMKYYDTECEAHKALKAVAAPVKEDVLTTYFES VL ANIA_01980 MSAGTENRDYEGDSFHDSSEAPNAVNNLSLSIPQSASTRSLTDS PPSASNATSPQFTEATQLPRTPDDDSKTDDEFHETGADGQSEAEATPRKQKLQKSPLL TAHRLSTSSLDEVNLTGNLNNDGSLDHFPSNQETELGSPPALPSRDSTSTQSSLLQGL SGSLPSVPWAPPPQNKHPPPAAPPPPPTRKITSPFAWLSRASTGSKDAVQSGRRNTAA SISTIGSNPDIAGRSQDLEVDGSSVGSRKPQRNSLKDQFKLLRMREEGHGPETDEASV ASGRTSGRASISHSVASPPSIPEEGENDVTAALPAVSPSTPPTSTVNPNLPPGTVSGV SASASDAAAPVDWELWQQLVNEGPQVLKGTNSEELNAAIKRGIPQTIRGVIWQILADS RNLELEEVYKELIARGTDREKSRANGMANGCAERDSAASSRSSTRSERSISAAPSNSN SSPSTPHEQDPEKLAKEQVAQETARKKKAKEDAAALQKLQKLEKAIRRDLGARTSYSR YFVSQGNQEGLFGLCKAYALYDEAVGYAQGMNFIVMPLLFNMDEAEAFTLLVKLMNQY GLREMFIQDMPGLHRCLYIFERLLEDFEPALYCHLRRRGVHPQLYATQWFLTLFAYRF PLQLVLRIYDLILEEGLESTILKFALAIMRRNSDALLAMKDMAPLTAFLKDRLFDVYI DKQPTPSSILESGFFGSSGAADKEIYRADIMVQDACDIPLDPATINAYTAEWEEKERS EKEREAELNHLRHTVATQSARIRLLEEQAEASDKEHVQLASEVVHLKVENEELSDIND ALRMQVKELKIVVDQQPAEVEQKLQTEMDRIMKRNVEVQNENRAMVEQMAEMEKELVA AKLSYAEIHEQYEAQKQKWNDIRKALD ANIA_01981 MASHGIPRHSSLEATGETRQQELRKIETYRQLEYVVREEIMNRK YTPETLQKLSELLKKNPEYYTMWNYRRRVLLHEFSQAVPELPSETDIERITTLIQTDL QFLIPLLRSFPKCYWIWNYRLWLLDEAKRLLPKAIARKVWQQELALVGKMLNLDSRNF HGWGYRRFVVETLERLSSDEENEKSLTESEFEYAKKMIGTNLSNFSAWHYRTKLIQRL LSEKSASDEERKQILDDELELIHRALCDPYDQSLWFYHQNLMCTFDPTKSSHTMTPNL TKTERLNYIRGEIEKIQEMLDGAEDCKYLYQALIDCTSLEARVEETTLNEDQKTRVLD WLSELKKLDPLRAGRWLELEQSLCS ANIA_01982 MSDSTEHDSTISPGSTAASRDIRLEYFDYLIGPSTLQPDIFKLQ GCPDRGRGKVRGGRRIAAVRSDIKASASPDRFVPLREPIDAPSTPYRVGKDPQDLSPE EKLFRRRSPGEDPFTPKKIRRTKSATRPGRVFSPHFGPHLVGDSTVSGRSVSTGTRDG TRRVSNGAIWTVGGTSAALGRRSTVSPDGRRSIFASGTTAPMYTAKFLPQTRSSTEDR LTYESRIALALEIDTASKVLSNSKLMSISDPLPSPSSPAFDRLSPLVWKDNAWRRVER GQFPSLPFRTLDAPLLRDDFYCSTLAYSSIAGTLAVGLGHRVYLWSEAFGVQHPPLGE HDPSNYVTSLSFSSENGGRSILAVGRKSGMLSLWSTFDSDVRFEISHSSTITCVAFKQ TKSRRVSERFEDTEVDAEDLAVGDDLGNIWYYSVEWPDEEARDRFGWHGRVTLLAKIS AHTQQICGIAWSPDGAYLATGGNDNACLLFELSNIIPARELGVSSRTCLPHQNCHPEG INCPNSFTCFAANASRRLFNQRNLLSNLLPTWTSSRTRSLSSSLPSVLTHTGSVVSGG DRTVFVPANRQKHRLTHAAAVKAIAFAPWQPSLLATGGGSNDRAIHFFHTPSGACLAT INVYAQVTSLIWSKTRRELVATFGFAQPEHPFRIAIFAWPSCEQIAAIPWGPHGTSWD RPSNDTVVDCGRALCAVSYPGRPPTYIVDDLDIPEEASSSTSQAQGNQAREDRARVTR RLTGRGVVRPRAKEGGLWCSRTVEEGCIIVASSDQSVKFHEVWSSSASHKSSTSGPYG GSEILEGLEGLENPGREIIR ANIA_01983 MAKKSNQKPASKTSSAAALAVADSTNTGNKSSILRAAFAPSGFQ LALFASVIQGLEGQNLRIHDTNTGRLQCEHVLGPKELVTSLDWGHYYGRRDQSKRKRK RPSDVNGTAELDQGDVVVAFGTNASDIRMFSPAEDKIVGTLAGGHTGGVKDFKFTADR PQEGWSIGGDNKLVQWDLVTGQRTRVISLSTTSAFTTLSRPLASNPPVICASQTPHIV NLEDESPIKFPAMRNSIKTIITSSTSSISDGLFLASDNDRYINVFDPKSGQLTMNLVA EKEVTSLSIYKTQGTEAKLALEKQVLAAVTQDGTIELFARPFVRPQGLEGSKGSSLKA RSMQMTRRAEASLRIIKASESDDLVPVVAVSFQGPDLLVAWAQGGIIPLFERVRWLNE ETDELAFTGVKTISKTKSSSILQSATTNGMKNANESHVNEKKIVVEQGDLADDDVNME DSKQDAVSEDESEVDSENDDGFKQQREPAAQDEEKAGSDVEMQNAAESGAENEDEDDE EETGAEPSFGELMRAHAAEEIDVEAELEDDVHTRSLIPGKPITTVQQIPSGVSLSTVL SQSLKTNDNDMLEACFHTGDSGTIRTTIQRLDSPLAATLLQRLAERLSARPGRYGHLL VWVQWTCIAHGGALAGNKDLLKQMSTLFKVMDQRSSTLSSLLLLKGKLDMLDAQLGLR QSLRENADHMDSEDEENVIYVEGYDEDEVEDSDAEATKNIDTPRTKAIRDQTDISMID EDEDAGSEDDEEDEEEEDEEGPSAIFDVEAEESAGSSDAEESPNDDEDDDEDEDADSA GSIADFIADTEDDDSEVDNLSRPPPSKKARLSQGGRKGKKQAGSGRK ANIA_01984 MATPPPEAPSVLKEGKPQLPPSPNGDSSSDFDRAGVQPPVTDSI PIPPTDGAKTTEKDAKNISMNGHASGQENGIVNTASPPKSPAPPATTTSIAQDGPVAG NSAVDDKPATPGNVPKQPTNGAGADDNSSKATPLSQSIPQDSSATPAGVQSPQSTAAV KTELPHHPHAAVQPEKPSTVDVSSAANAQPSPAIDQEMRDAPASPTKLSREREVEPND EPSAKRTKIDTQGSAELKAPELPTPVTDTPMTTGNGDGPLTRVQHRFLVKAIQSLKRL HDARFYKEPVDPVKMAIPTYFDVIKEPMDLGTIEQKLKNNVYTSPQSVFNDFELMVRN AHVFNGPDHIVSVEGKRLQATFEKQMLNLPKADEVEEKKPKKAASSKTSNARRDPRPS AGSNAVRPTGGSPQATTFALGPEGLPLIRRDSTNADGRPKRSIHPPKRDLPYSTKPKK KKFQWELKFCREVLDELHKTKHYSYAFPFYYPVDPVALNIPTYHSIIKKPMDLSTVSS KLNTGQYENAKEFEMDIRQIMKNCFKFNLKGDPIYMAGEKLEEVFNAKWAQKESYLAA HEPPPEQHSAASSSEESDEDEEGSDDEEDEAINRLKQQIADMSQQLEAMTQKKKKTPP KKAKSKKKDSKKVGATGAGRKDKKGGGKSSKPEKPRYVSYHDKQIISNGISSLPDKKM QEALKIIQSNVPALKGTQETEIELDIDELPNDVLWMLLKFVKKNAPHVVEEDEASSPV APNAAPPKPKKNKPMSKYEQEAQINMLESNLSRFQGGGHGRSPEPVPSLEANDSSGDS EDDSEESEEE ANIA_10254 MIPPCDPTILEHNPLFKRLHQHLTASLLNPDGSTRTTDAQPARR EIIMELRGCRMRNAKKQIKKQMLRQLALDPDNELPDECREPLAIISLYLEASPNELDQ IRDPRDGVDVDTLLASDFEKFYAKLPFIMPHFTRSLASALHDLRSLANAGNKAALSNT STEGSRSRMQVRCRSKAARQDPLGPQLSERLQNLRHLQLSELAGGRTRMAGTAAEVLA MRAAILERTVTLLERTKHGAMARATKAKAEHLAAVARGLEGKLRVMRLDALAAIHTPE VNAALSHYFQHLRNARERLEERRRLVLDELKAYEDADSSTINGPAKPGPIVGFIRQYG NLIKQIEDIKSEIRRLQR ANIA_10248 MRLVYSIHSTHNWKLENRQFRRETKMADEALSIYDEIEIEDMTF DANLQIYHYPCPCGDRFEIAIDDLRYGEDIAVCPSCSLMIKVIFDQSDLPKEEKKETE GVSVKA ANIA_01986 MLTSRAARALLSSFPSTFGLRRLAIAASAASTFRFPNSTKLVAK YQHRNGFHSTPQIQKGITPGSPDPPAPQPESSVRVSEPSPLSNHQYHEYSDHYLHVVQ AKIEEMEEDRSDLETDLSAGILHINVANVGTYVLNKQPPIKQLWLSSPVSGPRQYDWV VEGDQMHEKQETRPFTNGQWVCLRDNSNLTELLNKELGLNLPRDVYSEVDE ANIA_01987 MSTDITNKQHKGSKNSTNAKPKRPPLTHFLCLPLVNSVSLPQLE SSLSSFKASIPPISFSILEKGQEDEQRQAHRPLIPDDAIRPVGTLHLTLGVMSLPNPQ RLDEALRFFYSLDLVALMRKAEESAARQRSRSKTGKRESLAMENTQNAGQEKGVTADT SLLTSQSPRPVLTDEHSQVKSAAPEPFNISLESMHALPRARSATVLHAAPVDPTSRLY LFCEALRDKFLEAGFLQGEYKAEPRRTHKRKTRPQRDHENQDQSQQVQDHPNTLSTTI TEHKSETKFKPRPLLLHVTIVNTIYIRGRKKNPGNSHVAGKKDAHSNRYTFDARDILS HYRNFYLDSDRTIPRSSGGIIAQFKSRGDTETICDNRDDIPAGDHSDANAPKDDEETQ LTLSEGQNTGYPFIWARNFPIEGICICEMGAKKLDPAADQSGMNARLGEKYKVVAERS LHFRTIEQGTVGDPTPVAGRVFI ANIA_01988 MAQGGNADRAVAMPRLEDLLRHPEDLDKINGLKAEYTRKKAAVD AQLREGLRDQLASVQRSLSALTEGQRQVSKTRDELQGIDRLCAESQNSVDDFSRIDQL AKIQRNFEATLMMKKGLENFSSDLAEIEELLREDDEDLENQPNLLRTHMRISRLRDFR DEAMDQVRRAQDASNEATLEEYFQGLDAVIDWFDDHLGTLCMNLIPLVQSDNPSMVVR LAVVVANEEKNDETVKALQEAQKDHQDLAGRFKSMNVGPKTVRGYKEKFIQAIEFYAQ NQFEDTKEKFLDDPEGLEKSFRWFFNDLFVVQQGMQSLMPKKWKIFKTYTDIYHRMMH DFLIEMVNDPALPADNLLAILHWREKYYKKMKKLGWQASDLELDILDNREPDLIRRWQ NVIINAVEDWMDKITETDRKALTERIPDSLDTTADGYFRTQTLPDMWRMLHEQVTVSS SSSRPDLLEGIMDAMFRVLKARQNAWQTLLEEECAKYKAPGGEQLDGLQLLQDWLIAV ANDQIACIDDNDETGQYGHLTRFRRDIEQYVDPKYMASRAIPEIDALRDGYVDLSTYC ISQFVNVIFAVDLQGTIRDFFTQRWYGDFAVKRITSTFDDYMADYSPVLHPSLTDILV EELSDELLVRYLSSVRNKGVKFRRQTDPYTDKFKDDVLTVFAFFQKYPDSFAGTIKQK WRLVDWLVRLLEAEKGPAVVNVYEDFKNEYWDLQLSWVETVLRARDDFERSMITAVKT KAAELSVERGMETLMSRVR ANIA_01989 MASSVVPVALQNKLLGYGRAPSAQLAVLNLDLVRNIVFALFLFR YVRKTFYSLRGYGFFGSIHNVYLAIRLFLYSIFLRFPGVRGQVDKQVTAAIEGLESKL VANGPGVTRYLTLPKEGWTHEQVRAELAKLGNMEHTRWEDGRVSGAVYHGGKDLLKIQ AEAFEQFGVANPIHPDVFPGVRKMEAEVVAMVLAMFHGPSDGAGVTTSGGTESILMAC LAARNKARAERGVTEPEMIIPDTAHAAFIKASSYFGIKLHRVPCPAPDHKVDIAKVRR LINSNTVLLVGSAPNFPHGIVDDIPALSRLATHYKIPLHVDCCLGSFVIALLKKAGFP SPYEEEGGFDFRQPGVTSISVDTHKYGFAPKGNSVLLYRNKTYRSHQYFIYPDWSGGV YASPSVAGSRPGALIAGCWASLMSVGESGYIKSCLDIVNAAKKFESAINEDARLSPNL QVVGQPMVSVIAFESKNDAVDIYDIADDLSAKGWHLNALQSPPAMHVAFTIPTAAAVD TLISDLVAVVEKELEKAEERKRQGKSYVVKRGDTSALYGVAGSMPDKSIVSRLAEGFL DTLYKA ANIA_01990 MCPGDHPGFTAVQTRQNPHPSRNPYGHNVGVTDFLSNVSRFKII ESTLREGEQFANAFFDTQKKIEIAKALDEFGVDYIELTSPCASEQSRLDCEAICKLGL KAKILTHIRCHMDDARVAVETGVDGVDVVIGTSSYLREHSHGKDMTYIKNTAIEVIEF VKSKGIEIRFSSEDSFRSDLVDLLSIYSAVDQVGVNRVGIADTVGCASPRQVYELIRV LRGVVSCDIETHFHNDTGCAIANAYCALEAGATHIDTSVLGIGERNGITPLGGLMARM MVADPQYVKSKYKLEKLKDIEDLVAEAVEVNIPFNNYITGFCAFTHKAGIHAKAILNN PSTYEIINPADFGMSRYVHFASRLTGWNAIKSRAQQLNVHMTDDQYKECTAKIKALAD IRPIAIDDADSIIRAYYRNLSSGENKPLMDLTADEHAQFLAKEKELTESGTAL ANIA_10255 MRFIPLLALLPALAVAEEQVPLADRVQGWFNKAKSYLPTATPVI PVAEKVAEVPKKVIQEKTVTPFNATNWQSLLEPAADAQDWLVFITGGNKTCFGRCGKA EEAFNQSVLLFAADPTSPNLGYLDCESNQLLCSAWSAGAPSVWYFKVPQAQVTGERPS TPLHIVYVNSTTVTPESIYRIHAEKTYENKPAYEGAFHPTDGWLAQCGLLVPLGYVIY GFGVVPSWLFMIVISMASRTMMSRRLGNPGAPAGRRA ANIA_10249 MTEFDRVHPSTTAYIVATAIVSGIAGYFIGQGASLGLFSTKEKE GWPNGYNVKPHRGSSDEEDDTEQEESDEEEGDGTELANFENNTEEVKLVLVVRTDLGM TKGKIAAQCSHATLACYKYLVANPSTSTILRRWERQGQAKIALQIKSEEEMQLLQAQA VSLGLCARVIQDAGRTQIASGSRTVLGILGPKSVVDTVTGHLKLL ANIA_01992 MADAISIEQNNKIRAALGLKPLPVPGADATSPSFKESNDSPDEE PASTIETREAAAAENWKKLQDEANAKKKREERNAAIKRARELAQRNAKLEGKTLGESV DADMDTKTWLLQAKKKQKKIERERARKLAEELEERQRVAEYTASDLAGIKVGHEIDDF GGGEEHVLTLKDTTIDENEEEGDELENIGLRDKEKAAERLELKKRKPVYDPTEENTGI LAQYDEEIDGKKRKRFTLDAKGSTVEEQEARQQEVSEKLKKNVISLDFEAETPASDYM DVSEIKVKKPRKKKAKTTKKRSALDNDEISLPTENVDTSNDASMEVDAVNGAPAPAPA PRKTLDENISFVDDDDLQALLTRQRRAALKKRQKSRPEDIARQLREEGSQTPMDTETP EEEPGLIIDETSEFVSNLQKPVLPEPRRRTTSPSVGPRAKTEELDDEKPQIEGDIDMN RSYNDIEDEEDLKERIKREESQPTAPITGTGLEEETTLSQGLGATLGMLKKRGLVKST DAADSNALLRDRNRFIAEKTRLETEAERRARQQRERDRASGKLDRMSAREREEYARRE NTKRDQEEARQLAAKFNEQYKPDVQLKYIDEFGRQMNQKEAFKHLSHQFHGKGSGKMK TEKRLKKIEEEKKREAMSALDSSQHTGMNNAVGATARQKGQAGVRLG ANIA_01993 MLSTLKVASRQAASRNASVRSVFAGARCASTWSNVPQGPPDAIL GITEAYKADSFKEKINLGVGAYRDDQGKPYVLPSVRAAEDKVVASRFDKEYAGITGIP SFTKAAAQLAYGADSPVLKEDRLVITQSISGTGALRIGGAFLQRFYPGAKKIYLPTPS WANHNAVFKDSGLEVEKYRYYNKDTIGLDFEGLVEDLKAAPNNSIILLHACAHNPTGV DPTQDQWRQISNVMKEKGHFAFFDMAYQGFASGNADTDAFAPRHFVEQGHNIALCQSF AKNMGLYGERVGAFSLVCESAEEKKRVDSQIKILIRPFYSNPPVHGARVASTIMNDPA LNKQWLGEVKGMADRIIEMRALLKKNLEELGSKHDWTHITSQIGMFAYTGLKPEQMEA LAKEHSVYATKDGRISVAGITTGNVKRLAESIYKVTG ANIA_01994 MCLKNLAVDNGFVSEVTPEEPTNSLQEIGYRLLMEPFTLWTDCV VATALSMTEYILGDDRQDRSSQTEISSRLLRLSNARNDFARKSGYSDQNRAVDSKEKE N ANIA_01995 MGLVSSASSHLISPSPLPTLLFSPLTFLLLFYPSSKTILPWVWL CSYPGLPFRTQTFPEPLDAFNCSPSLSRTSNPALPVPVSVCRPDRPSLHTALVGTGLM STLQIPEGGLSLLRDGPGDDTGAKPAQIMRLNLAQSTLDELIESLRADQPARIRLGKH QTLYYGSNKSQHFHSTPESHQSEIYTKDTTGNGTLYFTGVLSHSLEVQKAQEATAATD QALANLEQSLSAFERGKESKKTHMITDISEVKALRAGDSRSSKLLARMPSSKVELEKD RLLKTKSNANRSVSSSPALGVARSPVSIPPLTPTSAPLSQNKDRLRLEALKIPFIHLL AIRAVSTKFLARQTRSSIEDCATLAQKYGIENRIDPEKFDLRDKVYRELDVWKFPYPS QEDRQEAIENAISAFDRMRISRSDKLWQSLLPKEERGKGKCLSRLDLRTGPIKKALTP RIQISDETGKEGETTIQEADKSAARGSGSSKTGESSAASKSGINTQKNAKRQPTKGKT TANSTLTGRVTKKSDKKPPSKVESKFKSAEYVHDSDEDDTELPDVSSSEKSQPQRTQP KPKPKPLIKSTESKASREPSHVPTPRVDQSDAPAPKPDLPSHNKPTATKRPPSSLPPS QKSINKTSPPAPSPPAKSSDPQSRSRSSSQNNTSSSSSSPLISQMSRPRITAERPVTK LSAKPNGVARTPESTNSLKRKADLERPSIHSASRLHSDLDHKRRRAVSASSGSTGSAS PPLSRELLLRQLREKSQKFKQFYAKYRALHDAMAAHPDPPRAELEKLQKQHVRLQRMK KEIWDEDRRLRDGL ANIA_01996 MSSSRGRGPNQRSPHVLVTDSREQHSSAQPRRRRSPAATRFITV DNVLQYASDIPSMQQRNPPDAARLRQRPRLPSATGGLIGSGAGGASRNATSSANASST AAAIGRLAAQAHLPPRTTKVSEKLVLLPEEGVEPQPEDEDEEEEEQGLGDEIVDEELV QRIARDKNIDPDSVRHTLLAQKKKLRGDFSVDNDVAPLLAEEDRTRKRAIAPERAKSY AERLPKARRAEKLARVTAYCTAQAYKMSSLSSFVKDTHGGRTKLYDDCLYTAYHLPLL PGHEGYRVRSSPLVKKPGGKSLLDEEIERNELRDHDEDYIESEEHSILEGRPDEHENQ ERNGRPSSSKGTANDGHSQILSPGSTPARLPYDVAEMFVFSYGVVVFWNFTAKQERDI LADLAFATSSATGSPITLATLPLQEEDFETEEFHFEYSTEISRPRVYNDMITLRSGDH MIKLAISHGIAQSTKLCFFEEVMARQMAEAKDVPRRLAMTGKLGLKREEVFRILGKLF KSRVEVNLSSNVLDVPNFFWESEPTLYPLYIAVREYLEIKPRIQVLNERCRVFLDLAE ILSDSIADSNTSHQTWIIIVLIVISILVTTSEVFLRFGLLNSGKGTSAAGFGAALFGK VIRGFSSTTRTCSCPDVMGSSSNFSGLDGFS ANIA_01997 MVAPDAQFDQQQFMQASNLNTSPSPGMKSEPNVSEAANQSVLSY PPHAPMNQPPNMNQDIRYAVQQPNPQLPMLQSPYAPSGYSAASVPISAPQGRPEPPPK TFHCGTCGKGFARRSDLARHERIHSGIRPHACDWPGCGKQFIQRSALTVHSRVHTGEK PHMCERCGKPFSDSSSLARHRRIHSGKRPYKCPYANCQKTFTRRTTLTRHQNHHTGTI EEAAAETEANLRQNKERAKVPGDGMFSDHGSVHGSVHSTPSPAHHPISPGGELPPLTI PRSTGEYYLGNGSIPAHVRGDFQQASPRASPTATSPSLSSYSSAPLPRPSMTSHPSTY APPQPLEPPATKDHRPNSVGGSPHMSSLGWPSPSHSPVSAPDFGYPEPSGPPYPGSVP PHMYFPNSTIRRPASTEPENYELRPRLGDNSWSTPA ANIA_11321 MVTRRDPVVTTSAWALLYVTAWHEVPTTSLAYRYTSMPGTIGDP LKQDDGGKSQQCRFPSTPPRYTDTAGVDPAMRMMMIIISSLTA ANIA_01998 MTWSYVPVIQRASDKTGSNIKKSQRYANAPFRVAEKVQKPEFAG YWVYCDKSTESCLEDADLVLFHLHGGGYVMGHPLDNAPELLLIAEALSRRNHKIAVFS LEYTLVPTAPLPTQLDQTIAAYTWLVSELHINPSKLYLIGESAGGHLILSLLNALYER ASSQVPIALPKPAVVFLVSPWVNLNPCGVDAKAKNQDLDTRSAAFKHVLERFSNLVLH GASPAYLKLHGSFAQPVPGRGSWKDILPVTTWVSAGTAEPLFRFDIEEFVRVARRDGA DVRFELADGKVHVWQSVEARQQEAKFLALALEEDNARLMPGYRHIAELIYDLLEGRA ANIA_01999 MAMTPVRPAFRKISLLRCPSVRRSHSTSTSSVSASEVSHFSSLA SSWWDPMGPSRVLHLMNPIRHEFIASCLAESSPDSLTKQPGTPPNTLHYLDVGCGGGI FAESLARTIPIPGSTESKSGTVTRAASITAIDPTTTLIQIARDHARKDPKVLAHLNEG RFQYVNCTLEDVIASSPNANQSSNSTDASRAGAGVRRKDGFDVITLFEVIEHIDTNAS ITPLTFLTNCLRLLKPGGWLIGSTIARTFPSWLVNQVIAEAPWPVGVVPKGTHEWKKF VNPDELESWASEGLMRSVDLERNSVARSGSEALDGMRWKCAGVVYFPGLGWKFVPGSE SWGNYFWAIRRGV ANIA_10251 MLILRSEEEAEMARRGPLASQAEYDCTTLCILAVPSYMSASDLL GFVGEATMDDVSHFRMIRTARANRYMVLMKFRSGKKAREWQKEWNGKVFNSMEPETCH VVFVKSVEIQGVDPDAQGSNPPGQQASLPTTSVQRPGVSSTSPQPSTLAAAPLSTKPL APPTPSLIELPTCPVCLERMDETTGLLTIICQHVFHCTCLQKWKGSGCPVCRYTQDDF RKTSQGFPFDDGNVECSVCHSDVNLWVCLICGNVGCGRYDGAHAFAHYSQTSHAFAMD LSTQRVWDYIGDAYVHRIIQSKTDGKLVELPAADNSALDPPDWTDAVPREKLENMSVE YTHLLTSQLESQRAYFEGILERAVDKASQASTAATSAQEAADTATATLHSLEAQHLKL TSEVIPSLERDKARAEKRAEKFETMARKMEREWREEKTMNESLMARIEHLTSEVEALK ASNLDLAEQNRDLTFFISGSEKLKNQGDEVVQGTVSVPDPPSSSKKKGKGRKR ANIA_02000 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLI FAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNV KTKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKESTLHLVLRLRGGMQIFVKTL TGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLSDYNIQKEST LHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGK QLEDGRTLSDYNIQKESTLHLVLRLRGGN ANIA_02001 MSNVDLTGDGFIGLDYDSRNYIQAQSWPVAVDQRADASRDISPL QTSGHSFEQTVAQDPNLIVDWQFQHLQPHLQYPQDEAAAAPQFTTASYGMTIHSSPID MMSAPHGHMSTSLLDGPYLPLAAPVDMVSYQYQDLQTDLLGFQTHGLPDMSSYAAPQN MVGSSSPTDTYLEVRSLTSSSSDNGWSMIEPRHSHEFSFPDQVFINPTQTLHDRSLSE SSYSTSYGSFVEVSNPVNSPCSETNFESAFNSPVNRRVSYDHTSHGSQSPTAISPAAI VRPIQVPAKKDTSPTRSSASSSSPPSRKGSRKSPIAAKTAETKVRKQSHGKSEPGEKK VGKRKGPLRPDQRKQASEIRKLRACLRCKFLKKTCDKGEPCAGCQPSHARLWQVPCTR IDIKEIGYFMKDWKADYERHITLGFSVGNIKGFSDQERTLFITHGYGQVLPINAREVQ FEVETAKLSAGMEGVSHAMLSDYLDRHIDGNGTFEKFVDDYFEGTPFLTQMLKTAFRY YFRTKMPVIRKALKLILAYNLTLHVTMVEGVGDEEGFLGKIEDPSSKFKGKTVAPVMI NFQVKCALASMWRELQKDVLEELSSLYSSVYSGEKLKNWPTIFMLASILLAVWEEMQF DCHYRTPDAAAVEKFCHDMETTPVGVIVGLFQAISQKLPSFSDWDTSKHHHLLHSNPD VCNTMTEVRQHVEKFETYLRGRSASKFNRNDFDCLSNKFVSRLVIRAN ANIA_02002 MPIIAATGRKRRRVRFPSTNPTVQVEKPQPQKAQTQPPASSSSS LGSGSRYYQSPTRGVKMADATIHAAIPRLFQEPPVIRDTLITETSELQAETINKCLPF LAGAHSSQKGPLNRHGVPSLMRDVHIGYLCDSLEDYPPGFVAMDASRPWMIYWALAAL SLLGEDLSRHRERAIATITPMQNPTGGFGGGHGQISHCASSYAAVLSLAMVGGEEAFN LIDRQAMWKWLGKLKQPDGGITVCEGGEEDVRGAYCAMVIISLLNLPLTLPPEAKARE YGLETFTDGLWEYLSRCQTFEGGISGSPGSEAHGAYAFCALACLCILGEPEATIPKCM DVQMLLSWLSARQYAPEGGFAGRTNKLVDGCYSHWVGSCWPLIQSALDGVQDAAGPKP AVGNLYSREGLTRYILGCCQSKNGGLRDKPGKHPDSYHTCYTLTGLSSVQHYHYHTNS SVVPNGRFSSAFSWKYRPAWDSDEKGYDVNVFDESDRLKAFHPLYVIPHKAAENMRLW FEQKPWENVGE ANIA_10252 MYYVLYLASLCRRRHWPEPLYESYASATGYTCIVRVNNREYQTD VVCTTETLARENAAMRAYLICRNFSVNDGKYPAGHDHGGVVQGIPVAIGKGRRSRYAD ESDISTSEGSRSGGSSPESYEAERMGRDRLLIGPHSRSFAFSQRAL ANIA_10250 MQLALRSRQATIITQGLLSGGRNWAHTYNNISSSPYRKPSFAIG RESRQTYGVSGCQSLIRGVQTGVLSKGKGPYGVTRRFTKSSLDSGDGRKTTRYEKQTE PREQGSHERNGSEEIEEEDEGTERLGRETRSRDSFGNSAEKGKLLTTPSRLLKLLIPL PTDHPKNVETIAILIHSQQPLSYLERLIQAELPMIKDENGTQRQPSISFIAYQFENHP IRPRKASSKKTKHSVDSSQEMEVEEVAVADDKEESQPPDEELDTYSSLQKPQPNGEGE QRYVRWSHATEIGDFIRDAARAGEFVVAIEGAPLGLDQVRITVPSFEERTHYLRMRLR KISDRIRTIADIKHECDQLAHRGAQRVALGGFGVLVSWWILVYKLTFETDLGWDTMEP ITYLASLSTLMGGYLWFLYHNREISYRSALDFTINARQKKLYQLKGVDLQLWENLIYE GNALRREIKTISADYDTEWDEKLDERDERVVEALKKERDHQRRKGEDPDKEGRDLDK ANIA_02004 MPPVGPRASKEEFMAALGLNSHDPQHEQYYRAMRDETILIYNLL NTSPSNLLDTLTNTSDKPKPPYFWHHIKPERQQWAIREIARNATPRTKALFASGETSG EYGPNWVAGWLLYSVFRSRDVRNNRNRRRGEDNSGGQSGNQSQAGGQARASDTGQGQV KKEYYDPVRNGLPERNVKREWIDDASICVE ANIA_02005 MASTTTTKTVKEEQPVQSKPRTLADMADSIDQATFEQILEMDDE DEHEFSQGIVYGFFDQAESTFDKMEKALEDKKLSELSSLGHFLKGSSATIGLTKVKDA CEKIQHYGAGKDESGTNDEPDEKVSLEKIEKTLATAKKDYKEVENFFRREYYRDEETP SSPEESKKDAKA ANIA_02006 MTPQSPADASKSKAKLIRNARRLLILSPSSQSQSLIPSLLKQLT GHPPSDTQIQTQSFAGYTTHPPLRIENRYYVADVPVWVDEIPVFGGTDVSANGEQVSD SESTAKEVSVSEAEQRTGEGLGRKDACAQWSQEFSSPEARVVRDAIGAIMICLRNPRP NAEPPASTSGRKGTGIPSDDSEDSDEVRGLKAFVRAVGAVRTLIEEEQGEIGSVPGIL VLGGKKEKKEEKPKAGGMSEDTLGLDPDLGAGLDGELDEPFSIGWWEGQLCEMGLIGF EVVEWDWTRIQEGEERNQYGELQGMRRIKEVLETHEWAGDEEGEVDTSLPDDDDDLER ELLGLDKEATSGFKDEVDELQREMVGLRFAIGRCGDGNVDEDEGDEELRVDAVEALLA RMRAIKDMSAELPEKERKRFAAKAVADIMKEI ANIA_02007 MGKLTSTIGIPIKLLNEAQGHVVTLEITSGVVYRGKLLEAEDNM NVQLKDITVTARDGRVSHLDQVYIRGSHVRFFIVPDMLRNAPMFRTRGQRGRGVGLAR GKATVQRARGQRRG ANIA_02008 MNPPNTNIRAFNLAVQTLLSTPSQFLPHLTVPTITQLPESIGPL LEAQYGRKAGQQPEKGREITIRALILDKDNTLCPAKTTTFPSKIYAHLHTLRNSPTSP FNISTAPNSILIVSNRAGSHPRYESEALEIEERLAELKIPVFRLPSSPKEGVNVEKKP FCGNEVLEWFRERGVVQRADEIAVVGDRLGTDVLMAKEMGAWSVWCRDGVGEEVTKGK RNVLEKMEVWVERYLRESKGLKAPAPRGFESRDDCN ANIA_02009 MSSIDTPAPSTVSTSATAASHPTTSPSSATSTPSPSTSSATTAP STSARRPQRKSTLTQQQKNNKRQRATQDQLVLLEMEFNKNPTPTAATRERIAQEINMT ERSVQIWFQNRRAKIKMLAKKSIETGEGCDSIPESMRQYLAMQFDPSKPGARDPFGRT GGYGANGAYPNEPTPSGKVVIHHFTCRSLTIGSWRRIGQNAMDLVVFYSPEKACMTYY INNDAAGYKIEYPFSYIKNITLESGDQGPQPNGAPPRPTGLVVELNRPPLFYMDSSNS GGFYQCGDFTEDQQASSVMIHRLGGHPKVLSVQLAKLVSLESFQNRLAYGNFPANNSM SPPFIQRPASQPNQFAPAFMSMYAENPAVMNLQAARGHKRQRSRSVPVAIDFSALGAP MTQFSMPQAQQFSQADSGIYAPIPQSTHSLAANLRIDTSSGYAFDPRAHPMSATTTAS PSDLASPALFSAGPQGDSTPVGSVGAQFTLPYVSPAVDSGVSTQAASPYSNVSHVDPM IANHSPPLTNMSHTPHDVYGMGSEHQPSYTEEGMPMGGGMYKHINFSSVPTTVGLEGN AFDLPMHSMSGHASPGVQGDYQGIALENVDPNVLTPGS ANIA_02010 MGTRTPLYPMPHTWSSKATSYTSLQAPGQNMPYVNPAQSSTTFT ATDRPRFNYALSAVFVDLTPVAMKVLQDVLEEIREEYPEHEVVIVEEFASAGLWPFVL GAPLPKGCTRFPKVITFSTLPFAGSSVGTAPFRPGLPPDSSVEGRARNAALYESFRQF ELELIGHANAVYQRLGATRKAPGYLLGLWATGADLTVQPCSPSLEYPRSDLSPNVRFI GGTPRADVGPELVLPEWWGELSDAKTGDSPKNIVFVSQGTVMVDYGMLLIPTIKALAD REDCMVIGVLGQRGAKLDNVMLPANAKVVDYLLYAAILPYVDVFINNGVHAGCYAWSA YGPSWSRM ANIA_02011 MSQPNRVPDSTQNRSPQQPRISLDQPSSPSDTTSQIPRRPPQPS RSHSLRIAAPGRTSLSPSRTLSDSGRRLSQQHVRFSADLERPIAEGNTQASWEQDRRP SSRGLSINTSIANTQSLSSATSPDANRAPNSTLSPRSALSPTSPQSPDTVGRSRSRNR GYSLRRTIFAKNIESQSAPPSPVELGDIAKTESEVAEQEVSAAPAPGTSETKFSENEK LEVNVAQKSTSASACTENGLSVYSSEQTDLKEGRNLSPSLSYDRWLKRKAAITALQLR AENFLETIRKTILRIKTIPPSKDGRHIDLDPTRTENLIDERTGKPYVENWIRSSRYSL WSFFPRQFFAQFTKLANFYFLVVAILQMIPGLSTTGKFTTIVPLLIFVGISMGKEGFD DWRRYRLDKEENNRFAYVLRPGANVLSRSVTGDSGSTSSESQDWDMVKWNEIKVGDVI RLERDQPVPADMVLLHADGPNCTAYVETMALDGETNLKTKQPCHSVAKVCGTVGGICS SSIHFAVEDPNLDLYKFDGNVTVAAGEKTPLTNNEILYRGSILRNTERAVGMVIYTGE ECKIRMNANKNPRIKMPSLQGKVNRVVMLIVLLVVMLAGACTIAYKYWSHDVESHAWY LEDANVSYGPVFTSFLIMFNTMIPISLYVSMEIVKVAQMLMLNADIDMYDPESDTPLE ARTSTINEELGQVSYIFSDKTGTLTNNSMRFRKMSVAGTAWFHDFDLLDEAAQEGDRE KLIHKKRSVKGKKAVARQSNVSEVQRSLARPSMSTHDGARKEGPSVPNTRTTDMLKYI QRKPYTVFARKAKMFILAMALCHTCLPEEDESGNTTFQAASPDELALVTAARELGYIV HDRQPNTLTVRTFPNGQDQEPVDEVYEILDVIEFSSARKRMSVVVRMPDRRICLFCKG ADSVLMKLLKRAALAQEKVNEIERRASKRKAAEASEVIRRNSEHHNRKDSGVRNSFSR PSMSHRRSSVSGRRASTLRDSIDVWLRDRETDGGLLHRNSDVEYYSPRPSAQLGRQST TFSDSGSSVHDDEEDLVEEALVVDEAAVFERCFQHLNDFATEGLRTLLYAHRFLDEAT YAEWRCAYQEATTSLVNRQERIEQVGQQIEQQLELTGATAIEDKLQKGVPETIDKLRR ANIKLWMLTGDKRETAINVGHSCRLVKDYSSLVILDQEMGNVEESISNLTKDILNKTV AHSVVVVDGQTLSMIEGDETLRAAFFKLAILVDSVICCRASPKQKAFLVKSIRRQVQD SVTLAIGDGANDIAMIQEAHVGIGITGKEGLQAARISDYSIAQFRFLLKLLLVHGRWN YMRACKYTLGTFWKEMLFYLTQALYQRWNGYTGTSLYEPWSLSMFNTLFTSLAVIFLG IFTKDLSASTLLAVPELYTKGQRSEGFNIRLYLGWTFIATCEAMLVYFVMYSLFGNVL FTTGNINDIFSAGLLTFSACVIIINTKLQVLEVHNKTYLSLAVFIISVGGWFLWNMIL SRQYDFKSGDGIYHVPGNFLLHSGHDLSFWVVLLITVTAVIIFEIIVASLRANIFPTD VDVFQEYEKDLDIRKRFEEAAASELQQGWDHGKKKSSFEIAREEAEMEARERQVAELL ARPRVMSDAAKNDSSRGVGVYVESASESASASASALGDSQDQIAGTGVGAESSAPRRS MEIHELFSKGFGALKKGQLK ANIA_02012 MGFASKIANAQMSASNSGGVYGGAPPTSYTGGPPTGAGSQAGGY QPQQAPQQQQPPQQYQAYPGGSASGYPPQNAPYPPGPPGPPHAQAPGGQYGPPSGAGG AVGPATQQQLNAYRQLLISTIQEKSLQHFYPPDKLDRLVQGLSRDGPAKVNKIVNEFA LPTEIAMDVIKLSLYDIVLYVDDSGSIEFEEKGVRKEQLKQILGIVATIASTFDEDGI SLRFMNNDFMQNNIRSAQDVEQIISNIRFSGMTPLGSALRSKVLDPMIVGPARAGRLQ KPVLVITITDGQPAGEPLDSVAQGIRYAVDEVSRSPFGRGAVAFQFSQVGNDTKARDF LGSLDNDPSIGGLIDCTSNFEVEQDEMSRANPPVHLTRELWCAKLMLGSIDSSYDTKD ERGSGPSGAPPGPPPGQYGGYGQSGPGYGSSAPYNPGQQQPYSPAYPPHSQAPGGYAQ PPPQGQYGGYSQPGPGYGSPAPYSSGQQQGYGSAPYPPSSQASGSYGQQQYGQRPGSQ PGYPGQQPPYGQQPRY ANIA_02013 MASHSQPTCLNVGILAAGPHPVQTIYLPALNALSNLYTISAFYM PSSTAQSDKERAVTPNAILTDPSISLILNFMPNEYHELYTIAALQAGKHVMVETPVSL SIPSAKRILDAEKDAPNGARVFVACARRYAPCFESVFKKEVAELERIHYARLRMIAGP HVAHTATSLRVVSSDEPELGNGSKEGVQGEKEKEEEDEDRAARLHDLMEEVFGKEEQP NHERIALSRFLASLGCHDLGLMRDTLGYPDAISNISVNEPFYSALFHYNGSVAGARYG RHPFTLMYETGTDCVPRCDAQLAVYGHNKTVTIHYDLPYARAQPVRVVVERADERGGL MQTETVSTWEEAYQEELKALYAYLVEGKPAKTTARDSLQDIKLFQTIFDQYNKQCGTI RTPLG ANIA_02014 MSTQSLSSLLSRASIDDHEEVLRSCDAALAKSRSDIQAQHVKVV ALLKLDRYEDCLRVFEEGGDILKKKAALEYGYTLYKTGHPETAIDVVSSLAGDRGARH LEAQATYRAEKFRRTAEIYQGLSRESAPTVNEENDLRINSWATDAQLQWKGHPESVRH TRPTRDDLEAFETVYNAACLSIAKGEFDQAGLLLKRAKELCRTSEDLTPEDRDAELLP IAVQQLYVLICQGKSAEAESILEEISIKDISELSTKRIGQNNAAIARGTTANPYALYE ALHEVPDSTDNDKLFDYQYNVMTGNLHAVDLLVHKYDGIIRSTSKALSQAPFPSTEAS TNLLSVYNVAARAKGETGTPALKAILSAVERRPKDIGLVLTAVQLYVNQGNTTSAIVI LERTLQLLDESISEQDKEIRFNPGLLSVLIALYKREGRKTQIKAELAKAATFWSGQAA QPTSLLRAAGASLLHSSLASDTSQAAELFKSLYEKTPDDLFAVAGYVASHAAIDYSQV EAQAKYLPSVDDLISDIDVAALETAGVAPSSSTTAAAAAAIAGARKRPAPTKDRVAKR VRKSRLPKDYDPSKTADPERWLPLRDRSTYRPRGRKGKQRAAERTQGGVVSEKSEETT LSGQQQQQKPQTGGSSQKKKKKGKR ANIA_02015 MSTKMNLRDRSPMSSSWVWKKKLPRFLAAACLIGTLLFFIGPRI NHHKLEEDIVQAASDTAKTVADITAQPPPAENITVTSKVGCEPDLDVLERLGVKKLSK YVRREVIAVQAPMNPPLTQVLETPLFDKHSIGWRAPENAEPLQSDCSIATPLTLQVPR PVEHIDASHFDFGVATTLDRLNESLDAFTHWAGNTGVRIFALIEPDRRVPEVLAKAER LGIQLFVTESGEEYQTRYFSLVSHLAHNLREETRWSCIIDDDTFFLSISALVEAFEHY DHTQQMYVGGVSESVAQIGLFGLMGFGGAGVFLSRPLIEQLSKPEVFDACQQMVYTGD RRISLCVYQYSDASLTIDHRLRQLDFRGDASGFFEAARPLPLSVHHWKSWFHTDMTKL SQVSEVCGDSCLLRKFRFADGWTLTNGFSIIKYSKELSLDDHSMELTWDGDHGANREA FMHELGPLREKDDGKVSYLMADSVTDGNQVRQWYIRRDESNGDAVFELTWRRQ ANIA_02016 MASPAAPKHKPFKQACDNCRRRKIKCSRELPCDKCQRLLLSCSY SDVLRRKGPKFRTLYPLAPIHPLVARDRQILQYDPPRFSAEREWYAEPTSYPVGGSPT SPPFMEEPQYLPPEHPDSFSRLPPPELVSSPDSLNSLSDSPTAVLFRSPRILTAPVLL AHVNVYLKYMFPIMPVVRREELQQDCHHPERLTPQRYAFLASLCAATHIQLKLDGATP VADPSHFQAGENSSMSGEELLAEAVRARKDCDPIEDMNVESLLTSFFLFASYGNLDRQ DHAWFYLCQATSMVFALGLHRESTYAELNTEEAEQKRRVFWLLFITERGYALQQAKPV MLRNSIHKPQVLCSDDPILAYGFINLIGVFESLSVNLYDWVSAGGSVSGGGNNRDGSS EMPPTSAIQATLSKPISLEGVSEIQKVDILITQQWLQAMMWKLSMTRASQPGSRNETV LPFHLPVMVGKAVMSVIAEASQGAVDAHGIGMEQKLFDLGTSIADVSRSLSTKAANSL AESTVDPRELLWGILSTLSRIRGSQSYLFPSLLERCKGTLGFDSPTPLSISDFHQINP PLLNSASSSSIASETLDISGPWPAADDNTMDSSTGSRTTNSCAWEITSGDTNDQNQTS DQPPSLSGSSSVPLSVSRMHSSTSMAAELSFQAGRLLT ANIA_02017 MVRFLHLAGTLPVLASGAVQDALRPVAESAATVTATATVAGQQA QFTLSDYVDVGADLIANVDDPEAVNAQSVCPGYKASDIQQTDLGFTASLRLAGEPCNV YGTDVESLTLEMQYQDTDRLNIQITPTYVDASNASWYILPEEFVPRPKPAAGASESHS DFAVTWSNEPTFNFQVTRKSTGEVLFDTAGSVLVFENQFIEFVTSLPEEYNLYGLGER INQLRLLRNATLTSYAADIGNPIDANIYGQHAFYVDTRYFSVDEAGKHTYVKSSEADP SATYTSYSHGVFLRNSHGHEVVLNPQGLTWRTIGGSIDLTLYSGPTVAEVTKQYQRST VGLPAMQKYDTLGFHQCRWGYNNWSVFADVLANFEKFEIPLEYLWADIDYMHGYRNFE NDEYRFPYNETKVFLDKLHAGGRHFVPIVDAALYIPNPQNASDSYETYTRGAARDVFL KNPDGSLYIGAVWPGYTVFPDWHHPDAADFWANELVTWYEKVKFDGVWYDMSEVSSFC VGSCGSRNRTLNPVHPPFRLPGEPGNVDYEYPEGFELSNATEAASASAASSSQAATTA TETTTSTSSYLRTSPTPGVRNVNYPPYVINHVQTGHDLAVHAVSPNATHVDGYHEYDV HSLYGHMGIQATYRGLTQIAPRKRPFIIGRSTFAGSGKWAGHWGGDNYSRWSSMYFSI SQALQFSLYGIPMFGVDTCGFSGNTAEELCNRWMQLSAFFPFYRNHNVLGTIPQEPYQ WASVIDATKKAMRIRYALLPYFYTLMHDAHTTGSTVLRALAWEFPDDPSLAAIDNQFL VGPSILVTPVLEPQVSTVKGVFPGVGQGEVWYDWYTQTAVDAQPGVNTTIDAPLGHIP VYVRGGSILPMQEPALTTRDARKTPWALLVALGKDGTASGHLYLDDGESIHPKVSLNV KFRATQTALTVSSEGEWKEANPLANVTILGVLENPVSVTSNGQQVPAEYDAQSRILVI TGLNQFTNNGAWGQDWTLRW ANIA_02018 MRSPLFLSFAATVLAATPAEWRSQSIYFLLTDRFARTDNSTTAE CDTSAKYCGGTWQGIINQLDYIQGMGFTAIWITPVTANLEDGQHGEAYHGYWQQDIYA LNPHFGTQDDLRALSDALHDRGMYLMVDVVANHFGYDAPAASVDYSAFNPFNSADYFH TPCDITDYDNQTQVEDCWLYTDAVSLPDVDTTNEEVKEIWYDWVGDLVSDYSIDGLRI DTARHVQKDFWRDYNDAAGVYCVGEVFQGDPDYTCGYQEVMDGVLNYPIYYPLLRAFS STSGSLSDLANMIETVKYTCSDATLLGNFIENHDNPRFASYTDDISLAKNVAAFVILS DGIPIIYAGQEQHYSGAGDPANREATWLSGYDSTSELYQFISKTNQIRNHAIWQNETY LSYKNYAIYNENNVLAMRKGFDGSQIITILTNAGADAGSSTVSVPNTGFTAGAAVTEI YTCEDITVSGSGEVSVPMESGLPRVLYPKAKLEGSGICGL ANIA_02019 MTPTHELYIPISGLGLTPTSCSHWSFFLRTPGASYGDLLHIQPI SLSPLWFQFDACEGIDISSLQAEGMVKIADLTSEQRRHAVKIIRSEPAPRDGKRRCQE WVVDVLVSLEVEELVTKTLDIGQASSNSAGVRT ANIA_02020 MPPDPDRRRSSNRMAIPYATGLPADNQPLPSFRELLPPHLHEEI ESTSYFNSQHNSRQPRERPASSHELGLNSVPREHASSRSSRPSPVLPPIRDLQSYPDR ATGVYPDPRGLPPPPEITARPVGPHGYPHAAPAVPGPLADRNADAYRGVPPMHGQVRY HYPSMAYQSDPDHASVPSLSHAPQSNFGILGDSTDARNRRRRGNLPKPVTEILKAWFH AHLDHPYPSEEDKQMLMSRTGLTINQISNWFINARRRHLPALRNQRRTGGSDLDERQS LSDMEQTSPEPSPHRRL ANIA_02021 MATSTAFNAANHGLQIGNNSGSITAEFHVARAYTTEDIDRICLH ALRCPDSLAVKNRLKESKDRLVYQSIQWILEDAQYKDWENGDDVGLLWIKGGAGKGKT MLSIGLIEQLARAQDDSTVVIYSFCQNADYELNTLEAILKGLILQLANRQPELKESLR RRWDTIQESFSEDVTSWQSLWNILFEMLARCKYSRVYMVVDALDECQDNDMVDFLKSI VRKGLDQPGKVKWMLTSRPWDGAERVLLAGQDQVQINLDGEHNSQSVSGAVEAYITSK VEELSRQHKYGATLKSEIETELTERSEGTFLWVSLVCKALESVSRDDALSTVQSLPPG LHPLYDRVLNQLNEGELAEVQKCMRLLKAMMTVYRPLKVEEVASVIGLTDEEDTIRAL VDCCASFIRLREDKIEFVHQSARDYLAAGTGLSILDSHGRFGHEEIALACLTYLSEYL KPNLVNLPRPDATRDTLGLGNGPTNGVLSRVDYAAMFWVSHLKNTSNDSDKSQVSVFL HTKLLEWLECLSLLDRLPRAIDALRALEEILKLTKDDCQALAFVQDAMRFLLRHYHTL SHWPLQIYSSAIIFSPESSVVKGENLHKVPVWLGRAPPMEDSWTPMIQTLTGHSEAVW RVAFSPDGKQIASGSDSGLIKLWDTTTGSLQKTLSGSHPKRRYQIQQEQVKAMAFLPD SKLIISGYYDGSVMLWDTTTGDRQEIADHFGISKVNYTYLQPPEQGGYGLHAGAFSAD CKQIAAFGWSRDSTCIMLFDTATGDLQKILKGHSHECSTMAFSSDSRQLVTGSVDCTV RLWNTTTGDLEKTFVGHTGTVLSVAISSDGRQIVSGSIEAVKLWDAATGDLLKTLASG FAGSVAFSPDSRQIAAGFKDGKIFIWDAAGTLQKTLERHPGAIMSIAFSPDVKQLVTC STDSTIKRWDITIGGVQEAVGHSKHVTTMVLSPDGKVIASGSADKTIKLWDAATGDLQ KTLTGHLDTVEKVVFSLDNRQIVSCSSEAVKIWDAATGKVQNSFESDDVFTIVAVSPD GKHIASGHCNGRIKLWDMATGSLLKTMAGYRSKFDSLVTTEVFDIQLQEAIFNTQITG LVFSPDAKLILSTSTDRAIKLWDAATGDLQKRLPCHSDSIITDAFSRSSGYPNWIFSV AFSSDGQHIAAASADKTIRVWSIEKSLKASKYLGRAVGSHIKSSRPWKEIKPSAQVYD VIFSPGNQYLATDIGPIPLESTPTKGEGERPVRSDSDSDSDSLQSLCHRDQWLCYGAM PFLRLSPDFYVTRWDAHGDRVVVGYDNGQVSSFNIDRRSLQADWGTLEL ANIA_02022 MATQGKLDAAQASDISQLWQQAVKNYEKKTKKSLHLAQFSNIDQ IMKGTEGLSSEFKDFRHDRSKTDNVRTALKNNLWLIQKVVNTVEVVGNAASAFPPAMP ASLIFTAFGQVMQSFAAVSADYDKIMGFFDFTHRFFDRLSMIEDKTPQQAPFQRCVAR VFSGMLTICSMAQEYAEKKRFKKWFSSLIDGSDGALSSAIQEMEEAVNELTQAVGLAT LRTVEILDDIVQSMNGNVEFLVAQVTVIDGRMKDIKSDTGTIIEQTQTLELKQDAMLK MLNEQSRLFNDAVQSFEYIQMGSNFGQSFQTSLLKLDVVRLKLTRWGQSVGLANVDDG VKQLQMTNLAPEDQEQVQDLLSQILELFAEAEAASKRLRRRNPNLKVLDPAEELDGVS APLHQKMQDLAKKRQGKSELEQDQVTILYEEKNFARLIEDIGELVDGLVDLFPGIQEE QRKLCEEEVSGLNANEGALSLLKEVAAGQDKLLSDTVVKVIQSTTSYTNSVVFSGPNS GFQIGNNSGKISGVRFGGS ANIA_02023 MSIRAVREPANAKVDIVFVHGLHGDQAPWTSASDVFWPEKLLPG KISDACILSFEYEAAIGLFFDEDDEITDISSDLINELMDHRTEKEKEERPIIFVAHCL GGVVLENALVRAAEHPRKRELIGCIHGILLLGTPHFQPGSVAAATKYLQVAQAEIPSE SDLKDRLYRLSGIPQRFAELKQAGAEFEVEGFYAGAGTKLGSTGKDVKIVDEALARGP DAPPPERLARNQLRLSQYDGEDDKDFKKVVRVLTQWASKIVLPEEDKGAANVSNATFS GSHNSGLQLGQNVAHHARVPPRKADYID ANIA_02024 MAYHEPLDAAPTSGHLPIEVPNSVAPCNSSITPNNTLFEQEPDR DDEIQRYLQATGETIYQSWRPSLTASPYLVQSNVQYHYPSSQSQNPGFPGSTSSFMQR NVTQADYHYSMNTHAPSTAMSDLSLARETTPTGILQCRWEGCTYTRDFGRVELFVVSV ISLYSGIADMDIRWDLHRKRSNFCFSYR ANIA_02025 MSATSPSRHRPISFVGKWLDTIEETAAPQSTSYPGRRSLPRSSK SNLTGSTLVGPRRRQYTGISLDTRPRVVYVESASPRKSRKDAFRAVFHSWKATLTTRT TSHEAFPAALRDNPELAIAELSSHLSANFAVLIEAALRNSPSKALSARQICKEIMRAD HWYRAHKKFGWQECVARELSSNPVFQPVIECRQGRVRNKGVKWQLTAVDPSLAITTAP WRAPRNSVPYPLELSTEAESTERQMEQSRPEGTDTPQAPRPSLAALATASVLSSTLPL HPQPAEDPIAKKEALVSFTALGPSRSPAIISYNDAPPPSHQSGENVFRPDKADDTEGG SELPRGRGSNDEQKHPGRSDGNENRKRQRLSSPERTRSRRKFAALYHISPVPPPLRPQ LPRLNIPESLPVQVDNSARPSPASSTAATADLTPADTSSTQAPTPSNSSDQQSSNSRI PITEALKTLVYRIQRIEERLSTCEENTTRLLQKCEMPSGHGPNMMIPTQMAEASLLGG SIYSQCIASDGSMLSPGSHNPSIRSNSSLSNCPPLGSSTAVTTGTPSENTPSEELFSP DFDVHLPFGNPENLGAGATDTDADGGLVEDSFMPDLDFEAWNEEHIGAFGSVPSFVFS SC ANIA_02026 MASTDKPQILLLCLSYREFLDEVYSPLFNSLVEVAHIKRAKTAS AAISALASTTFKAVIIADEGLTKRNTANQEVLGRVKYYIENGGLAIVGLHFPNFTTMD RFKAFFQAFGLPWKDGDYHRTTFQLNPSATLPESTEPASLPGPYSMKALHVTNARPLE KIFVPIEGAVTQSHVFAPGYVDQTQAAVVGAKLGSGHLVYCGDVNGEDGSNQLMLALC GF ANIA_10256 MRRPFTMTMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRA ELQAVRNSQLRNHPPVTTTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNL RTKFAIDAACYPTEEEQVYYAYSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDK AFGDPDRQRKALSYLNEFDEELLNAGGINWDDNQKKALLDTAINVELLKAMVGIRQED SYDNYCNQLREINHNLQRVARLTRKGSRAAVPTHVARTRPAGGSDRTGTPDQMDWEAT HAQIAALQKEVAALRCLTYGVISDKFVKIHQIPTIPIHPKPFKGVTGNIEEINKIVRV QLDIGAHTEKGAYFYVIPDNLGYDLILGLPWLEQHDGRLEAKRGRLYLCTTGVRLWST TKRPLPKLNIAQISAATMGGFIQRKRCRGQDIEIFAVSLADIQKALAPKRHIDPRTKL PRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVQEESGKDPLKGFIRVSHSPAAAPV LFVRKPGGGLRFCVDYRALNAITKKDRYPLPLIHETLNQIGQARWFTKLDVSAAFHKI RIAKGQEWMTAFHEFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAGLYLDIKKCE FECKETKYLGFIIQAGKGIKMDPEKVKAIKEWETPTTIKGVRGFLGFANFYRRFIPNF SGIVRPLNNLTKKGTPFLWTKECQDSFDLLKEKFITGPVLATFNPSYRTVVETDSSGY NTGGVLSQYNEKGELHPCAYFSKRNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGE FQVITDHKNLEYFFSPRKLTERHVRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDM PDDEDDRLDAQDHILFRGRRWVPDSEQLRTSIIQAAHDSILTGHPGREQTYLLVSREY FWPNMSRDIRRFVRNCDICGRTKSWRDQRRGLLKPLPVPDRPWQEVSMDFITDLPESE GCTNIMVITDRLTKGVILEGMSETDSESVAWALVRVLISKHGIPKAITSDRGSQFTSD TWARICTLTGINRRLSTAYHPQTDGSTERMNSTVETYLRMYTCYDQKDWNRLLPLAEL AINGRTSTATGHLAEEPTKSPIQKGEAIVRKVKEALDWAQASMAYSQQNTENQANKHR SPATNYQVGDKVWLSLKNIRTDRPSKKLDWKNAKYEVIGLVGSHAVRLNTPPGIHPVF HVDLLRLASSDPLPSQKNDDSQPPSIMVNGEEEYMVEKILDERRRRYGRGHRLEYLVK WSGYAQPTWEAATALEEVQALDEWLDRTKQYRLQDGSLNRDAYIKAKAT ANIA_02029 MSEMPELLSLPAEILQNIFAQADQETCRSLRLVNRLLGEIGQHN VFETLVIQLTQDYYGYDLEKIVKRPDIAALVTKIYVNTYDPNEPQLWMGKDETSESLG VLLGLLSRLPRLQSVVLRFHPECPEDDSWGEHPQGEDFRQAVMQEALSTFAAMPQLKE LGLRDLWNVHDEEPKVVADRNTVLSRLESLRLNVINVNQGMQGSSDYHREAPQKFWPA LPSIWLKPALSNLQHLTLYSTMYTGFFPKCDLADLHFPKLRSLALGNHVFAHDSQLSW ILSHSSTLSELYLDDCTILFQAAVFAEKLDLYETRQHTILPPSSFAPHPKLPEHSLYA AYSTRWADYFRAFKEELPHLRKFRFGHSPDWWEDDSMPFENEESIEIGFGSESYLVFC DGYLPSEYMERMIWDNPDEKGEALEPSKEDRVALEELCRKVGVMVTLED ANIA_11322 MKFSFLLPIALFFAAAHAGHPEPEPVECSGVGSITAAADENGDY YEICTCPEGTERALLYTLSKVYLRVRMRVHSVAPCRTAASD ANIA_02030 MPSITTDQWLEAAAYRRSVRALEGTSKVSDERVHEIVAKVLSFA PSSYNTQPVRISLAFGKKHKELWSIILREAEPILKSINPDLWQKLGPLYEGHKAAYGS VLFWERGGTTKEAAETHKATEHMFGEWGEHTQGIHQILVWTALELEGVGANLQHMNAI PPIEMAIKKFAGVPDDYKLKAHLNYGDEQGSRPESPPKLPITETLTIL ANIA_02031 MNNAETIHLPRILCLHGGGTNAVIFQMQCRVLEKRLAHSFRLVY AQAPFTSREPGPDVTSVYRDYGPFRVWFCDHNMSHTLKSRDVVTAIDASLAHAMAADD AKGATGDWVGLLGFSQGAKVAASILYRQQRCGITHFRFAILFAGRGPLVWLMPDLPLP HGLVDAATPFTHPSSSSITIGSDEHMLRLPTVHVHGLNDPGLEHHQDMLRKYCDPLQA TLLEWAGDHRMPIKSRDVETVVQQIRLVAKETETPSVMMAGGGFHGRSVENSFSLQTL SMYI ANIA_02032 MKPSSNICQATSFIFGGHIGPQTKNSLEKEIREVANGPNGGWIL DTLAGMPRYWEAVTEKIPEVASTMQGVQLLSDLESWFRIGSDSVDSLAPDAELPDLWL GILMVIIQLDQYWRYLESRFADRAVDDLQGELIKEEKVEAVGFCAGMIAAVAIASSHT RQEFKKYGAVALRIAALMAILVGATEEWTKAMGKGRSVSFATAWRTRKQGDDMARIIS KLSPDAYISVILDDSRATVTVSERLAPKLVRQLRAAGVTAIQLAFKGQLHSPTAERKR LTEAVVELCQSMPELRYPVAARLALPINQVSGQETDLVGMVLRSMLVNQLNWTSTTSM LTLNKEELSRVEFGLDRPLPPPVVRAFGAMLDYKEVDVPKHRQYDKGKEPVHDTESVQ VAEPPLQEADENVIAVVGMSIKVAGANDLEEFQQMLKTGHSQHQLVTNDHITPNMMFR NKVPNRKWYGNFVRDPDAFDHKFFKKSPRESMAIDPQGRLSLEAAYQALEQSGYFNEL TMTSAAEQERKKHVGVYVGVCSYEYDSNVHCHPPSAFTTTGELRSFIPGRISHYFGWT GPSLTFDTACSSSTVALHNACRDLLSGEVPAALCGGVNILTSLQWTQNLAAGSFISPT GQCKPFDSGADGYCRGDGIAYVFLKKLSNAVADGNTVLGTICSTGVNQNLNTTPLFVP NVPSLSTLFNEVIRKARIARRDISLVECHGTGTPVGDPAEWQSIRNAVAGPRRDTVLP IGSVKGHVGHTEGASGLVSLIKVLMMMRGNFIPPQASFNTMSPGIHAQPSDNMEVVTA LRSWPGAQKVALINNYGACGSNSSAIVAHSAHKPVKGPLSGGQRLPFWISGLDARSIA AYSTALASYLHSQDQAASLADAKLALAAKATKDTAPSVGIVPVKPERPVILCFGGQVS TFIGLDRAVYEGASVFRHHLDTCNAAITSHSLESIYPDIFSSEPYQDTIKLQTALFAM QYASAKTWMDCGIAEKVVSVVGHSFGEITALCVSGVLSLEDSVKLIAGRAKLVQTAWG ADSGSMMAIEADGAVVQDLLQESNARSDGTAGIACYNGPRSFTVAGSTKAIDAFAATL PGKEVKSKRLNVTNAFHSALVESIVDRLGEVGKEVTFHDAVIPIERATEHSGDATLDW TFVGSHMRRPVFFNHAVQRLAEKYPDAIFLEAGSNSTITVMASRALANPKSTHHFQSI SITNTNKGIARLTDATVDLWKQGLRVSFWAHHRFQKDEYAQLLLPPYQFEKTRHWLEL KSPIEQAMNIAKVQDGIAAQKGHVNNKSLEIWTFLGFQKQKKKTKLARFRINTSSDKY QRLFATHVIAKTAPIAPATLEIDMAIETLFSLNPEWRQSGFSPVIRDMLSHSPMCADS TREYFLDLVPLNPTETEWQWTIQSTGTSSANDKHAEGRIAMYSSSDPAALQEFGRWER IVTYAQCQAVLALGSQDEGVEALQGRNVYRAFEEVVDFGSVYRGVKYIVGQEKGESAG IVHKQHSGDTWLDVPKADCYGQIAGMYVNLLTDIPSSDMFVATGLELVMRSPKAQTVT DGRENGPNVWHVLARHARQGEKAYVTDVFVFDASTGALAEVILGLQYVRVPKATMSKI LARMTTDKSFVRSTATASLLLPDRPQPHDGPITATVPRPAPPKATKTNVAKTEPVSSA RNIAKEVCNVVANVSGIEASELSLDSEMADLGIDSLMAMEVAREMENTFHCTLDSTET MVATNIRDFAACVSNALARSGGQDGASTSTESDAMLSDSEDEDTDSGISTPDDASEIT SKSHDPVSEPLTSTILNVDHGDVAARNAEALRLVEAYTAGWDSRAVKDANNRIITTGS GDGAVVIVTGASGSLGSHLVQALAERPDVSGVVCINRPVKDTPPDSRQEEALSSRSIK LSPAAREKLQVYGTDTSKAQLGLSKKEYNWLAQHGTHIIHNAWPMSATRPLKAFEPQL QVMRNMLDLARDMALGDEPRRIGFQFVSSIGVTGLSNESPVLEQPVAFAATIPGGYNE AKWTCERMLTDTLRRHPQLFQAMVARPGQISGSTASGFWNPVEHFPFVVKSAHALKVF PDLQGVLHWLPVDKAASIMVDLLSISGSKGSGEVYPVYHVDNPVGQLWKEMVTVLAAS LDIPPHGIVPFREWIQRVRQSSLPPAQNPASMGLGFLESHFERMACGGIVLDTQHSRE HSETMAAQGPVSAEVVRSYVSSWKAMGLLRH ANIA_02033 MGSIAQPLQVAVVGGGIIGVMTAIGLRRRGINAIIYERAPTWHE VSAGFAFTGAAREWMEMIDPALVELLGSMSGKSDASTSNAYWDGFHPRTNEEAEDESK SLLFRTPTNNLSFWGCVRSEFLKGMAAMLPEEATIFGKQLADYDDVNDKVILHFDDGS TAEADVLLGCDGIHSATRKIMLAGESRAGFSHTVTYRTMVPIDVGIQALGQKVAKNAC NHLGPNADLLCYPVASMTVLNIAAFAYEDSEFPNPDRMTVEVDRSEIAKVFQGFSPQI ADIWKLYPEKVVKWGIFDLEDNPPSTYARGRACVVGDAAHASTPYMGVGACTGVEDAL VICTLLESVQQKALAGEKLKEALVEALQTYTGARLERGRWIHHHSRQMGQMYHWRYGP TGRDPQRMKQKLEENWGNVVTYDVLAPLQPELRELARSHQKSL ANIA_02034 MVRNGTPLPAKLAGPPLSDREAIADACYRAFASIDHASEELLES SATPDIYTDIAFKECDGYEELRNKVWINVAEHVDTVHYISNVRVSIDTETTARVTFNA QAVHCIVGKGYEPDSTKFTTGAFYACDAVKVDDLWKLKTMRSTHIWSTGDRSIMKPPS SE ANIA_02035 MDTDSEWASEPIAIIGMSCKFSGGASNPDKLWDLMASGKTGWSE IPEERFNLKGVYHANHERTSTTHVKGGHFLDEDVAVFDAAFFNYSAEMAQVVDPQFRL QLESAYEALENAGLPLSRVLGSQTSVFAGVFAHDYQEGIIRDEDRLPRFNVVGTWSPM SSNRISHFFDFRGASMTLETGCSTTLVALHQAVQTLRNREADMSVVTGANVMLNPDTF KAIGSLGMLSPDGRSYSFDSRANGYGRGEGVATIIIKRLSDALAANDPIRAVIRETAV NQDGKTDTITTPSGAAQVDLMRECYSRAGLDPRGTQYFEAHGTGTPTGDPIEAQAMAT IFSEGRDDKNHYLRIGSVKTNVGHTEAVSGLAAVIKGVLCLEKGLIPPTVNYEMPNPK LKLNEWRLKVVRTIEHWPDSLIDGPCRMSINNFGYGGTNAHVILESADPWTLTPDLDF ELVNGKGLKGNGDASDDVSDAKVLILSARDERGCQQMVSDLKGYLEKHKPLDRKASKQ LLQNLSYTLCERRTLFQWVATHQVRLDSGALDSVIQGLDSPCFKPTRRASESPRIGMV FTGQVFRRSIEEAETYLNALGADWSLLEELQRDKKTTKVHETKISIPICVALQIALVR LLESWGITASGVASHSSGEISAAFAVGALTHHQAIAIAYFRAIIVADGTQRAPGSAKG AMAAIGLGVGTVQPYLDRLTEGKAVVACVNSPQSVTISGDEDAIDEITDLCKQDGVFA RRLKVQQAYHSHHMDPFADTYRERLRIEMDRSVVKGDKQKLKAVFSSAVTGGRITDIK EIASPDHWVGSLIRPVEFVDALTELVLGDPDDPTGRSVDVLLEVGPHTALGGPIREIL SLSEFGGIELPYWGCLVRDEHAGDSMRSAAINLFREGQSLAMDKINFPVPAYDGEGPQ VLTNLPSYPWNHTMRHWQESRVNRAIRERGQPPHELLGMPVAGNDPSASVWRRVLRVT ETPWLRDHMVQGSIVYPGAGYICLAIEAVRQLTDQDKSVSGLRLRDINFLFALVIPDN ADGVEIRTTLQSVPEREIGAQGWWRFEVSSVTLENRWTLHATGMVGIEESAVLETERR RRPLSIYTRQPNPQDLFANLRAHSVYHGPLFQNTNRIIQDGREPRSICDITIRHEASS DTDPEVAAQNSLLHPITLDAVFVAFYSALPSVGALQEEPKLPRSVRAMWISSNISHQI GHTLQCDTSLLNDDPQRGRADITVFDGKTDATVLKIQGVELAALGRGSSASTSTEVCS RVVWEPDLSFRNPLAFEQIKKHLASTNSDQEADVVRDLQRLCIAYASDALRELTPGDV AGLQEQPHLAKYYAFLRGLVNKTTEEPGKPQQSMESVDEKVVCRLGPLLPSILRGERS VEEVRSLMDEYNTNSRRQLSSLRQLSALLQTIAHKSPGARVLQIGSSTGALATRRILE TLDTNLVASWHITEPSSELLDNARAQLADWADLLQFEQLDIEQSPFKKKFIPESYDVV VSLHALHAIKNPASALGNVRTLLKPGGTLLLVETTKNQVDVDFVFALRPGWLQDKNPL TSWDAVLQDGGFSGLDLEIYDSESDIHTNSVIMSTVPAKDQKADLSKVKDSFAVVSSI KTPPSSPIVDQLCQRIQALTGTATTHLVLEKTSGNTYKDKICVFIGELDRPILADLDA VQMEGLRAMVTQCSGLLWVTTGGTVEREAPERAVHQGFLRVLRNEYISRYFISLDLDP AHADAGAAGWSSGANPAVSAIVQALEEGFGHGSTRTGPAEFEYAERNGVLHIPRYYKD EKYNNMVTCPLAPSWSDHDERSIPLERLFQDRQLRLQVGIPGHLGTLAFAENEANHAD LPPELMEITPRAHGACSRDVMAAMGQLKDQAMGFECAGIIARLGSEAYSKGYRVGDRV MALSAGASFASNVCVPWHGVIQMPKDMDFVSAASLPLAFTVAYFGLVRSASLTTGQSV LIHAAAGAFGQAAIMLAKHLGVTEIYATVGSPEKQDILEREYGIPSERIFSSRDASFA PAILAATKGRGVDLVLSSLSGPLLQESLSTVAPLGYLVNIGKADIERNSLMALESFSR GISFVSMDVPTLLQRRGPDVHRTLGEITSLVEQQVLKPVYPVTVYPMQDVQAAFRFVQ TGDQMGKVVLSAGSDEQVYVVPRPKGLTTQSQLRPDASYLIVGGVGGIGRSVAHWLVA HGAQHLILLSRSAGNLDLDQNKNSDGAFFINELRHMGCRVKPVSCDVSLASSLTVALR ACEDDGFPPVRGVIQGAMLLRDAIFEQMTLDDWRSGLSPKLYGTWNLHTEFSQPDSLD FFIMLSSVSGVAGIASQSNYAAGGSYEDAMARWRQSQGLPGVAIDLGPISDIGYVSTD PRVAERLRKDGEFAMLDEGIVLRALNAAILHPLGRSQIIIGLTSSPGPHWDPNGRSQL GRDARYATLRPHTKVSARQDGESTSASLATQLADTNDPQEGARLIGAAIAEKLADIFM TPIAEIDLSKPPAHYGVDSLIAVELRNMLALQAAADISIFNILQTASLAALAGLVAEK SRHFQA ANIA_02036 MSGQQRQQPGIACEECRRRRIRCDRIRPQCTACATSGVECIVRD SCPPRGPRKGYLKTLQKRIEELESQLENQGTPPAPICQTVDNDSSTDNNENNTTTPET TDILQWPVAPIEFPFPTMEPWGCCDGPYKSSLLQLPPVDSVPELVQIPMESGLFISPI MHNDLDQLFFDRAYAFAPIIHTHRYRSWSKQPNLSKQRTCLQYAMWTLASSLSSQFHV EGCKLYAKTRQLLGELDGDEPCHQISLEQAQAWALLSIYELTCQDFDRGMMSAGRAFR LIQMMRLYELDMPRTPQTMQLDQYQREFTPAQNDWIDIETKRRTFWFAYLIDRFTSMV DGLHMFFDERLIRTRLPAPEVNFVNNRPMDMSFLADVVPDVGVEWPHNNFSPFAECVI GATMCGRVLQHKQNAPTRPCEEFCSRHRTLNALLAQRIKMLRIHASLEYPDPIIAFVA LAAQIDVLMLYDLIETKPLGTGVEGTQLVQALHAEHQQQALDAVTDISLLVAVLGQHF KMHPLTPILLLLGARFSQSHPELNDAYIKLMPSILTTLQASTGLNKLAQNFLQLLKPQ GDTWCGFT ANIA_11323 MSMHAGWIRQRGQNRLAKAETQKLSQTKLHYSSTADSTGPASRA QYSRMTEV ANIA_02037 MSFAKDQPASFTNAIERVAIVGAGGTVGSVIAGALLKTGKHTVT ALTRKDSTNTLPEGVVVAPIDYNDEASIVDALRGQQFFIITVAPTAPRDTHSKLVQAA AKAGVPYIMPNGYGGDIEHVKFGQDVMLGPVAQANRDEIDKLGMKWITVCCGFWYDYS LAGRPARFGFDFDKKELTIYGDGNTKTSVSTLAQVGRAVATVLSLKVLPDGENDKSLT LSSWFNKPVYLQSFVISQNEMFESVKRVTGTADANWTITKEDVHERYADGLKMVKTGN MAGFAKLLYARAFFPEDAGNHSDKAQNKLLGLPEENLDEATQVGIGMVKALQSRAERM AS ANIA_02038 MLTAFLFVAGALVACVRPQQFDPSAYAAEDVIERDFAIIGGGAA GTYAAISLADQNKTFTVVEMTGRLGGHTRTFRDEATGASIDIGVQLHENIPIVRDFFK RLNSPLAAFKPTDFGNPKYYDFTKKVALPNHTRPTIESDYVAVLNKYPFLDDLNNLPD NVPEELLLTWPDFAEKQNLSAASTEAGLLWPATPGNPLDTTALAIFNDGNHIELAEYA GAAVRSAAHYNSQIYDNALAELKEHVLLKSSIIAAQRGSSRKDGVRLIAETPSGRKLI KAKQLIIAMPPVLDNVKYFALDQQEHSILSKLSGKHYYAGVVNNTGLEAGVAYTNVGQ NTPYHVASLPGVVEIAGSASPGYFFYWYNSVDPQTQAEIEEATRCTIKWLQSESGTEA VEPTFQDFADYSPFHLSPSTSDVADGWYGKMKGLQGHRNTWYISSLFVVGSTQVWNNT RNILPEIIDAARS ANIA_02039 MSSFLVTGASRGLGLAFVQRLSALPVSEVSIVFATARSQSPELE ELAKQSAGRVIVIKLEVTNETSIKQAATEVEDRLGGKGLDVLINNAGVCDYTPDGVKT LDNLEQIFSVNVLGVHWVTRAFIPLLQKGVQKKVVNISTTLASITLARASHGLPTPAY KVSKAAMNALTVQYALDYEKEGFTIFALSPGWLKTELGGGDAADLTADEGARLSLDII LGPSRGLNGQFTRIHLPGWENSRHQYDGSNAPW ANIA_02040 MTISAETVLRLLPLTAGGAWVFFHLAKCIYNLFFHPLSHIPGPW LAAATYLPEFYHDVVRGGRYTTQIQQMHEKYGPIVRINPHELHCNDHRFINEIYAGGT RKRDKPVHQVTGSEAAAHATFSTTDHDVHRMRRNALAKFFSRAQVLRLEPTVRGLAER LCDKMLRTEKGRPFHVGAAYSQFTADVISGYCLGENLGLMGQEGWEEQIVDEVATVLR LGCTLRFVPWWKHVLGVVLLIRKQLVGSPKESSDALIVGMPNHIRKAQADIKIMDGKG NGNGPETIPTTVFTSLLQSDLPPQEKTFERMTSEGISLFAAGTATVSWALTVATYHLL TKPAILQRLTAEVSDLVNSSSCTEKVEWSALERLPYLGAVIQEALRLSYGVASRTARV ATGEDLVYRGEWAHREVQYIIPRGSAIGMSAVLAHHDEAVFPDSHLFLPERWLDEKNQ RLKELDRALLAFSKGSRGCLGINLAYCELYLLLALLVVRVFPRMRLYGTTEADVAYDH DFFNPFPVWESKGVRVVV ANIA_02041 MSTTISLAKTQTTRSTLIQAISTAAQISRVDSAIWAFLWTADIP NLEAMLDNAKSNPRVVYRQLVNCHQARDAIRKWMVRTQFPSNEQHRVHRLKEKNSRSS SMSMTKERSANLDSVLSWNAALCKTRDGNKCVITKANAYLHTNPSDTGNDSDVKVTHL APISLEGGKCIKNAPFWNTLRLFFSDRKVNSWITATRVMTDTSNMICLSAGAAQALAT ARYALKPRSLNTNRTEMETEFFALPGGYHSSKRDIMAKPLFDAAGTKGEDVYVGVRLI TQNPRVYELPSWEILELAWHMNRVAALSGIGNGNSPQTERRIVSVMRRIRGLSVPAAM QVERKCARRRMLAFSLGDVRQDSTMKF ANIA_02042 MVHAVLPQTPLSPRAAAARPTYTHRESGNVPMSSTSHPDNPVPE EPRVRSLSVTNKSFGGSSVSSQETENTDSESPSTPPTEQSDEEFAEKQPKDDAGRQRR ASTVLISQGPDDMRRILENVGTGGTQKLQPMCCGGGCCRLQPLNRAPGPVSVINPVTP PDNKAYQSLKLNVDLLTLDSELTNIAPLPEKKVSFSAVPPSAVNMNLGPADHPPPFVQ PHPPYNVYRAPVYHARELTSAGAEKRTYHFDIDVTDYPAESGMVDFVVGGAIGVCPMN KEEEVEDIFNQLGIPKSIRDKKVTVRTTHGRWPTIWGKEEARELITTRRELLKWCSDI QSYAPTKGLFRLLAEYASDPSEKKILLFLASAQGQGAFCDLRTSSHITVSQLLHAFPS SHPPLDHLLSTLNQLMPRFYSLSQDPLISRKRKGDQYRRLVEIAVTVAESEDWKGGSR TGVGSGFMERLARQAVEAQAQGREPGDLDLHVPMFRGLMANPLAKRFASDGPMLLIGA GVGIAPFRGFVQRRLQSANCANKVWVLQGVRDSLLDELYRGEWGVEEDKVRKVVQSRR GESKYVQEEVRNQADLVWFVINSLDGRVFVCGSGKGMGEGVEAALVDVAMAKGNLNRE EAELFWENKKEAGQYIAETW ANIA_02043 MGSNEISPTRRANMADLTADYAGYAEHPRKGTVAPKYMGTVVDQ RDMNALGRVQVLRFAKQCADGRRHGRADMGLPHRHRRSSSFRRVSYQARSPTSGGQYH WVSEFAPRRYQKFLSYITGWLTAIGWQCAIVTIAMLAGTIIQGLIVLNNPTYNFERWH GTLLVIAITTFSIFFNTFLARNLPMVEALILIIHIVGLFAIIIPLWVLAPRNNAKAVF TEFNNGGGWNSDGTATLVGFSTTITAMIGYDCSVHMSEEIKDASRTLPKAMMSAVGVN AVLGFIMIITLCFTLGDVDNILESPTGFPFIQIFYNTTQSYAATNTMTAILVITLTAS TITEVATASRQLWSFARDRGLPFSDFFAYVTPGWNIPLNSVLVSLIVTILLSLINIGS TVALAAIVSLTITSLMSAYILSIGCILLKRFRNEPLPHRRWSLGRFGMAINIAAMAFL LPVFVFAFFPLMAEVDKQTMNWSVVMYIGLITLASVYYIIRGRNHFVAPVALVRKLR ANIA_02044 MPGPYVPPGYSPPFQVVDDLHHGAWVIIVVALGLVLSLVSFLIR LYVRLALHPPLGKDDYVLLGATIIAVVQATLIFEACAKGLGTSIDLLDEGDVNIIQVL IITSDILYLITLYTTKCCVVGIYLRLTPQKTHNRASWATLLLCTLWVVPAILILSVNC GLNRPWKGTGGQCENLLPRWQFIVALDITTELILFGLAVFLLAGLFMPVKRKFTIAFA FIFRLPMIVFAILHIYYLHQSLTSPDPTLDAVPSLIWGQVELNYALVACSVFCMRPFI AAVSTNYGRGGDSTLESSRDKSSGSNSKSTGGSGGGSKGGTETIGNETAMLGNSDRRA GTARAKVERGNGMIGRGSAGTDEIELVDWTQGRRWSEGSGKMIIRKDVQYTVEFDGVE SSSKNLHHESEYWDQYLGGQRP ANIA_02045 MLLIKKRYALPTFILTFLIYLVFTTLPPGAEKTYIEHYSQPAHD ITEIAKDNRIHWTKHAEQYPIADYISLPTASPAPIPKIQYDFPAESWFARIWRKRKQG AVKEAFKHAWKGYKSNAWLRDELSPLSGGYRTSFAGWAATLVDALDTLIIMDLTDEFE EALEALEHIDFSTTDSIQINVFETNIRYLGGLLGAHDLTEGRYPILLKKATEIADFLY GSFDTRNRMPQSRWEWTRSASGQTIHPSGNTILAELGSLNLEFTRMSQLTGDQKYFDA IQRISDHLESAQKETLVPGLWPMMVDAESMRFSDPRYTIGGMADSAYEYLPKEHMLLE GRTQQYQRMYESAIPAIKERMLFRPMTKGGEDILFSGNIYAGTKASRKKVEAQAEHLK CYLGGTIGIGAKVFNRPEELSIARKLTDGCIWAYDIMPSGIMPEVMYLSPCDDLENCP WDEQKWYRDVRARPPPHANIADTEEAAKLLIEKFDLVPGVAEIADARYMLRPEAIESV FIMYRITGDKKYQDAAWRMFNSIEKATRTKYAHAAINDVREEKPKQLDYMESFWLAET LKYFYLIFSEPELISLDDYVLNTEAHPFKRPS ANIA_02046 MVVWLSLSIELMSASVFFIPSNPNAATALATVTERLTALFADEA TPVSRWALEHKLMRDTPSCLPNSGTQRPGAPKYMQFLSLTYHPNHGFIYTSQQADKDG PGAVAAPTPSSSQSSQATSVTTPMVMTTVPLPSTGALYQHFSYACQPFWCHRHTVTVP NGVVYEIGDFRVRAGDVRQTQPAVRMRGTVVEIEWQGPSLATTLSGLYRSRRQKRRVA SNTYMWDNEDGEDHDSGIDMAFPDGVEEADIDAEYAATAALIKEFWGKLEIEGAREAI LVPDVGKEVKERLRKLKQPDKQGVSHVVNEDGSGLQVDEDPDPDAGVDVARQFMEIFR FNR ANIA_02047 MADSLTEEQVSEYKEAFSLFDKDGDGQITTKELGTVMRSLGQNP SESELQDMINEVDADNNGTIDFPEFLTMMARKMKDTDSEEEIREAFKVFDRDNNGFIS AAELRHVMTSIGEKLTDDEVDEMIREADQDGDGRIDYNEFVQLMMQK ANIA_02048 MWRDRTNLYISYRQSFTHHPAKKPRYLGTPNGFSDVASQSEESR RLISESTGIDDDGDAIIEMDVLPPRWVDVQEDVTDLLADIAQKSAQLDKLHHKHLLPG FGDEEVRKQDERVIERYTQEITRGFHECQKLVKRIEVMVHEAKQQGGVSSGDETMAKN IQISLASRVQEASAQFRKKQSNYLKKLRGLEDTASQFDRSTTPMQNPYTDPSLMESDA DKSFSQTTLMQTTQRMTGQNDAAILQREREINDIAKGIIELSDIFRELQAMVIDQGTM LDRIDYNVERMNTDVQAAQKELNVATNYQRRTTKRKIILLLILLVVGMIIILLVKPKK HESSEPAPPPTQPENLPPGLRPRGFEIAYRRPRSPSLTRVARSEWVDPDIYR ANIA_02049 MAYTDDSVKAKLSALNETQEGIVTVAQWVMFHRRHAERTAQLWL QKLRDSPAAKRLNLIYLANEVAQQSRARRKEDFLIAFSPIIAEAVAIAYKGASNDIQQ KLRRVVEVWRQRNIFEPPIQDAVEARVDEIDKSRSTGKKPLLGGSLFSGPSGSTPSEL QPIVPLQVALSKAAVASGTSGTTANVEYEKLNDPNTPLPTPPVHAARLSSLLKTLANA ESSVSEVIKSRRALIDGLEKILETNRTALSQEEALAVQLQERRAETEAKKREVEDAIM RGLSAENTPAADSGSATGSGEAVPRPAIEGLTPPPVEAITPIGSPSQQPQDTTSSAEN GAQTLGGFSLGVDQPPVAPIPGLSGMGQPSYGELQQESMNGFQAKKRKVTHSEEDYAK FAGGDLDADVAELLNQEGHSQS ANIA_02050 MADRFPSLDDFSAGQTQAIETSGTDENDFLARERALLGDDADQF ATAQDVTSTDVNNDELLGGPEEAQAGAGPEISGFESSFPAIDTQNEQVAPGGTITGTG APFPPTGYSSYQAPEEEAEPVREWRERRDADIARRAELSNEKKEATIKKAQEDIDDFY VSYNNKADKLRAQTAAEAEQFLANREDTSSGGTSWERIAKLVDVSGKGTRGGASGSGK ERFRELLLSLKKDERAPGASGV ANIA_02051 MVLDYSKWDALELSDDSDIEVHPNVDKRSFIRAKQAQIHQQREQ RRLNIKTLKYERIINDGLLSRIEKLLTSFKEKEGSSSNPEEVIFSTLMELASNPEDDQ PPSPPEGVHTQEREQPKYSQMMSSLVDQVKAEVEESKHDNVFEAYVKGVQGHKDKVQE LQKELLTKLAELEKEEGSKITSESIHTGFDTSHVSKAKAKDKVPASERATSVELLNPK AATEPSNQESNDNEAVDDPDSIQASALAKKFAKIDRNDYRALHQFISDHPEIVAEKET DGLLVEAFNSQMEGKETYARQCVHQGLLLQYCRSLGPDGISLFFKRITTREHQAGTLF RNDVNETYNKIKTRAAELAKDSSASNDPAGVEQIQLHAVDPNTKITINIPRSESNEPV EIEARKIFESFSKELQTALESESLDEVNKVLGKMSVEEAEDVVEKLGESGMLSLEEGI VDATTEEGRKKLEEIEAEGKKGRQLEKVGEPGGTVTELD ANIA_02052 MRGLRLQASWATGAHPRPLAASNRIIVTGLCFDSSPAMHRGNHG FSIRSFSGFGTSVYSSRIPHIERNRTPTSILYSTNSRCSIPSSKVFQRIRQFSSTQWC LEAKPPAQPTKTLRAENNNKQDEDIDEGFELSEKAAQAAQINLRAKLNKDGASGKKSG FSEVWRLLLIARPEAKKLSLAFVCLMISSAITMSLPFSIGKIIDASTKTSEDGQFLFG LSPTMFYGALASILAFGAAANYGRIIILRIVGERIVARTRSKLFRQTFVQDAEFFDAN RVGDLISRLSSDTIIVGKSITQNLSDGLRAGVSGAVGFGMMAYVSLKLSSILALLLPP IGLGAIFYGRAIRNLSRKIQRNLGTLTKIAEERLGNVKTSQSFAGEILEVNRYNTQVR KIFELGKKESLISATFFSSTGLMGNLTILALLYVGGGMVKSGAISIGELTSFLMYTAY AGSSMFGLSSFYSELMKGVGAASRLFELQDRQPTISPTKGTKVVSARGPIRFENVTFS YPTRPAVPIFKNLNFEIPQGTNVAIVGPSGGGKSTIASLLLRFYSPSEGRVLINGRDI KEMNAKSLRRKIGVVAQEPVLFSGTIAENISYGSPHSTRSEIVAAARKANCQFISDFP DGLDTQVGPRGAQLSGGQKQRIAIARALIKDPDILILDEATSALDAESETLVNSALAA LLRGNNTTISIAHRLSTIKRSDSIVVLGNDGTVAEQGTYEELSARPDGAFTKLMEWQL SGGDSKPPVSPSLDPETEEKPWVEQPEEYGDAEANDKAEQQR ANIA_02053 MDRFRRWIAPTADYEPIQEPTVYGDDLDTQPRQTQSGPPFSRPV YWVFFILGVSMLWAWNMFLAAAPFFHQRFQQDEWAISHYQSSILSVSTVTNLGSVFVL AKLQESASYPRRIIVSLMINIGIFTLLAFSTLVLKNAPVLVYFWFVMVMVFGASMATG INQNGVFAYVSGFGREEYTQAIMSGQGVAGVLPCLAQMMSGLAVSERGKQQAPEASWK SAFGCFITATVVSCLTLVSFVWLTKRQSLHIIDDESGSTNTDDQTPMKTVSLRTLFSK LRLSAISIYLCFVITMIFPVYTSKIESVNDGSSSSRLFHPAAFIPLAFFFWNAGDLLG RTLVIKPRYSLAHRPWALFILAIARSGFIPLYLLCNVSGRGAIVSSDFFYLFIVQGLF GITNGYLSSCCMMGAGYFVSAEEREPAGGFMSLMLVAGLATGSLLSFLASGT ANIA_02054 MESVRPLYIKDTPPPRNTGASSTRAPGKRKVYGKRRTDAPRAVF EQRSPAKTLDVADSDAVQNAVNLLQAKLADFKIDETKITKKVQEVSKQKDIHKAAGAG LCKSTANETPISNPESLGDTVPAQQPVLKATPRRKKKYQTMVEVRVTPRVIPKDPQIP PTLDSCKRSTRKIKPLQRPSFEYISDEKATSYVRSILDQTLSPAAAQGIQKFDSWAAR AGEMLEVVKLAEGSYGEVYKLRLREDICQKEMSSSRLARLRACGNGVFKVVPLRAQSG PGSKKFTSIEEIVAEVKMLKYLDPIPGFARFREVHVVQGRFPEAFQKAWDHYRKTKDD CLNPNPSSKRAYPDSQIWAIIEMDDAGCELEKFSWSSIFQVYDIFWGVAMSLARAEEY ALFEHRDLHLGNICIRSTRPDGLMHPPSDSEIICQAYSSGFGLSTLQTTLIDYSLSRA ELVVDETSETLEVTSSDLDKKQIFDAIGQDEDDALLRDTYRHMRAQLYKGNPIDTEKT PDIPGIWAEYAPRTNLIWLRFLLKMLLKNRKHETSEPPSQNQRQPLAPCSPNKKIKKG AKSDKKKQDAINGLQLASDAHSQIVQLKRILEERLLAVLELLDLEHGHEDMCCSADLV AFAIDAQWLDERDFFFAE ANIA_02055 MAFNFNWSPLTADANFYTRAQDLLTAALNKSPKPPIIVDDIIVT ELNLGSIPPELEILEVGDLAEDRFRGIFKMSYSGDAFLTLKTRVQANPLNTYLLTRPS FASPLPLAAATPLTIPLQITLSDFKLSGFVVLVFSKQKGITVVFRNDPLESLKVSSTF DSIPFVRDFLQKEIEAQLRILFMDELPAIIHRLSLRLWVPEYRDGEQLETQDTASRTE GPGQDPLASPPQDPVDALGNALNESDIASLSLESSVETHSLFSQKNLLRLAALTDSQR TLSLFTPSIREVVYRAWTSPTDSGEATAGVISPASPALSRTHSQVGNISSFPDTASMV SSQTRSTTSTHSFSSYGLNTGSSRSKVHSRKRKKRVVDLRRPKTTDDAASVSDESAFT ESTSAPSVYSAPLPIVREQPDDPVTPPLSPETGLHLPPVPERHRLSTSRPGIRRGADA FVQSGESSSSARRRSDVDVDATPRGTMRSHVAMTRCSEKPEPEAPQQLPSTILPFADE KASSNLVDQALVERLAGEIARRMRDEKMPGSSTCTPFWDRLNHDEAPPPAYGH ANIA_02056 MAGVFKNVFGGAQPSNSAALEDGDFADFVEAPEPSPAPILNTQS APSLGQNGVEPVVYTKWYRVWERTSPKDFMQEAMVMPIILLIIIFHFWGTRKNRRRAT EWAAAHASALRDEFAVVGFDGIQKSEESISVDVTAPNSILKEKSPQEFSTYATGRQNV AFLDVAIRLPKRANPVTYWMDQVFAFFFDSWPSPEETFEATAYTFDGKEKDLIPVLGK DTSSLKVNNTSYDGFIFAIVHKNHMRNFRNDRYDASMTFTRDHAKLPQWVTVMTENAE ITETLLTPELIQAVEQAGKNFKYLIVSDQPVDKPTKIEETAPRKRVQLAGYLAPSASG YASTLPLFNQFLRFPDRLVSHAHFRPEVMRKIRNVREEEIKKLRRLDEQEKAEERRLA AEKIKKEERERTLRGMNAEEQRKYLEREQQKEQRRSMKRYTKRA ANIA_02057 MSITSQAAARCCRQLVRPSASLRLSATYQQTISRRWQSTEAPAA PANPKITQIVDQISQLNLLETADLVASLKSRLNIPDLPVGGFAMAPGAGAPGAAAAPA EEEEAAPPAQEKTLFNLKLESVDAAQKAKVIKEVKTLLGLSLVDSKKFVESVPKVLKE SVPKEEAEKLIETLKAVGAKAVME ANIA_02058 MSRTRSPALRQWSPLDRSTLLFTTPGRSSILLTIGEHSSCKPNN RNPSLPRPRPFHTTCPHSAAHPAPSTRRRIDARLSRAKPAFPGRQLLNDSPKGQPTQN EAVNARLEFLKVRGLSLASEMLHDGVIDKSVTPGKFKRIGTALIMNASSISPSRDAIT GIAEGEGVHVDVVYDIGREVLRGDQRMERWLQDSCQLANVRMANFRVAAWQLKMCHGH QSIYDAKFHTEPRLTPSIALVEMLATRPERDEEGRDTRDPRAMVLWAKFQGLRGHYRE AIRLVQEVMRLIEPSRTHPRRNENPTINGMVEPPWELYMWLRREEKKAGRGRRANGKG VVDTNTESLDTDEEAAMRLGAIEYQDPSMLMRYAQYCKERHQMDLYEEHMGKAAASGY KDACRKLGNYYYLVATGQYPRRGARPAESADKPGTQELHREVTDREVANDPEGFFAKL FSYFGPRPLSAYRSLAMEWWRVGWAQGCASSGLNLARLLQEEGDKVNAEKIIKEVQDG TAQPKHLPQAAKITLDNFVLEDKASRQPLLDL ANIA_02059 MTTHVGPQTTLLHQTGQISALIAGEAVQTCEASSCQMSGTPFQQ WTYVTEGRYTNARTHPSDEDRRRQTTVSNPRYLAQEPPRFLLPTAQNNAPTMSQSATY STLPVQGFPEQNRVLDNRSDPKYGPLPATTGSLAQYSIQRPSTSRNMENAGSSSSYYD APSCSRGSVGSIGRMNDLDSQYSGSTTAFPSPVHSDSSPIYPAIAPISGYQQQLPIHQ RHTTSSMPSGFENLLHHHSTSPSPPPRCASSSSRYRLFIRQQPLAARACGAGDRDRRP VDPPPIIQLLLTEFNPNSEKDRSILQDPRFTVGCLLYPVRNPSYLPGSGSGAGSSDTN TNSNDKSRHESMSSYSQLGINLEDSHATGQSTPLLSGKAFVSPFFVDEEPDPNTAPAH PSSTDDSTYDASPRTVTHRFRNRPLPKPPACFFIFSDLSVRTAGLYRLQFRLMNWGSI EDTGQSMPILAEVWSEPFRVCAAKDFPGMRDSSLLTLRLKELGFVELKTRGQGMGKGR RVGIGSSKVSSRARVE ANIA_02060 MQLLRFLPFFAAITAASARRGSKLETYSNVVIFDPPSNYTIPRT LYARNEQLPNGDILATWENYSPEPPAVYFPIYRSSDHGETWTEISRVHDTANGLGLRY QPFLYYLPERVGRFRAGTLLLAGSSIPTDLSSTQIDLYASQDQGHTWKFVSHIAAGGE AIPNNGLTPVWEPFLLAQANETHGQKMVHQTTDDLINWGPVVDDVYDFPAIAKRAWNA CRDQGITSFTLVSSLTNLSKLPNGKYFYVYEYGSFFNTSTYSFPLYYRISADPEGFID AEHHELVVSSGTIPTSSPYVTWTPWGGKNGTLVVSSGTQDSIFVNQALGEGEWTEVPT PEPHSYTRSLRILKEDPRFLLLHGGGVLLGEENKVTVSLINLEEALAAL ANIA_02061 MAEIPKVQTVALVREIGGPVEFPNDYPVPTPGNNEVLAKVLYTG DAVAPGPDGIPITKFKLPHIGGHEGVGRIVALGPGCGPDLKLGTLVGIRFASRVCRRC EYCLAGTEQYCLKGTNHLHHEDGSFQEYIALDADYLTILPDDVDPKTIAPVLCAGITA YKAVLGANIRAGHWLVVVGAGGGLGHMAVQYAKVLGAQVIGIDAPDKRDFVLSVGATE FVDFVNTDPVQRVHEITGLGAHSAVVTAGSGKAFAHPRELAAQESSPSTIFNSFTSNT AFKLGLALRTRILSLPSSQRKPALISISLGGSNGPTGAPHVVFQCATEPGTVPDNEVW VRRKRNTVERWGVSSWLMRRKIFAGLEGASADGIGAEEAFVRKYALVSSSGGKIADDY AIHGGGFPIRVKGVDGIVGVVVVSGLKQEDDHQVIVEVVQELIAQGN ANIA_02062 MARPSSGRGVAKPFATWTTIFYLLLVFIAPLAFFGSAHAQEDDS VQENYGTVIGIDLGTTYSCVGVMQNGKVEILVNDQGNRITPSYVAFTDEERLVGDAAK NQYAANPKRTIFDIKRLIGRKYDEADVQRDIKNYPFKVVNKDGKPNVKVDVNQTPKTL SPEEVSAMVLGKMKEIAEGYLGKKVTHAVVTVPAYFNDAQRQATKDAGTIAGLNVLRV VNEPTAAAIAYGLDKGSEERQVIVYDLGGGTFDVSLLSIDDGVFEVLATAGDVHLGGE DFDHRVMDHFVKQYNKKNNVDVTKDLKAMGKLKREVEKAKRTLSSQMSTRIEIEAFHN GQDFSETLTRAKFEELNMDLFKKTLKPVEQVLKDAKVSKADIDDIVLVGGSTRIPKVQ AMLEEFFDGKKASKGINPDEAVAFGAAVQGGVLSGVEGTESIVLMDVNPLTLGIETTG GVMTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVYEGERTLTKANNLLGKFELTGIP PAPRGVPQIEVSFDLDANGILKVGASDKGTGKAESITITNDKGRLTQEEIDRMVAEAE EFAEEDRAIKAKIEARNSLENYAFSLKNQVNDENGLGGQIDEDDKQTILDAVKEVNDW LEENGASATTEDFEEQKEQLSNVAYPITSKLYGSAPPTEEDDDEYGHDEL ANIA_02063 MSRHRVKAVSYDDDDYDDYDDGYDSQDPEEREFLEECTREVQNQ LAAGDPPVSATRDEVQDALWHYYNDVDKSVNYLRGKKTKEADKKAAAAKAKVNAGPSP DDVILNAQSSAKGFKSKQPAPKPQGDRKAAGLAGDMGNLSVAEKVNVKSKNLDVLSEY KKSKRKNAMNFVVIGHVDAGKSTLMGRLLADLKAVDQRTLDKYRREAEKIGKGSFALA WVLDQGSEERARGVTIDIATRKFETENTVFTIVDSPGHRDFVPNMIAGASQADFAVLV IDASIGNYESGLKGQTKEHALLVRSMGVQRIIVAVNKMDTVQWDFGRFEEIEQQVSAF LITAGFQARNICFVPCSGVNGDNITRRSEDPNVSWYNGRTLVEELEETKPYFHALDKP LRMTIGDVFRGSIQNPLSISGRIDAGSLQVGDQILTMPSGETATIRSLEVDEEPNDWA VAGQNVVLHLANIDPIHLRSGDVVCHASAPIPNITSFTCKVLAFDHLLPSMVDIHRGR LHVPGRISKLVATLDKGSGNVLKKKPKIVQPGAVARIVVELDQAVPLEAPTRIILRAG GDTVAAGLLE ANIA_02064 MTSPVGNRLLPALVDEGARSTPDRLFGIIPKGPALSDGFRNVTF RELAYAVDALAWWIHKHIGQPGNGPKTIAYMGANDIRYYIFLLSCAKTGHTPFLPSTR LSDEAYQHILNATNCHVMLFTPETQRRTMEIKASRPSTVYLEAPATADLLSSNSETYP FTTPYAAMEDTVAFIIHSSGTTGMPKPVPLTHGFLATWDHAPHIIPAGRKSALYNGFS VGSGALLLSITPNFHLMGLIGPFGSIFHGFPFISIPDGPLSVQLLTDTINATRPDFVM IPPSLLEDMSHSEAALAALKTVKYTCFGGAPLALETGERLRKYTTLRTVLGSSEIGLV SSLAPEDEAKWNYFEWNPVYGIDMQHVNDGLHELVIRRGENTKTFQGIFHTFPDLTEY HTKDLFVQHPDNPNCWAYHGRLDDVIVLSNGEKLNPITLEKMIEAHPSVSRVVLVGEK RFQSALLIEPVWPDNNSIDEKEYIDNLWPTIQRANEVVPNYGRVMRSHIRLSSPSKPF KLTPKGTTQRRLVNNDYAEEIEAIYAKSAENSMESLPLTLNLHSLTQWVRVKITTLLD RPDIADDEDFYAAGMDSLQTVQLAQALNSALSADITQQQIYARPSINKLAASLFSILN GTSNGATEISRTEQITNLVTKYTHDLPSDPQRQRPVSSSQTVILTGSTGSLGTYLLNT LLQDNSISKIYCLNRSDTAKTKQTTSFEEKALDATPLTDEGRVEFLTAALSEPNLGLE QEKLATLLETVTLIIHNGWTVNFNLPVEFFESQICGVRRLIDFSINSRYTAHVAFVSS VGTIGNWKPTDTDNSVPEIPMETPDVALEQGYGESKHVGERICLEASRQSGVPTSILR VGQIAGPTTVAGLWNPTEWVPILLKTSKGLGVVPDSLGGMGVDWIPVDTLATIILEIL QIRLHETQTESNAAFFHLTNPAITPWSSLLPSIQSSLSTSTAPVTPAPLTIWMNELEK IKSPTDSDISDKPALKLLPFFRDLANGDTLSAETSTVNAQKASRTMASLKGVDGALMV NWIRQWGF ANIA_02065 MEADWNELLRIPLPPPNPHGLPTVATTIAFDDVSELLWAGNEYG RITSFYGPELQRYTSVRAHPVAEGPVRQILFHERGVISISSRSVHMITRRGLTQWHIT HDEITDLRCMSFTAQTNKIIVAGCQKAMFTIDIDKGTIVDKLRTEHNYVLMKRSRYLC AATDTGSVNALSLTDFSVVKSWKAHGAAVNDMDARGDLLVTCGFSIRQTGSPIVDPLA NVYDLKTLSPLPPIPFHAGAAYVRMHPKLQTTSFVASQSGQLQVVDLMNPNAFKLRQA TVSFMLGIEISPSGEALAINDAECFIQLWGSPAKIHFNEMSKEVEFADVTPRPPQVDW SPEIPLNVIGMPYYHERLLSAWPSHLLFEVGSPPAPIDPSIVPYLRSGEMGQYAANPK KTRRYQVENTRALASTEPTLIAPKFLSEKAREQSKKADDPVGDTAASLAGARISGESE DDPLLKYSNVEIKYSRFGVDDFDFRFYNQTCFSGLETHIANSFTNSLLQLLKFIPLIR NIALHHAATSCIAESCLLCEMGYLFDMLEKANGQNCQATNLLKTFSSFREASNLGLLE ENLTNKSLSSAIQAVNRFFLGQIAQDYRRIAPNSEELDMRLATIASESIRCMFCQNEI VRPGNTLVNELMYPAIDMKQARRNHTLRFSNILRASIEREAQNRGWCHICRRYQQSVM RKTAHRMPHVLMLNAAINSPACRRLWTIPGWLPEEIGIVLEGGQVLCFEGEDLRMRIQ GQMPGLIIYDLVGLVAEINIPEHQKAHLVSFINVSVSSRERETRSKWHLFNDFLVTEV DKEEALRFNQSWKSPCVLAFQVRDARHMVDDTWKNFLDTTLLFRDWSLNNGRPVESRV MLSDEEKPTPGTPVALDTEFVDLEKAEINVKADGSQEIVRPSKSGLARVSVLRGSGER EGVPFIDDYISVKEPIVDYVTQYSGIKPGDLDPRTSPHNIVPLKVAYKKLWLLLNLGC VFVGHGLASDFRKVNIQVPKKQTVDTQYLFFHPSKNRRLSLRYLAWAVFKEYIQEEPA DSNQGHDSIEDARMALRLWKKFQEYEDAGIVGQILEEIFREGSKLGFRPPPRNGVTTV LSRPGTAVTMQNNSGRNTPSTPDVGAAASAPTTPRQAFRRSIALTPSNGTFSGPGSGE FFTGSPLK ANIA_02066 MFRAQQNAFDDAVAKATDENLTSENWEYILDVCDKVGAEESGAK DAVAALIKRLAHRNANVQLYTLELANALAQNCGPKIHRELASRSFTDALLRLAGDRNT HQQVKSKILERMEDWTEMFASNPDFGIMEQAFMKLRTQNPNLQPPSKPGKREITDLDR QKEEEELQMALALSIREKSGSAPQPQVESSSSVSAPENQAQAAPAGPVPSGTSAATVS RVRALYDFQPSEPGELQFRKGDVIAVLESVYKDWWKGSLRGQTGIFPLNYVEKLPDPT VEELQREAQMEAEVFGQIKNVEKLLTLLSTRSSELNVQENEEITNLYNSTLSIRPKLV ELIGKYSQKKDEFTQLNEKFIKARRDYESLLEASMAQPPQQQFGRPGQPQYGYPGSGA PAGYPRGPAQQHDPQRYFSPRPPDNQPNASYYPPATQSPGPGHTSPPGPYQQQPQQPA PDSYQPVHHRPESTYDQPQELGTSVYDSPVERLQYPPGPSGGQPHYQPPPQQTPQPDF SASSPNLVNQSQSPYPATPVTQPPPPTQQPPPVPGTASGSAPYPSASPSAANYQAYRP PQGGIPNHNPAAFYQ ANIA_02067 MNNDSFSSFKFRRPSSKLHKDPPGYGSRALNSQQSTTSLKRHPS APVYPRSSAAGSREHLRTRSNAYGSSSSSLDQNSAGASPVLGSSDSGHFHSSHSSRSR PPYSGRFSLNDQSSDELIGAPFDSRGMLSALEEHTAEPDNRSYQPPDPAERYTEKPPN FRSQTTPNPRALRQSASFTTLPPRMEAFPNAAGNDRPTNTKRFSDEATPVRPPGPSRS KKSSFSSFVNSMLGSPRGIKISAPENPVHVTHVGYDNQTGQFTGLPKEWQRLLQESGI TQKEQEEHPQTMVDIMRFYEKNARGDDEVWHKFDHAYPQQPTAASPISQPAGSTTYGT QRTSPPTSPRFPQNHEGSFENPRAPPPIPRAAPIAAHAMSPPLGGLVPNRAPPKPPTA AANLVPSRPAPQPPTSSPYSNISTRPSPETQSPQFSTPPIPETEPLPSESQRSRSNSR TNGAQGPWPSVSPSHYQQQQEQAMAVAQQALANKQLERSRSQRQQQQSPRPDQMPIAQ PALPQHAPSPEDVALTQASQTARAAPAARPRQRPRQSNAMDVRARLVAICTPGDPTKL YYNLNKIGQGASGGVFTAYEQHTNNCVAIKQMNLDLQPKKDLIINEILVMKDSKHKNI VNFLDSYLHGLDLWVVMEYMEGGSLTDVVTFNIMSEPQIAAVCRETLNGLQHLHSKGV IHRDIKSDNILLSLDGNIKLTDFGFCAQINDSQNKRNTMVGTPYWMAPEVVTRKEYGR KVDIWSLGIMAIEMIEGEPPYLTESPLRALYLIATNGTPKIKDEHNLSPVFKDFLHFA LRVDPEKRASAHDLLKHPFMNLCAPLNHLSPLVKAARISRAQEKAQKGGV ANIA_11324 MYTFFGQLQCYWPAYPFETSADKCGQVRSDFSITTQILLKYYTN RRSIQASKQCHRGTIGLILRSVNLQVASYEETKMHPLVSFIGTRR ANIA_02068 MSAEAVNGAPKSRAAMLEEQHALDEAHKATVEDVVDEEDLLHPP PSVQAVSEQASSAPSQASTPPVAKASVSSKNASGKPPALDVQSEELFPALGSGPKPKA PAASAWAAKGPSAAAALANGAPSGVSSADVPRIMTLPGKHIEQLRLAPSQMLQRGQLK KPLRDILRDISKRSKANVDMRGGPGGSIIFEGRGSVDAVRQALKQVAQQVGSKQSVRV PIPTSARPHIIGKQGAVVQELQQRTGARVQVPRAEEPTGDEDEDNDTIDVLIEGDAVA AEMARREIEAIVKERASNMNLRLRSIPPEFFPFIAGPHNANLREIEERTKAQIHVPRY DTWQSQPPPQEAEPGHVQFTPVSDRHILISGERSATQDARAEIEKLAADLQRQLTIRQ LAINRGQHQFILGDNANALHDFLAETGCAVVLPPPSDETEFLTVTGPLQRIEDGVNRA MDLATSMQMASIDLSRQHPNAPLGPHAHARALTRYLAQRQIIKELEGMYDSRIALSPA SDGPVTWEVYSRDGKNTIRARSDILNLVQAHPPARLRHLSVDPYFHPYLRSRSIPQLR SNYGVHVLIPDDIDSPDVVLIYEGPSASSSQLEIPRQRPSAADLATFEKALQEAQEYL LNSLGSQDDIITENVSIPSKYQEKVRKFISREQEAKGEDHIPVRALVGGGPSRNGGAT PQSDVALRGPSRLVKEIAAKIGEFVVEQEKDDLERGYTTSFDFPKKFANFLIGKRGEN INKLRDEFDVDIKVEDGKVEVKGPKAKADAAKARIINLGKKLEDETTHVLKVPAQYHR ELIGQKGSQVNRLQDRYSVRVQFPRAVVINDDQSVADTASEAGSARPQRSQQASDEVI VKGPSKGADAARDEILSLLQWVVDHSHTATVSVAQGQIPSLIGQRGREMDKLRADTGA QIDVPGANDAPDTSGRVEIKIKGTKKQVEEAKKILQQRSSEFDATVTKTINVDKKHHK SLIGGGGANIRKLVAEAGGPTDSSASRLVRFPRPESDDTTIRLEGNGAIVDKIIAAIE QFVQEREDQVAINLEVPPVQHRLLIGRGGDTRRGIESQFGVTLDIPRQGSGRSDVKLK GPSNAVEQAKEHILAMLKDQQGVNVDVPSHLHHAIADNGSFFRRLRNDYQVTVDHAGQ QIPPRPNVEDSRSAVNGASSLPLITDEPSDALDAHSWKVVDNNVASDPSQPATIPWVL AGSTDNVNKAKAALEKAIAAASQQSATGYLILPDPKTYRFVVGQGGSQINSIRKQTGC RINVPKDQAKGEAIEIKGSKENLDKARDLILEAVRAGLNGNSR ANIA_02069 MSAKELTFKEVAEHNTKKDLYMVIHDKVYDCSSFVDEHPGGEEV LLDVGGQDATEAFEDVGHSDEAREILQGLLVGDLKRLPGDPTPKVHGSSSSESSSSSS STGFGFGLYAILLIGGAAAYAAYQYLQASAGAEQ ANIA_02070 MPTTSNNASPVKRPGLGRRAVSSHAVVTRSSSSSTQGELSHSHT SHTSQPQQKTPGHRPHRAHLVGGGHRSHGRNPSFGKNLAKLQRHLTSHHAGPEYRLHQ HQRKKSAPVTPVASPPRQHVHWDALDDASPRTTSSIKKNYSSPALRRSNSSVLPKKAL VTDRPHTSSGKKKTVGFELADSTDEGEWEDTTQSPESTRRSSVAQSKDGDENPVVLVD PLTFVKRPYPQFPRATSLPEPTSRSFHDDQSSGEDDEHAQRTREQSSDTEEAPEDSTR PPDQGDIASRLLSPSHSAKAPPAMSSISATATPAPVDTISRTASLTNLASGQDGLRRP VSTANIAQASTPSQAPGSSSIEGGVSRFINNKIATSRTDSDPNTPSSFLPHYHPQTPP SPKGTKKTRSSPTSRQPGTEPPSRTQQKLWLQRTAALNDSPPDSHGASATVSPSTMGP AYISGTARAGLGPYDPARALVNGSSRNGGSLHEAKHIRKAYEKTAMELTVVRRFQSPT SASFTRLNAILKDAKTDKTDSIDQEPQTGLGKPVRSAPALVLLQRNAKQQDLRDSSSA TSADSRQLIGRKQADSQSLVAQAYMQDAEDPPHPSHRLLSTSDDAAHGTSTHGADDHV EDRDHFLPSEAEMMIRRMWESREVAASG ANIA_02071 MAGWFSSASPLDEQIERATASSLEDIALNLEISDLIRSKGVQPK DAMRSLKRRLENKNPNIQIATLKLTDTCVKNGGTHFLAEIASREFMDNLVSLLKAEGV PLNSSVRDLMLALIQDWAMAAQGRMDLSYLGETYRKLQMEGFQFPPKSAISGSMLESS APPEWIDSDVCMRCRTPFSFMNRKHHCRNCGNVFDAQCSSKTLPLPHLGILQPVRVDD GCYAKLTSKPFNQGSLADRSTFKNNSITKSNVLEPRAARVESGFDEDLRRALQMSLEE AQNKSSSGYVPQPKPAQAPANTQPQPSTDEEEDADLKAAIEASLRDMEEHKQKYAAAL KNNTSAESSRETPAAASLPKNPYELSPVEVENIHLFSTLVDRLQHQPPGTILREPQIQ ELYESIGALRPKLARSYGETMSKHDTLLDLHAKLSTVVRYYDRMLEERLSSAYSQHNL GYGPVPGGAQYPNIYPSMPSTTAEVRPGAENFYYGNSGVEPPAPARTPYSQPPLEREN GVVSSSMHPPLQQPSSGPYWNPNNHSIASPQPNVNAFNSNNTPYPGPGAPSQFYTSSA YQEPEKLFQQPRQGEPESPYQPSPVTNRDSYYQSAGLPSNPVEQQPPVDHGQSPGHAQ PADSRSSQSGQPKATEPSAQSYYLPQEQQQQQQQQQQQSAQGTGYQGYPQGNTNYQAP YGGDVSPISAPPPVQYQQPAAPRPVVEESLIEL ANIA_02072 MAPDAAALPSPPSFAPEDAPSRHNLGGTAPGGGHQDGLSPRFPN IKALQDEAAALDVNESTTAVRTKQGTADSIKQQIVENNLKSMAKPAGQSSPISRRPVS QILPAGTYLPSSQRGRQSNAGDSSTRMPSPSQFQSFGLQDQPNRYSAPPDALAQRLAK LKTTSPTANGVSSGSASWGDNELGHVENRSPRPSSYISHGPTHGSTLSSPSRRPLGPR DMGTAQSGPSIPPKIPLNTSLPRAPDPTYSPIYTVPSQPPSNPPRTSTENFRPNNARY SHLANSPHGSQSPNGSDDNPYRSRTPNGVHALKGASSSTLDLPHRSTISAQELLDYLR RYSILLIDVRSREDFDSGHVYAKSIICIEPVALKENVSAEELEERLVVSPEHEQSLFE RRNEFDMVVYYDQSTSSNSYLAGPPVGTTAPHLRALYDTLYEFNAYKPLKDGRPPMLL AGGLDAWIDLLGQQSLATSSTAAVIASLQTRRPVARPGRPLGRVPTMASANSSLEIRK RRLRKLSQLNPDELTEWLEKSKTEEIDASAYLGEDNLSEEPEPEQQAGTPISPFIRSY EDFLRRFPEPHNIQQSMITAQPRPLTPDYASHVPIAPSRPPPAVPRPSYSGVSDGRQI QAPLQRQNSATKHALYTSNSLLNRLKLPRTGLANFGVTCYMNSTIQCLSATVLMSKFF IDDRFRFYVQKNWKGSQGVMPGLYANLIRSLWKNDVQVIMPTSFRNFCGRLNQEWAID RQQDAKEFFDFVVDCLHEDLNVNWQRTQLRPLTFEEEMQRERMPVAKVSKIEWDRYCH REESFISSLFAGQHASRLRCTTCKRTSTTYEAFYSISVEIPASGKGDIYQCLRSYCQE EMLSGDEVWKCPYCKCERVATKQIIITRAPQILVVHFKRFSASKTQSARKIHTPIDFP LHGLRMDDFVFSQPKQTSNGDGPSSGPQDPTSATEPPFTYDAYGVLRHLGSSMGSGHY ISLVRDASRQCWRKFDDGRATDFIPRDLPFKDRLQNEQAYIVFYERVPAK ANIA_02073 MNSAGLSSGSMEGLTGSDWPVRTCCYPWLFLASSASSSALMFLI KHPLPPDVSSCLCLAIAYSSRALDSLYRAVRTPEITRQIVSNLLIATAHSRSHPRFLI LTLHRAARFYFFAPKLWEFFLRDDVESFQRFLASASYTSGGQRAPAGGGTHLNAGSPG PMISSLGASPKGKKSTGTSPVTSVFERGGGPRSTKPISRDELNARDQHGRTLLHHVAS SPKPTAADFALALLEVPYLDIYAQDLESGWTALHRALYAGNATIAQALMARDVRDATD PSKPGFSGHPSGGLIKIKDREGYSPFDVYASTITSRSLGPLVPDHGLDDASSVSSSDD EDVAEALEKPSTNLFGDEVFTFGSNKNLNLGVGDQDDRQYPERITLTRPTHLLHRFFR EHQERHDDVKTASSPDPSDQDLPAFIKARPLKIQTLAMSKLHTAILTDDPESNLFICG FGPGGRLGTGDESTRFSFVCIEGGGLANKKVASVALGQDHTLAITEHGDIFSWGSNKF GQLGYGLPRANNKDDVPVQLSPRQIFNPFKKEVILGAAASAVHSVVYSTSGLYTFGKN EGQLGLVDSDARSLEVQTTPRRVGASLFSTPIQSVSATDQATAILLQNHEVWVFAHYG YSRLSFPLEINSRFIRDSFMATRYDTSINRIVKIRSGGNTICALSSSGDVFTVQVNKL ENLPSLTSTTNPAKIRNSLSPPVRVWSVKKAHMAVKDVDVGQDGSIIICTASGSAWRK ERRRKSKNGSSGEYKFARVPCLSRVVGVCSNAFGAFAVVQRECDVTKEQINVNPTSLW GDIWPLSPFSAIRATTKQKDYFADEEVRDLESVTALRQVLMNGPNPELYFRPTFAGLP AGTVWVMTTASDMRVPVHEFILTGRSSVLRRALHDYRHQHSASVPEILTIEKDYNGDC QILLQGMDVLSVLNLTFYLYTDALLDVWQHARSSPENASRYRQVRTEVMRIASHLDLP ALERAAALMVRPAKSMKTDFSTAFNDPSFFESCDVVIELNGDCMVAHSQVIRQRCPFF YALFVGRSGGIWVQSRRKSPQDKVHVDLKHIDRSIFEFVLRYMYADTDDRLFDDVRTK NTQDFVDIITDVAFVADELMIDRLSQICQRALGKFDNVCHDNQMAAFPISRGRNAMEY IYEKYPELVSFIEADKRRLIDSIRLKSRVSKIDSPEGKPRAPSEKLAPSPAASRFKTG VDKDRPVYDSPLLKSKHSTGDLMFQMDDEPLSSLDLGKGKAIDRGVKPANENDTRPWN ETPVLGASLQDGASSVGRSYLDTRMASPQGTSPARLQPTTPQEKQNGSASPPYPSQVP WNSTTISGSKKTLKDIMSEASEARVSNLSLGMSSVRRENSGSFAPKLSQKERKKMQQQ QIQEMAIAQQRAKEAAQSPWKIPSPGPAVPVGPSTDKITNGQDIPSPTPSKSAQRPGM TLRQTVAGAPSPKRESAAKPTPSSSRSHVVSATTTRPPLAPRPADAVAPSTPPTDQVT IKSVRHIPRPEPYQTSFYADTPGSMSLATILMQQQTEKDEIREAATARHNLQDIQAEQ AFQEWWDKESRRIQGIPEPGAEDEDTQKKAERDGRGGRRGRGSKGPGGQSQRTRRGKG PQAQTQGQSQGASSPAQQSQASRNGSEAGAPDRGQHQQLSTPTPKKPHTQTHSAHSGN PSRRGRGGYRAK ANIA_02074 MAKSVQEKAAATVAADSEVGQVVDYQAHDHGADSGLSRTLETRH LLMFSIGSSIGMGLWLGSGTSLASGGPAAIFLGYWIAGSIAWLLNQAVGELAVLYPVP SAFPQWSRKFIDHAVALTVGWAYWFSGSITLANELQAIVTVLRFWDDTVPTAAWLSIF LVTIFVINVCAVRVFGEAEAIMSTVKLLWIIVVIICGIIISAGGAPNHKTTGFEYWNS MPFTHGFKGFLSVMGTCIFAMSGSEMGGLVAAEARSPLKAVPRAVNAIWLRLSLFYIL GALIVSITVSPTNGNLFGGDGVNASPFVIAFRDAGLPGLAHAMNAVIFISVLSCGNAQ AYGATRTLVGLAEIGMAPSFLQKCDKQGRPYYAVALTFLVGGGLCYLNVSNSGATVFA VWPLGEPSSAKTFFANYVSIVAIVVLYIAAKIYYRGPLWVRAKDIDLDAGRRFYVDDI DALKRRTFMNKVVDFFTGDGSV ANIA_02075 MTTSDPTVTGETTYDVVIVGGGPVGLLLAYQLKKFGISVCVLEQ HKKETQDAYGRAIALFPRTLEQLDQLGAVEPMLQLGFACRNSVTYKNGERIIPGRVWT FMENIKDTTFDFTLVLRQMYTEEILREKLKSVGVSYYQGMECVGFDCDPNKAPDGFPM TSTYQNAVTGETFYLKRKSTSLSHDSKYLIGADGGRSFVRRHAGIPFEGDISEDRWIR IDGIVETDMPITRAYGAIESETHGNVLWAPLDHGAIRIGYAYSAEIAAKYPNGVTQEV AVQEAIEAMKPFKVSFREVHWWTLYTIGQRIAKTFATANNHVFLCGDAAHTHSSGAAQ GLNTGIHDAVNLGWKLALHIRGLTKPEVLETYTAERLTTVQRLIDYDKDIATLMSSKW PAWYTGDPNADPHLVLGQIFDEAAAFNTGLGISYPANVLNDLGSVSYTNNSSQCVLAP GSRPPDVDLKMPATNQLVRLQKITPNNAQFWVIIFAGNNSPSIQETLGALQRYLRDTA PELISHKSIRWLTITTAVGCSPYEALGMDPLGDAYFDAAKPLAHGRLGVDMEEGAAVI LRPDGLVGATASAYGEGHTQYPWLAIL ANIA_02076 MHQQPRSPAPAASTSSARRSQDYDRRSDSPAFDLRTHTNRGLGI ETENDTAEPEQSSQPGPEAISKVNQIVTNYHTKAALIILHSRVELPPSYAKNSNVPRV NRWFNVELEETDALKDQLKTWRTCDATDNRPPPMIIETYLDTAGLTNNQTLVALDDNG KRWDVTESLAASQSSRPAKASSSRAVDVILERWRVELGDMPGKLPSDLGAILPTVYKK SIILFRSLFTYSKFLPAWKFIKRNGRSRAHPALRVKYRIFSGHARDLSKQDHLTMPLF EGDTKVVDTYSFGVTDSPAGPFSVQVTYRTCCDFRVDDSEALLSSQFMGADDEIFQPS LPSGGLDARVTPEVGSAPLTRRTVEDPDLSRAYGSLSTFHHVGPTTGASPISALRAAR EARASSPSPPTSSHRNSFAAARASPVGRAATLANDTNPNVARRPSISFQPFKAPPLSA SPSLVDPPLSASPRTTGAGRTSLSDSRHMPPPSVTTSSRKPPSFGPDNANSSPNSASP RPTPMSRYSSAFSHRRGRPSSGGINKLEDDNSSGRASATSSGAQPGSGLLAEITGTSS DSIHADDENISEFLKMLDLRKDLLSPSSQTAMDNHSRRMTAASAALSRFRGMKDSNAA LSDSMSSSLLMNRSSATSSKQLSGVPGIAGTSISTASSPGGKAISPHTPHTPAIPSRL SSNSIVDNTTTRLHGDNGSPVEEDASDETTTERLPSTVTAIPIPTSPATIFPSTFRRS SSAANRRSSHAIDDDEIFTMRSVSLGAEEPSHTTLGALQRQQDYEPIGTNIAPLESGA RSSGGDDGNVLRGPTRRGPGAHRDASLSGPTVATSPYSHHPLHQRRISHSRGRGFSGG PHSLSSGSSSIARGGLIHPYPAEREAERDANAGASHSGTEDRRGTGRGSGGGRHNLPQ AAQVEEDEPLLFAMSDFGASRRSFEEGRQGNHGPDSSGNTGSSRRGSGKRGTLSGFHL WP ANIA_02077 MKLSNQSEVPVYTISGSNTARPLPEWLARRRKRSLKDDPEYANR IELLQDFEFEEASQCIRVSEDGDWVMSTGTYKPQIHTHHLPQLSLSWARHTDALNTTF QLLSSDYSKSIHLQSDRSLEFHTPGGCHYRTRLPRYGRDLIYDRASTEALVPAVGVNA DGMGEVFRLNLELGRYMRSFEVDVGGDDFTSAGGGALQGGINTGAVNTGAIAEESHGL LAFGTTLGTVELWDPRAKGRAGVLLPPTQIGPDDARSEITALEFHRSGLTLATGSSNG LIHLYDLRSPVPLLKKDQGYGFPIHTLKFLLPSTTTREQTLEPKILSSDKKIIKIWDP RNGNPWTSVEPAVDINSVAWCKDSGMLLTANEGRQQHSFFIPQLGPAPKWCSFLDNLV EEMAEDPNDPNAFNAGQAGSVYDNYKFLTLPQLKTLSLDHLIGRTNLLRPYMHGYFVA QRLYEEARLITNPYIWEEERAKRIKEKIDKERESRIRGKKKAAVKVNKKLAERLMQME EKNERRKAKRVLQQGGDEPMEDADATASAPTPTAVLSDNRFAKVFEDEDFAIDETSRE YSLRNSGSASEPAPPPRKERGLTAVEQEAIDEVPNSSDSDSDSESESESEQERPSKRK PSAQKQKPANNITRRQPQMQVSSSAAAATSNRDRSFGARAQNMRTKEKPARRVTVVGE QEFTFNPRGKGSSKQNNNAPVKPTTASSDYRTKERRSASGNTFRKM ANIA_02078 MDFPGGCITNVRVIDGFSNVYWRIYTEDTSGTNPPGDGPNNGHT LLKHISRLKELELRLRSQGSLVLIYPRRLGLLIFSATPDFEHLAPVLLSESGDQTNRL LVGSTILKVWTSGSVSAADLAKSHLSDLQTTNANSPAPSQRLQNNSVQPRRADNSGGS AAIYASFISAVTAAFSLQIVRQNNAIPLGPRTLFNTVNGNLDDGQGPAGNGSTSVSAL TSLHVQLTSAGKLTIALQTIAQTGLLRLSQPEESASAILPGADLWLAPTGSIARLITS HSEKNSHSRPTSASEDIRRWQLNVLEWLGYFGLPTEPVTDDGWVEVEVWEPFYSRLSG EAARLKEENSSLPLKRILWPAIYCFRRSKSNQSETLDRTGESFPFLCDPLDFAEGWCA KEIPKAVETKSELPSKNQERQVNSQDTSSPGPVGLPEGIESLSRASLHPEVQSANLVY PTPPDGTAGIGLNPVVPTTTKNALDPDTTMDFGPAAGLGVGSGLYDTNEDDDLFGDMN EKDFGTKGITDADFSFFDDQNFDQPSNGGNMEDIQESPGFIESNKPDVPLGPSDLVSS SPVSVDRNNTPKGSLEQIHPAPVPHGQPLSVNDALVLSPHQDHAQTASPPLSPVEVKK ILFPESSTSPKSLAKTSNALSHYSPVAFKSNISSWDQKYGADGKFSFMGVRTAFNHDP HTSSDGIPTIGLPRRKSHMKALAYSKKADAEGSPVDEVDSASSPDSESESDDGCDNTG PENTMPYMTLTTLKRKRAVSSPINSPKPSSVMSSIGAEQEVNMPRSEDSIFIGNFLSI FSDLSIAGFFTLSENHIFPSLASREIQVQVAQLLVDQVTQSSLDHKIDGRFSVSCLDN QPSWSRELLEGSEYLGGIEKLDLNSFVSLQEQNAQNFLSPDAGPAPRQNLQHKDTGRG SITKLSPPHLRVRRGKDFLETLPPAISFWETFDLEPAHGPKDITAYCIHPQFSTRAAN VFLDRLGLLYPSCNLGSHSRGENIDACRNGLVEWDVKSVKRPNYQTAVQQLKLICEEL GTALLDGMPSKDNIVVYIINPFSHAASLVDICAAFWTLLQNYFASAEKPPKQVDEVLL QIVPLDFVMSAESLVVPPQAEYLNLALEVYSRCSTRQMQPSLVNCAPPVMLAETLPPS IGFRLASERFSPLQEGRCLHVACSRSQDQRWMTVAWSDNSGALQRTMSYCLRFRNSTA SRTLSAVRSEIWAATRDIMDKSQGRWRVIIVNTDNVDQDEYDTWLNLADQYNKSRSMA IELAILSVNTAFDISLSPPPSPLSMSLLNPQASSTPIATPVPSGSILSPDGPGNAPTP PSGANAANNALTPTDSLLESESESLLVDICDESWCVLLSHRLNGNLHLTEYRPALASG YLVRRRGTTDADGAYTMNVNLLFTQRPSTHYETTLREIIGMYRDLATLARVRGTRIVQ TGTLPWHIATAMRAQEILSYVL ANIA_02079 MSDQQPTTAAAATSNPAQQTASSYLDLGITLAINAWPALSLAVT SNWGGPTSADKRDWLCGAISDMIADRPETDAEDLEDVLIQVMNDEFDVVVDDESAGEV ADRIMEIRKMVENGEFDGIRQMWEEWERKAAKKGDAVAAFKRGEDQDGETDDDEEDGE EDEEMGEAPALKRSTQRQTRKMTFHIQENRKQKCVNL ANIA_02080 MANQTPDSWEDELSRQTEGVNLNAQSRPQPQAPSFHPGAASFQP GAAAFVPGQQFQPYGGYPQYGQYGQGYGGYQGYDQQQAYGQYGAYGQQPGGYNQIYNQ NYGNYQQQQQFSQKPRQAAPAAAAPAQPAPKPASNAAPKAKVLSIGGASDSPSAPKTK VLSIGTPTPASTTPSSDSGSLADAKGPAAVEAASKVTAAKAVEKTEKKAEQKAAASGK SSPAPSGRNSPGRSSPSRAELAKEKRDADAVAAEQKADVDEETLKEIYGEKKEHVNIV FIGHVDAGKSTLGGSILYVTGMVDERTLDKYRRDAKEAGRETWYLSWALDLTNEERAK GKTVEVGRAHFKVDIQTPDGVVERRFSILDAPGHKSYVHHMIGGASQADVGVLVISAR KGEYETGFEKGGQTREHALLARNTGVRKLIVAVNKMDDPTVEWSEDRFKECTVKVSKF LEALGYKKDDLTFMPISAQQTLGVKDRVPKDVCPWYNGPSLIEYLTEMKMPERNLNAP FMMPISAKYRDMGTMVEGRIEAGVIKKNASCIIMPNRTKVEIAALYGETEDEIATATC GDQVRMRLRGVEEEDLLPGFVMCSPKRPVHCVSAFEAKIRILDLKSILTAGFNCVMHV HSAVEEITIAALLHKLEPGTGRRSKRPPPFASRGQTIIARIEITSAAGAVCVERFEDY NQMGRFTLRDQGQTIAIGMITKLIDA ANIA_02081 MDAFLARLTQQAMNYAIRSGIAITASYAIRQSSRLLQVISPAID MIELIAARGNTSLESAVCLTKSLRLDIQSLGQRLATAAFSEEQSQKGGKSPTDMSRSR EMIKLIIQDIKRLLVRIEDAVPLMNLAITTSGAKLSTNLPATISPSRLLQASTFLTAG DTQYSISPSQAVQIGPSFTLSMYMLFASHLRPHDEEGIRETTWKEVMHKARLKLRRVP MDMAVAPGSQRPLQIPADARIDEFAYQILVVEDLHDGRVHAFDENESQPQSFEDIDAA GIREIIPIHQISKIFYADTGRILNISTEGETNNPVLLLKRDINAVPPRRMVERDMAGF GAPHESEPEDEIDEIQAQLDAQLIGTTVNTLNPSFHESSIPDQWRLPKDLDPEWIAFE VYNENDESDTESDDETTKTPDPTEKMAQLSINADRESRRTASPQPGKSQSQPTTISNP LFNNIRTSLSLLETLLRLMSLQQFQQQSHLSISDELLNFFLEESSTTGAGGDEQYRQR LRADARRRVGWDPYDESPVKRRGEDYQYSGTPEGMSIGYTRESSEIPYTPSERTRGYQ LRSRENTPETPSQMRRSVSRAADLRRDSGTRGSSAHTHERQRRGSPLAH ANIA_02082 MTVADHRNPQFPLFPNKHRTMDIYDYVQGDLFSSSNQTEKDESQ QGTTNTNQPAVDLNTELQETFRAFSASPWGSRLGDIWGNVRKHGESYYEGARQEYAAA SEEAVKGFTGLKDSLVGRTRGLSLSTAFGTTAERGTTDGSLTPTESCAAGGSSKTEQQ QDGGDKKTAGGESFLARFKTEAARRLKELEKAEEAADEAILRFGMNITQKLREAVTIV PPEEESGLDSKVLFESKDAEGKRVIHATRFEAQLHVIHTTLDSFLKDPVSDEWPAFQN SFSIDDKTSAIAADLEKYPELRTSMEKLVPEKVQYADFWSRYYFLRLVIETEEQKRKE LLRGASVEEEEEVGWDDDSDDDTDSPSTPQVSDKNKANNVAPAQSSADSKTLKPNEPR RSNDQGSQPDSESSYDLVSGTTSRTPASPKEKSKDDDSDDDWE ANIA_02083 MGRGDNDRISRSNPDRFRTPDKPASSSKLARVPARLGVGSLAVT PGASSTSTATSTPSTVSTSRSRSGGSKRNTALDQTTLTQIEFVKRSQPDYDDDVFKYI GGSGSNACEVIEIDDDEPDNANDKNYRPSGSRTKRENSIRLGSAPSRLKKKGSVQGDK ISRNGRKKSGNDKGVQNDDNTLTQMKYVKLIDLESDDGDAKLEYAYLTSRKRDPELRA ASTGKHDNTKQQQPTYVSEPPSGHKRRKLSSCSMKKEPCQMKEKLEEKVENLPSTPRK LLRTEIPSSQSPESPGVAFITSSQFRSAARSPERRPLIFSKETPVKEEPHSSLEKGDA AEIAQMPLNDKNQSVHYDSPQPSPLARRASAERTPKTTGREKSVAVADAPAAFDPRQR PAQRTVVYETDAESDYDEYEDGMQDISSSSKDTNIAVYEAPVENEPNSPIIESQELPP QPVSVKEPEYGPFSSESTLLSEASICYRRVHPNTQFPLEPVPTINTQKLAELFPEESN GLRSITPTPSSSPMKAPLTSNAPIMVSETQSLDQTLPDSEDGSRTPTDIVPESSPAAR HEDSVPLNGHGPSARNVVVQVESSQPVDRAGRPRTAGQDSAPRAMLSRSQILTSSVME SIPIPGFWMSSQDSVGEPYNQPDS ANIA_02084 MYSHLRPGGWAEIHEFDTMVRCDDGTMPPLDESSFSTYPFQDWC DLQIQSGQVTDPPRQWRVAHRLARGMKEMGFVDVQERIFKAPVNRWPTDPHLRNIGQW MESNILEGLSGWSYKPLRLLGWSKAEIEVFLVNVRRSVQDTSVHAYFNFHVIVGRKPH PDEVGSVQAQQPHAEPQSTQLLAWHHCPMSLGRCAYRQGAIPSPTTFTDYLWVSDELL ASTFRRFVSGQRRYESRVPGPLEARRRLAKRRNTALASLAGSGPGDDIASLLGRNGRE HLKWSEPERGFDIQFSSPTPPAPPLSLFDHFLSPDAFGGNSEDAGEFSTELTREEFFG EKLQEYQTVSAIKSAIRELSIDLRQEPSCSRLLFDHFLALSQRKRAAADELALFLDDP HLNVPGAGNYLRLAERSSSTMVPFQTRPAQFHLIIRALELGVVPPEEIGAIIARWSDW RKSCSDSQKAKRKLLKIYRAMWDAIGKCAVYGHRDMDQSLVQTWLGVCLEEGTVGYLR LATSILLATEYGMSLCSSWLPKFVARLLRDSNYSLPAPDRDVIIESLKPFDIDIISNS LICGTEVLISSQNTRLLRRWGKCLAKLHDASRITLSKAWVQIREQPDSLAKRQLILQR LWMLHTMRRFSQRRASQVTKSVTKRLYRLYESSRRVPRRNGQIKIDLWTSLVQHISRL KIPFNLEAMADDLRTGKPMTNTMRKRLRQFQNEPLSFSDLFANTQTYNASRHLFFNNF DNQIRQVDVASPDFRLWAIQIARTGNSPAIWSVLRLLRAHTPLKIALSRAWPLPGPAD GVIVRYNPRPRSAGTPDPHDALDMVHSLAASFACAKQLSPQRAYRLVRWLYLFLLRHG APIQTPIARALYHAGVVRFRQEKGYISPIQYDYIWGIVEQTEGREYVRALRSRTLYE ANIA_02085 MAGFDFSNYNRNAALHAKGAPLPKATSTGTTIVGCIFDNGVVIA ADTRATSGPIVADKNCEKLHYIAPKIWCAGAGTAADTEFTTALISSNIELHSLSTGRP PRVITCMTMLKQHLFRYQGYIGAYLVVAGVDPTGIGLYTVHAHGSTDKLPYVTMGSGS LAAMSVFESTWKPNLNKEEAIALASEAIKAGIFNDLGSGSNVDVCVIEKDKPTQLLRN YMRPNERGQKERDYRFPKGTTAYLNEKVFTKEDLRKYVTVEEISGDPNLMEVDP ANIA_02086 MAFSMGAANPPAELGPELPDPICGATKGIVVGAGPNTLCVASTE SVRAAISADDEKEKVKTKPFQPQATISLPGRPTHIAFASGDSALVLATESGTHLSVFE TGSLLQPNAQPAISIPTNGATFRTVAPNPAQAEDSHSSLVALVTNAGELLMADLKAGN LVTGANGNILKADVSSVGWSNKGKQLVAGLVDGTGYVMTPDGVQKDLIPKPPDLTDPC HVSSIAWLENDIFLMVYTPNVAEDDAGLTPSSSYYIITRRKQQPFLIQKLPELASPFG YKRAPAYQFIARIRNYMPHLTDALIVSSTASADIGLITRSSQALASDDSARAIVGQFA TTEVNDDSKKASVPLKDSTDETSVIGLGLDFSSSEPVIAPIQGEDIAESSTPLPNLLL LNHEGVLCSWWFVYNESIRQKVPYDGLTSAKTQVPPALQSQSTQPQPAAQSPFAQPSF GSPAAPSSFGTTGFGKPSAAPAFGSPSVPGTPQQPSFGKPSFGTPAFGTSAFGAPAFG APAALGSNAPKFGQSGFGQSSTPVKSLFGASGAPAGGGFGSFANVSGGGGFASLATSK PSEGSPFGKLPSENPFGKSSVFGAQSETTAFTPQKTEESKGAFGAGSSGFVLGSTFKG DGTAVNDAPKPEKPSGLFSFGSSFDEMVSTPSKTSPPTEAMDDIEDSNATSQNLPAAK EPAPSLFGASSKPSTGSSIFGSFGSQTQNQSPFGSAQTSKSPFSLLGNKKADNQAPSP SSAPSEKTAVASPPFTKAKSPEPEPPLPPDSTSRAVYGPGDTSASSNVSKSSVDDAPL PPDFTASRKSPEPESEPPLPPDFLTQPKKEEPEEEEEPVKAEEAPLPPDFTKPSAPLG KDSPLVQEESDAGSDLGSDADESQKGPPEDESELEDSGEDNTHEVKEPSVESSPESSL DDKHMGEGSAGGLFGKKQLFGEISKPLFPQTAQSREPPRSPSPIRPPRTRQGLPKTEN LRSISAPHKPGDALAARKASLTELAKREELRQPARSRAREPEPQPVETEEEALSDDED ERLRADLNRPLEPVPTLDPFLPHQDYTGETSKPGIPGQIERLYRDINSMVDTLGINAR SLSSFLLYQQKSTDSNWINILRSDSPTDILDEKLLLRQIEDLDSTVSVLAESLEKHRV QGVEEKLESCRELLGKDIFTLRSQCASIRKTLDAYTDAASIVSAPLSAEQANLQQDLR TSSVEIQTKLAELESAVSLLRAKIADSPRADGSRPSTRRPTVEAVTSTIATMMNMVES KSGDIDVLEVQMKKLGFDTSAAPPSREGSPFTTPRKGLSRVPATPGSRGTLEDPVSSY HTPDSSSRGINMRSSINGSAKASRLRLVELVNDGGDRREVAQWKAKMQRKQHLMGSLR KAIEEKETKVRSVDDI ANIA_02087 MTRSNLKTHLKWLLDQGPSLYPVLTPLAWDTHVNPTGSQNNPVP ILNLIESHQELSIKDSQPILESAINDPAGNVIDIESDKDMPRLMLDHGLSASKPRMLS AEELHNSARKASKSSSVRQEVSQTPRNKMVEELPSTIPSFRDRKEQAIPPVRPKRKLD IPSSFQDIDTIDLTEDFDSNALSSEIRKEFDELHRRQTEDAAPRTNLTNKRGKKRKSD EEASDFLPLREPTRRACSPPVSSKTVQSDRYISNFVPRAILRDEVPAFRQKKQEDSHL TAPDRAVSVAESDVESIGSLFDLVETKQSSPYVTKKSLYAALPSEEHSSTQQPSLPPS PEPEEPISPDSMDIPETARSTALCPGSTKPHSSHALLPPQSDAEPRNQGVERFLQVPA ESLRRLVTCLEDTIKKNSGIVYQGMLNGENTSELHAIVSKNGTLTAQIRAVKALTAQK SAYLSREAESKALKDVIMHALNQGTSDVLPKIEQQKELTSQLKDMEANMCLLLREADL FSVMKEFSLETREIELERLHSLPATTAPSILGGAENKSYRNSPVANNSAFRPDYDARE TRLVTSNHRTSSFTGSLGIPEANRTKNHEVTSDNETTFTGKMGSTLPPVEDSDDFDMD VLDEDILEAADNCQEEQPFTKDRHEPCSRPVFAETSGNVRRLPATQKSQTHGTLWGQH PWTKDVKIALKERFHLRGFRPNQLEAIDSTLSGKDTFVLMPTGGGKSLCYQLPSVISS GSTRGVTLVISPLLSLMQDQVSHLRQNKIKAYLINGDTPSEERQWIMSTLSSHNPETH IELLYITPEMISKSHALTDRIEKLCSIQKLARVVIDEAHCVSQWGHDFRPDYKQIGAF RARIPGVPLMALTATATENVKVDVIHNLRMQGCEVFTQSFNRPNLTYEVRRKGKHAEL LDSIADTIKSTYRNKCGIVYCLSRNTCEKVAEALRTNYSIKAEHYHAGLDAETRARTQ QRWQAGDVHVIVATIAFGMGIDKPDVRFVIHHSIPKSLEGYYQETGRAGRDGRRSGCY LYFSHRDVSTMQSMIEKNEDSDDVQKGRQTRMLNDVVKYCENANDCRRVQILAYFSES FKRQDCNASCDNCKSGDTFEVQDFSEHASAAIKIVRYFQERNERATLSYCVNIFRGTT KSFRSPEHREAPSFGDGSGIAVGDAERLFRKLHSERALTEENIVNRGNFPIQYVKLGP RASDFESGRRRLRLDVRVSHDGSGRPRGDVGRNYLPQSTNVSSPVRSANRRRLERYRH VDSAANESDTDVDSDGFEKVRIAGRDERKKKIIPGPPITQGDRFDQLDHLHRAVAEDF MVYAKNYCQEVVMDKGLRNQPFSDTILREMVMVFPKGKNKGEMLQIPNIDPDKVHRYG DKILKLLRDTKQRLSELKQAGDDVDGVVPDPNHHNVVNISDDEFSDADDVFMYEDDIL QPDDTIITSEYFSKSQQPFQDDSGDEYHPSPKSSISKSQKQKNTSKRPPRRKSGGAKQ RAKGTRKPKTSSRSQGRSNSRKETKGRQKQPTGQIGMMPI ANIA_02088 MFSDRKMEIPQERIEKVLSFAEDRRKAENFYDENRASSSEIQTY ERKLDNTLRELQERVKQQEEDLRKLRAANANGISEIGTDPSSRIAQIRRATKAYNSLL KSESNLPTPESPLPSLIALEETSRIIDESKVSVLATAENLASVRQRLKTEEKNLHDAQ AISDGLRRRIDNIRKDKSASHEKLPSEVARECLEERRRKKDDLDKDTVRIRAALHSFC DETLASLVAAEDLGGPAVGDVAEVSEATLAAGYTRHGKPKKPKAAEEDNHDNSQHRID DMLRRQTGQQSNQPSSRKEVAATEIHELLDALLEAGF ANIA_10257 MSASPSALQSTKRPLEDPSSPSGPNDQPEAKRPALDKVVKGEES ENNAEVKTESGAANDADGQGDTVVPDAPKGGVSETQPIQSTASHGEAAGNQSEQQRPQ DESNWIHIRAVISSQEAATVIGKGGENVSQIRRLSGAKCTVSDYSRGAVERILTVSGP QDAVAKAFGLIIRTLNNEPLDAASTAQSKTYPLRLLIPHILIGSIIGKGGTRIREIQD ASGARLNASDACLPLSTERSLVILGVADAVHIATYYVAVTLVEQLTERFGGPAASAYA TRSGGPAGAVPGGMQVVPYVPQPAGGQYGHPDTFKRHHPGQARAGAGAYGVPYLHGQH APAPVAPQPMHYGAPQTPYAGAGPHQPTPYGAPQAAQPRGGPTPAAPVGGAMPGQPLT QQIYIPNDMVGAIIGKGGAKINEIRHLSGSVIKINEPQENSNERLVTITGTQECNQMA LYMLYSRLESEKHRI ANIA_10260 MPHVTEFIYFQPKSSVEPENPSSDQGAALLQLFKATTQQSGHLG SAWGRTKEDKNVIVWAIDWSDAHSGIQQTNSPLDPYIEENTQITTLFTTLTPTDSDDT PATSTLVSNPVTELAPLAFPTSLSSADRSSLVAHLTTFRKTLSEDAEEKVRPKSFLLG QVERPGEFAHGKSESGQAFVHFLVLGWESYEQHQQARDTEGFKSTITPIREKMVSPLD RLGMKHVKFQKV ANIA_02090 MGKKNKKSAEHKERVAAKQSKKADKKEKKHKSKGKDADSDAEDA DLDAILAQYAEEQARFLKVTEVVSGPPSPRSSATVLASPSNRNELLIFGGEYFDGTLA TFYNNLFVYLIDRGEWREVTSPNSPLPRSGHAWCRGGNTGGIYLFGGEFSSPKQGTFY HYNDFWHLDPSTREWSRIETKGKGPPARSGHRMTYFKNYIILFGGFQDTSQQTKYLQD LWIYDCSKYTWYNPVLSTASQKPDPRSSSSFLPHESGAVLYGGYSRVKVTAGAGGKSA KGGPQRMTMKPMVHQDTWFLRITPPAPEAPPSTPPTVRWERRKKPANSPNPARAGATM AYHKGRGIMFGGVHDVELSEEGIESEFFNTLFAWNTDRNRFFPLTLRRPKNTGKSQQG NQAAKSRNRGKATEEELLQNLKALEAKKGIRVDENDDDNEFLPKEDEEPVQPEKPAIV RFEMPHMRFNAQLAVQEDTLFIFGGTYEKGDREFTFNDMYSIDLGKMDGVKEIFYNEP GNWHLLNEADSDEEMDEDDEEGEEEEEAEEEDAMSLDTASAAPTETTDVTVPSVTRDL EQLDIEEQDAEPSIQDSRPLPRPFETLREFFSRTSEEWQQILLETLNERGVAVEKNIK ELRKDAFNLAEEKWWDSREEIMALEDEQEAAGIGEVISIADRAENAGGAGRRR ANIA_02091 MSTMNVDIEATAKEHGQLHQDLWEFLNTEQSTVLPDASSLARAR SSLRQSLDDKGIGYDSTRRHILDDLVPAFNLSSISPLYYGFVTGGVTPAALFADGIVS AYDQNVQVHLTEHTIATDVEYATLGLLVDLLRLDHDWHNGTFTTGATASNILGLACGR EYVVRQALRKRGPANTQGVGEIGLFEAMHAAGLSGIQVLSTMPHSSLVKAAGVLGIGR ANVQNVSDDNHPLRFDLDKVKAKLGDMSKATIIAVSCGEVNTGYFATGGLDEMQKLRK LCDEYGAWLHVDGAFGIFGRVLPETPEFTAIKQGCEGMELADSIAGDGHKMLNVPYDC GFFLTRHRDEAVNVFQNANAAYLTGGTSDAPSIPSPLNIGLENSRRFRALPVYASLLA YGSRGYQTIIEEQIRLARKIAAWLYDHPKYNVLPEVNSKHELLDKTYMVVLFSAKDDN LNCQLAAKIDETRKIYVSGTSWQQRPACRIAISNWRVQADRDFFIVKGVLDEVAGNGA ANIA_02092 MAAKLIDRKLHNISGKLLVAELFFEVPLNYSRPGDGTLRLFARS VRRSAKPLDSGKDDKQSPLPWLVYLQGGPGMGCRPPQEYGWVGTALDKGYQVLFLDQR GTGLSSTVTARTLALQGNAIKQAEYLKQFRADSIVKDCEAIRRCLTTDYPEEKRKWSI IGQSFGGFCAVTYLSFFPEGLVEAFICGGLPPLVNGPDPVYSRTYEKVIERNKAYYAK FPEDVYRVKKVVDHLKQNKVSVPSGTLIPERIQQLGIMFGMHGNLILRAASDLEVFGF LTHPTLVAIDSFGGFDSNIIYAILHESIYCQGEASNWSADRLRSSNPVFSLDTNAPEV LFTGEMIYKDMFDSYTELNQVREAAEILASTTDWPALYDEAQLAKNEVPVYAATYIED MYVHYDHSQTTAAKIKGIKQFITNVMYHNAIRSKSDELFQQLFALRDDTID ANIA_02093 MDTKDSNSSQALAIDGGAQTRPTDDHASLTKRLTQPRSDRSQSP EGRPPPLPPRPETLILLEDGGAAPGTPRPNVSAVHPGLQSRATTAVSLAEISQNDRGK DALAVRSFPGTVRAKASLSHLATPKDGSDAGDSASVTSYVPYSESGDVENIFGSLASS EVGIAQEESTGLMQFPEFQADDVEDDFASELEPVGEIGEGGENEGTVLAYGSTTAETD QLIDLVLEKWKAKRKHYIILSAAGKPIWTRHGDGGLISTYVGIIQTIISSYEDSNDRL NGFTAGDTKFTVVAKGPLYLVAISRILESDTQLKLQLEALYMQILSTLTLPALTHLFS VRPSTDLKRPLQGSETLLSTLADSFTKGSPSTLLSALECLKIRKSHRQTINNTLLKTK VSKLLYGLVVAGGRLVSVVRPKKHSLHPGDLQLLFNMIFEAEAVKAGGGESWIPVCLP GFNSSGYLYIFFELQAMKNALVEKLEENGSINNIKEAIDKGRPSTTDIVPGSVLHHFV YKSRVNVQFIMSAYDPEFSTITRRRRLISTYNNLHASVHAKHTHVKVHHCVTQSSSSF AWITPVFELYCVAGPHANRNALAQSASKVVQWVQKEEERLFIISGAVSHALFVIVYAP TDLLQVF ANIA_02094 MSLDPSSFPRSNSPASSDSSLTRSRLRGKEGSLKKDKNYRRYAS SVERALSLFDNTLQEWADYISFLSRLLKALQTHPPDQPVVPHKVLVAKRLSQSMNPSL PSGVHQKALEVYTYIFNLIKPEGLSHDLPLYYPGIAPTLTFASLTVRPLFLSLVETYV CDLEPWAIRPALKAIILSLLPGLEEETSDDFDSTLRLLNTLREIASRMDTQRPGAETN SSGQYFWQCFFLASITSPSRRLGILAYLNRYLPKLGVTDRRPSRHEEVDATAMPLEMQ VAVDSVILPEPGLLIRCFATGLTDEQVLVQRNFLDLLVTHLPLSSPILQTRITKDDLQ RLIVAAAGVVSRRDMSLNRRLWAWLLGPDPVGDRASFEARPSISSTASKTAAESEELS QSQYFSRFGLQPLASGLLQLLKRDTELPSEKAKPFRITLSLMDRWEVGGHVVPAVFLP FMRSVKAYKLAAPKAHFDEVFRSATSFFDGVESGMIFSELLNLIDWDAKSLANDAPRI LDNLNLAHFILDHFNVREEDMVLNHAPLLTLAALIKMSELSSEATTSVAHDQLQAVSN GLSKVVTLLTGLLIDRAFLRKSDSKNSVNEPSMLLDRPGSAILRQIHQFYDRSRNSLD LQPPPFPPTDLADLIIKNVYEQAISAVNACNDAKSIPERLNLLIVVLKKLPRSRILRD KRLYVAIGKHIQASTVELSTASFSHLSSMSTTITSLYCIHKLGYYITYEEVSDLIPPL VRQLWQFLSPLSPKFHVEAVRCLWNLHSVSWSDHLVESTITSLMFTPPAPGSYQLSSE EEAGRYFILWNHSHHGTYELPPKNLQDSAQSQASYHSSMLERPLFTVLDLLSQGSTQP AQVVQLWLQDLPSVAKVFRIIISKLEGVLHRGNQLGTFEGNTVVSPDDYAECNYLFET IHNVLGALNHNGWVSLLTQTMAHNSRRHDASASEDNAEAPSLHSVVFQASLKIVSGYK SGTATANAEEVKLQQISLLVMRQLLLGPGVEELVESGIDSLLVDRLYSMLDEGGDIAI QAALIDTLLAVLKARFSQAYLPPPPTKPKHQRGGSREKLTSPSLLSFTSDKPEKSSVL LPSPEPPQRLLDCLLKGLSSPRSRAIIDKWIMLLCEILPIYSTCIFQILLTLVDCLGR EIRQSYTNLQSAFEKTEGWPKDRPEQTTISLLTGFETCIAAAHERLLMEEVNAPAAKS PDQTHGFFGNMVSGVFASDSNHPRSAAMNNRLTVLLSFQDAVRLCFSIWSWGAAERSS LPQDTESIASFQYTSLRMRNRSRRILEHLFTAEALECLETMVDMWIKSDRDTSPLIFN LLHTLDGSRPKIAIPAIFNAIYTRTNPAALDPSRKSTMTTALTETELAGFLVTYARSL DDDVLDEIWIDCTTFLRDVLSNPFPHRQILPRLVEFAAILGVKLENTNFGEDRRMRKE LGDVILRLLTAIFTSKPMGFTQEQGLLGRASLDYDSSSIQRIGPDDMLSILVASMPAF SMTLGDMDRITTAVSNISTNIIGPFIRARLFPNNLNTSFMALMQHISKIPQVAKVWKK DVADAFNDPRFFGSQLDLVKGGWMTLLRQWALVDKDRLSEIMTRLTPPATAGIMFGVG ASAARLDADRKAQLNLRRISLLVLSTAEDYFIAEMPALLQKLEDLLGATASSSPSSAT RAEIFMVLRALILKSTTTTLSPFWPLINSELQEAISAISSGNQQELYNPYSLLQACKL LDTLLVLAPDDFQLLEWLYVTDTVDAIYPPEQFEPTALADEVSHNLGVRWSTSSDPTR ESTNLHHGVRYPGLAADWIRETAKDEIVDRVLRPFFDQLSIHAFESTYSISNPNLEAC RDDLLADLFNESTMAN ANIA_10264 MSVSMRRSLPLLRLVMTPPKIASTRRCFSSTPLVKNAGSTATLP VKKPVGAFRGGLFGFLTGSVVAGAVVYYYILGEYRISNSMLTEDIDALQTATQKLQTY ISELETRVDQLQKKK ANIA_02095 MYRVEVLPNASKSNATPGWTYVPDKGFDPAKAAIAPTTGRKRGI RDPARTDVSSRQANAIVRHLAELDRENHRDVAIAIPARKDSQPRESATRGTRTKVTSN VRRILQSQKTFRNYLDDEEAALAQASSSAGTSSIAAQRLPSNRITKPSSSLSRRSATP ATPTPKPDPRAEKDTSSAQNLSDTANIQTADSQPQSNLQEEQKPDPNALIKSQYDNDP LLKSYIPSAPSERIMRALLAEPPLSYNASRAGPPLTGKAPRKFCCICGYWGKIRCRNC HQRTCGIECYKTHEDSRCGAFF ANIA_02096 MSLSFLSWLRRLYSLDTLDTRFTVPANVPVKIAAEDTRSGSAKD ARSNAVTNSASPPRWATLEFYVYYVVFIVAVPLMFKTVIDVSQESHPTYATYSHLLSP GWIPGRRVDNSDAQYSGFRDNIPYLLILLVAHPSLRRVYEHFTRTPSTPNANNTISVT AAGDARAARRIRFDYYFALVFITALHGISAIKILIILYLNYKIAKSLPRKYIPAATWS FGIGILFANEFCGGYPLARVLSFWAADETGRESALLLWARHLDSFGGLMPRWEVLFKI TILRLISFNMDYYWSFDFPTSSPIEVSLFSEDKPTPSDDHKKKQLDPESLSERDRVKI PAEKAAFNGRNYLAYILYSPLYLTGPIVTFNDYISQQRFPPQSLTKTRTILYGTRFFL TLLSMELILHFIYAVAISNSHPNWSLYTPAQLSMLAFFNLHIIWLKLLIPWRFFRLWA LVDGIDPPENMVRCVSNNYSAFAFWRGWHRSLNRWVVRYLYVPLGGGSNRSISSPASS TSGTKPPSSPLYAKVRQIFNFLVVFTFIALWHDINLRLLMWGWLITLFVLPEVVGTLL FPPSRWRSRPNTYRVICGIGAVGNVLMMMIANLVGFALGLDGIKGLLSELLGSYAGLV YLISACCALFLLVKLPNAASCAQTSTVQEQDCAQR ANIA_02097 MNYGEKWQKNYLGLEPGISPLDLQRGDDDAGGGFSVLKEPFETR QIRHFAPGIPRPGYNCFSVYLWSHTVNSDHSNTVSNPSFLTPTIDNAAPGHRTARPAA WRSKWVCGVPPAAQDSRHQMAGTLHYSTGIIEPYSLLRAPSNSPCLACLASQEE ANIA_02098 MATQRAYCVQSPWHRSGQLCLTSTSTGVGFRDFTMKRAAEYGLS GWVKNTDCGRVEGEAQGSEEGIQKFLKDINNGPRLAHVVKLEKKTLDPKDGESHFGLR KTSATVFDSL ANIA_02099 MNSMSTTGPIRVAAIPKHYLQFTVRTYTRSMASAGLRYSNPPLV KKCYDQPTGKRFISSTPQSQIKDYFPPPDAPKIVEVKTAWAHPVYSEEEMRAVTVGHR EAKNWSDWVALGSVRLLRWGMDLVTGYKHPAPGQEDIKKFQMTEKEWLRRFVFLESVA GVPGMVGGMLRHLRSLRRMKRDNGWIETLLEEAYNERMHLLTFLKMAEPGWFMRLMVL GAQGVFFNGFFLSYLISPRTCHRFVGYLEEEAVLTYTRAIKDLESGRLPHWEKLEAPE IAVKYWKMPEGNRTMKDLLLYVRADEAKHREVNHTLGNLKQAVDVNPFAVEWKDPSKP HPGKGIKHLKTTGWEREEVV ANIA_02100 MDDPAAFDATAPPVRDSSPSPSISPTPAISSCPSPDRTFSTVSS LSTSSGTSGDARSSVSVSTRRRGYIRPQGAEFAASAKNRESVMSLGSIAHLQYYFART GLLDGKGGNAREYKKKKRNTEDTPQLLLTPNARFTDEITMSPTEDMNTDSGEDAFDVV EDGDEVMLPPTVSTYSIKTHHIPPPPDLLQLRRDLLNAIYKAQREIDAVSQQQHSPET LPPRIDVSPDGDTEPNGDSQHQANLAAALQSWDEINGMRLLDVVTLAIRAAKIYYTTH EYPERLASIKSEREIRQDLFNVLEVLKRWAGRNFAGGFREEEKKAVLGWMSDVRDMLS QEAQLEDAEVKERASWVWIEGDWTGRERQREEEFLASLTGPDSRLPVWTEPEDGSLPS AMLERLRDGRDLIRIHNIAVRKSKRPFGEIKTFHEDVAKPYRQADNLRYWIKAAELRW ETKLELDVMGVVYGTSDEAWHQFDRAIAAWCKSVREELSQDLRVLRRRATAASVDGLL VKIS ANIA_02101 MRAIFTTSSHPSAPAPDTSTSATTSQGSPPPPPPPLVSVSSPDE SATPRRIKSKASLRSTRSIGSSLLHEDDGDDAQSEKSLIRPNILRRLSPGLAARVKLL DGSSKTATSPRNPGAVGRIPEEQIKELDSLHKVQSIEVERKGRAWSGISFANKTQKQQ VQREPLPQSGVPEPPVAESTPGSGQDATSDRLETKEEKVENTPTTMSVVEPAPAPLGP EISDPNIEDQTDGPTDYEKYIQKTSELEAEANGAPRPPPKDSPPIQSSASSNTQSYFN PHGLHRTSSIYSSTNSIFSFSRSSFSNQISQLTSIALPQPSSLEASIASISNAPAAVK ALTGAAEQIQIWIRKASNVLNGLDAEDDVVWAAAAGREGLDGVDKAITKFESLVNVYV KAIEDVQLREDIANVSTDGLETIVTQMDSILQSWANIKSRLRAVKEQVELAMEWEELW NSVLGDVGEEVEELEGLVFEMEAKRHFNLANEDSTGLDINELETIVEETPSSGRFLNK RSSMGSLYPAPPALDRPIIQTPQDDTNHSNLVELFARLQPLRASLDFLPMRLSMFQSR AEKVFPSACTELMERREKLEKDYKNLQADAETLRKELEEDRWIMVFRNAGSQAQKMFE SVERSISKLQEGLETGMHLHNPAGMTNRIESYEAKKQHYVPAIDRVLTITQKGVKDRL TVNGEILRLLANMESQVNALRASIKVMDSSLEDIQDLRSQHMRDSISTVLTIDSPATG SLADTPGSSPASSVVMTPANKSSRRGSSVGSAARTSTGRRVSGLPQLASNLTGRKPSN PKATPKTTLTAPSPTPAARKPAQPAQPALSPALLNRPRWNTSTNTNDLDVGHKYKPWT PSLRKQTAPSRGTRPSSTIPPRDPSTSPVPPTARSASRISSRLGLRSPGRTASPSPSP SILDPPPYSKLRRPAGTENMSNTPRSRQSFAGTSFNRSVSQEQGRSDSPTKAARPGTA LGHSGSRRISLLPLPRNRNKDNFPSTRSKLSERPPWRG ANIA_02102 MPSILEDPNTKIQPSDSLLLSLKSPAFNTPLKTTTKTGANVTLY PITNGPSSLPQSLLRFLHAEFSAEIERGCTYPMEQPMKFEAFGEYWFGMFGVVAVLDQ EGDGEGGLSVDSERDWGKECLGTFYVKPNYPARNQGVGMVMGKAYLHFAPLLGYKYSV FNLVFENNVASVKIWERLGFSVIGRVPGAARLANSETPVDALIIGKDLTGEAVVENA ANIA_02103 MRIFTRLLYAAPSNMGSAASLSEALALLPPIPLYRRILRVHRKK LDPEMRILGDSYVKSEFRAHRGTENPLHIIGFLTEWQLYAQKLEGDSWIGEKLDQGKL DKMSDQQLGQLYELMQTIQNKDGKGEGEGESEK ANIA_02104 MLPTPDTSHVSFDTIYEPSEDSYLFLDTLSSASESAWLKSRFPS STPSPLLLEVGSGSGVVLAFLTANSPLILGRADVLALATDVNKNACAATQKTVTVAVE ERQSEIDKDAGAQAEARSVCLSAVVGDLCTSLRAGSVDILLFNPPYVPTEELPAIPST SDAAANELELSRSAKFERDSYYLSLTYAGGRDGMETTDRLLESIPEVLDPDRGVAYVL LCAQNRPEEVKGRIRGWGGGWRAESVGNSGVQAGWEKLVIVRIWKE ANIA_02105 MKLVRFLMKCANETVTIELKNGTILHGTITSVSPQMNTSLRTVK MTPKGRDPISLDTINIRGSTIRYYILPDSLPLDTLLIDDTPKPKNKARKEADRGRGGG RGGPRGRGRGRGRGRGRGF ANIA_02106 MAELGSAYADAGVISDLDQLCDEEEDLDSSVSTASAGEEFQTEV VQSHSEEAAVSQPHPDGPTVPMKESPPSKAFVEKRSLSMTSATGRDATIVQETKGPAR RLNLLDLPVDLLQDIIKEVTHTNDLTSLALTCSALHSLAVPQMYSRFDIVWPDAFPQS DHPGGVDALSYGLATLVMGEDIFREVPTTNAAQRCAHCECNRQQSQNRQASGRIRRGN YFAQYTRKFSVGNGPSDWVQEYSVTKETGKMLGTLVALAVARMVNLETFIWDMPTGVV RDVWIALSSLADRPGHECRLENVWIRWHDNSENAVRSLSGVPTASSLTLPETQNILAP PPASTSLFRRYGHVEYPSLSILPPLKSLSVLDIDEPSYLEEMAVLIRRSRDRLRELRI GISSKVYQASWLKPQGASHVEQTPSNLVSGWPRAGGVLGAILGWPSRHANESSFQQED PVKGDIGDITVVMDAVTSVDSPIEVLSPQTLNTTSTQDVAEAQDIADAGNSPPISSHE TQQVQVLGSKAPRESLRKPSKTIYSFTSGSDQELLKLEVLELERIPISAAVLLDTIDW TRVTTLTILRCEGHEKLWRSLRRRFSPSAAPHTSTRHGRSEEDRAQPEYALRIKHIHT DAVSPYLLLFIKDTLQPNTLETVFLHGSPLHDSAVHIEGIYRNVIRTHRSSLRKLLVD STERSPAGVEIVNSRWQKWMFTREVITFITSGRMPKLKELSMTIDSKDWHYFLQRLPN IPQLRALHISHIVRPLHLDLKELAMQVLDIVTLRPEISISYLGMQSKCYEILEGKGNE YDLAETDDIHSEGFVPGAENWAGSDTNEEGSDEDDEGATMDSQSDLSSEDHRSLDDDD ASDYDYGRPRVSFGLREILFYDDKIAIFKARHGVL ANIA_02107 MASVFTYDPDPPRVSSPWSTSGSSTPQVVIVGNRNTAARTRSST SLYSAGHDFLSDYGISKLEPEPQEGPTEYKLHLLLRPRRPYTSISTGHLVGGSYHSRA SLSTSGPAAAASSTEEPSLRLPQSRSIDSRQQRLQQLTTQLLWRLQQSSPFHSSTTAN LVLPVLPEATPRLGATSKPSRLLPGLEESQGALYEIGVADDGTFVGLIHDELEESLSN LQAMAASLGCKVELLRRVVVGNCEWVEDQGSEELNPGKVHTENLWVAEALVSPDWDYY RAEPCVTEPLEKLSKSDLAPNPMSEGYVSSTSQIRVSIAGPNTVGKSSLLGTLTSSVL DNGRGTSRLGLLKHRHEISSGVTSSVAHELIGYTPTPSTDGFDVVNYASGNVAAWDDI HAASKGGRLAFVSDLPGSVRYLKSTLRGLVSWAPHFVFLCIAAICEEEVSSRPGPDSA EQAMDINLALSYLELCIKLGIPVVVVITKMDLASRGGLRNILAKVLSALKVSGKKPAM LPVQSNSVEEGVDLQHINSAEAEEVRKLIAATHDWTATVPIVLTSAVDGSGIDKLHAL LRNLPIPSRPPVRYISMPKSLPAPQHPSNVFDVDEVFAIPPSKVYSEQRQQNPGVVLC GLVRYGSISVGDELVIGPVTINTALENGNCPGAQRLGSDRHFSQHGSYRSRPPSGEFS SSFLQSSYTGKSASTVQALWQHVRVVSVRNLRLSVQKLTEDQVGTIGIEPLCATPSEQ PPRLGKIRKGAILASEPLSSGTCPLLPITGFVASFSSSEFSSSLSPPVLLGGNAIAYI ANVRTTVKLTCLALAGDEIISSPPSPTELEFFSFDADSPSKPNVNKVVSSSDTTMHGT IDITFSFVVSTEWVEVGSKVFIMPGASAALASAEGSSSPSGLEGFVGHVREVIYAEEG GI ANIA_11326 MSIILFLAFAAQSARIDLVGSLQGVNYQVDARHLGQAEKLQSAE RL ANIA_02108 MNDSGHTGFDETYFIVTWVEFVIAIFLLAARCHTALCIVKRVAA DLPLAILTFILGAASMVMLTLAAQAGLGVPGPVLNMTENRYALLFGWLNQFFALIAIG LGKLTIVAFLQQIQGYHTLFRTIFLWTLAGSNFIVNCIAAALAIVQCDPVQRLWAESL PGECPGRERIQIFGYVQGAWSALCDFALALFPVVLFARIRAISIPTRIGLCLLMGSGV VAGACTIVKTIRLSNLTTFTDATHQMGNVIVWNQSEMWLVFIVSCLPPTKVFFASLCS GGAKSLSSLYGRLGWHGSSEEGQIAVY ANIA_10261 MASFVEELWSSIFTAGPTPTLLLATNTTFAALQTLLFVLLLATY SIHFVILSILSGALWYSINWFAQELKQSQGQSARQEISSEKTAETAKFTESDAKTRGT TEGADSDTETEHVMSLNTGQPSESAPQSATASTSLQVPDGSSEVRKRLSLSGDSSGYA STDSEWEKVDDKSAK ANIA_10258 MSLEMAPDSSICAARSHIFASVFAPASPIDFISGLAPTAKSIQR GSSSDKGTRNRAWRPATTFLSIPDRNFEDLLLHIASDEEDYLKLWNRDKKPSKETRDA LTYLIRLSTKESEHEDASNSIFDWYELEIRRHFLQNFKEDLSIVSSASHPDGLLREKF PDVFQSISTSFDRNSLLEGFLVRCLLLMRRIYFTPLVQYMLPVLERRAQEKCFMKFER SFHLMIRYAIPGPSLSDLITRTLTKHTLVILGISSLKERADINEDASVDDMEVDRQYS MSYQDWRAAPSVEFRSQMMTEFEDVQVTTARERLLSLLKNLQLVGIGGEKAQEAFAGV MDTMMTEFIRVAYTGHWEGPSVVSFHLRQWITDVYAQLAIQVLPIINVPEPAIRESDL DKWQEIGIARLGALRVGELFDVIVEWPASSGAIEDLRQFARHPAARHHLTQAFVAALN RRLLHPGASTVEILQVYISIIRAFNLLDPKGVLLDRIARPIRRYLRDRDDTVNAIVGG LLADPADADGRGSSNAETLVELAAELNKAHQNSLRNDTGELDFDDLNWVPDPIDAAPD YRKSKSSDVIGSLISIFDSKETFVRELRDMLADRLLQKRAEFEQEMSVLELLKLRFGD NALQACEVMLRDIFDSRRVDTVVRNDQGMTNSQDQETPELHAKILSHFFWPELKGHQF KVPSEITELQQRYAEGFESLKQSRKLTWLNGFGQVTVELDLEDRVFVEEVSTWQATVI YAFNSGTAESESVSKTIPELSAQLDMSAALVRSACLFWVSRRILTEVPGQRDTFCVLE SLPATKNDNNNDTEYAAVDSTSSHTAAEIDESAVAAANAAVAKESAEAAAMEKMNLYW QFIVGMLTNQGAMPLQRIVMMLKIAVPGGFPFSNEELREFLAGMVSKGKIEIVSGGNY KIVR ANIA_02110 MATLTQTSAPAPAPAQIIHSNAPRDIFPDGLKTTGQHPPIYEEL HPFEDFPKSIEGRTLWKAEDYKDAPEKWTHRFSAEEVEELGATADAFLASGTPLTGIS KSNFPLPKLSALLYELRDDLLNGKGFILFKGFPVQEWGNHKSAVAYMGLGTYLGYFVS QNSRGHVLGHVKDLGEDPTQIDSVRIYRTNARQYFHADDSDIVGLLCIARALEGGESD IVSTHHVYNTLAAERPDVLKTLIEPIWYFDRKGETSKGQEEYIRTSVIYLERGDNPRV YTKWDPYYVRSLTRFSDAGLIPPLSDRQIEALEVLEQTCQRLSLHMILEVGDIQFVSN SHVLHARTAYKDYAPPAPRRHLMRLWLSTPESEGGWRLPFWDSNEKKRGGVQVDDTPP VALLDAE ANIA_02111 MLHIAILDIDVPVPAVYAARGLYSSQFRTLLQAAASRLGVPASS IHTTAFDVVGGSLPPFQSLRTSPRESENGVNGETASTNPLALPIDGILITGAAAAAYE AAKYPWIVPLQGFVQTVFDSYPHVRMFGSCFGHQIIGQALLSAQAPNKSPPQPPSVTV ERNPRGSEMGLASIKLTPKFTAAFPVLSAHLPVADEMRLQMIHSDWVALLPGVDELPD PWINVGSTELCPVQGLYYPGRVLTYQGHFEFDVFVNSETCVEFGRRSGWDKADVERYL ALIGRGRVEGVAEDDDDDDSRVAAEAVVSFFLEQEKK ANIA_02112 MISFLRTLTFGLTLSSALAKSTSSTLYATHYSTSSIYTLTLKQS NNTYSLAEASSLKTCGRYPSWITLDASTKTLYCSDEYGWRNAGGTVNGSLTTVNVGED GSLSEEAVTGTAPGSGVHNIVYEGDGGEKYLAIAHYSGAAVSTYALPLENDADPLQVF EFELDTPGEVPDRQEAPHPHQTFLDPTGSFVLVPDLGADLIRVFAIDKSNGELNACPS LNYTLGGGPRHGVFRTASDSELRIRGRAPGPETVLYVTGELNGEVEAFAVSYPKSGCL SFEQIDTEIPYPSDLPDGASLSEIRLVEDDLYVSVRLDSAFGGDDSLARLSLRQDGKV EFEEISTSGGVLPRTFAINKAGDLVAVGNQLSSTVTIVERDPETGALGEVVAELLVGE PGEPNNLEGLSSIIWNE ANIA_02113 MPPRSTLTSSFSVSDTNNEVVCPLKNNDGSNCRKRCLGEKRYRS MQEHIRRAHPNHYIPKLPATEESFILMVTTPPEQRANLTPPQTARRRNVDRDLYAGSN SPATPRGIDEAHPAAATAAVALAQLHHNHLTPSWDTDVVGAYIFSTDKMINETQEIHS DNDIDRAPMRSSIELPSLRDHFKRDSLPPFSSPQPRSLLPSILNHSPPGRSSTLPPIQ RTNKLPRPRKGSITGARKAKHERSRSKEFGRRPSIGDRKALSAEPQTAAWAQGKRWED LIEAATSATEVDDDRQSELGRSPTIPPTIPNIASITSAPSIKSRSSMPPAFQSPGLPP PASHRPFPPTSYAASPLHKSLTPPPYEFSRNRDADLEPFPSIESSLDSASTTSGKHFY SNHLNPARNPDSSPVLNLFPSSAAQRQHHRFSNPTPASFRSREIQIFCASCKQAWPLN ECYACTECICGVCRDCVGSFMSSPPATFKNVTSSPGSAMSHGPTSYPSPNPRGCPRCH TVGGKWKAFQLDIK ANIA_02114 MTPSTKPKTFHVAIVGGGIAGLSLAIALHHRDVSVKIYEQAHAF AEVGAGVSFGPNAVEAMKLCHSGIYEAFKKVCTRNLWPEKEKVWFDYLDGYTHNEEEY NAASSGKESKRQKIAFTITNSLGQTGVHRAHFLDELVKLVPQEIAQFNKRLQNITERG DGKLVLKFADGVEDEADVVIGCDGIKSRVRQILVGEDHPSAHPSFTHKYAYRGLVPMD KAIEAIGEELASNSCMHMGPNNHMLTFPVNGGKTLNIVAFHTTPDTWTDRTRLTRDGT REEALRDFAGYGPNVTKLLKLCDPKLSIWAIFDLGVHPVPTFHKGRLAISGDAAHATS PHHGAGAGYCLEDTAVLATLLSDPRVQNNSDIETALAVFDACRRERTQWLVQSSRFIG DCYEWRAEGVGRDFRKIEEAINRRNAIITDADVGGMCREAKEMLGQRLGGEERAAL ANIA_02115 MPPRTREEQQMGGSGTQKRKPVRRDLEKRRQQNIQAQRKYPYYG LWVRSSGRRMLTIGSGEKLRQRYERLDALAATVTQGSPTLQVPHVGQGLSGAEVTLNT TQGDNLATSIPRPASDVLTSSLETTATDYAQFVPQLGDFPLDLSSWNPSTYISRPGDP SLSGIWDPATLPLSDETICYPAQVELTRGLNTTENTVHFLAGHASQSHSSSALVSTAS NNPITIRSSHTNRPSLAWTTVIDCGCTTPHFTLQSGGPYSANPRQVKVLATMPVADPY ANNLRVDQHCTLTALFLVVEHVGLTMAEICADESPSPFFRIDSSSADPLTRANVVAAV QKAFKTKLKHDLRPSEEQITISHHPMIDIYPFPTLRNNLVTRQGEYDEDEFFHDTLAG LICWGSAGVGQRDRNASAGKASTGAPWDLRSWEAQEWFVRKYWGLMGGEDGDLVRQTE WWRSIRGEDALEVVEL ANIA_02116 MSQKVFVTGATGFIGRKVTEFAVKEGYSVRGLSRREEGDALFES LGATPVRGDLKNADLLTEESRNADIVLHLAFDHDFTKPYQELVNTDIAAVTALAKGLE GTGKPLVVSNGTANVAPDPNGGETDEDAPLADHLLTNRDGAERHALSFVDKSVRVVSI RLPQYVYGPGSTTGFAAQLIKLAIRSGESVYIGDGEYCFSDTYVDDAAKLYLLAAKHA KPGDVFNGTGHTTTTYRAMATAISELVGVPARSITPDEAVARWGPFLAGFISIRNRAS NRRAVEQLGWKPVGPGLLWEVRNGSYVPVAEKFKKEASAQ ANIA_02117 MCILQPFLDCIFGTRPPTTNTVNATSSRSLESIASDVVTKILTA DSHDTLHKELNDQISTTSWTEALAKATLKGLENAIKTGAKMAKAAADAVAQAKEAAIG FATEHPVYATLIALGVLAVLTPWILEVLGFGELGPIEASFAARWQSLYRGSEKLACCA ANIA_02118 MPPHSITKLIARPIIALEEHFLSRAALASPNALNERMRAIPGLF DNFTELGPRRIADMDHGQVTMQVISHGPGDLSPAQCRETNNQLAEAIRACPTRFAGFA ELPMHEPQEAAMELRRMCSGALDGIRFVGALVDSHTEGGLYYDGPEFDVLWDEATKLD VPIYIHPTWPSQQLFTAYRSSNIPEDVNTAILSFGFGWHGDVAIHILRLYAAGVFDRF PKLKIIIGHMGEMVPYMLQRIERVSSRWGKERSFREVWDQNLWLTTSGNWALDPLACI LRNTKHDRIMYSVDYPFAKSADGLKWLEELEESGMVTEEVLEGIRWRNAAQLLKLNAL PVS ANIA_02119 MRGLRFIPIVAALSFPAHSFAQGKTRIHENGRCAIRGHCGKQSF FGGELPCPDNDAAREPEAAVREKLVNLCGAKWQEGPVCCEEEQIDALSKNLKLAEGII SSCPACKDNFFNIFCTFTCSPDQSLFINVTKTEKGNSGKELVTELDNIWSEEYQSGFY DSCKNVKNGASGGKAIDFIGGGAKDYQQFLKFLGDKKFLGSPFQINYHTEPPEDSQGM QALPIHPKACNDADPAYRCSCVDCPDVCPELPAIKTEEHCHVGLLPCLSFSVILIYSV FLLGVAGFSSYFTYRERRYRKPERVRLLQDPNPSDDEDEGDIVHAGGHLEYPHGYYKL NSMLDTVFSRIGSVCARFPALTIISSVVAVVLLSLGWLRFAVETDPVRLWVSPTSAAA KEKAFFDENFGPFYRAEQAFLVNDDETGDGRVLDYDTLTWWFGVESRIRRVISLDRGL SLDDICYKPTGDACVIQSVTGYFGGSLSNLDPDTWQDRLTHCASSPGDASCLPDFSQP LRPEMILGGYEDSGNVLDAKALIVTWVVNNHAPGSEEEAEAIDWEDTFRGIFQVVQEE AKNRGLRVSFTTEASVEQELNKSSNTDAKIVVISYIIMFIYASLALGSVTMTWRSLIN NPANALVQSKFTLGVVGIVIVLMSVSASVGLFSAAGVKVTLIIAEVIPFLVLAVGVDN IFLIVYEFERLNVSHPDEEIDERISRAIGRIGPSIFLSAITETVAFALGVFVGMPAVR NFAIYAAGAVFINAVLQITMFVSVLALNQKRVESLRADCIPCLTVRKAHSGMPEDLAF DDQDREGILQKFIRKVYAPLLLNRRVKVVVVITFLGILAAGLALTPEVAMGLDQRIAL PSDSYLIDYFDDLSEYFNSGPPVYFVTRNVNITKREHQRQLCGRFTTCEEYSLPFVLE QESKRSNVSYIAGATASWIDDFFYWLNPQQDCCYEDGKLCFEGRTPGWNISLTGMPEG AEFIHYLEKWIKSPTDASCPLGGKAPYSNALVFDPKRITTNASHFRTSHTPLRTQDDF IKSYISARRIADGLSAEHGIDVFPYSKTYIFFDQYVSIVQVAGTLLGSAVAIIFAITS ILLGSVATGAVVTATVIMIVIDIIGSMAISGVSLNAVSLVNLVICVGIGVEFCAHIAR AFMFPSRSIMEIVPSKFRGKDARSWTALVNVGGSVFSGITVTKLLGVCVLAFTRSKIF EIYYFRVWLALIIFAATHALIFLPVALSYFGGDGYADPEASGGLEENLASRSYRSLLV DDDYDSDEY ANIA_02120 MDQQRFLQQLQVVLNPTQGNVKEATGILQREYYKHPEALVLLIQ VATGHDDAQLRQLAAVEARSLVGKHWAKVQAGQKPALREQLLRSGVSEANDLVRHSVA RVISAVAKVDLEDGEWADLPNFLMRAADGGNKDERAVSLYILFTILETLGEGFEEKFQ DLFTLFGKTIRDPESADVRINTLLALSKLAMYLDSAENMGPVKAFQDLVPSMVAVLKD AIDQGEDDRIMQAFEVFQTLLGFDPALLTVHLKDLVLFMNEIAANTEIDEDTRTQAIS FLMQTVQYRKLKIQGMRLGEQLARTAVQIVTELGDAAPNDDDITPARSALGLLDMLAQ SLPPSQVVVPLLNTLGQYFNNANPDYRRAGIMSLGMIVEGAPDFISTQMKEIMPIVLQ LLADGELKVRQATLHAVARLADDLTEDLNRDHEKLMPLLFQNLASAMQESKGEEEGPT VDIMKAGLSAIDAVVDGLDEKDVAPYQNELVPILHQLFKHPNYRIKGLAAGALGSLAS SAGEAFLPFFDDSMHLLQEFATVKDSEEQLDLRASVTDAMGEMSAAAGPERYQAYVEP LMRATEEALHLGHSRLKESTYIFWGAMAKVYGEHFATFLDGAVKGLFNCLEQDDDDLE LSLGEAAKDLIGQEVTVAGRKVKVASAEDDDDEPVGEDGEIEDVDLDDEDDWDDITAT TPLALEKEIAIEIIGDLVTHTRSAYLPYFEKTIEMVMPLVEHPYEGVRKATISTMHRS YAMLFTIAEESGQMPKWKPGLPLQVEPAKEVKKFGEILMTATIKMWTEEDDRSTVADI NRNMAENLRFCGPALIANETTLHNVIQMITDIITKKHPCQLEFAEEDVDAGEETSEFD WIVVDTALDVVSGMAAALGESFAELWKVFEKIVLRYAGSTESIERATAVGVLAECING MGAASTQFTPAFLKLLVHRLSDEDPQTKSNAAYAVGRLIEHSNSPEVVKEFPTILSRL EQCLHQDVSRLQDNATGCLSRMILKHRESVPIKDVLPVLVNILPLKNDYEENDPLYRM ICQMYKWEDPTIRELTPQFLPVFQSVLCGDTDQLEDERRAELIELVKWLNQMQPGAAP WAEQL ANIA_02121 MDIADALQTHPTAIKCIDMHTTGEPTRIIYSGFPQLRGTTLLDK RDDAQSRYDHLRKRLMLEPRGHSDMYGAILVADTELVQKGDAHIGVLFTHAGGFSTMC GHATIALGRFLVDTDDRNVFPKRGHLVVDYEKKEVEVRIHAPCGVVTVSVPVISDEHG RVKSDGSRGVSFLSTPGYVAGLGIEVEIPEEVRWRELGKRKSIVVDISYGGAFYALVE AQNMGFQRGLGGDEAEMKALAAAARSLKQYLPTHPAVTEAMQQSEDERLSFLYSVMVI DPKIGFRPNGAEGAETGVCFFGENGQVDRSPTGSCVTARLALAHAKGVRGLAQRWAYN SLVSNQFATGAFVGTLVDQTVSVTGFKGNKWPAVVVRVEGSAYYTGVMTLLHEEGDVT SKAGFLMDI ANIA_11867 MSRRRLPTDLPPNFRYPLMTPDNDRESFADYDLYDGDAGRSREP LVYPNYQPRERSPAPQVVRVAKQPEMIRRQSLRPFSGDWGTADAVNVAGDSKVPGSFQ DTDAEGRATEILDAVPRGAYSHPIDSADFYTDESDENLDFGHEEPLIFPRLTLEEVVQ EVAHILRTRSKPASEGFTYVFADPTGHNRFYKIGSAKNVSTRANDHRKICNISYFRAQ KKPATPLWQYKRLEKLAQAELINMSYDPNCICGIQQRQYFWGRAETAFETIEFWSKWL LKHSPYDKSGRLLPFWEYRLRVFEAKIQKNFDCQGAKCMKHSADVIACPICLRAGWKA WTEPSGLDKIEFVSRTQIGSPWVHKILLYLYKYIPIQDSVWVTSIDGLARAVSLCDRF KSPAILLNLLYARLLIPMLWSTIFTTAEYFSFFAIMEILVFSAIYQLVRLELAQLGVH RRGTERLSKDSRQIRRKALPPSSDRAVDEASCRKHSKEPRILEIPDDEDGDILHQAPS SGGGGQKIRQAPVFLPPSEAATRLKRFQPVLKAQGYLIGGKCLCLHLRLLMSLFCGHE GFVSGRSRSLTGTFLLSSSSFTSCFLVRYCSGSLL ANIA_02122 MYLSPNSGGRSDEEPPQQSKRTARACDACYKRKIKCDAAVPRCN WCSHHDSPCTFERKVRRTRKRAVVGKESAAVPGSELSERIARIERLLSEKLPQEPAYT PPQQLPSISPGLNLPFTSSLASMPQSSASSSVPLHFAGRELGAISLFTGIPFILPEGQ EWVQSRTGQKLAFDQFTSNRAPWERQRVQNSNAMLMHLQAPNALDLPNRHHIEFSFNV YRTSLMQRVFPVVDPVLFWTTIDAAYKEPFSGSDGAHVSSKASIFAFATFVSGLCKPC FLDQGTNLPRFDEEACVLKARYLLCQVLQEPPTLDGLQAVAMLGMLELFAGNLQSANY YGSISARMIFMLGAHIFTDQRSWYPESFTDTEARIKGQLRNLFWLCYTLEQDVSLRTG QAQLFSEDNCDLTLPPDYVNEMEASLEFHHTSTDFPQNPIFPVDLRLSVIKARAYSAL YSFKAMKKTDAEILKDIRELDDELERWRLSLPEKPDPNCNMHSVILRLNYHLCMTIIH QASSRCKSWATQGCVMDGVSSSLALSVEASRSTLLYLETSGHVLVDGVFWTLIFYPMS ALLAIFCNILQNPADPQASKDLALLKSATGMLERVFLRQAYSVNELMHVKLVADFVNE LCRLATCAMDKAWKERMSGACTPTS ANIA_02123 MAEPGRTFNTAFAPPPPLWKHFTPENLQRLENIKKEASKGEDGR RRKKEWSPAELRSLKIPPELRFLVPPEIPSEQYSIFGEVQNLSTALPSLEEQGITQLY PSSPKPDTKSGDSSQPAQPLNHAYYLLKISKSLLLNFLEFVGILSVAPEQFEPKVEDI RNLFINAHHLLNLYRPHQARESLIMMMEAQLARTKDEIQQMDKLKEEVNAVLDQLAAE GADVGSTIQSTTKDKKGVKPEDQIPEDSKLLWDILDGKLDD ANIA_02124 MARSTLSAGSTVPVSPIAGAWSRVFLRSYPLVDVIGLACILACW IFIQIFVTPFHRMFALDNQALQYPFATVERVPVLWSIFYAGVIPLLILLVWAAVFRPS PYKVQVTILGFLTAIMLTSLLTDIIKNAVGRPRPDLISRCMPRKGTPESTLVYWTVCT QTNEHILQEGWRSFPSGHSSFSFAGLGYLSLFFSGQMHVFRPRTDLCRCLLVLIPIVC ALMVAISRLDDYRHDVYDVTSGTILGSVVAYFCYRRYFPPLRSFRCDTPYSKDDFVPE GFSKLPDDEEQQLSGRRAQSWGGEESYQLDVSVSHEDR ANIA_10259 MALVTEILDHTEPLSNYGLYILPFFILLYLAQQYFHNGLHRYHG PILAKFTNLWRFLDVRGRRPELTHIALHRKYGDVVRLGPNTLSFASPSAIKVIYGLNK GFTKANKSQSEFYPVQMTVSKGEPLPSLFSTLDESFHANLRRSVNHAFSMSSLVQYEP MVNQTVELFLDQTAALFANSGKTCDFARWLQFFAFDVIGSITYSKRHGFIEKNEDIDG ILKSLARIFDYAGPVGQMPWLDKWLWKNPIYDVLQRWGIADNSHPVAIFARQRMNERL MADNGTRTEAEQQQDLLTKFIQAGKDRKDFMTEKRVLTMAVSMAFAGSETTAISLAAV FYYLLKNPEYMARLRGELDNAVAHGIVENRSSGLVTWSESQKLPFLDACIKEAFRIHP AAGLSLERVVPASGIDIAGHFIPGGTIVGCSAWVLHRREEIFGPDVDSFIPDRWLNVS AERLKTMNGTMLQFGAGARTCIGKNISLMEIYKLVPSFLRRFDIQLAHADQEWELWNA WFVRQYNFKTKFTARNFEV ANIA_10262 MPRRKANDRTGPVKTRSREGCSECRASRVRCDTKKPACTRCQER GLTCSTKVVLKWESEFASRGQAFGRAGVWSKSKAAGNLSTPSPSSSNAFSFREQEWCL IPRVEAWNFINSLTDAFRQPYQVTPTEDDHALVSTRRNRMKSSSSYGLGLSTIQLATP LPSLSVLPQFSGSIRGHLFDYYVQRICPRTIASANTPSPLASIIIPFSTTASETVSNA ILALGACALSLDDPKYCALGLRLKSEVLKGLRYRLTAPGSLVSTSDPEILVVMMLLCL YELVDHCDQRWIVHLKGAKELIRFRRQQAASTPRQQEVATFAERFFAFQDIMGRTACG EQPLFGTDHWQHNERKIDPWMGCSPELVSILSDITELSRTRRQLASNSDHAALSTKSA ALCRQLEELIQDVEEEDAALQAIAEMKRLAAVLYLHCALNDASPTTPLVVEYVRKILR LVSDMLDAGTYVGLAWPVFVAAVELDPLNDELWKDANGDEVCGRPLILRALAAMSEYN VLSVARTRAVIVKVWQVRDNVLTPSLGDSSDNDWECYVAPFSNAMSLA ANIA_10263 MAPSMTPSTASHSAPSLPFASNLSEQLSQRSKSERPDLSQSGTQ TDSAGEKASLFGPSLRPSPKTRSLSDASRPSVSLSPTTGEERPSSKDDTALAPQTPRR SPASHPPLNIQSLPTKAATPASSSRAPLSPKLDPSHIYSASPGSVLPRRSRGLDFSRA CTNLHHSILAESSPDSSPTVGGRGVAIPARRGSPGSSVPPFSTSNPADRTTISSSMSS VNMMESDTSSSENDDEPMDRDDVIMNTPQARKLAPGFNGFAGGNVPSPGMDWMGNYSQ AAASLLSFQRARFRRGRSSRHSSSSASGNSSKQSPAPLSPPVMKSIENGNGYFGYKNG AASRRESLSMGTRDLRLSDMSDEGENRGARGHSPTGIRSDSGPLGVIRRAVTRRGSLL PKTKTFARIRAALMEEAAPIDSDAKREAEVIRQVRETEPDPLPHTSPVLTVFSSPNMF APPGMGEHHEPEKHTASLPDEPSFSDQANRNSGGQEFWNSFDERYRTPPPPLRQHATS SVSEDDIAMDMTPSTTFGSNSNDFAKPGERPASRASTPLPAQAGVISELRRKRRREDD FDPNLFKRRAVSPSMSAQSSPIMPNSPAVTDNGPNIWGPPKSNIGPLFNDRPETGART IPTTPHTGTLKRVGMQGMNEANDGFMNMSIE ANIA_11327 MSAETRLARRINRRYTSTSFWAICQVADIAKLENRSPLQNQLRT LN ANIA_02126 MINRVRMTQITQGFFAYGSPRCSVHNIAWSHKRHALYHRPRAVP RLSRYVELLVDTGVLLTPRFSSHCYTFGNNLLYFYARHLFLTSCLTQTHLTPMASTVE FASSFIEGAPPGELADVVSDIKTLTSDGDDIIPSLAPAFERYNESQLATVKLPGASQE VIVSEFNRLEGSRYFDVESQTSFEVDHITQSTSAAQSYVLESQNADLIKSLLKTLGAH AREHYPSSSYGVYPIEKDSAIAILLVANRYSPNNFWNGRYRSIYQFPVGDSTTITGKI HVDVHYYEDGNVALNTTKPLNISVPNASAESIISRIASAERNYQEELNKAFGQMAEGA FKSLRRQLPITRQKVEWEKVGGYRLGQDISGGKGR ANIA_02127 MLAMGVAEELRCEEVKTTQRRQPRKLTMSAGAIALPLRPMSVPP TIASLYAALALLLLVASRPRDVRYLLNKGYILNVLTDHAKFESTSNIESGQDTGGEGF SVYVGRFSSLAYATDQVDMSDLEHRVHQQKQALIIMTQDSNPRQ ANIA_02128 MGVDTSYLTTQVNNIVSQLHGIFDEIGVPSHERESREAELFSAL SETLNNHLKLVDDEKNAMTEEAHKLINAIQQMEESLVDEKANGQYSLDNDDLQVTYPL NRCVAFLREQHSAIGKLHRQRFEQVKKLVEALESYSSHLEPSFVTLELPPTAPGSSVA PSFDLSPTYVTALDNEFTRVYEEYHRRLELVTTTCEEIIKLWAELGTPQAQTDSSIVQ HYRESPEQLGLHESDLANLLARREKLLDEKRGRERKLKDLKHAVEGLWERFGVEECDR KAFLAANRGCGLRTINEFEEELSRLNELKRQNLHLFVEDARCRLQELWDGLYYSEEEM LDFTPAFSDVYSDALLEAHEAEIARLEALKEQRAPILNLIDKHRSLLAEREALAVSSQ DASRLMARGNKGERRDPGKLLREEKMRKRIAKELPKVETDLRKELENFEDEYGRPFLV QGERYLDELTKVAAKPPARSKTPGPSSTTKRNAPPARPASAMNRPGSSMRGPPPPRST TKTPTSQPTKYNTVGASRSGAKSPTKIPARVPLSNMPHGNNSADRRGPSTYSSSTVNG KLPSSRAPPPRMRALTTTDKEERGSYLFEPPRCASAMSNSFVRPVSPEDVYDDRQRSF MSSSIFSQRSTGFSQSSQSSASSVSLKSSLQGQFPRPNPYLQHAPPPPAPRQVSNASS ASAADTITTGSENWETFDDGSESDGDASEAYYARLRAAHGKRLAPEDQQPSISLAGKK AKGIRSVSPDEPIGRHMVRVAGSDNGWTDDMEAY ANIA_02129 MQAAQLSWELENAVTLIDPQRDSLYRYDEETHKYLSDTRPWTKD PHYFKSVRISAVALLKMVMHARSGGSLEVMGLMQGYILPNTFVVTDAFRLPVEGTETR VNAQDEANEYMVSYLQSCREAGRMENAVGWYHSHPGYGCWLSGIDVSTQDMQQMSGPF VAVVIDPERTISAGKVDIGAFRTFPKDYTPPKEEQEEDEYQTVPLNKAEDFGAHASHY YSLEVSLFKSALDTEILSLLWNKYWVATLSQSPLFTTRDYGSKQMLDLSQKTRRVARG IESNPPRGGAPTQVRDQQLERVVKDGQRIVSEEVKGLLAAEVKMQLFQGIGGKQTVES T ANIA_02130 MYGTRVLDPLAVDQGSAGMNKTKALVTAFEKFEYDYPEKPMANT LAPRSRSRGGSLSFSQRDVVRDHSPKRHIPPMKSPSPEPAPALFVRAMYDYDADDHTS LSFRQGDVIQVLNQLETGWWDGVINGNVRGWFPSNYCAVITDLRELEEHVSQVQVHDE ADISAESGAGEEVEEEHDDDADSPANARDSQPILPIEGMDPPSQQEEAAFWIPQATPD GRLFYFNTLTGYSTMELPFENPSANDTGPYDRNNFFVPDQTRPPPELMARGFERDEDD YDGSASEAEGESLMLASHDSMSRRRQSFIDGVSPATSLDSLHPPSATKSMNEGKSPLG RSPHRPYGSTGAGSNTSISEQLHRPSISSRVSQHFFDDHTSTPITWPLLVDNMSQAVE AYRQTLLSGDRAEFVRKAEDISDHLRMLLAAGSDTTDNHSGNPSIISANKPLYQHFRD MMSKFSKLVLSSHMAAADWPGADAVNKCLQEADGVMQGVYGYVEVARQQRGDYIRRIA PGFVMGSSSGGSWQNNGVSLNDSGPTSFLDQDGGDLRPEPTVPLEPNVLDHIDVLRRS FVGAIRRVEEQLTLNQKKIVTLAEHEELSELIAAAAVKVVEQFRPWVSAVESINLGPL GTSFQNPQLIDFSSQKQRVYDAIADFVVSCQAVSAPLGDEWAELRGDSLEDRLNAVRG VARQLENYVSQIGFSLSLLLEQVPETPTLRSESRIGGDSDGYMGLHSRSESRSTEVGV PSAHPLDGSPEKMRRNMDKAQRFFGQAPPAAITREPIREIAREPEETPWFLKMDHEGE VFYDTKNDVPTLKCGTLAGLVEHLTRHDKPDMSFNSTFLLTYRSFTTASELFEMIMQR FNIQPPFGLNADEMQMWVDRKQKPIRFRVVNILKTWFENYWMEPNDESHMQLLERAHS FTKDSIATTKTPGSTQLLAVIEQRLRGQDTTVKRLVPTQATPAPTPIIPKNMKKLKFL DIDCTEFARQLTIIESRLYSKIKPTECLNKTWQKKVGPDEPEPAANVKALILHSNQLT NWVAEMILNQSDVKKRVVVIKHFVNVADKCRALNNYSTLTSIISALGTAPIHRLSRTW AQVSGRTSAILEQMRRLMASTKNFGEYREALHLANPPCIPFFGVYLTDLTFIEDGIPS LTPSELINFNKRTKTAEVIRDIQQYQNSPYLLTPVTELQEYILSNLQGAGDVHDMYDR SLEVEPREREDEKIARYATGGRDMSALTFGTLLMSSR ANIA_11328 MVQGRVTLLKLKGNLDNTLSGFWHSRSARLPLPGASLPIQSDWY FYQCLYLSI ANIA_09538 MNDPCLKCRSTFVRRDLLLRHDRTVHAKDGGVPLVSEGRRRGGG VRKASSPAPSKPSVTIDPTTLEQIEASSDGMVDLETAAMLMTDFQHKAAAAATGQVHD RAESDRSFSPGRGSLLEPPVSYLSGNATLPQMPWDSLVSPTESKHHLSPFVSQDAASE SHGLMDRHVTDSMAPSLHSLVNSLPVSGNSTPNALSPYPSMTGPVSPVNYRRSPGPSQ ALTLPKAPQIANDLERNQIVERIRLADSLGVLPESFQLPTTAALNKYLTTYFNLYHHH LPFLHQESFKPTTASSPLLLAVLSIGALYTFERQHAFMLHVGSKMLVNQFLQHKDNFD SRKCPLWAMQSTLLNMIFESWSGDPKGLEWTCSIKSLLANMVAGNRYQLKLRTEAREG RQPTREEWIEDESCRRTYYAVYIFFGMLTLTFNHTPAMSFDEFDNLELPSSESMWNLD VNDDEAWRRNLASSTTMTVREAHDCLFQGDQTRYSAFATRVLINALFLQVWNHKRSFE ALQDVVTEYKLRLALETWENSLEVCEPETIVVPLSTPQNGHPLIFNSMAVYRNTRARL EVDLKSIQEALRYHSSYEVAAAMTVAREKVKRSQEMNKVIQSCFECIEIAAIQGINWV AKTSATNWSVEHPLCGLDLMVILSLWLYRLEHDEEPASEAEMAIYNKVRNLFDDDAVD SCGKLSSTVARVWGNILDGVVVWGITKLMGESFKLHSQALVGYEDSLRVAKDQPIHAV PTKSLASVGTAY ANIA_02132 MSSASAFASDSPCLRDIVETRLSETQAPPPTTESAPQRQSLNGQ FTNELESEGQGPNPERGHYVPGFEPEYELLPEQKPLSPTATTLYTLSYLIFFSIFGTL ARLGVQALTFYPGSPVVTGLLWANVGGSLLMGFFLEDRNIFREEWGKPSTNTNTNPNI STAEESKRHTSVKKTIPLYIGLTTGFCGSFTSFSSFIRDVFVALSNDLSSPDLSDTSP TSRNGGYSFMALVAVILITVSLSVSALIAGSHLALALSRLPISYTLPFRLTRRIIDPL FAILGLGSWLGAVFLAIFLPAGHKAWRGEALFAIVFAPLGTLLRFYVSLWLNARIPSF PLGTFAVNIVGTIILAACYDLQRVEVGASVLFILTEAEFVMYFYANVL ANIA_02133 MTLPSNIHISTHPLLQAKVSQLRSSSTTTRETRSLVNEIATILG VEAFATWKAAGTGKTDSTPLGIEYETKTIDPANIALVPILRSGLGMIDAINDLLPSPV PIYHLGLFRERFSLQPVEYYNNLPYNRPEQSSSSSGPSPSAVNTAAASVAILLDPIIA TGGTAEAAIQLLREWGVQRVVMLSVLGSEDGVKRAAECWPEGVEVWIGAVDERCNERG MIVPGLGDIGDRLFVAIGK ANIA_02134 MKPSTLLFCLAPTAALVAADPVPHAVAPSPSLQNGTPSRPLSDV QTTPEDVPHIQSETQQMLHLQVADTQAKPDTIAIPLLRRTALSLRTRRSSTELTKRSE GTLGQTPWIGMAIGLTCTTMAAVMLGPCIEGLLTVLLYEHMKYTKPDIQLILKPVRGI LSMQFLAAYRARLLRPQPIFHQSADPAYQVLDLSIYKVWRGSEYLRKQGAETRSYAVA KSTSKLTILSSRLTSSSIRQAPSSFRSASSAEADKESLQRSCDRMSTSTNTIINAHNN IPPEVPSSSVPIARELGILFGFLLASLVIMGVYAVIWRGIERAEEEKDRVRRERLVAQ GVHHGRGGIHEKMLNQDVLMGRPEGLRSDGVHRTGDGDGRARRNGAGGIGGARTRSMS NQLAI ANIA_02135 MPPKAASRRGASSAPARNTDGSGSEASATPNTSASPAPASTTPT PGTTARAPVQRLQSLKKRTPTGSIGPGPGPSSIGHGTGEPSKPTLKYKPRAVGRRSKE EREAIEKLEAERHAERLAEAAAIQRGKASLAARGRAGFSGRGRGGPMGMAGAAGPLGS GFAGRRGRGGSRFGGGDSRATSMSRRSKSMTAALGGGSASSDESDGEIRVSIDRINLE SDADDDDDDALLEKKKRQRKGKIALGSVREAGLRPIRVERHEHEERVVSVNMESSTAK SAELRQQAKEKAKDDALFVQDDDETPTEEPQVKQEPTDNDQAMTDVPHAEEPVTTDDG LLPAPKVKVRRKLGSREPSVPVVKDPKSLLRTKEDIEEYDRHAEDLELIKDLFTKEPP ATPAEATEGVEPAEGAETTADDTEKEKKGDAEDGEEPQDDKLTGQLFLMQFPPITPNL IEPNADGTLPDSEATMIPDPAASQGVGQTGVSNEGQRETPVFKREDDVEVLDGQDETI TTATQSKVVTAADWQLKAGQAGKLNVHASGRVTMDWGGISFELDRATAVDFLQEALIV STPPNVTEEMPDDEHKVWAMGQLSGKFTVTPDWSKIL ANIA_02136 MQAVQNEQLVSPGESPTYTDHRPLQEPSPTKSPTPKNVAFELLL DDNSKARARIPMRVQIYPHDTTDSIVTTVKNFYGIYDGAAGVSFEDVNGITLIARYEN LRNNMTVYVRVMPVPVYEEGYGDRYYGPMSMDNRKRPSLGEPFQMASAMQPIQHPEHG QSPSRPASRVARKRSVSPSGKSRRSASQHKQQSRPGLKSRGSSTHGSIYDDAGYSDSD GGYGSVSGAKKSRSEHFASSEISMENILQDGRRKRPKFESSELPLFVPPQVPLTTSTS SISPQRRSIGQEGAGSPFARPMHRSNNYYQQPLPSPQSYGHNDHIYGGNTSRNGIYTT PMVPEHGHRLRDRATVHSSGQYPNSTGRNGGPGILPTPDPTIASCISDEDVALQLIRL GDASNFSHGRTSASTLDDAFSGAADAASSTGATSDGEGYSEDDDDLPARPRQRLDSSP MLPPGTAKHTHKRLDDILPSFDSSDASYDSHDNEYHQEDYEGGMVKNEVDDDSSHESM SKPKKAKSRNTSTTSSKPRAGKTPQIRSGKSANKPASNNGARKGAKSTPSAPGHKVGP LQTANAAAPRKASTSSVNGQYPLAADEEDLSTKPRCQRCRKSKKGCDRQRPCGRCKDA GIGIEGCISEDEGNGRKGRYGRHMGVPVKKPLDTVPVANETQSSIPAVPMAASTVDKN KKRKR ANIA_02137 MDAKPQRIRVRGDENAPFPLAATKTLHQRTKSTTALSATFQNGA SKNGARRAAFGDVSNTASLVHGNRDDASLAGRKPSKLLEKGSMATEKKSTALSQPAQR PVSMSGFKGLLNNVTNPKPLEVTKQAAGQQQSTNSRKTLNKRATVFKDHLEPLTESKE LTSKESKPEPKEGNMKGHSKPSLGEHLQKDGKVEGTVSLNEKLESEMCKLEVLLSQPG AEEDEKEFPDLEDDDCKVQPMPKQTGEAHIAADSNGPAISSRVTCKSTTVSRASQDDL PHQSEPEEYWDDDDEENEEDDYITARSYRSRSENTTGGATTLLFPRYNQQVKRELALA KQIVEATRTVEDIEDDYCDTSMVAEYSEEIFEYIREQEIKMLPNAHYMDNQAEIQWSM RSVLMDWLVQVHHRFSLLPETLFLCVNYIDRFLSCKIVSLGKLQLVGATAIFIAAKYE EINCPSVQEIVYMVDGGYTVDEILKAERFMLSMLQFELGFPGPMSFLRRISKADDYDL ETRTLAKYFLEVTIMDERFVGSPASFLAAGAHCLARLMLKKGTWSPAHVHYAGYTYSQ LYPLVSLIVECCEMPRKHHSAIYDKYNDRRFKLASAYVEAEMRKNFRLPEPAIDRKTT LGQGH ANIA_02138 MPPKKKSDARGGVPKPGTKQAKAAAERTAESAKKAQQPADEQKK PTVKQVIGGASWTGKLPVNLMSEHCQKHKWEKPEYTMDKVDGGFVSSVILKRIDSKTR ETITLPRIRLPASFKQPTALEARHYAATFALFHVCNKTNLHMMLPPNFKKLWKSDFEE LKAAEFKKGDGWLYEADPFLAKKERELAATEVEKKRKEREASQAKSSDGAVNPGLGPG PRGQTKGKNVWTNTPKVDLGNRVRREIEALVQEHTIWNPYDVKIPESERTSVIEEFTK LGFRRSHVEEASAACKDREEVLEWLLIYVPEDDLPSWCLPERYSVGITLVSDDLARES KLKRLASAGYPTDICARTLDSKQGDELAAAEALQRTLVHGASSSNSVLSESEDGWAEE QETLEAIFGERYSSKSPEVCEIEYWLETHLPKIIEDPGKLRDISALSAPPVASSESTF ELPSRQRNRNVKKVDWSPGSSKSLAIKEAWEAKQTTKAQQAMLRARESLPAWNTQDAI IRAVNTHQVTIISGETGSGKSTQSVQFVLDDMIKRGLGGVANIICTQPRRISALGLAD RVSDERCSSVGDEVGYIIRGESKSKPGTTKITFVTTGVLLRRIQSSSDSGNIASSLAD VTHVVVDEVHERSLDTDFLLALLKDILRHRKDIKVILMSATLDADIFTQYFGGRQSVG LVHIPGRTFPVEDYYLDDVIRETGFAPELAERGLEEDTAPSSASDESFGKILRSVGMG INYELIASTVRYIDSKLGDQPGGILIFLPGTMEIDKCLNAVKKIPNAHPLPLHASLLP AEQRRVFQSPPNGKRKVIAATNVAETSITIEDIVAVIDTGRVKETSYDPRDNMVRLQE VWASQAACKQRRGRAGRVRAGTCYKLYTRKAESSMPQRPDPEIRRVPLEQLCLSVRSM KGIDDVATFLANTITPPETIAVEGALNFLHRVGALDHDRLTALGRYLSIIPADLRCGK LMVYGSIFNCIDAAITIAAILTVKSPFVSPRERREEANAARAAFSKGDGDLLTDLSAY QTWSDLVKTLGYWATQSWCTTNFLSHQTLRDISSNKAQFISSLKDAAIVPVDYSESSP SFSRLNSNASNRSLLRALVAGAFQPQIARIAFPDKKFTTSITGTVEVDPDARTIKYFN QENGRVFIHPSSLLFSAQSFPNAAAYLSYFTKMETSKVFIRDLTPFNAYSLLLFCGSI DLDTTGRGLIVDGWLRLRGWARIGVLVSRLRTMVDEIIATRIDNPGAFLESLSSISSS ASRKDRIANHVIDVVKKLIEFNGLDQ ANIA_02139 MKQPKHSNGSSSKDIPKKATGGFHARDGLGVYIANPELYPPSTV VYYNDEFVVIYDMFPKSTLHLLLLPRDPEKTLVHPIEAFDDTEFLEKVKHEVKKVRTL AAGELRRKYGKYSARDQERRKALDAEPPVDSLPAGRDWEQDIMCGIHAHPSMNHLHVH IISVDRYSDRLKHRKHYNSFSTPFFIDIDDFPLARDDPRRDPDRQGYLRRDFKCWRCG RDFGNQFTALKKHLEEEFDEWKRFITAHRFANLIGT ANIA_02140 MARFAFLSLALFSVQALIGGALAADTADKAEETFEAPTLAVTAQ AAFPASEIFGVKLVNGHPTQALVTFTNNEKSAVTVNFIGGTLSTLGEESKLVRNLTAT RYGVEIPAGAQESLSYSFATEMHPQDLRLSLASVVSDTEGRFFTVYAYNGTVSVVEPE TSIFDPQIIFLYFFLLACFGGVVYFFYTVWIAPYFPQKRKSAKQETSRKNVASKKTEA SVDSPAVSSATTYNAEWIPAHHINRPEARKVKGTSRSKSRA ANIA_02141 MQIKIWNGKVLGVAPQDRIIPWAVFRPDRDPTAHLPALTGPSPF EPTYQEKTYAATLLEASPGAFRSVTVTRPSLAQVSASRPASTAKKFSLLQDIQDGQFV DLIGEIIKIYGNDSEKATLYLTDYTKNENLFLYASDDDDDSGHGREGDPYGYIQRQKK NWNGPVGRMSIQITLWEPHASFVRGNFNIGDIVRLKNVKIKWSRVEQGSLEAVVHGNR ANPGETNAFPVDSNNDPRVQQLLARREAYWNARPKKQKRKPNEDNERPSKKPNKKQSK VAPKKESGQTILDIKKRMAVNEHVEPRVPPSGVHAQSLEAILNNPAHDNTSTSGIRYR LPFQNLCYLTTVRVVDFYPPLLEDFAVHKEQVSLAYNRKRDPASRTFRIWEWRFCLLV EGSFPATVGQSNERAKLFVSNYEAEHLLQLNAVE ANIA_02142 MAERYIPEHRRTQYKARNQFRPDELRRRREEQQVEIRKQKREEN LAKRRGIQTRDGGIGVGGGMAAAESDDEASAIESELNVELPEMVKGVFSDQIEAQIQA TTKFRKLLSKERNPPIERVIETGVVSRFVEFLRSPHTLVQFEAAWALTNIASGSAQQT QVVIEAGAVPIFVELLSSPEPDVREQAVWALGNIAGDSPQCRDFVLNAGALRPLLTLI NDGRKISMLRNATWTLSNFCRGKTPQPDWNTIAPALPVLAKLIYMLDDEVLIDACWAI SYLSDGPNEKIQAVIEAGIPRRLVELLMHASTSVQTPALRSVGNIVTGDDVQTQVIIN CGALPALLSLLSSTKDGIRKEACWTISNITAGNSSQIQSVIDAGIIPPLVHLLANGDF KTRKEACWAISNATSGGLQKPDQIRYLVTQGCIKPLCDLLACPDNKIIQVALDGLENI LKVGEMDKEAGQGDAHVNRYALFIEEAGGMEKIHDCQNNANEEIYMKAYNIIEKYFSD EDEAAGDIDELAPQQTQTGFTLGATQQQPGGFSFGGANGGDSMDM ANIA_02143 MEPELRFSSPQPGLTQDTASPSKDRRKSGRATRRPELFSQTYSD ANGATAGGAKRKRTTTGDENEDEVEDVSELESEEVDEDDEPDEEELREKRRAARKASA KKSSSGTKSKPKTQGSRSAKKPKVTENGIGNQLPLRPAANGKMLAARPKKMQLHSIAE VFGKGRNPETVAAEWLTQYQEAQTTAMRDLVNLVLRASGTEIQITTEDIEDVDHISRR VTDVQEEYAAERISDYPLISKSRKYRFFQPILENFFVALMQTLHHSSVLYDDKVLYEN LQSWLSSLSSSTCRPLRHTATAVALSNMNALCVIAREVVTSVSTSRKQLESEKKKKTV NKGRVDAIKSSIQEGEAKLELIDDLLKDGVNIIFVNRYRDVDPKIRALSIAALGSWMR TYREYFFEGQFLRYCGWIISDSDVHTRSVALQQLLDIYSNKDNIAGVRSFTERFRQRF VEIATHDSDVRMRTMAIELLDLIRDAGLIEPADVDTVGRLIFDSEARVRKAAGPFFVA NVQDVFDSTVEELADEIGEVFGDDEDEEDFESPKRSWIKFRCLADIIQAYDTPEDESA PEPSIKARDAISGVSMDSRFVLATEAVYPHFDELSNWQTLAGYLLFDHSQIPDDPSDD DTTGTVKKLYKMQEGQEIILLEVLCCAVKLRILDVAKSDIDKRGRKVKALTDRIPEQQ EEIAHSLAQIIPRLLSKFGSTPEAASSVLRLEHFVDLDKIQDLQKDATAYTSLLNDIN KQFLTHSDQDVLAEASVAFLHAKSSDDMREALESKVQELWDDMVDTLGRLSQKTDVTN DNPLPSSVLNELNNTVTRISNLSSVMDCSQILETPLSNRSKGRNKKEPEAPFNTLLHL VQRGPAVETPAETDDAEDATMDAEVVINSIRTLLLYFMWKVQNLRSALEGGKAKFSTA YFEALTKSRQMFVESLVTIVKGRSGLDDVRFAATTTLLDLQTLFGTLRNVGLGVGNDE DTIFQAQSLIHEFDDDVQGLIMKVHGIALRTYAKKARVPFEPAEDDAPASDSELEREP VDDEEEEEQALREERLAKKIFAEQRLCELTGKLVLAIIGRIIDTSGQLKKKLLRHKTQ LGPNYREVLVYLEDRPAKRAASRPAQSKGKQSTAQDGTKSQSATVSSKGPTQTKSDER IGEESDEEERRDSDEEMEEDNIDVDKDNDEDGENQAATPEPDENEIMGD ANIA_02144 MPVKSPFESSIAKPGQGKVVLSLLPPANPSLSTLTYKYPLKLLT RTGGFVPQSTLPSAFQPVHLYLLTYGGGLLPGDQIDVSITLEPRTRLVVTTPQGSTKI FKTEASPERTLSDQSRQTLEVRIGQEAALCYLPDPSVPFKDSRYEQVQTFIVDSTAKG SSRSSLCVLDWVTQGRTSRGENWDFHLWKGKNEIWLHDDPISSPSPSSQNSEEKEKKK LLLRDSLILDDETSTSTPEATNNPPKTLIRERTSPHGVIGTLILYGPVFEKLSSFFVD KFTSLPRIGARNWSSSTPAAVEVPQNFDSQVTFTAARVRAGCVLVKFGAADFETAKDW LGGILKEEGSIAREFGEDALFCL ANIA_02145 MSSSSSPASPARYSQPCFAPLLPAPPSSTHQDIKESISNKIADN KTATVGCREVPESAYGSNSEEAREKHQQRGRPGSLWAHGIAAVSPRFAASGKDKPLES SLPLLPLHTKHSEHRPRWLRTPTRSCCLLYSVVAFLTMLGIFQFLSLACGIVISFFPD EIDRVADHWRQPQSADPSDITRWPTDISRDVFPVSCHSHNDYWRRVPLYSALEAGCTG VEADVWLFDDDLFVGHTRSALTPSRTLRNMYIDPLLSILRRQNPITHFHPDIDQPRNG VFDTDPSQTLILLIDFKTEGEETWDYVYAQLTPLRESGYLTYYNGSDVINGPITVVGT GNAPFNKIAASTTYRDIFFDAPLDQVVENVDRIETQERLEERADNAGVGLLGDSNGDI EPDIFNTTNSYYASVSFKKAVGIPWMLRLTDRQVQTIRSQIRAAHRQGLKVRYWGTPS WPRSLRNHVWRVLAREGVDLLNVDDLVSATKKEWTPSIFDWWQ ANIA_02146 MPHKHKRRHNDERSNNSAYDLPPTLIAKSLPARDPSKPTGKGKG KEKGKPNANQKSQSKDGKVSARKQKAAIAATSRGKSALDDDTPRAFRQLLQFQERRQI QNNKKRRRDENSSDDSDNDSDKGTAPVPGKPKNKKKTKQSTTTSTEPEELERKTASKN APKILPGEKLSDFAARVDREMPLSDMKRSNQPATLKDLPKIRETRTTKHEKRLKRLQA QWREDEARIKEREAAEREEREEEMEEQLQLWKEWEAEAGKKKKKKGAAADDDGPDPWA KLKKKRAAVNPFEVAQEPPQLKKPREVFKVRGGAKVDVANVPSSVGSLRRREELASER RNIVEEYRRLMAEKRGA ANIA_02147 MAPIKRKGNTAEESSTRNPQKRVRVGTEEHKTDGKSSTAGSAPK ASELTVLRDDEPSFPRGGGSVLTPLERKQIHIKATKDVLFEQKSGTKKPSKDEDEAFE DDTDMEDADEDASTPAKKSQKRKGKGKKDAKQDKREKKGVRIEGLSFKRLVPGALILG QVSSINAHNIGISLPNNLTGYVPLTSVSKTLESKIEKMLEEDEDSDEEEFDLHDYFYL GQYLRTYVVSVGNKSADASSKSKKRIELSIDPRSANTGLQKTDLVVNAAVQASVVSVE DHGLVMDLGIEGTDVRGFMSSKEIDPRTDYSTIKEGSVFLCMVTGQNANGNVIKLSAN LQSSGSIKKSHFLSVAPTINSFVPGVAAEILLTDVSSTGMIGKIMGMLDTTVDLVHSG GATGKTDLTKKYHNGAKIKGRISCTFPGSEPYKIGFSMLDHVQKFSAEGHGPNSSDDA PAISAVIPEATIVNVDPGLGVYVKIGSTKHMGFVHVSRLADGQVETISSDHGPFKVGT THEARVVGYSAIDNLYSLSFERKVINQPFLRLEDVTLGAVVKVTITKVLVGESGVTGL IVSLTDGITGFIPSVHFADTRLQFPEKKFREGLSITARVLSVNLEKREVRLTLKKSLL NTEAAVWKDYRDILPGAQSPGTIINILPNGAVVQFYGNVRGWLSVSEMSEAYIKDPSQ HFKLGQVVNVHALNVDASQGKLSVSCRDQSLLADSYRTAFKTIQPGQSVTGTVFEKSD DYVLLRLEDLGGLVARLGVGQVADGSASKRSSTLSKIRVGQKLNELVVLDVHRAHRLI HVTNRASLKKATKEGNMPSTFEDLREGAEVTGFIRNITPTGLFVQFLGGLIGLVPRRL VGSEDSNKSEFGKANFQVVSATVHSLDTDFRRFILSMDPAEATHAGPKKESTKKSAKE TAAAGDESLANPVDETLKAKSDITVGRVLKCKINSVKGTQINVKLADNVQGRIDVSEV FDSWEDISDKKQPLKHFRPGQVITAKVLGLHDARSYTYLPISHRTGKFNVYELSMKPK FVKADNATPLTIDQVQAGSSSFGFVNNVSNDFFWVSLSPNVRGRLRFIDASDDISLLA DIEKQYPIGCALKFRVTGVDAEKGHIDLSARERSESLTFDDLSVGMVLPTRVTRVTDK QVIMQLNNTLVGAVDLINMADDFSKADPHAYHKNEVVRSCIIGVDKANRKIHLSLRPS KVLSSSLPVQDPEITSMEQVKVNDIIRGFVRQVSDGGLFVTVGHNIVAFIRISDLSDS YLKEWKDSFQVGQLVKGRVTLVDPENKKLQMSLKDSVLDPSYKAPITLYDLKPGQIVT GKVRKVEQFGAFIVIDGSSNVSGLCHRTEMADTRVEDARTLYEEGDAVKAKVLKVDRD QGKISFGLKASYFNDDAEDESSGNEDSDSDGVSLDSFGGVELEESGESDEDDDSDVSM GGMDLEDESGSEESEEEEEGDAVVDEPTNKIRKGGLGAVGFDWNGNTQDDEDEAMQSD SDDEDKGIQKKRKHRQPEILVDRTGELDANGPQSVADYERLLLGEPNSSLLWLKYMAF QLELGEVEKAREIAERALRTITIGQDTEKLNIWVAMLNLENTYGDDDTLEEVFKRACQ YNDTQEIYERLTSIYIQSGKNEKADELFKTALKKKVFPNSPKFFINYATFLYDTMAAP DRGRGLLSRALQSLPKNTHVETTSKFAQLEFRSPNGDVERGRTVFEGLLSSFPKRVDL WNVLLDLEIKNGDVEQARFFFKKWLDFEEKKGDEKTVEEIKAKAAEYVKSLQQE ANIA_02148 MTRSHDLPEVSRSEPTFLSPSQEIPSSPPSIASDVGLRRKPKKP PPVTPRSFRRFFTPRSLQDSSLGNAVRTSRQALMALSSPAVNRLGPAFTRTLKAAMSI PEPTDHFQTPSKKRKLSVSSVVSPLQSSPTRRIRVRGLLDDDEEVEVPVKDIHVGAGI RVNEAKPAPPIKPSTKVSPVRRSKALQTSGALFMRSVMGTRASRVTMRANSGTGWQDL TSTFYSRADDAHTCTSSSGDRLALPFCTAACNTNTLVAIGDEEGGIRLLDSAKNDKIG FSKSYLTFRPHANAIMDLDFSSDDMLLATASGDQTAIVIDMATQKPVYCLSNHVSSLK QVKFQPAANDKVLATCSRDGNVNIWDLRCKGFERPSLQVQCSLESESEFEPAISSKMT YPQVLNTVHGAHAWMPQIMTPEKTEPQVARSDITVTSLAFLPPGRENLFVTASEANAC VRLWDLRTAHSNRRGRPALPLSTTREPDSHIKYRRFGVTSIALGGDGSRLYSLCRDGT VYAYSTSHLVLGHAPELSLHNDRPRRSGGSDKDGLGPLYGFRHPRLRVSSFYVKIGVR KASGDKPEMLAVGSGEPCAVIFPTDERFLDPSTQRLATQPDQSPSIPSTPLFSTRSGL RRTNSNIGLSQRLEDTIPIYHTGTPLIEGHKKEVSGVTWTVDGELVTVSDDYSARCWR EGPDARDLRVGGETEGRRWRCGWADTKDSYEDEDE ANIA_02149 MAGIFEAPRNADTLFLGGQKITGADVRDQCVLATQAIANVVKSS FGPSGLDKMMVDEIGDVTVTNDGATILSLLDIEHPAGKILVDLAQQQDREVGDGTTSV VLIAAELLRRGNELMKNRIHPTTIINGYRLALREAVKYMNENIATKVDHLGKDSLVNI AKTSMSSKIIGADADFFANLCVDAMLLVKTTNQKNEVKYPVKAVNLLKAHGKSGTESV LVNGYALNCTVASQAMKTRITDAKIACLDMNLQKERMKLGVQITVDDPDQLEKIRERE SGIVIERVEKILKSGANVILTTKGIDDMVLKLFVEKGAMAVRRCKKEDLRRIAKATGA TLVSTLSDLNGDEKFEASYLGHADEVVQERISDDECILVKGTKVHTSASIILRGPNDF SLDEMERSVHDSLCAVKRTLESGSIVPGGGAVETALHMYLEEFAVTVGSREQLAIGEF AQSLLIVPKTLAVNAAKDSSELVAQLRKRHALSQRVQEGEANEKEKAVAKKKEYRNYG LDLTKGRVHDCLKAGVLEPSMGKLKQLKSAVEACIAIMRIDTMIKLDPERKEDDGHGH DH ANIA_02150 MASQAPADNASVPQELPDRTQNPAGEAPQAEVSKNAAKKAAKQA EMAAKKAEKAAKAGKSEKGQKPAGKGPKANPDKALIGIDVGKDVDFPGWYQQVLTKGD MLDYYDVSGCYILKPASYSIWEEIQQWFNQRIKKIGVKNCSFPLFVSEDVLQREKDHI EGFAAEVAWVTHAGSTPLEKKIAIRPTSETVMYPYYAKWIRSHRDLPLKLNQWNSVVR WEFKHPQPFLRTREFLWQEGHTAHLTKEAAHEEVMYILDLYAQIYEELLAVPVVKGQK TEKEKFAGGLYTTTVEGYIPATGRGIQGGTSHGLGQNFSKMFNITVEDPSAKGDEKKP PLHVWQNSWGLSTRTLGVMVMIHSDDNGLVLPPRVAENQVVVVPVGITAKLTEEDRAK LYAEVDKITETLTAAGVRAISDKREGYSPGWKFNEWELRGVPLRIEFGPGESAGNFVS TARRDIPGKDGKGTIPIPELSTAVPALLDTIHKDMFKRADDQYRTHRKLITNWDDFTP ALNDKNICIIPHCLTEECEDQIKEMSARKAEEDSGVAQDSRAPSMGAKSLCIPFDQPE GIVPGETKCTNPKCTRFAEKWCMFGRSY ANIA_02151 MVVLRRLFHAEKTPPTPVPGDRESQTQSFRGRPPSSMTSALSEN EHFQNIERQFEVLHDQLKERPLSPASQAPPSRPSSRLTNRNPRHVDLLDALFSSHRYR MQSASTLSPITPFNEDVAERNMVPFLRQRNGLKRRSYTRIISALYQEDVADRNMAQNA LFPAARRLTKSQSYQMDDRGQRDGARGRARSRSRESQLVNSISQEALYSVPKSVRDQV AAHSNNSDTSGEGLRTQRSAPALSEQTPTSEDGISQKLGVLPAHKQGESWTNRPLPDS PTLPITPVNRGQQESSTSPRLPGNGRPPMVPRSGSKKNILDLSINTELAARGRPKKIA HKAIQPPTPNNHDATKNPSIAEIMNSPLPVATPTPSPLPSSNQKVAEIMEMFRKAYTS TQALTPHPTFETLQDAIIREINSHEAFQRVPVPEQGAPFTPSPSQDSFESATPPKPIA LKEGQRRRRKGSFIKPRRNSEARKSISTSDPSNASRRDLNVPSRRRHTDAPPPSPGFF ETLIPQHPNSPEEPVTYMDLLLHSKNSTETNGKGISTLSTSGANHSSFNTKPSVLCMR AQTSPTSDSPTGFHDSDSDSDIIHLPSVSSIPQVKVHGVENDVADTTESTTSRKAYRL VNWPKRQAAVQVRAVRSGRIVQRVGSR ANIA_02152 MPANSLPDPALVLLYLCITNSDMTKEIKKSLMARLQIDFEAVGA AVGLKASAARMRWQRLKNKIESGFPDGKVTMSAGAGDANADADLNEDQAQQDGKVAGD AGPVTPSPSKKRKVGAAKDKETHKRTTPKSTPKGKGKGKGKVAQAECDVVEAGGEGFF VHVKSEDMDDQY ANIA_02153 MTDRRRINGPPGGTRPPIFASSIKPTATATAAERPQRQRQPNEL RKIFLKTGLIPSASGSSYLEFEPSASLSAARASPKFITPPSSSLKLACTVHGPKPLPR SATFSPNLVLTTHVKYAPFAARKRKGHIRDASERDLGVHLETALRGVIVAERWPKSGL DITITILEAEDDRWWGDAPDSHDAAWGMMNVLAGCITAASAAIADARIDCLDLVAGGV AAVVVDKLADGNGNSCARLMLDTDPAEHQSILSACVVAYMPGRDEITELWLKGDNSKA AVGTTDQNLSHDALIDGAVVAARGAHSVLAEAVRESAMRFAGQSSGSS ANIA_02155 MAPSFTETTSTYLEAPSKAPPNLVAPEPEHCPGPESDQAGQGDA CAGCPNQSICASAPKGPDPDIPLITARLASVRHKILVLSGKGGVGKSTFSSLLAHGFA SNPDSTVGIMDTDICGPSIPKMMGVESETIHISNAGWSPVWVSDNLGVMSVQFMLPNR DDAVIWRGPKKNGLIKQFLKDVDWGEMDYLIVDTPPGTSDEHLSVNSLLKESGVDGAV VVTTPQEVSLLDVRKEIDFCRKAGIRILGLVENMSGFVCPSCDHESKIFRATTGGGKR LAKKMGIPFLGAVPLDPRVGMACDYGESFVENFPDSPASLAIKQVVRAVGRFVGEDPD SVLPDAE ANIA_02156 MKKCTWLLLDPAQERQCYIPTSYRRTLRNNVGSHRKAPQYDHMS TMGPPQTALLASIGKVDSEAFQFGEATSQTSFRKTVRLSMLGVHIGRAGLPRGITHAF ICKQLASTTHYAQISDGLNSYSILIENLTMQAPFPTNELSGIEIHIPILYNILRHVER RLKC ANIA_02157 MRIIPSALIALGFGYGVVAAPTLKQAERRSFKVETVRRSNTIYG PAALRKAYVKYGIVAADIGLDLDDFEPSVKVAAAADTDAEVAEPDLTGAVSAKSVEGD ASFVSPITIGGQELTITFDTGSSDVWVVNTLLPKDSIEGQTAFDPSKSTTYKKMTGST FNITYGDDSYAYGPVGTDTINVGGVTVTGQAIGLPTAVASSILEDTHSNGLVGLGFSS LNTVKPTQQKSFFETIAGVLDEPVLSASLVSDGVGEYEFGTVDKSKYKGNLVNATVDS SGGFWKFESSYYRVGDGTLKKHDDATWTIADTGTSLMLLEQTVVDEYYAQVRGAQYAT SASGYIYPCSAELPNLSIAIGPQHLATVPGNLINFSEVGTNTTTGEAVCYGGVQSNSG LDMQILGDAFLKAFYVVFDLRGPSIGVASPV ANIA_02158 MRAVRFHGRRDIRVDQIDEPSCAEGQVKIRPAFVGICGSDLHEY LSGPIAIPTTPHPLTGAQLPVTLGHEFSGTIEEVGQGVTGFKVGDRVAVRPNLSDGTC ASCVYGRPNCCRSLGFIGFSSNSGGLSDYVTVPAKHAILLPESVPLDLGALVEPLTVA WHAVARSPHETARTALVVGGGPIGLAVVQVLKARGVQTVVVAEVSTQRREYALTLGAT HVFNPLTDDVVARVRSLTDNAGADISFECSGVQAGFDTAMNGIRVRGTTTIVSLWEKK PMIDAFDVVSYEKHVIGAAICDDGDFEAVIGAIKDGKLNPRPMITSKIRMEDVEEKGF KALVDEKDKHVKILIDIAA ANIA_10269 MGTPLVGTAIITGGNGSLGSEVALAIARTQPYVHLLLLARDIRS ESAKKVREQIRLIGPRSLEFVKVDLASFNSVVSFTEITVERVKSKEIPPVTLLINCAA MSSYTIDQVTRDGHDPVYQTNAIAPFLLTVSLLEAFRAGDGTPNGGARVINIGCSSMS KGTLDYFENPDPELSVCPGTPLSAKEATKRFGSSKLIMSAAMYALRRSLVLTGNISLN VYTLDPGVMTGESHLVNAAPLSVKMAHQTRSGLRPFLRVFSKTAMNKASVPAKVIAKV AFQPDTVENWGRERYYILDSEYEAGSVIPAMRDPKEMDALLKKMLQQVEVGVKGMGSP SSRVSRLSC ANIA_10265 MNRHAALANGYTAYPRGGQGTFSISPHKFQPRSQPALRRRKQLI QRICLIGGIAFFLLILIFPSWRASILPALSLGLLSSPDHLQIQTVRYYDLAQVKGSAT GWENGDRVLMCTPLRDAASHLPMFFSHLRNLTYPHHLIDLAFLVSDSKDQTNEMLEHM LEELQRDPDRDMPFGEISVIRKDFGQQVNQDVESRHGFAAQAGRRKLMAQARNWLLSA TLRPTHSWVYWRDADVLTAPSTILEDLMRHDKDVIVPNVWRPLPDWLGGEQPYDLNSW QESETALALAETLDEDAVIVEGYAEYATWRPHLAYLRDPYGDPDMEMELDGVGGVSIL AKAKVFRAGVHFPAFSFERHAETEGFGKMARRMGFSVVGLPHYTIWHLYEPSVDDLKH MEEMEKERRAREEEEKAQAERAQRTETYFRDPKVESEIDNAFVRDSVEEEEEKAKDST LEKDEFKAAEKDSPATGKYMLEKGHDEDKSAAQKQGAKGNVRIDEETEN ANIA_02160 MSTQSMPSILFTHQTPQQGFRLLELPPELAELLSSDDPPTLELK SPALSTTNTSNATASEYVNLCTATQTYSIRQVQSSNSLHILRPSNGVTVRKNDLKIVT GNGNGAGDGNGENVDMDADTDRGSDRDRDVNLNIEGTVTSIAKCGSTLELHKPAEGFS AVPFLKKRVRVFDRGDWEDMNGGESTDENVTSSEATKARNEILDRIFADVPVSRAQCE RDWVEMCAFILLSPTATEEKIPAHSCWRPSAAMRLDVWKRVVEGAVLQGIDLEKQFIV SDLWKSVLDDDGVAPFPRPLFDAVALRIFMTGWLSDTGMSIKKEACVRWVGETYLEAT ATSNAFAIAESEFLNAWKDQLPESWRENVSIAVLPETCYMHPEPKTICFATEADRQKA KRNLPSDTSAATAAKKTRNWHELFKNQKRQKR ANIA_02161 MIAAYADTELPYGDSPELTLVRATPKERIESIKLNSTEWKGPLD LESYLAREDTLLQQRLTKGALICWILVDRREPENARTILSSCETYKKRALVARGGRVE EVSAQGVGSVYCRPEFRGKGYAKRMLEELSNKMDAWQPEGEHGERPLFSILFSDIGKR FYAQFGWKPHPSSHFALPPISKEEYSVPSTTAKVRVLRADDVQRCMCSPSILEKESEV LLAVSLRSTGPKVAIVPDFDHYLWHWAREEFYSQKLFPGRDIPTAKGAGDDAAKVYCA WNRTFGNTPEENTLYILRWVYNEPSSEEETETTIKAMAAILRRAQLEAQEWNMAHVKF WNPTPLLERAVALLDPTAEIIHREKDSIAALRWSGNPEENVEWLLNEKYAWC ANIA_02162 MDQAIYISSSSEDGFNDDPPLFDEGDNFQEQLPDEERFAAYFDR ETPEELFPDRFPKRQRIHGPGDVALDQMLSSPLAFRGPDSPQSSMAAAADGANTLFLQ ILEIFPGISHTYVNDLIAQKTVAFRLGADLKARGFQLAILRDSIYEEILGQKSYPKQD SENGKRKREESEEADISWERTLQNATNSPEYFEAASAFLGPEFPWVPMSHIKKVLIDK GRLYHAFVALYSDDNLLEQRKYQYVRLKSQRSTNSPKKYTPLRDTLIREINAARKHVE ELQITLRKKKEEEEAEKANEEEHIRTGSLIECHCCYADVPSNRCIPCDGDDLHFFCFT CIRRSADNQIGMMKYILQCFDVSGCQASFNRQQLREILGPVVMDKLDSLQQEDEIRKA GLEGLEDCPFCSYKAVLPPVEEDREFRCENSQCKVVSCRLCKEKSHIPQTCEEYRKDK GLSERHQVEEAMSNALIRKCPKCRLKIIKEYGCNKMQCTKCHTLMCYVCQKDITKEGY AHFGRGGCPQDDIHTQDRDDREIQRAERAAIDKILAENPDISEEQIRVGHEKTNAQTR GVRRDPRLQPAIQMRDAMRVMRADMGGFYPQQHQHANTAAQRQLPVYPPPAYNVPYPM DYGTMFNPPFPGFNVLQRGLQPGNLPAQPAVMQPMVVGLANPPANFHPQDIQNITAFP PQQSLPRNQNAAYRGVGFGPF ANIA_02163 MPIASESTTTTTTMTTFSAPVTAPRTPHNQAALAASFTNFLTVS VHQILWLRSVYPRATFLPVRAYNYPVRQSRHPKVCDYINDASIAVGTEILKGTITAVS IILSSARTNQPLERYAFDLSGFPRVPPGEVHTTFEDRMEDSSKSAPHNSQVLDLEAQF RACLARLASACARLTPLPRDDEFSFTVCIEVREDALPPAGTTTEEQTWVVAEPGKVHL RSCSAPYSVSKLKNGGPQQPPPKVSNGRAKTVPVRRVEAGELRLELWVEEARQKFNEP VDSEHPP ANIA_02164 MEHELLSLLADTQSPVADTRKAAELSLLRLYPNENFPLSLAAIA SHDSVPTNLRQSALSVLRTFIAAAWSPNLDEFQGQILVNNANKAQIRQALLELATVIE VSERKVKSAASFAVSKIASADYPEQWPELLPALLRIINDTNSTPGALHGALKVLLDLV DTGFNEEQFFSVARDLVTTLFNVATNTSRKPILRALAVAVFRSCLDTLEMVLEQHKVA VKQFMDEVLGGWSPFFIETLKAPLPQAPAEQEESKETEVPSQWRGVIGLKLQIVKTLM KIRMVFPGLLVAQSPVYFSTIWTELSNSQAVYHEFYINDERQGRLVDVDDLPYSLDLL VLEELDFIQSLLKAPPVKAELQQQLQNAGQSGLSASWLAEMLKLASSYSQITSEEEGL WDIDVNLFLSEETSVTANYTPRTCSGDLVIKLGEWLKDATTQSLLACLNDVFSDASST WKSRESSLFILNALLRDFHEVSRDIAPELASGFGNFIQFAIQQEQELLRARGYLVAGS LAKVSNVGEAYLEPTLKAVSEDPSEVVKVACIRALQDLLPSLSTNTTIPLQQTVISAV SDFVSAHDLRDSSDTDDLKVTLAETLRDTVMVDPSVVLTSTALDVLFNIASNGATNFQ LTMTVTEAFEDIVEHISEQGADAFVRLCEKVLPSIMGAVDVGNLTQDISLTIFAADLL RALTERALEPLPAGFVETVMPKVNRLLLDSQDAELIRPATEAVRHILAHDFNQFVAWR DPESGKEATEVVLVIIDRLLGPTVDDHAATEVGQLAAELVERAGSERLGPYLPQLLQA VARRLATAEKAQFIQSLILVFARLTLISAREVVDFLAQVDIGGQSGLPIVMSKWLENS VNFAGYDEIKQNIIALATLYNLEDPRLAQVQVKGDLIVQDTGRIKTRSQARNNPDRYT TVTAPLKIIKVLVEELAAASGNKEIDAATAAALEEEGSDDDDEWEDVPDNTLDLSLGV TKQELMAFGEGGTEGVFGVRKRDDETQAFLLDFFRNASTKGEFQQLFAALTPDEQEKL RSLG ANIA_02165 MPPPNFHEQIQVDVSSLQVTSSRGQATIQRICLSPKAAGDLPYP SPPAGARELTVLQTSDEDSLYDADSVADSSLSFASTVRDYYYENGRRYHAYRYGQYPI PNDEEEQDRLNITHHLFKLLTGGDLYRTPLAQQPAPKRILDIGTGTGAWALEMAEQFP EAEIIGTDLSPIQPSFSPPNCTFIIDDAESDWAFSKDEPFDYIHARSMGGGIADWDRL FRQAYNHLKPGGWLEVQEFEAAIHSDDGTEEKAPMLVDLSKKLDEASKKFGKRVSVAP SLAGWMDRAGFTNITEDIYKCPVGSWPKSPRLKEIGRVGKVAVIEAIEPYSLALFTRV LGWSYERAQDYVNKCRREAMNCSGHIHILYYFVYAQRPL ANIA_02166 MPSTYLQSLQKDGFVVIPSLLPPSSKEFANLKQAAITATTITRT GAWPYFRTVPKQFPPWPTNVPPPASEGGIWGVQHLLHPDMPGREDFARFYFDDRILGV VEELLGLDSAGEEAKKDDNEMLVMELFNLLVAPETHDFALRWHRDDIPETVSPAEEEK LLASKSPGGRQSHAQYNLALCDDSSLIVIPGSHRRVRTETERAADPYENDLPGQLVVE LKAGDAVFYDSNILHRGVYKAKEEGGEESRLTLHGSVGIKETEDGGGDGSKKVRATAV LQHGVGAWVQREDAVFGIGERAEKMRANLVAMGTGEGVGYSLQG ANIA_02167 MAPRLSFGLSTLLALGLVLLLLPCQVHAFGAGNIASISKVEGKN WRHGDIEDMLKTIAFIKGHKWTSNMVKRVYFGNWLRDYSQAMDVGTLKSLPADTIRIL VWILSFLSFGYATAEFEVTADRLGVYRPEEHIDNPKDYADNLDARQYDQRLRGPIRQI ELEIDPETGMKNYIANEKGDWATSAAYIKYSLARSIHYGRLYTSGGHRKGNEEDLFEA LRCLGQGLHTLEDFAAHTNYCELALREMGFHNVFPHTGTQTQMNIRGHHVYPLVTGTF GMVDFFHSVLGEASDHFTQSEINEMDIALGDAQSSSSANSLNSLTGLLGKVPGCGDLV AEAEALKRRSEAQQSSNSRSGADLGYAAGQFTRSFNDDSEQSRGVHEQSRADAPSGAN KPTGLPGMPDFNPAETIAKIYPILEFRDKVVRKVSSIIEKIPGLEALVEKITETLTVF IMSLLAPFIRPIINAASKSLQTGSAGVIESSGKHQFEPWTNPHCTDPTHSMLSKDHFS NILNEPAGLVASAILKYVTPRVLHAWENINISEQQVLHDALEVFHHPALRNMRNEAHR TMFEAVQSWVHAMPDRGAKLNDILSSEGVKTGKNNGGQVGHGSHSHAQGGFPALGGAA AAHGHSYGSHSYGQTSSHSYTPHTQSQQQQQSSSSGSGLPWEKLSDQLSGLPIPGISN INKLSNKLSSFGLGGSSRDEKDNTPPPQQHHYEPSYNQQSYPSHTPPPPHHQPGRYGA PSYDGGYGQPPHHQQHHGHGYGHPPPASQGYGHDYGQGHHQHEHHQHGQHPHHPPQGH GYGGGGGYGGGYGSGYRY ANIA_02168 MKLDQFLAMEVGSDQDGLIDLRGLEYISDYDDHLMCPICHCPFI RPVRLGCDHVFCQTCLNFAIRTFAPGRDDFTCPTCRTPTRDVYLNVPRLLNNMCDDLP VRCPFSPEGCKEVVPRGHIQSHADKYCRYKLMDCPSPSCDEKTRKKDLSPENKCMHEL HKCLRCDADIMEQDYEVTVFRKALREHIDSCPEAVHPCAASKYGCSIRMKRAELGTHE QSCPLISIGPYFEAQNARLDSMELTVRHLQQRNEILDDGLASIRSTLVESSRAFSAHH SNSNNDETASSSSAVADTTNPSSAIPTDAEASTSSLTNATTYLLSLHESLREEVAQLS HAITDLDARASMAIMNECLRLKEDMAHTNAAVGSIRMQVQWLMNPRLHQGQRTQNNSE ARGGTHSAAGAGASSSSSGPAPGYGLLRPRRLSDTGREGTKL ANIA_02169 MPNPSQLFLLADHIKLSLLERERAISLSLEPNSQDGEISRSLES LREGIEGVEANVKRLEESNDEEAADIKDQLMHLRSQYSDLSSQFRGPTSSSAEGESND RSDSASPQFTNVKGRSPDLKQPVPQHPSSKSVRFMDDSVAAEEDLNRRTLFQPYRDSP SPEGVDTSDMSNQHIYDHHERVMREQDEQLDRLGESIGRQHQLSIQIGDELDGHVALL DEMDGTVDRHQSRLDNARRRIDKIRRSAGENWSMMTIIGLIIILVILIVLLK ANIA_02170 MRRKYVNLQLFEGLFKGVLGVKGPEDDRSGS ANIA_02171 MSTFFYGQHQQHHQAAHHGATAHLQTSNNHHGSRSRRGPKMAAQ NAQRQFRGVKSMRELAEAPSVTAFRARFEAGRSFDLDDDLEFCPGLLTEDDLHSIHSA SSDRSSLSSGSPDTSPIQHQIQPVQQVTPSISLSPASSNTYLHSGVTGNYNQASFQQP SAARTRKVIPIINPNTGMTLTSPPNSISPGQMQNAQRRW ANIA_02172 MSTRQQALATAQVYIKRYYTKNEIRNTNPYLVLTTAFYLACKME ECPQHIRFVVSEARALWPEFIVPDVSKVGECEFSLISEMQAQLIVHHPYRTLSELQPE LSLTSDEVALAWSVINDHYLTDLSLLYPPHIIAVMAIIVAVVFKPSSQTAFHGSAAPI AGAMRDGGMNILAALSDKGGAGPPPRIQKLIAWLAESEVDIKAVIESTQELVSLYEVW EQYSEKNCKELLGRMIRSKSLDK ANIA_02173 MAGGESKAECDQRVARLWSRLGAKKKEHLDYNGLKKGLRKIDHP LKNADTMLQAIFRSVDTNGDGIIEYSEFRAFVDRAEQELWQLFKSIDRNQNGEIDKSE LKAAFSKSGVTVSNSKLDEFFADVDTNQDGVITYPEWRDFLLFLSGYSSSDLHAVLSY YSATGNLNPEGDVHINDLQGLGTDHSFLRHYILAIRTFLHKFFPAHILTALLSSAHAE TPQSAFARGPPVRDGELELEWLPIPRTVAMWMSFRYYEQKLTENTPQLGYFIAGGIAG AVSRTATAPLDRLKVYLIAKTGSPSPVVTAAKDGAPLKAAGRASRSLMDALNELWKAG GIRSLFAGNGLNVVKVMPESAIKFGAYESAKRAFARLEGHNDPKRLQPTSQFLSGGFG GMVAQCFVYPLDTLKFRMQCEVVEGGLKGNQLIAATARKVWNKNGIFGFFRGLPLGLI GMFPYAAIDLSTFEYLKRTLLARKAREHSCHEDDVPLSNFTTGAIGAISGGVSASVVY PLNVLRTRMQAQGTVLHPTTYNSVMDVARKTVQSEGIRGFYKGLTPNLLKVAPAVSIS YVVYENSKRMLGLR ANIA_10273 MFLVLRRANQLTDISKKITDWVNPGDKSGEFKRQASVFRNLISK EAGAEFPPEKDRYHLYVSYACPWAHRTLIVRKLKGLEDIISYTSVHWHLGEKGWRFAT PDEDIPGANTTPDPVHSSYSHLRDIYFANEPNYTGRFTVPVLYDKKTKRIVSNESSEI IRMFYYEFDDLLPAQYQKVDLFPPHLREQIDATNDWVYNDVNNGVYKSGFATTQEAYE RNVTTLFASLDRIEKHLADSKSAYFFGDDITEADIRLFTTIVRFDPVYVQHFKCNIRD IRSGYPAIHAWLRRLYWDVPAFRETTQFEHIKKHYTKSHSQINPFGITPVGPTPDILP KDKEVNAVKH ANIA_10266 MTDTKMQVDTPTQDTVEKIKQGDIDESLYSRQLYVLGHEAMKRM GSSNVLVVGLKGLGVEIAKNIALAGVKSLTLYDPAPVAISDLSSQFFLQPQDVGKPRA EVTAPRVAELNSYVPVTIHEGSSLVENLEQLKRYQAIVLTLTPLKEQLVIADFCHKNG IYLTIADTFGLFGYLFNDFGKNFTVGDSTGEDPVGGIVADISEDGLVSALDETRHGLE DGDFVTFTEVKGMEGLNNSAPRKVTVKGPYSFTIGDVSGLGTYQGGGLFTQVKMPKFI DFEPLSEQIKKPEFLISDFAKFDRPQQLHIGVQALHKFAETKGHFPRPHHESDAQELL QIANGLASSQEEKVELDEKLLKELSYQALGDLNPLAAFFGGIVAQEVLKAVSGKFGPV HQWLYFDSLESLPTSVTRSEETCKPLGTRYDGQIAVFGKEFQDKIANLTQFLVGAGAI GCETLKNWAMMGLGTGPKGKIYVTDMDQIEKSNLNRQFLFRSKDVGKLKSECASAAAV AMNPELEGKIVTLKDRVGPDTEHIFNEEFWEGLDGVTNALDNVEARTYVDRRCVFFRK PLLESGTLGTKGNTQVVLPRITESYSSSQDPPEKSFPMCTLKSFPNRIEHTIAWARDL FQTYFVGPPEAVNMYLSQPNYIEQTLKQAGNEKQTLEHLRDFLVTEKPANFDDCIVWA RNQFEAQYNNAIQQLLYNFPRDSTTSTGQPFWSGPKRAPTPLKFDSTNPTHLGFIIAG ANLHAYNYGIKNPGVDKGYYRKIVDNMIIPEFTPKSGVKIQASDNDPDPNAEASGSSF DDNDEIKRLVEILPSPKSLEGFRLNPVEFEKDDDTNHHIDFITAASNLRAENYEITPA DRHKTKFIAGKIIPAIATTTALVTGLVALELLKIIDGKDDIEQYKNGFVNLALPFFGF SEPIASPKTKYQGKQGEVTIDQIWDRFEVDDIPLQDFLKHFSDLGLEISMVSSGVSLL YASFYGPSKVKDRLPMKMSELVEHISKKPIPDHQKNVIFEVTAEDQTEQDVEIPYVMV KRK ANIA_02175 MASHSNSELSNVTVAVIEAGGSVLNNFNITYVEGHSLAFDTDID WAYQTEEHIYAGDLKQTIRAGKAIGGTSTINGISYTRAEDIQIDNWELESEGFEVPAQ DLIAHGASYDADYQGRNGPVKVGWPTAMTNSIVFPVLKETFEHLGVHYNRDSGGGRMV GFTVHPDTVDREANVRMDATRAYFWPYKSRPNLKIISNTQANRIIKANTTHGEISAIG VEVTGPQGVGMIYASKEVILSAGALRSPALLELSGVGSPDILQLLDRTGNIFRPALGQ SALWDKVSEVAAFVQANLASYARNISKTSNGVVKESDLLVAFKLHLRSQWRVFASEYW PLLPFLRGSVHIRSTDASQPPAINPTYFMFEQDATAQADMVQFICKAFGTVPLSNIVG NEVSPELGVLPTNLSIATWNNCGLANYRPNYHPVGTASLLPWGNGGVVSPELKVYGTR NVRVIDASVLPFQLCGHLQSTLYAVAEKASDIIKQRHIV ANIA_02176 MLHEILLSLSGQQSSLFSFQTEEDAVSEESFPLLSPPEKALLAS LARLSRLHARLQAHTALICSTHASVICRAVSTAIRSHHLGAFQKKILEVEKAILVEDS GYVGGYGIVPLATVVGEFAPWTRRLEWLWEVVRFILPTEKKKHTQSCTGAGLIDHLRR ESHTGYIDIEEIVLDLIGAAETAWMRQLSTWLLYGNLPILGKGDFFIQEADTKENEGP VSTVQFTIHTQLIPQFVSSHTAASILFIGKTLNLMRAKRSPTGHTPDGLLTSPVTLQS EHISHLAGLKSPISASELSNTVDSIRTSLSQSTLSKLLPLPKILEILSLLHNFLLLQR GEFATALVLHADARLRERQRGPESSSARHVSNSVDGLAIKEGDVATTLTKTWAELYSL QNEEDPVDDELDLARELVRLSLKPRDHGQNPGFSGAAAADPVAETSNTPFDDLLFPTS TSLSVHVRPPLDLFLSAADISIYSKMHSYLLGIRRAQIRLGDLWKHSPLRRSHPSPWG PPRSNSRFGQSRLQMGRQRENARTSKMRAIWATGSASLFVLSEIGSYFQGEVINESWQ HFRDWIEAGVSDSPPSTSRPGTATSSKARRIGLATNEQSEDEPGAAPRRHDPEALTAA HRQYLYSLVQSLFLNDTPFTKALRDLLARIDHFIALVIRLEIIQRNMDLESDEGVVDA LVDYASEERGVWNALRAARADTERGINEVIARLQDIDDSRTQEGRMMFQPTANTTGSW MVGHMNGCSFRPRKAAGVDRLLMKLDFGTARN ANIA_02177 MRSTLSRTPPLVASLSARPSMSISASASVSSSWSRVRSPYAVSR FTYKTTTKQQTPQETNHIQARAPDIKNLSSKPRFREFDLEGRVYAITGGGRGLGLAMA EALLEAGAKVYCLDRLQTPHPDFEAARSHAESAYGGSLHYHRIDVRNAAETNSIFAKI ASENSRLDGLIAAAGINHLEPALSHSPEKLHEVMDINYNGVFHSATAAARQMFNYQQK GSILLVASMSGLIANKGMTSPVYNSSKAAVVQLARNLAMEWGRHGIRVNSLCPGHIVT PMVEMVFQKEPAARAVWEAENMLGRLATPEEFRGAALFALSDASSFMTGSTMLIDGGH TAW ANIA_10267 MASFRSLAGPASISSTSNDQYTPVSDGSVDEQSRRGRNSSLKLQ TDFGTVPDRFSEDDADEYNYNDEAQPKFYRPRLPKYTAVEEQQVVKKFDRRLVPFLAL LYLLSFLDRSNIGNAKIAGLEEDLNLSSSQYEWLLTAFYITYILFEWMTLLYRVFPAH VYISLCVFGWGFVASLQSLATSFGTMVFLRAMLGITEAAFGPGVPFYLSLFYKREELA FRNALFISAAPLASSFASSLAWLIVKSSSDGPIAPWRTLFLVEGFPSVIVAVFAWYTI PDSPGTSAFLEPRQRMVAQLRMGNGAKPEHHGHGRRFNWGEVGKTLSDPKSYITAFMF FSCNVAFSSMPVFLPTILHDMGYTSQTSQALSAPPYLVAFITVLATAYLSDRSRSRSP YLITHALISAISYLAIALTGSFHASLSPSLHTAIRYICVYPATAGFFSAITLIITWSM DNRAAQEGKGASVAILNVIGQCGPLLGTRLYPAEDGPWYVRGMAVCAGFMAVVAILAA VLRGILKRANEKMAEGERGSDGTDREREVLILGSGGQRREVFTYIM ANIA_10270 MPPTGTLHRSDRARGDGPQKKVDDLVRRYRRRSSPSSVLLEILN DPEYYAVIVGALRRQASLSKCRSQEFEDCQVTIYDRALLILSNYGESATDPGALELYI TEFLGVVPIAPVADGIKAVSRLVDLQHVLSKVTATHGEREEGTAAAVPGQEFKREREG SSSPFNIDVEYSRHFPDSPAYDQDNPIPTTTLSTIGQACKPDVRVARLLDVYHQAKED YFNTKAREGVDSLSAVRFLRDSAENTLRYLHANGMSDSFYVPDLEQTFAIARDKTAQL LGGRKRHFDEGPDTWAKGHRVENNRGKKHTESKGNKRARRIIDSYRPQVGR ANIA_10271 MMEKQAGDLDRRDHFPLSTVPSTRQRRRVFPRLIGLLGVISCLW LTLHWLPIHQLTLRIPSCRHHTRPATGGHLFDGEKIATDPAPADSERVPFEAHIMSKC PDARDCIRELIVPTMEKISDKVNFELSFIATVSNKSSDVECMHGPGECIGDMLMLCAA NIPFRPEDGPAQDRTPTVRYLGFATCLISSYQDIPDRTLVEQCALEHGIDFDALNDCV SQQDDNPNKSTHDGPLSGVRLLRESARHSAELGVKTSCTVRLDDTIWCVRDGGIWKDC AKDGEGSKVPVLVDEIEELWEQRN ANIA_10268 MYKQPHPPQQSTPRGWTKVSLSDWLSNWQKQDPALRRAHVARLV DTLSAPDNSPHVQQAMKEQAFQTLEMLSKSDPAAYDQWLPIAIRRRQRQFEKILAERQ ERSVEETHARETGHQPPRDRQQMAALGQGFHYEDIPLIDPTDLAFITENPQFLGPPPV YYNAFESACRKGCLATVQSTLSSETCTSTPAFLHHGLCLALKAGNPDVARYLLASGAP IIRRTPEHVLSSPLEAQIPLFELLFEHGWATNTPGMYGAVLLPSIMNNHTLLEWFLAH GADPNLGQQQEHCFGDSITNSCSALEKAVYRGDVEAVRMLLDAGAVIHNGFPLHAAAG ARPPDWNPRNGYQTLSKDFDRSRIPVMALLVERGADVNQYQGPQRGNQVSNYAIVEAV MAGAVERVRWLLENGADPTVRGSWGSAAECVAKIGSQEMKLAVEAGLASRKWVDK ANIA_11329 MQEARARGEGKSSSAWERTRATRPAPLTGQPATIPTIRRTKERI GQQKESNEVERGRGGPG ANIA_02180 MASVDPAHSRLHTVEEHVIIHDDPIDDDEEHEEDDAEMDPSVRA CLEHQRKVDEFLSPLCLDEAVLYKLARRLSSVYRKLALESDQQFLPTPVSKLPSGLET GRYLAIDVGGSNLRVAFIELLGDTADPDMARTSASERPLKKAQTQRVKRTLEKAWPIQ EHLKMDKAEDLFAWIGDCIAEVVAESLSSDATKNAVPEELEMGITFSFPMMQESLAEA TLMPMGKGFAITSDLNLRNILLSGYERHTRRPDDEDQPSTKRRKLYALPKLKISAITN DAVATLASLAYAVKSLPNSRVAMGLIVGTGCNATIPMKLSALHEDKVKHVRRSDPETS GIIVNTEWTIYGVLPPLKELNIITKWDAELDASSARPGFQPFEYMTGGRYIGELIRLI FTDYLINVAGVSTAALPATLTQGYALTTSYISDKVARARSDEELTDELAHSLPPPSDT WQWDATSAGVLRTVARTVQRRSAGLVAAAVVGLLACAGEIELRLDSPQGSPQDSHAAS PERDSITTLSAQLKSEGSASNGFRGPIVPIISPTPADWQSGPEELVVACTGGIIQHYP NFKEMCQQTIDRLIMRTGPQKSGKSVFLREASDGGVIGAGVLAGMVGNR ANIA_10272 MRIKALSKSWSPSIPARSISSTSQLYSESRTNKTASEGEQSKEA SKLHPTSEPRQTRPEAKTVAQADEELRQRLEEMSGEGGAAGLEYEDGKPQTMKRSVRN NMFRYI ANIA_02181 MSAQAYYELYRGSSLGLSLTDTLDDLINEGRIEPQLAMKILSTF DRVITEVLADKVRTRLTFKGHLDTYRFCDEVWTFLIKDVNFKLDNQQTISADKVKIVS CNSKRPGEA ANIA_02182 MPRTPPWLTGGEKAKREPDLPAPAIKRTSSPRLRDETPTKKDFV SRKDFFKSFRFIREGLDEDDIYIMVEDEFYTVAQTFTRHLHYAEYVRSKKEAKVRNAD TIADIARPTSGATPMSVELKKRYAADELEARQQDGLDALLGKQLARDGDPGDDPEVDV SWAGTHLQDFMFRPRKVRSLAGLQKSKPSTKAAAEFPRSSRLGSDSAVGNGPDDDMPV GEGQKEPAITDETTDDDDDLDAGVSQVNLAAARSSSTPSISGPRRTSAAVRLSKLTGP PSEAYLNDVGECQPPVSKQKKDTSSSELPRLPSSIRSPSKDRQAEVKKEQTTRPRDSL YATQARRRFVFDDSDEHFTASSNLLHWSSSSVLPGGQNSPGGIQKRLEATNPESTRPN ITQGKRGLVPDDFDGLPEPRKPRIHSESQTPQFINAQQKKSRDKDTTSEKSRLNEVPT FLI ANIA_02183 MSREQLLARPKSRLEELPAELVQEIFLRCLEINLARASIYLARA LSNPIIYKWLVQLAFVKTDGEGDTSLTREFVTSYDVVGHIERDELKKLRTRILECRWC TLPLIRDCQLAFLNHVIKYKRRHFDIIPEDRSLLAGFAQRFDDLKACDKAPNGQRGQS DLVLRANRSDREFNGSDSKRSHDYNIAVWFHLGIIEVLPVGDSKPSGKIYFEVPCCED ARLPAKLLCAPWTEEKLEFLQLISTRAFLDRDTEFRRATRTLRRLIRDRDLATFTRLL HLYVRIECYGFPIIWPTNNIVFRAALKCAAGPGDPFVRLLVEERWGHIEPEDILLKEK LLKSLQSGDCS ANIA_02184 MAHSKRNTSLPHFTSYERSLLRTAWGTQRGVIGRDSFLPFGSCR LCLHPSRTPSVACATNGDLFCRECAINDLLAQRKEIARLEKEREEARKRLEEESGREL EEARGREVREFEMVSMGFDDWGRAGNKKRKADGDAGVDDDEIWERVKKREVDVGGGVR KQVFELDDKTVEGVMRRERERLMEEIRREKSESSKSALPSFWIPSLTPGTDPNEIAAN KTVKLSPICPGSTESNKHGYSLKSLVDVHFTEEAGADGATVRVCPSCKKTLTNTVKAM LMAVLMFAMLLVTKPCGHVICKPCVDKFMTPHDAPDPHATKEEQEKTAELHGRVLCYV CETDLTPRDPARDEKAAAGEKKKKKKKDKEGIQPGLVELSSEGTGFAGRGGNVATKAG VAFQC ANIA_02185 MSKATVAAIAAASAATGAGITALFYSRPSPQQQKPATATPTTAP APPTAPVAVPVPTQPASPSLASKSATATGPVDPAGIYQYGFPGPIADTITSLPLTGAY DRRTRNPSWVAEHITPASLASKNADRKHSTFFEDSTIPPLFRAKLADYFRSGYDRGHQ VPAADAKWSQEAMDGTFALTNMCPQVGEGFNRDYWAHFEEFCRDLTKRYPSVRIITGP LYLPHRDADGKWRVNYEVIGTPPNVAVPTHFYKVVYAEEGPGLPSGKVALGAFVLPNA RISNDKRLAEFEVPLEAVERASGLEFASKLEPTRRKRLCQEVRCDIVVREFNNAKKKA ANIA_02186 MHSLWLSAAALLPLVAADWQFKSRTDLAPPRLNITIPAAPDVEK GYLFVAPFSGYPDTAGEQHGPRQAAPYIFRDDGELIWSGYGYYSIWTANFQKARWKGK DVLFSFEGDHNPGYGHGHGHITILDQHYETIRELRAGNHKLSDKHEFHIINEETALIQ VYQPVPADLTPWGASPEQQWIVDAIIQGMSYLCIVKHELTGTELDIETGELLFEWSSL EHVSPDEAILPINPGQAGSGYNSSDAWDYFHINSVDKDSEGNYLISARDACSVHKING TTGEIIWRLGGVKSDFELGDNVQFCFQHHARFVSKEGSKEVISLYDNAAHGTENGRGH EVHTHPFSQGKIIEIDTATWKAIIVQAFQPPDGLLSKSQGSTQLLPNGNILVNWGSEG ALTEYRADGTPIFHTYMDSGFLGFGVENYRGFRYNWTGLPNEAPAIVSLENDEGTAVY VSWNGDTETKIWRFFRLVDEYGSRSFLGEVERKGFETSLFVKDHGLGLVTAEAIDAKG RVLTYTVAVESKPETLPLISSSVQSSNAPTHTLFDENEEQVPLGTKKSRWREYMILKV NRFDLI ANIA_02187 MMLIFISIFLFLFPSSLCLPDEPYHYYLQSKPKNPTKSSNLTFY LSSSITLNGHTNYVLLTSSSLAQPLHLYAGDGSITLDISNVIGASSQAPLLLADNDGL NSVYKQVILGDQMAGQYTKGFKFNRTLLVVEKEGFGGFVACTAAKGIKQVYWYRSGER GDAQVGCEEVEFERAGFILDISFMWLQLWSVACRSNLICRVGAVKDAGIMEYAAENGN IFGTSDSNGPRTELGVEIAYLEDIQWAVRVRSR ANIA_02188 MSLRLTSAPVSGIKKRKSSAQTRPRASIFANHARSKPSVRPSTS GGVRSKTDELEVENYSPLQDLGLSRHIPESTPVDNVLAAMKHIKDNMFEELPARSGMN SVRIAQVLNFRRSLPPLVSVAHVHTILDAPTKVEREIMELATAGTIRRLIVPGRGNDA AGLGDCLVLKEDWERLVSEKKFLTVLSRIGNTCAISGTAFSSAEYTALVRAGFIVASS SLAKGTSSVPSLPNLPRTAPTVPASRSGSGAHASDMVSTSQTQFHTATLYLSLPNTGP YLKLLGGGRDHLIALLKKSPSGEAPLTLLRDRWDGAVESERSFDVAKRARGEFAGVLP GKTKKWKEFYGMSFRWVLEEAVGAGLVEIFNTGSVGPGVRCL ANIA_02189 MSCLSSLISCCLPTKSIESARETPHYPNVPTGDINTAQPPQDGS EYGGYTSAPLPAYTPPAGIEMTAQEKTLAAHMRDPSVSNETYRHHQYYQNSTATEEKQ RLAWEEVAATYSHSTSTSTSDSPYPYYPYHTHPRPEEVSSDVSSAISFPSSYGNTSTA TRETPPPPYSPRAFSPAPSRRSMSISSSFRHQQNQLQMQLPMSAITQPAPVFQAGRLP RWSASLDAGACSGIPPVLHPEYERSASTTPPPPPPPSRPVRFSWESDRG ANIA_02190 MSSTPTSPVPSDVVAAAQAAKPPQIAPHRSHDPSNNLKRSDPFQ FGSRFLEEGDDVYEFNAWDHVEPDAEFLAFAEAQYAKQREAQASDFDKKRFNADPVKW WNLFYKNNTANFFKDRKWLQQEFPVLEEVARKGAGKQVVLEVGAGAGNTAFPLIRNNE NEELMVHACDFSKTAVQVMRDSEHYDPKHITADVWDVSAEPTEESNGLPPGLTEGSVD VVILIFIFSALAPEQWERAIRNVYRVLKPGGQVLFRDYGRGDLAQVRFKKNRYLAENF YVRGDGTRVYFFDKDELEQTWSGWTPEKGLPELNVPSDAEGEAQTSVPAAAREGMFDI KNLAYDRRCRFAHRALRLSLLGLITVRLHRRQEMLDSGRMRPVVTGIYSLGSRPRTSP VQVRGPLLPVSNTELLPLPQVQDVPILAAHKCRSFDIMPCLIALATFGMNHPPSL ANIA_02192 MSTKTKLADMLGFRSSLGAATLFLLVDITCEADGIFVPEDEPDL VRFVKAQHAKKTMLRPGGNGHGFGNLTTCVNKQQHPALHEHGLQVQNVGSEKVQNYIG AATTGTHGTGKQNQNLATQIMGLRVLGARGNVHLVNKQQNPDLPKAFCVSIGALGIIT EVTLNAESLSYMKRTSKVIQASENITELYAQIAEIGAKYQQVNIIGPNLDWNADRQDL VLKPELTLVYWEDTSYGAVQNCSDFCANDCGLFCYRGFMGQFEHIVPIEHLADTGIDY LTHAKSQAERMRLYQDVDVDGESRTGYRSDDVTVITRDHPPYQGRQYLVLSAKHVRAA SQRKRRLRGPQVLLDSLVQQLHHAVVSPGTGERVYPAVWGEV ANIA_02193 MPTALKATSVGWLLISVGHVRQLGAKEWQSSPQFRALPANADTC ARVGWYQGSAFFLVNALLNYNWSQAPEQLKNPINKIAAVIMAASMLGSSVGYFKGGVS KNGAVVAIMGLLQAYAALRDAQQPFRRAQSINNGPTALLAAYLGDAAAAVEHHGIDIY VFRLAIGGISYIRARPKHCDRPDALRARNL ANIA_10275 LSDSAKACAVLKAVSHLQDFLATTILLSHFFFSDSFFMRRPFTM TMEEESVALLLQQLQELRTEMRTQKQQLQEENNSLRAELQAVRNSQLRNHPPVTTTVT SATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNLRTKFAIDAACYPTEEEQVYYA YSRLRGKASQRVLPWLLARQKSETPVLWAEFSAVLDKAFGDPDRQRKALVRVNTMKQG KRDFEEFLNEFDEELLNAGGINWDDNQ ANIA_02194 MDEDELWLSEEVEDDEDEDFADPCSFTMTLTYQGPPGDILTEQI WEEFRIRNQPGERQRPHVSAFRAGPRKKPAFTVSCNAKAIIHGEMGGKSSKKATLLVY EFMFRSYRGARLKEADILFEFKPHPRGTGRISVAKVRPDGVHKMQKTEQVEGHGIWAG VNGAAMQAVGAEVGVEHSVEKIANYHTIVTGDRPQDWGDYYEARFTLSENKSQKDGIP STLTVCILLERDDNQDFVCVPTISVTPNFKTVMATLFSTRDPDDPIYFNVEEPPVDLL EGKLKIDRDNLAATNLDEAWDCTMILENRKMSFYVAITPQPDQIPIVMAVSLAEDFKG LFIGPLQPDEEPESRSRHWTQVVHVLETGQEDWESLDEADKLALAYHDEKNKGRPTFL HRMAENWANGEFRELPMATRQQIVLFLLDQRIEHTNGQDEPILKVAISYYTTDFIQFI IDHRHDMLRSLLMATNVDEMNCLHYAFKETLIAVMPWTNKKSDKFISTMSMITKLLEY ADSEIVTAKDALGNTPIHYAMDYRLCHIGGEYRFDGHDHRYEDVIRTLLSKAEPAMKK PDVLFNCRHRSPYRFYFLVEAGIEELKKQEELKKQKAQPPKEREAKSKSKEPTKEDRR DPKKNTLKPTVGEHLSRATLRLKEESSQSAISTKTPTLPKAAQKTAREPLHLSAPSVF PEKEKSLGEPKNGSLSRRPTANLDPTENEAQQRSVPDAKQAKPAKSDGLRSGVAAETE SWPGKEQRKAAADILAFVKCFFIQSTSDRDAKDLLYGKIASDRNLFFDASHFRGKNVD DVVRLIDKVSKAGGFEDTLSYVRIPKLASETQNGPKGQRPNVANEKRQLNRGPLDRQP RGRDTLVKVFNKLVDVNVRRILRLEVEDNADEWAHTDTAIEQAIRGYTPYSSGERRES LEIAEWDWRKPDINLDVINHAAPLVEHIHLHWSGNQAVLHGWASSEDGIPLMCRNPRS LLSKITLHAYQRSHDPTMIDGDRDTLLGEQFEKPRSDAWIEAMVKFRDALKGLHDTKL LNQPPRIRVALIDDGIDLDDFNTYNNTTQYTGVSCCDGDAWWKSTEGHGTVMANMISR INPWVRLDVIKIESRPSFIHGDRARSINAKSAADAINAAVMHGADIISMSWTITDLEY RLSLISENMSDAEGNKKHAEQNDLQLLRTAIAEAVKNNKRLLICSAADDIRLVGDNTL PYSEASGQILCIGSTGPLANRDPGSGSENSITYYLPGNQVAEEQRPQSAKPVVYHNGS SVSTALAAGLASLIMYCCQCLHSSGAGDEYKVWAQALREHTNMRKAFSSINRWLDWKE DKKIVPVWGIFRNKGSELDKASSGQDKIRVLKELVTYLCQDVVLKKKLMLPAPARAE ANIA_02195 MESNKPQNELELIDSDLSSVVSDDVSEGSREPIHRLKLVNTVQL LPSEDSEPLDVEIIHVIGLDKERQLRHDTILGDTATSRRREFVFQCDISSFLLGDLVL DTVQTQAVQLLDDIVSLSDSNDSSNTKTTQPARIFVAYDLGALVVNKIYSHCFLRRRD FHEFDVKVWDYLKTVKGALWASLVVPVCVRYLTDAMVETTELFLSSRITLRTRVVSIY ASENSKNAYAVFDKFTSTLGVSTEITVPEDFNSENEPAYDLENAVCYRKQNWMSNPEW LALQRMLLPLAHPQHQYASEKSESPHEVLRSKPYNDWIIGPKSPVLYIQGKDEEHSRF LAEQVFLDWKSKLQGRKKYHTPVMSFSFSADDPVDSAFRKLSMPKTSVLLDMHKFHRG WTYEDLHNLFLILVSSDLENGGLLVLHDVDECELAGRKMFWELLQSRADLSDSYIKVV VTSRRRLSLLTDSDKSSLWYVYNEYEGTSEHLSVNPSPPYVARYIPRLCPGGYGESQV RKALQLLESMDGSLLEDILCLIQRHTHWPVDPSSRAWSTFLTLLGLVKPTSTPAAILD GILRSIPDQIGLRWILLWLIYGHRPLSSIELACLLCHCNRTEGDQSFPRPAYDEIEKA HRLLKSWLPVLVHFGPNQICVRKAVRDILLGENPQYMWNEIKPAVHQTALDFLSAYLD DMEVRNRLSEIYDQYSSIGDPETELVPAVLPNGEDLIYYATTAFPYHLEKSPQSWKHL EQIFSSSPEQRLAPWAKLYWAMSNPFSRPSMEKLSSPLSVLLNTKSLDPAFRKALKSL MNTTDSAAWSSDPSLSEFAPMNCLVRAMSIRDETAALKYIQRIVTRKIEGSEGGNDAV ITPIQAAAGDDTWPSKLLWRATWLNMERLVDFLLEAGVSPDPKDVVSKRYPSPLYMAS VFGHTRIAELLLRSGADAGVLREDGGMLLAAADNGHADTIRVLVTHNRGLLELEQPNT PLYGASLWGNWLAAMVLLEFGARPNYVPAADGWAPIIAAADSGYVRTLQVLLENHADP NLCGPGGQDTALWWAAMRSRSVEAVRTLLEYGADPNHELLKPPLVVELCSSSELSTEY KVALLDALINSKSPLGVDKVDSHGSTGLVWASSSGHIPVVDWLLAHNANVSLLNDEQR GAMHYAVVNGHKEVVRRLLERKPPLDVLADTGETLLQVSVRNGDMLVRMLLDAGANPE QENGEGLTVINTAVVQQQSDVVKLLIDRGVDINHRDNAGWCPIHDASGHRPSTEIVRL FAEAGVNLEETTTAGQAPLHLAASFPQPEIVTLLLEYRGRLDIEQRNKDGETPLIKAA MGGNVECIHRLLRAGADINAQCSVGWTPLMSALNSADCDDAVSFLLSQPGIDITIASK KHGAALHIACSNLHLEVVKKLLDHGADINQLLPGIRPTPLMAACMPAEILDSETRSEF LDKIDRLVRTLIDCGADVKATYSTPISTLLCAAAQFAAPSTINYLVGKGLSLRDPGCL RRLPIHYAAVNGRENFEAVFQSDGDLLSTDVAGKNALQWAAQFGHVRTVEMILAHART QEERQKRINQPDIDGWTALCWALRPHTVANAEIYSEPYDITQTVQVLIEEGADTSISF RMGAEGELFTVLELAELHSASDEIITILKDAEAARIASSDGTGNGVIARSVRPYQEQG YSCDICLSTIWGPVYECETCLDFTACKKCHGRINLYHGHLRLENGEPHAFKLIVEADP EMLDPQGQGSLSPRNSRKNSEVDNRSGSKGDGVGDGDAVVVDGTMEAIMNFSVDDDIT EIGADDGASIRMGRSP ANIA_11330 MFNHARNPWKLDALDCTGQGILIEKQAEEPRPVQVQKNVKEVNL RLLAMLLLRNVDLFLCGDGMRIRVIYPGSPSDDGTQQCTRTQD ANIA_02196 MAVDEKKTPPISTGEVEADSRGVSEIDDSDREQGRSGFKKGTFN ATEDPRFYKPIDTYEGLHRWDPDFEWTEEEERRIVRKVSPQLPMAIKDNIKIINHQKT KEKKAKRDQIDARVCTFACVTFFALQLDRGNIGNALADNLLQDLHMTTNDYNTGQTIF LCCFLFAELPSQLISKRLGPDRWIPIQMVAWSLVAACQAFLKTRSGYLGLRALLGLLE GGFIPDTILFLSFFYKSSELPKRLTCFWVSYTVTTIIGAFLAFGLLHIKDSNGGGSWR YLFAYEGLLTGVIGIIAAFWMPASPTQTKGGFRGKGGWFTEHEEKIMVNRVIRDDPSK GSMHNRQAVTPRLLWDSLKDYHMWPIYILGFVWMIPYTPETNYLTLQLRSQGFTTFQT NLLTIPAAVVSITTMITTTWLAERTNQRLLFGAAIEVWYLVLLIALQTLPEKSMPWPR FAILTLIVGGPSLHPVLVALTSRNAGSVRTRTVASALYNMSVQVYRTEDQPYYRKGNK VLIALAVVSIFLFISAKVYYVWRNNLIPCDGLTSADAWIRIDFTFAS ANIA_02197 MAPRYDSVAVIGTGPSGLSTLKALVDEGTFSRIRVFERRDRVGG LWHYDPVPDVFPTPGAPHIRNEIPASLPAFTEPVAEDTTARTGIHDQLDSNVGSGTMS FTHTPFPEVNSAVSVRQLGRGNPSRPFRVVSGYLEDLARPYLDRISFNTTVERVEKID NRKKWRITARQSGHFQRNKPAEYWWQEEFDAVVVASGHYNVPLIPEIDGLAEAHKALP GHFEHSKSFRSANDYVDKRVVLVGGNISSADLIADLHAVVRGNLYLSQRGGNEALANV FSLPGVEIRPTIGRVDAEKAGLTVTFTNGSTVADVDKLIFATGYRLVYPFLVPDPVTP SNRVAGLYQHIFKIGDPSFAMVGQVRGALSFRVYEYQAVAVARYFANHNAKPLPSPAE QDEWEVGRLKYKGPTTLFHEIKPDFKEYFEYLREFAGPPGPGTNGYELPVFGEDWPNL GFAILQLKDKYWQSIKAASQNGKEKVQAKL ANIA_02198 MAPSIEVASPTPASAPVEAKGESQKATASGYIREPLKYSGSLDE YKSFDVTPIIGREFSDLQLTDILHDDQKLRDLAITVSRRGVVFFRNQSINSDEQKVLG QKLGELTGKPATSKLHRHAVNNAGRKLTVNEHGKLDDEVSVISSETNRKYYGDRFANN TRHLASEGWHADITFERVPSDYAILKITHSPEDQTGGDTLWASGYEVYDRLSPPIQAL TDTLTAVHHQPSFNNIAKEHGIELIQGDRGAPENTGYDFRASHPLIRTNPVTGWKSLF GAAGQVDNGWIEGVTKRESEILKKYFRQLIAENHDLQVRFKWGTNDLAIWDNRSVFHT ATNDYDGKRQGNRVVSLGEIPYFDPASKSRREALAAEA ANIA_02200 MAPSIAEEQPHKADVVVPVKTPPATTEKPKIRRIIDEEGGTTTA SYPHYLPVWDHSEKYPPLEPFTHSDPGLRADPSLPDLLKSGTRIQKLTPTIGSEVTGV QLSSLSAAGKDQLALLVAQRKVVAFRDQDFADLPIADALKFGSYFGRHHIHPTSGQPE GYPEIHLVHRHSSKGELDAFFADRNSTVAWHSDVTYEAQPPGTTFLYILDTPEVGGDT AFVDQVEAYRRLSPAIKERLHGLKAVHSGFEQAEFSRQRGGVVRRDPVKNEHPIVRTH PVTGEKALFVNGGCLKKDPADGCAVTRSIVGLKKEESDALLGFLLNHVGRGIDYQARI KWAPRTVVVWDNRVTAHSAIVDWTTGERRHLARITPQAERPYETPYAPE ANIA_02201 MEKKAVLDDRQDTDAGTIVPFPQTKRGLSSRHVQLMAIGGSIGT GLFVGIGAYLRDAGPLSLLLGYLIWGIAFILPINLSVGEMCAYLPIRGSIFELAARYV DPAFGFAMGWVYFYAGLMLVCTEYSAVAFIMDYWQIDVNPAAWVAMAMVICLALNLVA VKYYGEAEFIMASTKILLLLGLIFLTFITMVGGNPKRDVYGFRHWTDGVMYEYYTDGA TGRFLGFFSVMVYAAFTIAGPDLPALAAGEIQHPRRTIPRVVKMTFWRIVGFYVVGVL GVGIICDSHDSRLMSAIDSGASGSAASPWVIGIENLGITGLPDLINVLILFSGWSCGN AYLYSSSRTLYSLARDGQAPKFLTKCTKDGVPVYCVIVVTLLSCVTFLVADNASISVF YWFVDLTTIGLVLTYTSMACTFLGWYRALRAQGIDRKSYLPWISPFQPYMAILAVILG FSTALFNGYAVFKPFNAQGFVTSYFGLAFFVVMFVFWKVLKRTKWVDPATADLYTGKA EIDEECRIWEDGTWDEKHKAELAQMNIIRRTWERMW ANIA_02202 MTYTIICPINCGKKIWWNCVERSRQVVNNVLDIFCTHRQTNQEL ASVPMMQRTNDGLYYVPNKFRERLVLPTGYLEELKAALVYEVHVTTTFTESINIHPRV VRSQLNKNLWTVMETFRR ANIA_02203 MAIHQQSQNMSNCFTPLQVVPTMAPNTREVLENGVFSRRAAGPS SRGTPVSRLPPLPLIQRELSAESWLKHHPCCSHYLRWHWYLMNVIRTGPVPVFWEVTY SLYSVAHWRFRLFVLSRR ANIA_02204 MSHLNGSEPSRAQRETEGRWEEKNVLCDQIKEIIAALNDIRTQL AEQNKYLDVLTETYVRKPAPTHLVFAQELETGGWEEGSDWGEYADFAQGEILPAPGLE YEGSCEQNYEGKYKGDYEENYEENYEENYGEENYEEVKGRYREEEKESPKVDSFHVNE TAASRNQREPILAESKGDMQKQKCYSLESTGPSFYLPLGLVISAHVSQASFTTVFIIL SLAAYIFATFTLWFLREEDWVKRIIGSWRLAKANASASANSNANAITDSIQADQDRGS RIPDLLRRRQVLETS ANIA_02205 MSSGAANNPDPNADNALLRRPYDPTIQGTAGQFLNKFTIPVSGF QDEQTGHSYAVVRLTAKGNRALFYLCHNNVQREVWRFPSYEFVVRVGALTVKQVRTAR PSYVNAILIASRGIKTREPCSMNTRSVFGEHVRIPGYWNGACAGCKWKDGGARCDFYA DREPKYVPLSVAELPRAPIEELED ANIA_10277 MISLPLLALATGAVASASCWRNTSCTGPSKPSFPGPWDSNNFAP GSRLIQPKSILSLPDGTYISDYTSDSHPSITTEDAGLVFDFGLEVGGIVTIDYSSSVP NTTLGLAFTEAKDYIGRTSDNSNGGTGADGALATLLTEGEGSYTMPDSQLRGGFRYLA LFIPSSSSSNASLTIQSITLELAFQPTWSNLRAYQGYFHSSDPVLNKAWYAGAYTLQT NSVPRTTCRVSVSSATGWNNNAVCGPGETLLLDGAKRDRWVWIGDMGVAVPSASVSTG DTESTKNALLAIWDNQTPSGLLPKAGPPYLRADSDTYHLWTIIGTYNYFLFTEDYDFL AGIWQKYVKALDYSLAKITPLGIMNATQTADWGRWNYGTLASSANMLLYRSLTTAAFL APYAGDNPENYTDLASTLRSAIVTHLYDSAVGAFRDSPNSTLYPQDANSMALAFSFFS QSPLNCSSSFNLAEAARVSSYLESNWTPIGPEVPELPNNISPFISSIELEGHFASGHA DRAIELIRMLWGWYLAHPNGTQSTVPEGYLVDGSWGYRGDRGYRNDPRYVSHAHGWSS GPTSTLTEYAVGLKITKPKGSEWSLRPASFGIQGFEEAQAGFTTGLGKFKAAFRVQGK RATVTWDTPAGTKGWVQLPGEEGSWVEGGMGSLTVKL ANIA_10274 MYLFRILAILTAFTCASAGRLPSRTCVVPASGTNETDDAPAIIS AFERCGRGGKVVFKPTTYYVNSVMNISWLQDVDIDLRGNLLWSTDIQYWLNNSLEVGY QNQSTAWILGGDNVRIDGHGIGTLDGNGDYWYEWISQQENTSNYPGRPIALTLSELTN SVVKGVNFLRSQMWTLAIIYSHHVEFDSILVNNTGNRVDSSNTDGADTIRSSHISFNN LTVYNGDDSISFKANSTDITLTNSHFYNGLGVAIGSIGQLKDQFETVERVKVENIVYE NTLHAVYFKTWTDDQNGYPPNGGGGGLGYASNMLFKDLDTTSLRGSAVAISQCTRFSG APGEGNCTNSQFQIRDITVANLHGTTKSERVTSFQCSAVAPCTNIGVFGVDLEFANGT KAEEYLCGNVKNPRGFVCTGAVCEGGSATGEC ANIA_02207 MSENQTPARPPKSQQREEGRERKLLHWIYSQPNLDLIRGSPQKV IDLIDEFSETYHFMTVGQEKGPIVTELIDKHKPKKMIELGCYIGYSAILFGDAVRRNG GTRYYSLELNPEFAAIANMLVELAGLRDFVRVLVGRSDVLLNQLFKSGEVEHVELLFI DHYKPAYTLDLKLCEQLGKIVPGVSIIAADNVIRPGNPPYLEYVRSSVERKREEAAKG PSKAYTTDGFEEITVRSFMGLDSVPEFEIVGNPNLVYESELKQPEGCPDAIEVTRCVG IQK ANIA_02208 MASKTWNVGIVGYGFSAKIFHIPFVQENPQFKLYAVVQRTPKPD DDAEKDHPGIKSYRSAEDLVQDAGVDVVVITTAPDSHHYLAKLALENGKHVICEKPFT PTYKEAAELVDISKKQNKFLAVYQNRRWDADFVTLSKLVKTGQLGRVVEFETHFDRHR PEEPAPTVSKWKNKVVPGGSAIHDLGSHLLDQALYLLGKPERVTGFVGSQREVNTSGF QDSFTVLLHYKSGTLVTAKAGVVSPEEEQLRFWVRGDKGSFKKFHLDCQEDQLKAGMR PGDSGYGREPSERYGTLTTIKDGKPVREVTPTVEPPTYSEYYRKIARALAGEGELPAS GEEAAEVIRLIELAQESSKQGKTLDF ANIA_02209 MTGNLNRDRDAAREHSSQHSRIDFARWHLDIDAVLNRFIPPPPW HLLPRPVSHFLGYRGNKPQKALGNLVIAFWSLIGVFCGVLLISEVSLRVPAFQNHHAP IIVGSFGAAAVLEFSAIESPFAQPRNALFSQVIASVIGIGISKLFALNPSAQSKPEIA GSLACAITTMAMVLTNTVHPPAGATALLAATELHPVGWWLIPVMLLGCSLMLTAAMLL NNIQRRFPVYWWTPHPLSKEAKAKKQLQDIENAPKIKQESESSSSSDFEFSEPMQVVI RPGKVEWSDNLWLDADEKEVLERISERMKHG ANIA_02210 MVSASKAARLAKRGDAKAKKASKSKDDTPVESGAEDQPATTDAK MKEVEKLTAQMDKHGLSDRVTTGVLSSMPSSRDAKITSASLVFHGKVLITDSTLELNF GRRYGLLGENGCGKSTLLKAIDAREFPIPEHIDIYLLNEGAPPSDLGALEWVVTEAQN QLDRMEKQAEEILEKEGPDSPILEDLYDRMDKMDPSTFHTRASLILTGLGFNKTTIHK KTKDMSGGWRMRVALAKALFVKPSLLLLDDPTAHLDLEACVWLEEYLKKWERTLVLVS HSMDFLNGVCTNMIDMRMKQLLYYGGNYDSYHKTRAEQETNQMKAYHKQQEEIAHIKK FIASAGTYANLVRQAKSRQKILDKMEADGFIQPVIPDRVFSFRFADVEKLPPPVLSFD DVSFSYSGNWDDTLYEHLDFGVDMDSRTALVGPNGVGKSTLLRLMTGKLSPIGGRVSR HTHLKLGMYSQHSAEQLDLTKSALEFVRDKFPEKSQDFQYWRQQLGRYGLSGEAQTAL MGTLSEGQKSRIVFALLAIESPNMLLLDEPTNGLDIPTIDSLADAINAFSGGVVVVSH DFRLLDKIAKDIMVCEHKTVRRWDGTIGEYKNHLRKKMISEGTV ANIA_02211 MIEDDIYRTSSQYRLWSFTEETLRSVRQNTNRLASDRVRVALRR AREARQSANSSAAGTPNANASDVDSKTSEEKDIECLTPEEEQDLVRYYCEQIIQLGES YKPPLPTIVRATAIQYLRRFYLTNSPMTYHPKTIMPCALFIATKTDNYYMSLRHFADG VPGDTTAEDIIAPEFLVMQSLRFTFDVRHPFRGLEGGIMELNAIAQGLGQPAPHLPTQ TAEDLRRAILSLPPSPNNPSTSSSSISDRLARAHHNTREILKSAAQMTDAYFLYTPSQ IWLSALSIADQPLAQFYLDTKLPTPNASETGNEHPLAHLRAKVLQTLTSCATLLQSYK PLASDPEQKKAMRRIGKKLYHCQNPEKVNLAGQKRVPAAAAAVAASASANPSETATPD SEMERLAKKRKLEAEQQKARDIFGGELVMERTKERQA ANIA_02212 MASNRIRRIAKEIADIRADTHSQITAEPLGDDDDVTHLRGSFPG PPGTPYEGGTYKVDIKIPTEYPFRPPVMKFITKVWHPNVSSQTGAICLDTLSSAWSPI LTIKAALLSLQSLLSTPEPKDPQDAEVATMLLRNPKEFDRVAREWAVQHAGAPRRAAG EGSGGATSESLRELERKEKEAREKEDLSKYDGYNKQLIDRFVHMGFDVDAVVSAFNYY GIDRNGGEDYELEEAYMGDVTARLLGEP ANIA_02213 MGNQQSNIGGGHDGKDDKDKKKDKPKYEPPPPPTTRIGRKKRKA AGPSTASKLPDIFPTSRCKLRYLRMQRVHDHLLLEEEYVENMERLRKAKASASDSANR GDVDITDRNADERSRVDDMRGSPMGVGNLEELIDDDHAIVSSATGPEYYVSIMSFVDK DLLEPGASILLHHKTVSVVGVLTEESDPLVSVMKLDKAPTESYADIGGLESQIQEVRE SVELPLLHPELYEEMGIKPPKGVILYGAPGTGKTLLAKAVANQTSATFLRIVGSELIQ KYLGDGPRLVRQIFQVAAEHAPSIVFIDEIDAIGTKRYDSTSGGEREIQRTMLELLNQ LDGFDDRGDVKVIMATNKIETLDPALIRPGRIDRKILFENPDQNTKKKIFTLHTSKMS LGDDVDLDEFINQKDDLSGADIRAICTEAGLMALRERRMRVQMDDFRAARERIMKTKQ DGGPVEGLYL ANIA_02214 MEGFDEETFKKFFPTSFGKQEKRPDVNAQINQTRRAVKPDTSNE KTKLSDATGDYDAKSDSEGDDIGPAGPSDKVKEDSDDSDDDSDDDDDEDEFPVSHELV MKTHERAVTTITVDLSGSRLITGSTDCTIKLHDFASMTPSTIRAFKSVDPSAKKTSAA QDAHAVHYAAFNPISPAYVLVVSATPQPRILDRDGETVTEFVKGDMYLRDMHNTKGHI SEVTSGVWSPTDENLCATAGTDSTVRIWDANIGRSQKEVIVHKSRVAGSAGRSKMTAL AWGSPKQGGADVLVAAALDGSLMMWSGNGPYTRPSAEIRDAHARDTWTSGIDVSADGR LVITKGGDDTIKLWDTRKFKQPITTVSHPSISSRYPTSNIVFSPTSANVVTGSETGHL HILNPATLKPELVTPVTPGSPLITVQWHEKLNQILTGSANAETHVLYNPNMSTKGAAL VMSKAPKRRHIDDDPTLTMNLSQGISGDSVVVGSNGVPHYSSATWSARHPTIGLTASG RSRDPRRPHLPAQTPFAKSQPDERHIRENIPLSSMRDEDPREALLKYAEKAEKDPIFT KAWKETQPKPIYRDISDDEEPEPDRKKARR ANIA_02215 MDMDIPALPDPPEPAPTDAPPLNRSIRSKTIPVDLETVGSSGRS NGRSSIDSTSDRQAGEAESSKSGSSGLSKLLASRKKRKKKRDDLRLVDEVPATLELET NDGGLSSYSNNPRARASSASLLPPEGNGSQDDPSNLLTDDSEPDRTPPLTSHDSHSGF YTASSPLIKTASADANDTDGAHGDAESAVSGSTSDLRSSNLDTEPVRPASQPASSNLS VPTDRNHKKKNVSKTQRLKNAFSSDKKIVHRDRAESTSGESSWSASRRSSLSSKMAPG SIAEAPPPIPSIPEPIRTDLMGETFRSSPERPRLQTPPHSAIPFPSTTVTPPTPHLEH APLVVNSGITESPSSITSRDLPAGVVVSPSGNMISHRRVRSTSSVNPKPKGKVGNPQT GFFSSVFSAAQTAASTLTNTLNAQKARNPTIPEANDSEAQPSNETARESDEINKAPPE EKKPMAIDTLGSGDLNFSHLDLDIPPGGSISTPDGVVITKPDLGPETRRSPAVSQRDD AAAKLEDMRVARAVTMAYEKADSALADDGSDVQASSMKEPTGAQTPSGASAFEGEVGT RPFRSGSVRSRLARRRHRGSSGATSSTIGAIGAGALALGVPGANASLPRLTGFAVASK KRNRDFHQLFRSVPEDDYLIEDYSCALQREIILAGRIYISEGHICFSSNILGWVTTLV ISFDEVVAIEKESTAMVFPNAIAIQTLHARHTFRSLLSRESTYDLMVNIWKINHPSLK SSVNGTRVTDSTGDKTEKAGESDDESEEEEDEIYDEDEDEDNAESFFDAGDDSIHESD GSLPTKGLPRQASGTMPTLPSGTGPNGVSKGIKGANGDADNDFPGPPTHPPSQYDGPE GQYDRVIKDETIPAPLGKVYSYVFGPASVSFVPKFLVENQKSGELEFNCDKTGLTNET RTRKYSYIKPLNGSIGPKQTKCISTEQLDFLDLEKAVLVTLSTQTPDVPSGNVFTTKT KYLFTWAANNQTRFLMTCTIEWSGKSWLKGPIEKGAIDGQGTFGTDLIAALKTAVAPR GRAAGKSGAKGKGRRKKGDGLNEEAAAADAKLMSDASAKKAESWGFFEPLRGVLGPVT GIIEPLWNGNVAFLVIGFLLFLLFFRQPSHPPILSPGMGYAGYSVPQRLAAYEEMWRR EESELWHWLEDRVGLDGIAVPTVNRQSETRAPSRRSQGERELKASLSEETLSDREMDH AIRSTRERLDTLERILHKRRSQSTKDTESSRGEL ANIA_02216 METAPLTLAHTHARNAALETRKSNPVAASEEHDLAAEQHHKKLA HILRFQHENPPSSTAGVNNQTAAESGASKTDTQQPPKLIENPRLPKRETSSIASNLAS ARGIPAQPRHSSPASPTVTSQQAGAKWTEGPAKIRSGESRLRAAQAAGQRDRVNRPLG QKQPWSPPAGSPTDITSQQFVPAETVDSTQQSYRQSTTEEPFQRFYSTFEGLISKISA PLAFAGLPLGLDSVNNASAVRKSSAETRLDRQTAVSDRSVSSAEPDISKIFSRAALKA IRESGGGMGTGNPAESFYVVPTTGGTVSYAGILTRAEKEARRNSVDDAEDDFVDARET PSSPEMLQASKAKGKGKSGRGNDKLTSLQSPKTLEELQMENQALRHLSDTLSKRLHMW EVNAQSSSLALQQSLKAMHHQNIPSPEHGPQSVSAVSSPSTGPSTATAAPTEQEKRIK ELEEALRHHEKELEKVGRENEKLHNVLGRYRDRWEKLKEGAKSRRAEGRGGDSGAKTS STGTAQQNAGTEGKTGETEQVASNEQSDPKDEA ANIA_02217 MLLTLVSRGLLLLQLCAGLTAAKGSRPLYKDPNAAIEDRVSDLL GRMTLEDKVGQLMQGDITNWMNADTGEFNYTGLEENMKIKAGMFYVGYPVPWDWIATN VKRAQDYLLENTTLGIPAFVQTEAIHGFLIGNATIYNSPIAYGCSFNRKTSPASSDLV AGSNAAQVEETYSEDPYLAGEIGYQYVTGLQSLNVSAQVKHYAGFSQPEQGINTAPVH GGERYLRTTWLPPFKRAIIDAGAYSVMSAYHSYDGIPAVADYHLLTEILREEWGYEYF VISDAGATDRLCNAFHTCESSPIDSESVTLQALPAGNDVEMGGGSFNFRTIPQLVESG QLDIETVDTAVSRVLRSKFALGLFENPYPGAPKEEWDNLIHSKEAVDLARQLDKESIV LLENHDNILPLKKTGNIAVIGPMAHGYMNYGDYVVYRSQYRGVTPLDGIKAAVGDSAT VHYAQGCERWSNDQSGFEEAIAAAEKSDVAIVVVGTWSRDQQELWQGLNATTGEHVDV NDLSLVGAQGPLIKAIIDTGKPTVVVFSSGKPITETWLSNSASALVQQFYPSEQGGNA LADVLFGDYNPSGKLSVSFPRYVGDLPIYYDYLNSGRSIGDSGYEAENGTLVFGHQYV LGSPEPWFPFGHGLSYVNFTYGEVSLSKTNVTASDTISVSVDITNSDSSRDGTEVVQI YIVDEITSVVVPNRQLKGFEKVFVPAGKTKTVTVDIDVADLGLWDARMNFVVEKGEFT VLVGSSSTDVRGNATFWVQ ANIA_02218 MESQEYPLAAGLLAALSSTVDSPPAPTLTPFPSLIPSPHPLPTL SSELGHNHGKEGSGEYEWSSLIGIITALAGNILISLALNIQRYAHIRIEKEWEHEKLQ RGLSWKRTGRRPSYGAIDGPVEGESYRDDPSVQSERYRDDISDQEDDLPDSPVSERTA QPENGLPRQRRKSYLRSPYWWVGIILMTLGETGNFMAYGFAPASIVSPLGVVALISNC VIAPFMLKEKFRKRDFWGVLIAIAGAVVVVLSAKSSEEKIGPDDIWEMITRWEFELYL GLTSALIVVLMWSSREYGRRTILIDVGLVGLFGGYTALSTKGVSSLLSYTLWHVITFP ITYLLVFILVFSALMQIRYINKALQRFDSTQVIPTQFVLFTLSVIIGSAILYRDFESY TASRAGKFVGGCLLTFLGVYFITSGRIRADDESTYSTDEEEAIGLLPGERYQDRVDLS PPLQAQTKNRPRPRSPDLDGTLQSPPGSLLSEGLRNLDDDDDQSTPRAALSAESRSPT GSVVADLSEPSPGSSSSSRPLSLLRNPWAESLEETASEPEIERPSTPPEPAVHKPASS TILLRFPPAPDVDGANGTRVSARTNPAPETPPRRVRNSISSHFSPGPLFSTLSGGFSA VVADSIRRGEMSPVKERRAIKSRGRRKHPSTSIIDNISRDADGAAGESSQDPDALVDS SDNAIAAPATAGHSTPAMEYGEVSRNNSDDLTTISRLRSLSDSWSKTVPWLGGVLQKR SESQTSPGETEVSEGAPNQSDRPASGDANA ANIA_02219 MDPIEPAGPSPDHLNVPQPQPRRASSASPAQETTSSDIPPGRRR AASVRSNHSNRSSIRLTRPPSFIRSIASPQPSSSNVDIRNIGSGASVAAGAASPVEEK SDPFEGGRRRSNSEPRPGRWSAPTPATLPRLQPGGQMHTVMEEPQSPASGRRPTSEFV RPSVQPTQPLPQLRDNRSAMRRRSEAALNRFSRNRASTVSGSAPMTQNGIREYEPHVV DVLDVIGKSSAYPEVSALTTLTNVQNSLFVPNLGSLVNRNQTYTLSPARESEREETTS TEEEEDKESAKGLEPRPPLERPITNISSILDQGEPHFAVLPEGINLEGWSYQDIEELN DHVRHMLHSRRSKFKRSMKGFGKYVSKPLGFLITLYATLITLFGLAWVLFLIGWINVG GRQSYIINVIDNVLVALFAIMGDGLAPFRAVDTYHMVFIAHYTFLTWRIRKRRRLPEL KDKNDLPSRGEAEADADLEAGDLSKEDEHEFSVLSPQQQAKLQHHQAKFAKSHTFYKP HETITHRAFPQRILIAIVVLLDCHSLLQIALGACTWGISYHVRPFALTTVILCCSITC NITGGVLIMIGDRRTRKKDVVERMFRQQLTKEAMKKMQKKRKKEYEKYNAENPGLLSD SSSNALQIKPSLLYEALVLGPPLISLVAPTVISRRPHPEWLR ANIA_02220 MERKRLSGVESPRPQAHENNATSNQGNRVHPSGKRVFEVFGTRN SASVKSNHSLSKKASPYTDKFFANVAEAIVRSFPFTEFAKENSCEIKDVVRALKVTVV EPLSKPSIQKSSTPAEYAQREPATLGSAPSIPLPPVDPQNRRWIVSRQPGQTTPPSAT SGSTRFEVSSTATSEPSILLKGGRGVKRRKTMVPVEQQIIKQDAYGNYVPVKSITTAS GRFGDDGSKEC ANIA_02221 MPSSTLPHPDQRGVEPHPAPPRNINNDVDYEPHPENSISISPSR AKIQERILSLYGGSASEDDMNVYAEQAIYDDPFSYCDTRYKIAGQWYGIPKLFSKSET LATEVTSSTEHELVWKQRQKYTFAGVHASKTVDSLVSVKLEGSEPDEKVVYHKDMWNE NDYSHEGLGAMIKKLHG ANIA_10276 MSTAVENTTPDNQASTAPTTEASNGTAPAAQSAADAAAVSADEG RRLYIGNLAYATTEGELKEFFNKYTIESVSIPVNPRNNRPVGYAFVDLATASEATAAI AELSGKEILQRKVSVQLARKPEPFRAHWRPLLEDPD ANIA_02222 MSRMWEVDPETKAKLLQYSKVNGNDRCCDCGAPSPQWASPKFGT FICLNCAGTHRGLGVHISFVRSITMDAFKNSETQRMELGGNDPWKKFFDSHPITQSEG RTFEDSTIKERYEGEVGEEWKERLSAKVEGREFVPGQRPAQQTKKSAVDIGESRSSTP LSNAGLTRGSSASPALQEGPGGLENAGVSRKARNEAYFAKMGSENAMRSESLRPSEGG KFTGFGGGLPVEESPKPRAGAGIPALDDFQKDPVAALTKGFGWFTTTVGKSAKTVNDS FIQPTAKTLAESDFAAQARQHAAQLGQNIQVGARGAADSFNRFVEGSDVPSTRRARAE PERKDFWDDFASLGAQDNHRRNTSRSGALGTTAMKPGPGASSSATASTSVSSGSGSAP VGSGTGTGRGSSPTPASRKSQDEGAWDDNW ANIA_02223 MVSALLLRARQNGRAARCLDYPKVKCWALASLPKSSLEKPGFSQ VRRFSVFHPQSQVNLDLTRNIGIIAHIDAGKTTTTERMLYYSGLTRRIGDVDEGSTVT DFLPAERARGITIQSAAITFHWPPAECGNATRQDPRSPRSASSHMVNLIDTPGHADFT FEVLRSLRILDGAVCILDGVAGVEAQTEQVWHQASTYNIPRIVYVNKMDRDGAAFGRT VREVASRLGGWPAVCQIPWFEGGDGRFVGVADAINLQGLLWSGGDGKSVKRFSLSELD EAESQLAQELRRARVALVELLSEHDEIIVEKFFENEEDHLSVSPADILDSLRRCLLEG NGRKIIPIFAGASFRNIGVQPLLDSVVDLLPSPQETPDPEVAIGGVKGSLRRLLSGDL LVEQKEKAAPKGKQKKKAAVVADSRNAIKQLHGCGLAFKVVNDAKRGVLVYVRVYSGS LDRGSLLYNTNLNVSERAPRLLKMYANDAVEVDSISEGQIGVVVGLKHARTGDTLVAC TTNKATPPEPLDTLQLRPIDVPPPVFFASVEPHSLAEEKRMHESLALLLREDPSLHVS IDEDSGQTLLSGMGELHLEIARDRLINDLKAKATMGPIEIGYRECPLGASGPVTKIFD REIAGRKGKAGCTAEIEPFDPETSPAPDPAALSVEIANGNQIVILAPELQIETNKKGL EESPILPPGLDVDAVRSALSNGCLAALARGPQFTFPMHSTRVTLTLSPTAHIFGNETT SASLSAATRLATSTALSNLISNPASASATPGTCLMEPLMNVIISVDEASLGAVVHDIS SSRGGHIVSLDEDVPIASTDLSIPNTETPDPELDLPPIDPAKVYAPPDPFQSSTVVGG SMASDARNRPRTITAKVPLKEMVGYLKHLRSLSAGRGTFVMSVDRFEKMSPPRQKAVL TELRGVY ANIA_02224 MDYSSIQQDPAGASPWASPRATEATYPPSSTSDIPPSPLPPHHE SPYEAAGDSQPAEAAEHKTPGEGDVGSPSLSERVQNTHLNEAGYAAEQQPRSQVPARY QTGARQNARQPAPVYKIQAKITGLERTGKKDPILRFDVHTNIPKFRTTQYRDVRRTHS EFGKLADHLMSANPEALVPAVPAPLTPAGAGTDEDEFRVKASMQRWLNTVLNNEVLIQ DDEIVLFVESDFGYSPVVRMKQPATGVRRKVLKQFAPPPDDTPELQNARPVVKMFYLG TMDASHKVDRVVKARRGLGLAESDFGVKVGQMHVQETHPGLSNAYRKLGKVIQAVGDY HAVQATAEATTLGDPLSYHSSDAFIVKETLTNRHILLRELLQAQQATRSKRAAADRLK VSSSVRPEKVDEAISALDEAQSQEDYLTKKTQRVTSNLLNEKRRWFERTSNDVWLALR EYTLRQIEAERRTLATLESVRPDIRSIDASGGLSRLGRESHPTGRRPNLASSQGPKGD AWSGIPRRSDSLGRSLSGSFAAPAPEEDEETNGRLRSPSGVSSIVEDDDDRLDARNAA SRLAGSTF ANIA_02225 MAPVKVFLTGATGYIGGDVFYAVTQAHPDWEISVLVRNKEKGEK LASEYPNVRVVQGDLDSAAIIEEETKNANIVFHCADCDHVASATAIAKGASHHTPEKP LWLIHTSGTGILTVEDFRTNTWGLERAKQHDDWDGVDELLNLPDDSLHRNVDKIIIEA GRRAPDAVKVAIVCPPTIYGPGRGPGNQKSVQAYWFAAAVLKRKKGFLVGEGKNVWHQ IHVQDLSDLYRRLGDAAAAGGGKATWNDKGYYLAENGPFVWGDVQRAIAKAAYEKKLI PSPDVEPISDDEVKKLNEFGLYAWGSSSRGWSYRGKKLLGWSPNKPSLLELIPSIVDI EAKAQGLA ANIA_02226 MAPGTGGKRKKVDRSWSTDSTNDGQRPSPHRPGNLNMAQQMHSS QSPQSREFSDGRGRLRRQPSRGGRHNAGRAPSNDGQNFTAGRKDADSAGREASEQGKQ NGTTPSSSQSQPPQSPLPLASSMQSRPHSQQSSQPQTQPQPAPTPLSHMSPAVPAQPS RKPLPPYQYEYISDDVRDSWAASGRQMIVDRGIQAKNGKDIVMLTSIYQELIRCALDE RLPAEEAGLTLRDIIGEEVAAGNIGIDGQEQASPCLDPCTLFLDTLSIVTDSDTTNDA LKPLVFSTGINPALMRLQLETPLLQSLGLVRDTFARMGIRKQTNLLYRQSNYNLLREE SEGYSKLVTELFTTSNNEPPTAEVVEDTFERVKAMIGAFDMDVGRVLDVTLDVFAAVL VKQYRFFVKLLRASSWWPKEDASTSNGGRSCDSGLPNWALPGSPVWSTTDEERAAAAE ANSQRDREFWDRVREVKIRAFFEIGRKPVSEEELKQLLPESNNLTPEEADTRKWIEQT GTLPPKGSRVAAQLLGFKLRFYSSPARDKSDVLPDNLIYLAALLIKVGFISLRDLYPH LWRPDNSMDVLKEEKMKEKAERERAARPGGGVNALMTAGALSDDTLPIPRIRDSEARS ATPGKDQEAERTAAAKSEENELPEPSDQKVLLLKSLLAIGAIPESLFILSKFPWLMDA YPELPEFIHRILHHCLSKVYASVRPLPPISELREQKQIPSHDQTGVPKGHIKLTEAPQ RRTLRWAQLDKEDTNDGTDYRFYWDDWSDNIPICQSVDDVFALCESFLNISGHKIGQD SSLLTKLARIGRHSLNKDDSTENRARWQDLCKRLLVPAISLTKANPGVVNEVFDLISF FPRDTRYNMYAEWYFGQTSRLPDIQSAFDQARAETKDVLKRLSKTNIRPMARALAKIA YANPGIVINVAISQIESYENLIEVVVECARYFTYLGYDILTWALISSLGQKGRSRVQD GGLLTSRWLNALATFAGRTFKRYSVMDPTPVLQYVVEQLRQNNSTDLIILEQIISSMA GIITDTNFNDSQIQAMAGGEILQSQTILQLLDKRHESKTTSKRLLKSLTSSNLAGQLL IAIAQERLTCIFKESENSSELKLLGNIFDEIHRILAQYLDLLRSNLSVDEFDSFVPDI ASLIKDFGIQPEVAFWIRRPSVARKLIDWEKTSQDTANAAKKESQDVPALKAEVEKLE GSGDTEVTDAPSGDDKMDVDQEQTAPDTESIPSRKSATGFGAPEYAASNPVMQDLQDQ VKSVLPPETWSIVGLPFYVTFWQLSIYDVHIPQKSYEEEIERLKKKVISIGNDRSDIS LAGTQRKEREKKQITQLQDRILEENKAHLVAYGQTRSRLQKEKEGWFVGMRGKADQLH IALLEQCFLPRLLLSPIDAFYCFKMLKFLHAAGAPNFRTVGLLDQLFREQRLTALIFQ STSREADNLGRFLNEVLRDLGRWHADKTVYEKEAFGTKRDLPGFAMNVDPEGKPTTFL DYEDFRRLLYKWHRLLSSALKICLNGGEYMHIRNAISVLKAIVQNFPAVNWIGRDMHT SVNNLSQNDERDDVKIPAASLIGDLNRREKKWMLPQAFMITNQPVPSKGSQATGRATP SRPNSTTPTPFNAAAPEFKPSSATELKGPGKTEGTTRQEVEDGEIEDARTADVPKDSS NAQQPAQKLAETELRQTDKAAGQVSANENRPASGPDTAPRDPPSNPTRSRQTGGATVQ SRLSPPPSANQAPRGPESRRPANIPRPPEPDRTPGGSQTTRSQPHTPSHPYRDERGLP PRPDILDDRRDRLSDYGRGGRLPGDRDFSRSFDPPISDSRGFGRLDRDLSFRPPVEEP FRGTQYRDRPGRPRPADSRENLSGQRSGPQTHPDRADLIHDVSDRPGDGYRRGEPLRQ EREDRRSLPTRPLTPPKLDLPNRPERFPSDIRKPASNTQPPPRGEEMPRGPRSDRPPR TPMEGPDPRDSVPGSDLPVGRSRQADLMSDIPSGPRSRTAPGRGGRNPPAPHPSAGPP GSGPAVNDRPPPTGPGRQGMRNDDPPADTLSPTAERLEASGIHPDRLKALQGQTSDNA HGSSPRSGLSGPPPIIPPSGPRGGLGAGSGSSPVTRGPPGGPGFGGERGRGDKRFANL NNMLQQSGSPSDRGPGPTSRGRGTNRQPATPRVQSPQATRPQHSGPEEGPRPGVSTES KTDLFAGRPSGYPEGDAHSRSRPGGSGRHEPTDDTNSDGRRSQRYLTGGSFSHDRDRE AERERDRRAGDEDGSRSSSRREDGRDRNRERSRRSDVPSGAPRDERYEPREASRRGVG PREDNRRRRDRDAGPESSQEHEGRLRPPSSHGGQPPPPPPPPPPPGGPDEERRWSSSG REPRDRDRDRNRDRNRERNYTRESGSGSGPHRKRGRPGDEGGHSDGSSRGGRGAGSEN KRARRGA ANIA_02227 MPQLDVDKTIEELRLGEKIDLVSGIDFWHTASVPRLNIPSLRMS DGPNGVRGTRFFNGVPAACFPCATALGATWDTELLHKVGHLMGEEAIAKGAHVILGPT INTQRSPLGGRGFESFAEDGVLAGHLAGYCSKGIQEKGVAACLKHFVCNDQEHERLAV DSIVTDRATREIYLLPFQIAMRICKTATVMTAYNKINGTHVSENKKYITDILRKEWGW DGLVMSDCTSESIIAGLDIEMPGKTRWRGDALAHAVSSNKVHEFVLDERVRNVLNLVN YVEPLGIPENAEEKVLNRPEDQALLRRAAAESIVLLKNEDNILPFNKEKSIAVIGPNA KIAAYCGGGSASLDAYYTITPFEGVSAQSKGEVHFAQGSYSYKDLPLIGHLLKTDDGK TGFKFRVYDEPASSSNRELLHELHLVSSQGFLMDYRHPKIKSYLYYVDMEGYFTPEES GVYDFGVVVVGTGKLLVDDEVVVDNTKNQRLGSAFFGNGTVEEKGSKELMAGQKYKIT FQFGTAPTSDIDTRGVVIFGPGGFRFGAARRQTQEELISKAVEVASKADQVVVFAGLT SEWETEGYDRPDMDLPPGSDELISKILEVKPNAAIVIQSGTPVTMPWAPKAKALLQAW FGGNECGNGIADVLYGNVNPSGKLPLTFPVRLQDNPSYLNFRSERGRVLYGEDIYVGY RYYEKAQLPPLFPFGHGLSYTTFTREKLELNTSPEKDKLQDGEPITARVTVTNTGKVA GAETVQLWVVPPPTEVNRPVRELKGFAKVHLEPGESKDVEIVVEKKLATSWWDEKREA WASEKGVYWVQVTGTGEGVLTAEFEVKKTRFWTGL ANIA_02228 MDVLLSRTAPKRRASLSLIFGSQKPTFAAALDEKPTRYYDFVDT PSPPKRPSPFISRQEISPELESKIRFSCSLLAYQIEQGIPSLANNQGDRDAAQDEQGE SITTEAQLALKAPISNPRPKTASATGYDSGVGLTQQPSIQTMRVQHSQSGDASDTGDT RDISIFSNPRTSTSCSNTSTEPSYPQSSTQSPRTNEPKSTTGAMITGYRQPKWTRTTK AFLSESPSSPIPSGSAPGKEDIEDTKLFLNPTSTITNLSSETLTLSSHPSPSLGLTRV SAATQHQKPTFTSYAHANSNLRKSRSIIIDTAGIAHLLTPDEEAQRNKALQHAVLSKM TPGIMRYNPTQEPSRQQNKQRSTSDGYICKTHHGNEGNSPSQKSRSGSRVGVSWTGIK AALHPSRWKGTDTGSRRLKNQVPLVKNLSRLFGKGSE ANIA_11331 MAVICQPDRRRHLDGGKPQDKAMIPESKRGLPHKDFANDSCHME SHRGQLSSYARNEQENVLRRERCDPYPQYGQYAV ANIA_02229 MTTEQPTARLQRVDSQPENPFSALIEDQSIVIIPTFTLESGVTL YNVPVAYTTRGTLSPSGDNALVICHALSGSADVADWWGPLLGGPGQAFDISRFFVVCL NSLGSPYGSASAVTYKDGNPEKGLYGPEFPLTTVRDDVRIHKMVLDDLGIKQIAAVVG GSMGGMLTLEYAYFGKDYVRAIVPIATSARHSAWCISWGEAQRQSIYSDPKYENGYYS FDEPPAAGLGAARMSALLTYRSRNSFESRFGRNVPDPSKRQNINGTERLPTPPNEHWA IHNDGHKGNWSGRNSPAPEKPAEKTEVQYMDPQFSGTKTFSKSVSTTDGNAQKRPATY FSAQSYLRYQGDKFVKRFDANCYIAITRKLDTHDVSRHRARPDSENPVREALSQIQQP ALVLGIESDGLFTFEEQKEIAEGIPDSRLKRIDSPEGHDAFLLQFEQVNQYILEFFRE VLPDIMSKTPTDGAAIDGVGKLTKSSTFGEAEVEDITAW ANIA_02230 MESETALPPTLPVANPTAPFWRTELHQLDDLRTTPELPQRSDIV IIGAGYSGISLAYHLYKQLSHADQSRPAITILEARQICSGATGRNGGHLRPDLYGNIP KHIERHGLDAGAEVANFEIAHIKALKTLIAEEDIDCDLNLTRCMNVYLNEADGEKARK TYEALVAHGLEYTSDIHYTSKKYAEKISGVKGAKACISYTAGTLWPYKLVLGLLSKIT SSPMINVQTFTPVTSVTSKDGLHSVHTPRGSITASKVVYATNAYTSGLLPQYSANIVP CRGICCHITVPDGKDAPFLPYSYLIRSAEGNGQSYLITRPDGSIIVGGAQYTFEEDRK QWYKVIDDSTLIEPAKNYYDDFMQRTFRGWEESGAYVKEIWTGIMGYAYDSSPHVGEV PDQPGRYICAGYDGHGMPVIFLATKGLADMILKGRTYEDTGLPRVFKSTAQRLQRAAG GREGGDIFA ANIA_02231 MTDYSTWKVTDLKAELKRRGIPQTGLRLKKEIIEKLEAEDTKGS AGGVQEATTAEPERDGQETSQPGEPGEPETPIATEKADDDGAQTQDTAAAPAAQSEPT VAEEKLNGLITQTSPPKPDGSTGQPSQHSQAQEPQAEADESQQSNKESDASAKEPEAE IATQPAEEKAEEKETRTNVEDESQTEALSKPVEQAPGTTDEAEPKIVQDGGKKTEPTD TQVAALGAQTPGVNTGLSTPLPAEELIDDVRKRKRRSQSPAPHLEEVARKKAKSAEKS ALPTPDESISASHDDMQQPPVQSPTPEGKSPETPAKKNTPQKQDVRFKGLFNSIGPER TRPPQPPVDTEMEDVTVEPALHAATAALYIDGLMRPLQPAALKNHLLSVASPPGESPN PDLIVDFYLDPIKTHCFVTFVDVSTASRARSSLHGTVWPDEKNRKSLFVDFIPEHKVQ DWIRMEEDARGQRGRPPRWEVKYKRGDEVEAILEQIDPENAGTHTSRGSAPIELSQPT DRRPNGPSETGTGSLPAQGFESLDQLFESTTTKPKLFYLPVPRAVADRRLDRFDDLLR KGSFPRRGGDETRKYSFVDDDSFVDLGPEFAGRGRGAARGRGRGGAFVDSRRDRRDWD ANIA_02232 MGWPVCNTTEEDETIHEIDLWDNGLTFHELCVIVVGVFAIIAGL ISFYLIMRHATHYSKPVEQRHIIRILLMIPIYALVSWLSTYYYKHAVYYSVLGDCYEA FTISAFFALLCHYIAPDLHSQKDYFRGIQPKNWVWPLTWLQKCSGGKNGIWRVPRSGL TWFNVIWVGVFQYCFLRVLMTIVAVITQKFDLYCESSLNPAFSHIWVLAIECVAVTIA MYCLIQFYIQIKDEISEHKPFLKVASIKLVIFLSFWQSSLISFLYSAGVIKSSKKIAA PDLKVVLAELIISVEMALFAVLHLWSFPWKPYAIGFQRDEVTDMYGNGKRTYQGGRWG MGALLDALNPLDLLKAVGRSMRWLFVGRKKRMLDPSYQLSTEPAGLDQAGSTQRLADT SYGGSGALKADAASNRYGHQADEEGEVLLSHAQQNPSTSDLGDVGSAPPHYYQDDRNQ HYHANTRSDHDYLVESDLHSHSSRPISPFEEVHYIPSRSPSDSDGSYYPPQSGRQNDH ARFPSSTELQEQPPIPLPESYQPPHTRYDPHGRR ANIA_02233 MPDEAISILSQKSSDSGLHIQLHPLALLTISDHITRHAARSQQG PIVGGLLGQHNGREITVEHGFECVVEIGPNGEAQLPNEWFVDRVKQFKDVHKVPALDL IGWWSTAPPSGPTTAHLPIHRQILQNHNESAVFLTFHPSQVQGASQSQGKLPLTIYES VYEGESVTENGKAMQVDGEEQLLNIRFRELPYTIETGEAEMIGIDTVARTARNAAATE TSTVAAPSSQIDSDKQEQQPANTDLLSPEEEELIASLNTRLNAIRTLESRISLIKSYL SSISPSSEEGQKDSATKPDHTILRDINSLLSNLSLLTPHEQSAFSAETLAQNNDVSLV ALLGQLSQSVNGMREVGKRTAIVNSVRRNRKQLGAQSRYEDDILGRDGVALG ANIA_02234 MAVPSTYDEVATHLRQVQSDPSTRLDQTILDKLKLELTESTDRK VPAALLTQISQLLPVLQEDPTPVTTLGIKSAVYFSFADLCAIDPPINFIAGFRAPSPP INELALTLLRKAGDHPSDAAIVAGDPELVTSLVELWLSTSSTAVAQAAFDALWALLEV DQTSTLENGAENGFGGQGLMWRRVFNDRDVYGRLYSFCSLADTTSSGLSNREKTVAQG RLMSFLVKAGGLRWDYVSSSHVPDVEAKYKSKSLLHFAACEMVDQGDVLMHMTQLNFF RDLLELEAPNLTSRTVVQSASTFSSPALDFLISHKIHDTLLEYYVDESKLDPVDLSFL CGPVMAYVAQYAELYPNHLLQNPQALLDRILSRIDASLLISSSQWAHGPVPSGQLNVL SSLPRVLLLEASRKGVNPIFSLPTNPPNQEVFNALGKIFHGPPKHTISDSLDLNISGQ TATDWNKEAAAARVLYFLYQNHRPSLWEDVVAAADILAMKDISLAAISFMLAIVTANW QTLSFDFTSSVHGTSRYQLPSEEDLGRLSPATQGVLPPSGAWVAISPPALTTLLPFLF KPPRSYSEFVAGGAADPQNAVWKVATARYEVLVALYRSIQSIEDRASDLEDIVRTLKK RVDDGPLGPGTQTIAQVETVGL ANIA_02235 MSRFGAKKGRKLPGSEFTWDGTDANGEADTAPTPLFPKYNIPQP RPLSAREKTQVDLYRTLRERFHDGPYYSILGVSAGAAGYTGSSKANFDPFNGMQSYSG KYQKKKRLVPKIQGRPYVMKFFPRELWTTIQPNYKPDGILDGYVPQTLQTGTKRGFEE DDEDEDSAKRRREDGEEGEDLENNGLLEDEEDQEGEEEIEDDDFEDDDDEMGGDYNAE QYFDGGDDEYGDDGFGDGGGGGDEDTY ANIA_02236 MAPSFWERTKGTSKKGFDKAWHALDKLGDPVNRLSNRVGAEAFW PMTIDRESDKAARILRSFCKDGFYAEAESDRQSSENSINKETGKIDKPKGKQRVLKKI PTKVIQQAKGLAIFTTMRTGLWLSGSGGSGVLLARIPETGEWSPPSGIMLHTAGIGFL AGIDIYDCVVVINTYEALEAFKKVRCTLGGEVSASAGPVGMGGVLESEVHKRQAPIWT YMKSRGLYAGVQVDGTIIIERTDENERFYGERISVTDILAGKARHPPASIEPLLQTIK SAQGDRDVKEELIPPPGETPGDVDLDHSVPFGVPDPEDPDPFGVKALEAEGLFIREAG SKARPSHDAFEFRPNPGSPVYASFRRSMESSRRNSWRTSVQSYASADKGTQTLDEPLT APTSISRASSRSNRDFSEPKTSLTDNEEARWDTVIPEHHETSPPGQAEGMLDKFINDE DLEVHEVSSARISKRDSSTSSNVPLSVEVPTAPVEVKRQSPTFTRARLVTIPKRQPPS LPPRNPQRASTASTATPVSPNPYASSDDNRSVTSVSTHASTSGPATSTDNTKKGSSAD ASPIDATSESNKASSLRDDDFHSVSSVKSTDPGAGKRP ANIA_02237 MVSPSDESVLSSLLASWVTRVLPSLSMPSLTSVVGLSASLVGLV AGQYFPPTPEGVKVIHSKHQKGVTISYKEPEICETTPGVKSYSGYVHLPPGTLNDLGL DQQYPINTFFWFFESRNDPVNAPLSIWMNGGPGSSSMIGLMQENGPCRVNIDSNSTEL NPWSWNNYVNMLYIDQPNQVGFSYDVPTNGTYNQLTGVRDVSGWSTVPEQNNTFYVGT FPSLNASATANTTENAARALWSFAQTWFTEFPAYKPRDDRVSIWTESYGGRYGPSFSA FFQEQNEKIANGSLTTPGESHYIHLDTLGIINGCIDLLVQAPSYPEIAYNNTYGIEAI NETIYEFAMNAWSKPGGCKDQIVRCRQLAAEGDPRMYGNNKTVNAACQAADRYCSNNV EGPYPLFSGRGYYDIAHFDPDPFPPPYYLGYLSQHWVQDALGVHINFTESIDSVYNAF GTVGDYARSDVRGYLEDLAYVLDSGIKVALVYGDRDYACPWNGGEQVSLQVDYSHADK FRAAGYAPLHTNSSYVGGSVRQYGNFSFTRVYQAGHEVPAYQPETAYEIFHRAMFNRD IATGKISTAKNSTYSTKGPSSTWNVTNTVPDSPEPTCYILSLSSYCTEEQTQSVANGT ALIKDYIVVEESL ANIA_02238 MVAETKLYDALGIKPDASQEDIKKAYRKAALKYHPDKNKDDAKA AEKFKEVSQAYEVLSDPEKRKVYDQFGLEYLLRGGPAPTPGGGGPNPFEGGGMPGGFS FGGMPGGGTRTFHFSTGPGGSGGFQFSSADDIFRNFTKASGGMGGFDDDDIFSMLGGG LGGGARSGGPRFRSSRGASASGNGAGAGFQRQSQRAPTPEPTVVEKQLPLTLEEIMSG CKKTVTVKSKTFDASGKRTVQDVTLEATIKPGLRTGSKIKYRGVGDQEEGGRQDVHLI VTEKEHPNFKRHGDNLITTVDLSLKEALTGWTRIVRTIDGKSLRVSKPGPTPPGYEEK FPGLGMTISKKPSERGDLIVRVNVEFPKTLSSSAKEVLRDILP ANIA_10278 MASGLRILVPVKRVIDYAIKPRINKTRTGVETAGVKHSLNPFDE LSIEEAIRLRERKGPLKVDNILALSAGGPKCVDTLRTAMAMGADRAFHIDVPDSNDGG LEPLTVAKTLKAVVEKENINLVILGKQAIDGDQGQTGQMLAGLLGWPQATQASRVEVK DEQGTVEVEHEVDGGVEILKAKLPMVITTDLRLNEPRYASLPNIMKAKKKPLEKLTLK DLGVEDKRRLKTLKVTEPPARQGGGKVEDVDGLISKLKELGAL ANIA_10283 MSGSQSSGSPGSPGPPGPPGRSALVVYGSETGNAQDVAEEVGAL AERLHFTTQISELNHVKPESLRSYTIVVFAVSTTGQGDLPANARTFWRSLLLKKLPPT FLSGVRFTWFGLGDSSYPKFNWAARKLYKRLLQLGADEIYPGGEADHQHSSGLEGTFI PWLAGFRKHLLDKYPLPPGQDPIPDDVQLPPKWVLRLRDQEAASEDVSPPDAMGAAVT GDFPDSYRLDNDHRPLHDSLTATLVQNKRVTPQTHWQDVRHLILTVSDPISYAPGDVL TITPKNTAEDVQSLIEMMGWQEQADQLVSLVPRDSTRSTNELPSPPIHSLDSYPRLTL RELLINYLDIRAIPRRSFFAAIAHYTTYEMHKERLLEFTNPEYLDEFWDYTTRPRRSI LEILHEFDTVKIPWQHATSTFPIIRARQFSIASGGELKRTSVGGARFELLIAIVKYRT VIKKIREGVCTKYISNLRPGSTLKIQLQRGGLNSSVGQLVGPTMLIGPGTGVAPLRSM LWEKAAIVKSYQEKNPGVDPPIEPTILVYGGRNRAADFFFEDEWQQLSDLIKLKVLTA FSRDQKQKVYVQDVIRENSSLVFNLLHDKGGAVFVCGSSGRMPQAVRETLTEAFQYGN DAGTQPFSRREAEDYLVGMEKTGRYKQETW ANIA_02240 MRQRITYIQKPSLPFHPSQTTLTRDSLYVESLDAAREERVTFSF DELPSELWQVLRQCHQLHIRWASERAFEAVSPLSSRISPGLHVFYSPVEAGRAKRDSE GREPLCTLLKKVFDDDISHALTMSGFWSQAPGEQGWTETIGKHEGGTDKVEIGLLGAE PASEPEEIKVGGLLAVVGQDEELKPTLFSFPSRHHPLHEDATYSVTFPPPTGLHPTMT ISIAPEALKEPPRRPDATCALHAYLTLPSKLFGDKYQLSTTDPLFLKSHNLASLRAVA GETDLEAPDWSISRWGSNWLLELASPSESDEVAEEWNITIPLHLRYLPPSESGYQPAS VPWPVVFWACSAEDGTKMGVNPFDRINLGWEGLFGPRTMFYQLHPSPSPEGGNLVEEL EVPVLTLKENSGIFNSQVIELGTFAVISIGFLWVLWKLGLVARSSGIRPQHQRNPGKR DKAE ANIA_02241 MADQGRKRSRVACKNCQTRKRKCSGDSPCSTCIQVGTECHYDLL SRKKKDIRHFQSQPAASSLLSPATVQNDIAAKRQQQGQQSNPAVLAGLLLKALEANSG AAFARRLNPKNDVAGAPKLHLFGWNVGARFPTPEWAAALASVQPRAVVEIISQDEMRS LAGIFFERVDPCYPFIDQDTLLRQISRRWLPATSEPPGFDPYDAVLCGVAAFGYLFSR RRATTTELQLVESARSILEQSLQSEPVSPLETVTGWVLRVAYLRMTATAHAAWMASCS LMHLIEATGMHIEPSSNTALDLASTSESCDPETRRRLFAMARHLNVWISFELGRSRVV LQGATSLPPSPRPTNPNPRSTASFSAGVETATDIFNLLPISESLDPNEAQDISNLEIA LTDVCNIVYSQPHLILVQCNLMLCIYRRLRALNSIISGDLLDRVLALSGKGLKAVSEL VAVNSPWHQIANVPFQVVCTLLAIDNRASLAMLRDSMHTLHEVASAYDTEVMREAYTT AYLMIVMHQRRKEEDIRTLREVLQFNPSMSAPAETQIRESAVQSDHTLMDYPGFSWLS DILVDIPSLRDFDMEPT ANIA_02242 MIWKHLLQAAVVCIVFISAPGYAVLPLWTSYLLSKQSQEPLEGP GCDGSGVVDPDGRCNGDGVVDSPPDPRREGFRFENPSTDCKFVSQMYIYDVFKVLEKD MGKLFTYVHKDVDFHVMGHHPVAGHYHDLLHFYVNALRRVSVCFSEHAEKFEVHPQAI HGGCNSRWSVQEIQFKGLLNTGDTFDVVNVWVTRWHEGQIVEARTYIDQGSVTDALRR NELWTNGTSYRDNLHYMPGPAGMPDLDELKKLMHYPDGRKYDDF ANIA_02243 MMFSRFFKAVPARAPAFSSPLPVYQARTMATVRNQRPVERATFT IRDGPIFHGKSFGARTTISGEAVFTTSLVGYPESLTDPSYRGQILVFTQPLIGNYGVP STERDRHGLLKYFESPNLQAAGVVVADVAEQYSHWTAVQSLGEWCAREGVPAISGVDT RAIVTYLREQGSSLARITVGEEYDADQDEAFTDPEQIHLVRQVSTKAPFHVSAADPQC HVAVLDCGVKENILRSLVSRGASITVFPFDYPIHKVAHHFDGVFISNGPGDPTHCQDT TYHLRRLMETSQVPIFGICLGHQLLALAAGARTVKLKYGNRAHNIPALDLTTGRCHIT SQNHGYAVDASTLPSDWKPYFVNLNDSSNEGMIHKSRPIFSTQFHPEAKGGPLDSSYL FDIYIDSVKKYKNSQLAFHPSRETIPSPLLVDLLPKERVDVAPTIGMQNVAAAAAAAA AATA ANIA_02244 MVNITEKIKEIEDEMRRTQKNKATEYHLGLLKGKLARLRAQLLE PTGGSGGGGAGFDVSKSGDARVALVGFPSVGKSTFLSKITKTKSEAAAYSFTTLTAIP GVLEYGGAEIQILDLPGIIEGASEGKGRGRQVISAAKTSDLILMVLDATKKAEQRALL EAELDAVGIRLNKEPPNIYLKAKKAGGMKITFQTPPKYLDEKMIYNVLRDYKMLNCEV LVRDENATIDDFIDVIMKDHRKYIPCLYVYNKIDSVSIEFLDQLAREPHTAVMSCELD LAVQDVVERIWKELRLMRIYTKRKGVEPDFSEALIVRNNSTIEDVCDQIHRTLKETFK YALVWGASARHIPQRVGLSHVVADEDVVSIVAK ANIA_02245 MADTAVIDTSPAAATAEPSVDTTPQDGEREEITPNGENALVQQQ SETAETGITDANSTQKKTKKIIRRKRRPARPQVDPATLKSEPPPQTGTVFNIWYNKWS GGDREDKYLSKTAAPSRCNIARDSGYTRADKVRGSYFCLFFARGICPKGHECEYLHRL PTLHDLFNPNVDCFGRDKHSDYRDDMGGVGSFMRQNRTLYVGRIHVTDDIEEVVARHF AEWGQIDRTRVLTSRGVAFVTYTNEANAQFAKEAMAHQSLDHNEILNVRWATVDPNPL AQKREARRLEEQAAEAVRRALPAEFVAELEGRDPEAKKRKRIEGSYGLQGYEPPDEVW FARAKELEGTGNEHAKLEAPEQPLMIESGSASAPQNQVESSGGIFSSSAVAALRGLNG GNVTTKPAPQASGPLVAYGSDDESD ANIA_02246 MPHHHKKKTNVLKNSSPKVEKTRVFPDSRPIELPVVAPTNYQEI HQEEVEALRSIYGDDFEDVQQRRSAWHRSSEVSFRLHLRAPSNADVRLDLLVELPATY PKTCPNITAENLEDLRQGAQSRIRDVLQNKPRALLGSEMIYELADSIQEILEDAAEAQ AHDQDIPSLEEERMVQEAAAIERAERAKEEELRKQQAASAKEELELKQLVQDAINKRT KALSRRKSRSSGLEAAGDTEGMARVPGAITFDPPLVITDADEGPLVFRAVYGKTLLKR VHGASTFIVRPVVPESRPCAPLLVLKELSINEKGADALAFREQMRLSEDKLESLKRLR HQNLVDFYGFKIQTPLYSGHSEDSTWTVFALVEHANKGSLSEFLDIVGTVPVEMIRSW TIQLLEALEYYHRHGFVHGNIHCGRVLLFRNTTGGTIVKLLSSIEEALPDAAGNKRLL MASKSPFWFPPELTQGNSSPTMKTDVWDLGIVFLQMAFGKDVLQRYTSANALADNLEL SPPLHDLLQEFFRPSPKKRPTAFQLQPSEFFRVDSPLIMRTSASSSMSLSRRPRFESF SGGLPSFSRYHQDFDEAGRLGKGGFGEVVKARNKLDGRFYAVKKISHKSAAALKDTLS EIMLLSRLNHPYVVRYFTAWLEEDCDQSDEEAISFTDGYSVGSRRSEEFEYSTTGGLD FISSSGYPNIEFVPDSDEEDAGTISTREKGSSPETFGTESGTGKELSRVRSGSHGRPM LTALYIQMEYCEKHTLRDLIKNGLYDDVDRSWRLFRQILDGLTHIHSNGIIHRDLKPD NIFIDAASNPRIGDFGLATSGQFTTAVRSSAAADFGGNLTRSLGTTYYVAPEMKSGFA GHYNEKVDDSEKVVQGEIIKSLLSHDPAERPSASELLHSGQIPLQVEEETFRRAIMHL LSDPSSPDYKKILSAIFSQSPKKVEDIAWDMHSRAPPAANELLMHGLVKERLTSIFRK HGAVETTRQMLFPKSQHYNSGDVRLLDASGNMLQLPFDLTLPNARAIPRQDPSLEKTF AFGTVYRDTPHGGEPRTHKEVDFDIVSRNTLDLALKEAEVIKVLDEIIEEFPPLKASA MCFLINHSDLLQLVMEFCRIKPSQIPLVKEVISRLNVGKYSMQKIRSELRSPTIGVAS TSLDELARFDFRDSPKQTQKRLRAIMEGTEFAERLTPIFARINSLVTFLQGFKIKRKF FICPLSSLNEKFFRGSILFQCIFDGKRRDVFAAGGRYDSLVQDFRSGVTTNRVQPHAV GFNLSWDRLSSAMIDYVKAPTKASLKHPETDVGAFWKTRRCDVLVASFDPTILREMGV QLTQDLWSNSISAELAVDASSLEELLTKYKEHNHSWIVIAKQDSKERGFKVRCLVPKE ELDIRGSELIPWLRSEIRARNQREGAPENPRFARLPSQSEVNTPANDRSNDVRILVSQ HRSKKTNRRNIVEQALTRAREVVENALNGPIAAIDTRDDLLEAIRDTRLSDPDSWRAV IQNAPLTERKYLQQVYDLLAEFASESHGDSADYPGNAFIYNFRTGSCLYYDLGSANER ANIA_02247 MLGWMGGQTERATDDSKVMEPPETPAPIFALRAFQSALFGTPKA DDEDNTSVHLKSKGEAHNQQLNKNNSLPRLIERNGDSSKTDSGEIDLAVNAMASPTKS ILMTPGTVSNRRKTVSFGEGVIDNERKRDDVSTKPVKTPIPATGIISSQWASSSSEGK RSKLTQALLDSRENPSRTSEKSSQKPDETRSSKGTANPDAAPAQGNDNEDTINMNEPR SRSGKYWKAEFDSYRSKTALEIRRLIQYRSAAKTYAKKKDEEACRLAAKLKEEELRVE EMERHVTQLASTMVAENTRADKEQLVQDLTKQTALALQYKHKVSLLRKTLEQHGVVGS DIDEIAGASEPSVAPRETSEALQKSQQALAEANAKIEDMKRQQSDFEKLKGLALSSEQ KASDLAKENASLKYTLARFKQEASKYEGRRKEREARLKSRESKLETRIQEYRDRLKSA TQQHRKQEEELKLSFDQERRQMQEQIDMLKTKLRAFESATELRARARPESRIDYDGIH TYDFGATSPQKDALDETGETDQPPSPSPRGKDRPPLSLGTDLTDSDLRQAMKEMGIED IDEKFNNLGISPLRSFKPPRYRDDTDILPPSSPPDFGTWAASNQRRNPETRNSHLLYV PRQSSDDSHKVQTNRLQSRRAATKYAPDHSSSGPDTHQMDRARRRQTVAAAATTSQRD SLSLDRKMAAQARLRRRDDSRKLIRDDGKENMRTTVRA ANIA_10282 MEFDPQIPPYLTSDPKSFGYKSVNDRWPVIVTGAIDDLHRTVAD VTDDEKRKEGKTIIEELAKLKYEIQHDRQLTPLDDDGEPGIQEYNEELEKRGNPKWHN VSWLFSECYLYRRVSTSKLGRSTGKATMCLPAKRCPLSSLPDLPYKELALEAKEGKTT EESHRLLFSEMCEICLWGNATDLSLLTNLTYEDIQKLQGSKARKAAEENIIVNDLNAA FDVLRQAKEEKKGERRVDIVLDNAGFELFVDLILAGYLLSADIATSVVLRPKLIPWFV SDVIPRDFADLIQAIADPQSFYTAPDEAGNQQSPLSDKEVEEVKFLFDQWSHFHAEGK LIIRPHPFWTAGGSYWRMPHVAPDLFEDLKEAELVLFKGDLNYRKLINDAAWDPTTPF ATAIGPLGPHSGIRVMSFRTCKADCVVGLPAGKDEELRNAYEGSRSGGREWAWSGKWA VISFCDGKA ANIA_10281 MASQDVEEATALKVQGNKAFAQHEWPTAVDFYTQAIEKYDKEPS FFSNRAQCHIKLEAYGFAVADATKALELDPNYIKAYWRRALANSAILNYRDAMRDFKA VVKREPGNRDAKLKLAECEKLVRRLEFEKAIEVADPPSAFESLDIDAIAVDDGYDGVR LGSEMTQEFIDDMIERFKNGKKIHRKYAFQIVKAVKEIVYAEPTMVEIGVDEGKRLTV CGDTHGQFFDLLEIFRLNGFPSDTHAYLFNGDFVDRGSWSTEIALLLYAYKWLRPNGI FLNRGNHETDDMNKVYGFEGECRAKYNETMFKVFSESFSALPLATLIGNKYLVLHGGL FSDDKTTLDDIRKLNRHNQKQPGQQGLMMEMLWTDPQTEPGRGPSKRGVGLQFGPDVT KRFCENNGLEAIIRSHEVRMNGYEVEHDGRCITVFSAPKYCDTTENKGAFIKIGPELK LEYQVFEAVPHPDIKPMVSPTRDLTRILPSLIVNEALIASRPMRKIR ANIA_10280 MSFYVAPSQQRTLRACMVCSLVQLHSKFMREGCPNCDNVLGLRG NNDNIQECTSQVFEGLVTVNDPATSWVARWQRLDGYVPGTYAVKVTGSLPTEVIGHLE DAGIKYIPRDGSTAEEEG ANIA_02248 MASAFRSSLKLRASARLPAVRTITTTPRLRAAEKPYFPNEPTAP KLATAIPGPKNKAASEQLNEVFDVRSLNMLADYTKSVGNYIADLDGNMLLDVYAQIAS IPVGYNNPHLLKVAASPEMATSLINRPALGNFPSADWAHILKTGILKVAPKGLDQVFT AMAGSDANETAYKAAFMYYRQQQRGGPEKEFTEEEIQSSMLNQTPGSPQLSIMSFKAG FHGRLFGSLSTTRSKPIHKLDIPAFDWPQAPFPSLKYPLEEHAKENAEEEQRCLQEAE RLIKEWHNPVAAIIVEPIQSEGGDNHASPAFFRGLREITKRNNVLFIVDEVQTGVGAT GKFWAHDHWNLETPPDMVTFSKKAQTAGYYFGNPALRPNKPYRQFNTWMGDPSRALIF RGIIEEIERLFLVENTAATGDYLYSGLERLAKQYPEHLQNLRGKGQGTFIAWDTPKRD EFLVKGKGVGINIGGSGQNAVRLRPMLIFQKHHADILLESIEKIIKQL ANIA_02249 MKLPPPEVLLSWPTPNYINPPTRSHGVLIASIIFLALSTIITAL RLYTRLRITRTAGLDDILVVLGLGFGIGMAVVLCLATEDWGWVRHIWDVPLDWIPMVS KLNLIFQIFFSLSCSLTKLSLLWFCKRLLIVGNKGIYSTYNIAMIVGMVVVAVSSALF VLISIFQCRPIKAYWDLEPKYPHTCLNDGAIVFSASTINIFTDVLTTILPMPLIWKLK LPARQRLAVMGIFGLGIIVDVAGAIRTYYVWQSMIASYDTTWKGWPVLLAATVEINLG LICASAPALRPLVNFFIPRLLGTSYRYGSDRGYRSRNFENSRQSWRLKSLTGNSSKPS RHSNFYNVDAKISSDHLKVFRTVEMETHSESRTSYDPTGTACDITSRPQSPIGDVARL TNDSETLKNPTLSERSISPPTPSIRSDVRSIPSRHTKESLYTNNEYM ANIA_02250 MAASFMTWLITGASSGLGKSLALAALKAGHKVVATTRDVGRAEK SCPDFSTQGGIWVELDPAQEDAYYLFTKFAQEHYVDVLVNNAGYAFIGGVEDTSLSDD AHMCAVRPTSEIRWKSTFMALCELSEPACRFCGPEALATSSLLAVAQGRGTYSASKFA IEAMHESLSYEVKELGIKVLIVEPGAFRTPFASRVLTPTQFEHGFSEGYKDTVLQQMM TAHRSIKSIPDQFKGDPDKAARAILSAIASGYEYLRLPLGTDCVAALEDKIGQLQRDL AATRAIATATDVD ANIA_02251 MDGGTAHSAPPADAGHAAAHTDGPAPVQAETRHANNAQGQHSGP PSEKSSSPGRMTPRPTFLENLADSRDSQFMLNRRDSDDLDRYFHGPRDLDKHSKWPIM MRMHGSIMPKMILPITFVAGWSTCITLISRFVYRLSVDNILLTVLGFVVGLSLSFRSS TAYERWADGRKYWSLLVQTSRNLSRTIWINTLEREGELGKEDLLRKLTAINLILAFAV ALKHKLRFEPDVGYEDLAGLVGYLDTFAKEAHDRQVIQPRKRTIWKVTGEYLGVSFAE SNPRKLIKRSKKPLGHLPLEILNHLSAYIDSVIRNETLNISLHQSQAIACLAQLNEVV TGTERVLDTPLPVAYSIAIAQIAWIYVLVLPFQLYDSLGWVTIPGSIVAAYIILGLAT IGSEIENPFGHDVNDLPLDTYCRQIALELDIVTAVPPPSVDEFTSREDNYVLYPLSTS GQPEWKERSVEDIRAALRAKVVANTSPTASKTSTVLEEVNTKLNKQSSV ANIA_02252 MASTKRKIPEDSSASIETINFTARNPPWTYLKLQLIHQPNTSAA TKSAPLDPLTARTHLSSALSQFLGLSGSSIPVDILSVSPDPESKFIWVRVPRQDAPAV VAAVSSWIGGVGEEENGGSVAWRVCAKGNFLSALVNGDGGDLFKV ANIA_02253 MPPSSMLRLVRIASKTSRNKSQKLANRYNLHISCRVKPNASGGR EGITAVGNETVDVCVAAVPRDGEANLAVSQVFAKVFNVAKSDVGVIHGLKSRDKVLCI FNLDIGTETEERFLERAGKRLQDAVIKK ANIA_02254 MSFNSISDHLSIDLHRVLVPIVQHLSSQPDVFGVVYRRPGIEDY TLSDMFYIPEQANWSLDNLPDILYVIRPPEEYRSKAKRPVQKSSYRIFGKHVNDFETI LPRQISSNAEGWRLEAWMRYDPRITAEDIIDRVHPFYRKYISSVQIHNRRKEFREHCN ITCWEAGPDDNENVKITNLLEECGYEAWSTNSTRGLSPGLINPTVGEAGGRIALQEVL VRRFDAPDSIAYWVYEAFPWDSVSFWFSAGPVRFIIDVHGNILSSHTSYESVISRELY DGIISSFQVAHPIDAQQAKPNHLPGNLPEAQPHEMEFLSSQIALPPAQSVVHFAPDVV ELQPRAIAIPHPKKRAPSDATASAARRINITDIIDPSGLPTPVGTVERVHYDGQVDIS PSDTTFENMVLESGVLSPSSLPPAKHSGEQVWQLPTRLENPTCTMDDPFWVPGNA ANIA_09535 MKSYRVTKPTLRSSRKVPRRLQKRKAPPRQDSPNANDPRNRTSY HPDQAHWSWENLPDILYQLSPDEDEKKLKDPGLMSYPIHGKYLRNLPALPDNISSTVE EFRVEAWQRMDPRICLEDITARMHPDFRIKNNALQQRGVRFRQAFNLKAWRSGNKRSA HLEADLLRRMKELGLDINSNSTRGITPGLVNPQLGEQGGRVPIPKGWRIRKMGNKSTA NGTAFDEREAPFQSRESQFRTNGYSETTLPDEPDAMPEVPEVIPPDVVEHEAQTGGYV HQDTNDDETDNRFDDSLPIIRGIFPDDELPDTVSLPDLDLLSWRSTFWTPSSALNFHS FCLQDCFTNFPIQSEELLDYAPSLFTRSSSKPQTPTTGIFPVNDPTLLDTVPERQQRN VFDDMLLECYKGERHVFEMPYHSYSSVTS ANIA_10284 MAESVYTDYPPSLNPAQKDFLVRTVKDWATQNGLMVRPAPTFVS KESDPRGVLATNAPVTLFPSPFPRTCFEEAKALQTLYNKLYAAITCNEEWIGKIMEDL IDVDDFISNLWKVHLAVKEEGYAQTLSLGLFRSDYMAHAPSTSTIPELKQVEFNTISS SFGGLSSLVASLHSELLDSPPGSPIAYPSHPLLKPKAIPENTAVETLSAGLATAHTAY GQSKSQPQLPTCILFVVQENERNIFDQLALSRQLTKVHKIPVFRLLSSEILDHTYIPS SNPSRPLIYRPPYAEEIHFEVTTVYLRSFYTPTDYNSNRDWEARTHLERSAAIKCPTV LNQLSGSKIVQQVLATQTGQDHLATFLADTDPALISRLRATF ANIA_02255 MQFNSSDPLDWTVDEVVAYLCHNPETPWSRSSSTVPRPPASFEA SLRKNLITGEVLLQDVGKEALRDDLGLKALGHRSFIMSAIRYLKRLSPKYQASISEQT AEMFSLSPVHPQPPLHTSAQSPTAQYSTPLPPVGPNTPALAKSVTTFVTSDSSVAGEV RDNVNDVRQNSSRFDMPIPELSQNLLQDSCGRIEHIHNRYNEQIVVDKHGNKRRKLDL CISVEPRTDNSIPKRSGNSEVQSWYMGPDGITVEQIFYDPELGDDDQTFTLISPRLPT AQHTFVNNRLKYFFQQSPIKLNTNGRSSYHAVIPYNLSVAKFSKDRHFTLYTTRQGRV NATKEKLDEWPQLKIRLEVDKDARPRSEFLGPSDSFSYLLQKYPVQESLEHTLPIYGD SGSEAEFDEETWQEIYEEAGESMLPQSKNLGPAKVDSVIRDCVSQLETKWLETYQAKE EYKARKLWLAARKRIGVNQEVKAISKDTALLELRLHKLRNEISRNEYSTEAELRTQCQ CLEQTVFEIQKQKWRSSMLKQEKCPDKVSAPPKRRHLPKTKVGDDESLHSESSISEDN SHDEFVVDDTGAPGIIQGQFLENSPASLSPSGTDDAIISVSGKRRSTRGQVPRVFESS SRSLSPSAPHAHQVQEKPEVIDLTEETPEPDDFMIKTPPLNPVAIPKSCNTIKAGMGA SMSPPPSFDSAESSVQVKTERGPSLSLPNINDMRGIMSLEWRLIEERKDRRRLLAKLV CSLSDKERNLMAEQVPKYPLLSLKTHTRRALECLIKGSRDVEGMEASMSHLVMRISSF YISWLHCARHDLQGIKKRSVQAALEKLEDNKNGGFETYYSELINRLECWQAWQRDTEA DPDRDLVPEDTPHKRRKRAVQESQSAKLHQASAQQRMRWQEKQRKRLEKRLQSMGVSN DSPSRHAVSFKEPIVYLHPHIGQRVKLHQLSGIQFMWRELVEDKSQEGCLLAHTMGLG KTMQVISLLVTITAAANSPDPRIQQQVPEGFRPRNDLPEARTLVICPSSLIENWRDEF VMWTPQDVLLTVRQVSSEDNMDVRLAEVSMWYEKGGVLLISFDIFRNWVLNQATAKKS PPLSDSKHQDLKHWLLEGPSIIIADEAHKMKNPDSATSQAAMQFRSKSRIALTGSPLA NNLGDYYTMVNWISYDYLGSFLEFKANYIEPIKEGLYADSTYGEKRKSLMKLQVLKQI LEPKINRADITALEGDLPPKVEFVLTVPLTKIQKEAYDMYAAFILQGRMDEVTQTQLW SWLSILGLCCNHPACFQDKLVSQAQGSSKKTNDTRKTSDVDQAISPDRLGDGFIENAG IRNLEELVAEQLRIFANVHDLKAVELSVRAVITKRIIDESIRAGDKVLVFSQSLHTLD YLERLLKVTNRQYSRLDGQTPAATRQAATKKFNQGEKQVYLISTRAGGLGLNITGANR VIIFDFSFSPIWEEQAIGRAYRLGQQKPVFVYRFIAGGTFQEIIHEKATYKTQLAVRV VDKRNPIRSAHKKPGDYIFPARPVAQQDVSEHIGKDKAVLDKILREDEKKGAENKLIR DIKLTQTFLVEDDDKLTEEEKRTVQEAFDDETLRRTDPAAYAKRVAERQLKQMQEEPY RAQPASLIPVPVGPNAYQSQRQNPPNGAPPTASVNHAVQQSLQPAVPQSAHNTGPPAL APDIISAHVAVADSNFGRASPFLANMQVSGLCSPAPDETTLVNGQGKPQTLSQPSHSQ SPNGKVQKCQQLIMASTRKPSIKATHQSASSATRTPGLAGAQAVLRTAIHRRQTFSDK VGSEPLRRISPIFNPPKPGAEQGFSPRSQSMPLQPKESTPQAPASEQP ANIA_02256 MAERSKAPDSRKRFSYLNKVSGLRKRAPGWYILIRLQLTRSPTK LEVPLQPKIMESRSTKRSIHLVDYAPGEVHEGAPAPPPKYPRIAGQRFGQDTTFIPLS QASQVSLQEDEDDAAAADLLQDNQVVDDFSDTTQLHYGDLNTKIVGVRYYRGTATIGE HVVLKREPHNQYDRNAIRVDNVMGTQIGHIPRNMAAKLATYMDNRLLVVEGVLTGVIG AFDCPIVLKLYGPSDLERREALKQRMVQDKLPLNHLKKAERDEKKVQKEREKAVKEAA KRARKGQLLEAATNLGYSNLSQPFGEGIITEESLDELINQSSTFNPREINRVTESFGL KESDLENMPMVESPSSLSTTLLPYQRQGLAWMISKENPGLPTSDNDVVQLWKKEGNKF TNIATNFSTTAPPSLASGGILADDMGLGKTIQIISLILSNSQPKTKESSKATLIISPV GIMSNWRNQIQEHTNPEQAPRVLIYHGPGRKEDANLDHYDVVVTSYGTLATEYKTESK ATPQKGLFSVKWRRVVLDEGHTIRNPRSKGFSAACALRADSRWALTGTPIVNTLKDLY SQIRFLGLTGGLEDFAVFNSVLIRPLMSDDPDSRLLLQALMSTICLRRRKDMGFVNLR LPTLTSRVLRIKFHPHEKEKYDMFQSEAKGMLLDFKSNNKTGTTYSHLLEVILRLRQV CNHWALAKNRLDKLAAILDKHQTVPLTPDNIKALQDMLQIRIESQEICPICLDILETP VITACAHAFDHDCIEQVIVRQHKCPICRAEIENKSSLVAPAADLGENTDDVSADPDNP SSKIEALIKILTAHGQVEATKTVIFSQWTSFLTLVEPHLQNAGIQFARIDGKMTSIAR DRSMRRFSTDPKCTVLLASLSVCSVGLNLVAANQAILADSWWAPAIEDQAVDRVYRLG QTRETTVWRLVMEDSIEDRVLAIQEQKRKLMLAAFREKASKKVDDRATRVADLEKLLT ANIA_02257 MRTKLRSMVDPDSAFSRYERIVLDTRERYETFIRNGDAKPLLLW HALLPVILLICALLIPSRRGGGSILRQILYVISLGISAETIRYRRVLLGGNGYMVGLI MVWLLVWSSTLLFFNNAERDFRRIERVRSIKEKSPVGKQNGHTSSKKYGQDEVQRDAY TLVWQPYPRSFKHRLGWVLALVLNMRGPDFSFRISSLDPLPSQLDPKNSPADAVRAGP QSKSRIRAAFTCFFVAYLALDILKLVVIWDPYFLGSVSATPPFPLEYLSIFPGLIRAY RSFVTGAAVYFALLFVTALNPICFLGLSTAFPKASRALTATPLDAPWLYTDQFGPITA ILDDGLAGAWGKWWHQIFRFGFVSTAKWFISFLPASVSSQRIVRRIITTLVAFGISGL IHGSGSYTQLGENTRPVTGTFLFFILQAVGVFVQDLWARAVVAQLTRSGLAPPRWLRR TGNVAFVLGWLFFSGYRIADDFANGGMWLTEPLPFSLLRGLMGQGWLCWRAPWFKYHD DGTFWGSGVRVM ANIA_02258 MAHLYLSLRAVNHRLTTLPVQKLPAIAASLAASITECGELLSAP QSQKAGKSDSDHSVQVHKLVTRISSLLQDRSFEGRWAAVVLVKALVEAGQWEIIRGSE PFVRGLMSILSKSDPASTKTMAVITLTRIFHLTYQYPTLVREITTPSLPGFITTTLNL ISVKPTSEPTRSLKPNTPFLEVVLRSYAELIARHPTIFRPFTAQIHSLLQTIVGSTSA LYSRSVVDVAEQLFIALHHCAPKNTGGEEWKSACRMTINSIHATGNHVLRAIVEQWES VDPALRQQLSHPIDYALEVGSHKTDALGLTGWQGLDAGVERLLALLRMLSTFLATATA STVSIPVGSILDLTARFMSVVVPSDAGDVQANRQVSRTEREALLAELPRIHVACIRIL RALVGTLETAGLSVAQTVLEQTLWVFRAEKFNKKIRTSVYDILGALIKHVGPSMNKKN VASLTDMIRTCCFDILPQVGEYGTKDTSPAANGKSKANSAAVNADFFLNPSLKQGRGT NATTRPPRLVRTASKLLQIVLSHIALEFLAPPIRAEIDRTIIMTSDKDAMYASVLNPH PAVKGRGATISIIPFLARSYASDMNMEALIRPRLPVLAPSSYTGHYVNIEEDDDEEME HVAMPEPIQAEDPAVFLKPAATPNLRDLVDSNAPQSFTASVNKRTYTEETSQNSTPSA SFPVMVDNLQPKKARFDSSVSTPPATTLSQPSSFTGTLTPAAAPLSSVQPATTLAGTT METSTVGDSVTTSTTSVMVEQSTTVPRVEAVALPEEADSDEEMPTLNIEPDTDEEDEE ANIA_02259 MSARESEKGHRYVVALDNARSQNKWDEVPELIRKVNKHAPHKTS RAEYQIVSHFHKTPSTASPPSSSPTDLSELIPSLLSTIDKADGSKQEIFQAQVCLGWV HYTLNEPGLAAARLPKDFKEELDALTATGDELSPWTRACFLKGCYIKGAAQHQVLGPQ EALQVFNSLVPWLNSTSLGSSSNQFLYWSEKMLADGALIAGEEVCKDIASADSELVGI ALRIFRAWSSHPSIKSRESLSGMQNESSPESVPKSSIWKSYYDLLSAILLHELPYTAP AQGPERLQLATELRRVEAICEANLLREVKFPTADSGNEIIEAWVEQVIQNWKRLCGPQ WHDDELGEGGQHALGKNVLEILYRAATRTYHSFLILRRLFHVHSALAEFDLAFKALDS YIEIVTGAKERAEKSVPNEHLEDDGVFMRTLSESVTILCSYGSEKEAEKARDLTAILK VFINNHVTDSEEGQGRKMIFDPTTVSPADISATYRAIGIGLANWASWTPVNENRDDIR AEAIECLERSIAPELGDQLNYSSLYALSLLLAENRDLDAAIDYVKSALSSNKDPEATS AFSRERDLIPLWHLLALLLSAKQEYDIAERSCEAAFEQFPAAVTSLAHSDRRPAKHQT NAQEQTTPRKALLDQLRNREKERIIETRMTQLAFVEVLEGPEAALNHSDQLLGLFGTL FHTLNLEPETQSNTKTDLSVRPKSSSGTVRSFRGSIFGRHRPPRVPDQKTLPNGEFKA DTPSQPSPQTHSLHLDGAPSIHVTDENDPNVADGHASLARSESRKLRKRSSTIKKTDS TLDSRNPNGDSASQYGPDRGEINGQHREQEIPSPEMVRSAVSQAADQPQSAKRTLGPI AHNMKHTHLDQPAGHEDQPLEQDIRLPTSYGFESPTGALTKFPHTQAQKHALCILVKI WLLIAGLYRRASSFEDAAEACDEASKYLKRIETLTASQDASARSFRERGWAIPKSSDE LWADIYTERGLLLNAQSRPHDAMEHFEEALLYNADHPKATISLANLLLDIWDQKLPLK PPEPGVETGLPEMTPAPVKRSVESRRLSRAGVSDLVKLKTEEPEPTAEDEEPILVNRI AARERACNLLTALTRRGTSWDNSEAWFALSRAYEAVGQTQKLKEVLWWCIELEDRRPI RHWSNIGSGAYVL ANIA_02260 MSTILRTLRNLRRIGFKEYGHQMQYIGDTKAGTLIGTDRYGNKY YENMEEELPLRTRWVDYKQKEYDPSQIEPGWHAWISYMVDIPPTNDKIMQLGVRPWEL REHRPTLTLSRAAFKTYSTTKPKYTAWNPVAAPR ANIA_02261 MFGRLGGNTLRCAARSPVVRRRFWERSFSSHIPSPTGTTAPSSA SPLGSITTELDRISPCFEVPASRISILDSPASFYSTLKKKIRKARKRIFLSTLYIGKT EYELIQTINQALRDNPDLRVSILTDALRGTRESPNPSCASLLASLVAEHGPDRVDIRM FHTPNLTGLRKRWIPRRINEGWGLQHMKLYGFDDEIILSGANLSNDYFTNRLDRYHVF NSKELADYYARIHDAVCSLSFKVLHDPHNKAGYVLQWPSANSACSPLDDPENFIGYAS TVLKPIIQPSVRNAVLEPKSSNQTFVYPVAQFTPLLKPDTSTEFPAVTTILRLLSSSP AFSGAQWLFTAGYFNIHPVLSSLLIASTSPSTAQASSTTRGTVLTASPWANGFYGSPG ISGMLPAAYTHLSARFLDRVAATQATNFIELKEWRRGTVGEPGGWTYHAKGLWVTLPE EENPSLTFVGSSNYTKRSYGLDLEVGALVVTGDEELKRRLKAETDWLQTDAIAISRDD LRRTERRVRWNVRLAMWIVEKVGGAL ANIA_02262 MPPRKQWIDKKNATTYQLFHRSQNDPLIHDPDAQDRILHPVYGP AAQAPSTSSASTSSAGRAKHLSDLASEFGNDSIRKNEGEAANYGIYFDDSKYDYMQHM RELGTGGGAYFVEATNKDKGKTKSLKLEDALAQTSLNNDDTRSNWGNRSSVGSAYGAY STASTYSRKPTYQDQQDVPDSIAGFKPDMDPRLREVLEALEDEEYVDEKDDDDFFGEL TAEGQEMDPGDWEDTLFDHDEDEGWESDATEKAPVQPSTSSLKQESNVAPGELPEHDA PAPDMNPDDQDWMREFAKFKKAGKTKTTPAAPPSIVPSEQRSTLASTVFTAGGTPIRR KKRKGALTNPSAYSMTSSSLARTEGHRLLDDRFERLEALYALDEEEEYDDSMSMVSGM TGMTDMSTASSQAPSLIDANGNAVAPRHDFNNIMDDFLDGWDNNTSAQAKRKGAKNKR GKNGNEAIGIRMLDEIRQGLGPARVPGRVSGKV ANIA_02263 MVLAKSKNSVGLGQSLMNDRFGKGKASNMKKSSHNAGIARKDMN GETYITNSAKEASWVKMRSITEQAALDEFLTTAELAGTDFTAEKMNNVQIIHTDQKNP YLLSAAEEKSALRKHKKNKGRLTVPRRPAWDASTTRNELDAMERESFMDWRRGLAELQ ENNDLLMTPFERNLEVWRQLWRVIERSDIVVQIVDARNPLLFRSEDLETYVKEIDPKK RNLLLVNKADMLTDKQREMWADYFERNQIEFRFFSAQMAKEANEARENEGEDEDTKSL TEGTENLNLQESKEKEADGGVDLPSGTKAPSPKRTNILDVDELEELFLSNAPDATKDD EDEQDGDSKPRKTVIGLVGYPNVGKSSTINALLGAKKVSVSSTPGKTKHFQTLYLSPE IMLCDCPGLVFPNFASTKAELVVNGVLPIDQQREFTGPAGLVAKRIPKHFLEDVYGVT IHTRPIEEGGTGEPTAHDLLRAYARARGFATTGQGQPDESRAARYILKDYVNGKLLFC HPPPANEAEGEEQIDPAEFNKDLYDWAHLPPRRQAMLAATQASASSSSKNPVQGRKSQ SVDKGFFGPGSSSSGGRLTMPFNAKYTEQGQQIRKPLTGRKERVMIALERGVDVSEVR GPSKKHFKVKHKNQKKGKKTAADDDY ANIA_02264 MLAPRWLTTAIFVLTYIQKSGGDSQKQPVCLARDWREAVVPLKW PTCVETRWDRWPNGELTTTPTPASHNNLKSTSGSSSVSVSITVEPGPASSLADHELDT ESPLDNVNFLSFEDWKKQNLARAGQSAENIGGNRRAGTAEKDRRRPLGINNALDSLGD DVEIELDFGGFGADASEAAKTATDWVTHVPSRGSGGAQVVPDGGRDTAEASGQGVPHA GGERSKDAGTTCKERFNYASFDCAATVLKTNPEAKGSSSVLIENKDSYMLNECRAQNK FLILELCDDILVDTVVLANYEFFSSIFHTFRVSVADRYPAKPEQWKELGIYAARNTRE IQAFAVENPLIWARYLRIEFLTHYGNEFYCPLSLIRVHGTTMLEEYKHDGEVNRAEEE LAGGVAEPALETETVTEDATKTEVPPPEAPSFHVVNSEIRPSKICPKFVTSVELALLG SVNPQTCGINDTSEESPATEGNKPVLSKTSSSPVIPSAGNAAKAASPEAGDYKASGSS GVNPPNTADTAASGAASSETDSHNATSDQDTRSTAASRDEQGVESIRTTTTQPPSANP TTQESFFKSVNKRLQMLETNSSLSLQYIEEQSRILRDAFNKVEKRQLSKTSTFLENLN VTVVNELKQLREQYDQAWRSVALEFEHQRIQYHQEIHSLSAQLGVLADEIVFQKRVAV IQSIVVLLCFGLVLFTRGAVGSYIDFPSVQNMVSRSYSLRPSSPILGFGSPPGSPGST RPTSSYRTTPGHRRQVSQDSQDGSVSPTMYAPPTPTSDDSRLGPDERGTTSPSPEGAR SLAEVAPPLLRSNSSPPDLNGENEGGCEKNHEDLDSDPECSGFETGGDDTLAESPVTV MMQQFQCPTTKETSITSLKMNSNPLTARSPNTHLAVSNEQDLKTASSTTDLMDYHRQK LQGKIENQDKQQASYVSPSDDIMSPCSKKLSDLKGKRFKNVEQDGKGDEFPLSLNPSS ATGPRRPWIWYFPAPLPRLAASTPNNQKSNQQDQVEEFVEKECIPAEALFSAQLGTGE QRWKTNPAVMEELKTKAKKIGLWNMFLPKNHFSQGAGFSNLEYGLMAEYLGKSKVASE ATNNAAPDTGNMEVLAKYGNDQQKAQWLTPLLEGKIRSAFLMTEPDIASSDATNIQLD IRREGNEYVLNGSKWWSSGAGDPRCQIYLVMGKTDPRNPDTYKQQSVLLVPASTPGIT IHRMLSVYGYDDAPHGHGHISFKNVRVPLSAMVLGEGRGFEIIQGRLGPGRIHHAMRT IGAAERAIDWLIARINDDRKKPFGQPLSSHGVILEWLAKSRIEIDAARLIVLNAAIKI DQGNAKFALKEIAQAKVLVPQTALTVIDRAVQVYGAAGVSQDTPLASLWAMVRTLRIA DGPDEVHLQQLGKRENKSRREEVTKRVAWQKEQSDRILTANGFSKLKSLL ANIA_02265 MESLKNSFIPGQLLDGRFRTVAPLNHGSFGMVFLATDIKTGRDV AIKCMLKSSNDSWNPSSSDSRFEELDCHQRLAYHPNIVNLVHHFETATHLYLVLEYCA NGDLYEAIRLNRGPLETEHVREFMLQLVSAVEFMHANGLYHRDIKPENIFLTQDGSMK LGDLGLATRETWCYESCVGSDRYMAPEQYDPANNGYSPAKADIWAIGICLLNVLFARN PFATPTESDILFADYVRDRQSLFDIFPNMSQDTFEILRISMALDAEKRSLSGIRDAIM RAVSFTTDDEALDEFCTDEREVVPASANREPLRTPSIQSPQINQGDSFPWAKALQSSP PQPIRQLSAIPDNESYSEDLFPPSETAGTSWFSVHHTPSMASVLESSLGDSYRSTALP VLTKAAPRYPPPSDPVTITGSLPSQAAKPIPSLSMVFGRKNKSSEQISKSWSDLWEEE ESEYEDTALNQRREQNSRSWSHESTSVDTGSSLTTCLQESRSTSVLDSRNEPSRPIEP SNKPAERVPVAVAKVIPSNTKTSPKKTSLDKWAALGDKRRNFKPAEHSFESKRFPNNM TWRKDWGLGSSGFDYGSWAKKESLAAQDRRRRQFLNRDWRRDSLESPKHVNTKSDYHG SIDEDLDLVGGWHDLHL ANIA_02266 MALDTSSGYAAHSSLIEPDPLPMFDVRHVQLQFPLAADFVAAQV ADNVLILALSTGRILRIDLNNPEHIDDVDLPKKSSETGVIRRMFLDPSASHLIITTTL GENYYLHTQSRHPKPLSRLKGLLIESVAWSPSLPTASTREILLGTTDGQVWETYIEPS TEFYRREERYASSIYRTSDGSPVTGIWAETVPTKAEQRRILVATHGKLSCFLGRTGRS GKEGAGPIYADLLSRETPVIHEIGQPSSSAPSNLVVSPSSSDAHHLDGHRDKEFAWLS SEGVYHGQLPYSLDMLHKPFESSSMLPRSIFPATESARGGKKLIQNPLTAMTLSEWHI LVLVEGRVLAVNRMNDEIVFDQEVLEPGQAALGLVTDAMKGTYWLFTSQDIFEICVQD EDRDVWKIFLRKQKFEEALRYARTSSQKDAVSTASGDFLASKGRYIEAAGVWGKSSKA FEDVCLTLIKRGQHDALRKYLLSQLSVYKKSSSMQRTMVASWLIEVFMTKLNSLDDNI TTKAELAEGSSTEEIEHELQAVRNEFQEFVTKYKSDLDQKTAYDIISSHGREKELLFF ATVVNDHNYVLSYWIQRENWSEALNVLQRQSDPEVFYKHSSVLMTHAATGLVNILMRQ TNLEPEKLIPALLNYNSTVSVPLSQNQAVRYLNFIIVNHPRPTAAVHNTLISIHASSR SSSEAGLLTYLQSQPSSPPPYDADFALRLCIQHQRFQSCIHIYSAMGQYLQAVELALQ HDDIELAAIIADRPEGNNKLRKKLWLLVAEKKIRQSDTGIKDAIEFLRRCELLRIEDL IPFFPDFVVIDDFKDEICSALEDYSRHIDALRQEMDSSAQTARQIRSEIAALDTRYAI VEPGEKCWTCSLPVLSRQFFVFPCQHAFHSDCLGREVLEGAGGKKKYIRDLQSQLNEG ALTSSQREEVVKELDGLIAEACILCGDHAIKQIDKPFITATDNVDEWCL ANIA_02267 MSGIHRFLTRRERNNRYSKHEKDESSDVARPVLRGFFTSETTSS DSTEQQKKIKTLERRVSHLGITGLNEQHLAYALQYTQGDVDAAFELLLLLEDSIEGIV KGYSPSTKLLGAENRNGVTCYLDALLFAMFARLDCFEGILYKNFSDEPRGKLSVLLRL WVNMLRSGKLITTDIEALAECGWEDAANLHQQDASEAFTFITEKLELPLLTLKMDIYH TGKEDVNDDHRFVNERLLEVAIPEPTDGKTVTLEECLEAYFNNKIEVKRYLERRNTVK STKSADSLSKGTSAHVEAIEISTPTSSSPTTLSPTGPPVITTTEAPLADRSRYRRSSI IQPKFVPDSDGDDNTKHRHGSYRKEVMMPAWQFFSLIPWYTDNSPKNDSQVAAHFSSK RPILGLCLKRYSMLANGKAIRRDTFVDIPTEIGLPHFIQDDNMEDGPIRVNFKLSLQA LVCHRGNSVDSGHYIAIVRGTSVGAPPSSSHGSEQSNPDTPRYWMRFDDLAKERVTLV DIEHALKTESPYLLFYQILPIDEDAAAASFPISATSTSSVGSIDNQDQETANNSPRLQ ANRLSAGYKSGRPSLEITVAEDPNAAPGTAEESTGNRGTIADLGLHSAPSTSPKLAPR DDDENKGTFSFSRRGSRATRSNPGSRAGSQVSENRISATFSRFAGRLSRDKLGSDSFA IDDDYDEMNNNDSPGLTIDGHKTDAREKSPRRSRFSDKQKDKGKEKSRERRGRKLERE CLVM ANIA_02268 MAASEPSRYLAKSLPRAIVPAARPQGFCWRRNTSDQASAKPSAL GDLESDGLLKGTPPPVEAAKPFDPVARARARRAQLPRSRYQFRSPKYDRGPLHPHRPP PPSDPSSRLFVPGPFSLTRVEQTHESTIASDIMTLCYVHNPPGFKPPPKAPRLRSWDD SSPYHKNRSLRGPRGGDVLRLLRKPITFNNIPKLERITIHSYVKNAAKENSGWLHVAG MALQAISNVKVETYKSKTSVAKWYISPGRDTVAAKAELYGENMEHFFGKLVDIVMPRL KDWPGVKGTSGDSSGNITFGLEPEQVALFPEIEVNYDMYPPKMIPGCHITLHTTARTD KDARLLLSAMGVPFYGKLID ANIA_02269 MLTSKAYAGPLGLPSSQTPTASYHTTTQKSSTFSESQTGTVYTS PTKSEFSEADDGLDAVRSWDENQVISWLHSINCQQYEPLFRANNFNGNNLIECDQKIL QEMGIKKIGDRVRIFVAIKQLRNKSVVNKKQKNLRQLAALEAAHQQASPDSARSYSAR QQTSSAGHASRTGDYSYGRPTSRPGSPLRPHRYVANSPMDSGRKDYLSAGSGAGRNPG TPVERIGTHSRQNPSLDGMTMGSLLSNAPVIRVIYSGGQTKVLDIKHCKTPDEIILCV LKKLQLPEHQYRNYCFYVLDGLDPDPSNCRRLSDHELMEICEGFHRSERGRLILRKIH AGEPDAEEVHRAAQLALDESQQAHMNALSSSNARNQMKIQQLTGESWHNIRQPMSPVS SRHNTTPSDHEIRPPQVSERVSKLRSFFGARPPSEMIIHEISSYFPGHQREDIEKTMR MSVRRSQRLSRAASRLSVVSNTSYASSLRDAPPIPSIADTWLNNGTPPTRAARPLSVL STRPGLPSTSYRDSIASSSLHPLQEESPVEPNRKSYVSFDSGSDDPNNSRHSLLDENA SVAATDGGSFNERLSVLVAEDGEEEDDGLAEFLAGNNFVNWMKGSLIGEGSFGSVFLA LHSITGELMAVKQVEIPSATKGTEFDKRKNSMVEALKHEIDLLQGLHHPNIVQYLGTT ADDQYLNIFLEYVPGGSIATMLKQYNTFQEPLIKNFVRQILAGLSYLHSKDIIHRDIK GANVLVDNKGGIKISDFGISKRVEASTVLGSRASNGGGHIHRPSLQGSVYWMAPEVVR QTAHTKKADIWSLGCLVIEMFIGSHPFPDCSQLQAIFAIGSNKARPPAPEHASKDAVA FLDMTFQLDHEKRPDADELLKSPFLATTLT ANIA_02270 MDDERSNPGKVRKKSTTVHRKSLSCEYCNRSFARLEHLQRHLRT HTKEKPFSCDICSKSFARSDLLVRHERLVHPAEAAANRENRNHSHTNHEVSQTQASIV QPSHHESRMLELVDAIPLQTQPVPPAPEVQVQPPPIVETAHFNPSWGYDLNLLSHAAS HVALEGQQESLETLRKPSQNIQAPPPPPPLSHIPERGITDNYGVEPSILDLTDLGDPV QDFTVFLESVGLSSDWDSGIFSSVEEPLLPTSLPMDSKPPARESSRLGPDLMGDPRPA ADEPPSFSNFGSRLPSLQPEAHDVDDRLGFGDEGPRPAWDISNADRQVFISKLEEFAY VLPKGFVPPSRHALSRFFAGYINGLNEHLPFIHVPTLSIAKCSPELTLALAAAGSHYR FENNRGFDLFHAAKAILLERLQRRDSKQVQFPTWNFLSPSSGFHNSRGSSANSNSPYQ SHQHQSYVLYPVDSASLPPEDSYAHMEVIKTFLLLTVFASWERHPELLREILSLQSTL ARLVREHGLTESNIGVDPNNWEEWVRRECNRRTKLIVYCFFNLHSIMYNIPPLILNAE LKLNMPCSHDLWKASSAAQWRRLLRTRHGSEVSFQEAFTRLFTKSNITNTAPISPLGN YILIHALIQQIFFARQLCLSAPSLHGTSLRPDDLNILDSSLGSWKALWKRTPESSIDP QNPAGPIAFTSTALLGLAYIRLHVDLGPCRRLVTQDPVQIARALNDSPPIARSPRLIM ALLHSAHALSIPVRLGIDFVARTHSFFWSIQHSLCSLECAFLLSRWLLSIPVTQAEQR LSEHERKLLLWIKSMMDETDMAVDPAGAPDFDFIANPYKAKQLSVAIVRVWARTFKGN TSWAIVDLVGQSLDAYADLLESQV ANIA_02271 MALTFQNAWQSALNVEKALLNNLAEKEPTFAEISHNISELRTAC QNAILQDFETARSIDVEGRLWDAHLKINTRFRKLLSRFRDDNEKKKKPVERRKFEKHY LEFIKSSQRFYRGYIQQLASHFGGISELEKVARKFNFDDLSAAPPIQTSESLRKSILR SCHATLVRLGDLSRYRETELVSKERNWGPAIGYYDLASVIYPASGASHNQLAVIALAD ANHLKATYHLYRALSAQEPHPTARGNLEIEFRKVLSLWAKGELIRRDDAGTPGRSLAP LFVYLHAQCYRGVDFPEHDELESEVLNQLAVDLKERSLEGILQKFCLINIAAEDSSRT RSDERPKTNAHLFFQRINVKTYFTLLQILLAEVERFVVEDSSSNNSKNKVGADKITVV VRRILPALRNYSSWLLTVSNYLVAYKEKDTPLAVQLTEFWKIYANTLTLLASTFDVVH LPEVDYLLEEDEETLCFMPLSKGATSRRYLDVNGQRKPSMNDPGIERNHPNIEMLSRI REFVIDGLDLVVGNKIPVALVDDEDKKTFIYKEDGLPSQFFASPTGHHHSLSTASIER EDIRQVKQEANYQIDARSTFGGSQSASVSMSAAMNGIVEGVERLVESDTYENAPVIPN RSFDAISNTVPRDDKLPARQTPVAPPGLGPPMTSYSAALPQVSSSQSFTPQAAIPGLP TIWSTGTFSPEFRDVSSHTASGLGLLNAQGPKVTGNANSPGLQYVPESAVKSESISRQ SLFAESQLEGTTFNNGPVSPFLAPSMYHQRFSGSSWDRDGIGAPASQQQALPRSTGFG LASQPMSSGFTNNTWANNAFIGSTITSGYGSYPGLDDARKTPSAQYGAIGQSPRLGQS G ANIA_02272 MAAPQGYPLLCLENPLLDIQAVGDDSLLEKYGLKANDAILAEEK HMGLYEELLQHRDAKLIAGGAAQNTARGAQYILPDNSTLYIGCVGKDKYADILQDACK KAGVHTEYRVDDAQPTGKCGVIITGHNRSMCTHLAAANEYKVDHLKQPHIWSLVEKAQ YYYVGGYHLTVCVPAIQALGEEAAAKNKVFMLSLSAPFIPQFFKDQLDSVLPYTDYTF CNETEAVAYAESHEWGTTDIVEIAKKLAQLPKKNTNRSRIAVVTQGTLPTITATVTTS GEVEVKEFPVHEISKDAINDTNGAGDAFAGGFVAGVVQGKSLEESVDLGQWLAKLSIQ ELGPSYPFPKQTYTSGRS ANIA_02273 MPKMSDHAIQVAETIQTASVNRAPSAARDINNPTSAPEKAAVEL TPSDADSIPSDLVDPHRALRPISRRHTLPPLPDLRFEQSYLSSLRGADTWGRVAWITI RDQVLLPLVQGTLWTLALSGWRFWNRTASLSGQTLGSRVRRWWYEVNNWKLPPLISKN PKTAAAQVEDFYTAQFSNAGAD ANIA_02274 MSKMSLSDSELSSLSSAPPSDEESTPMALDEPVGITKYFQKKQQ SESPPPKRAPSPPHEYVLADNPDIAFIVMFRARFHEVFPRSTPHFGPQDIERGVSESP PGDHIERLLCALLGLVLNRKKDVERNHYTRPLEEAVQTHASQWPKAWGGKNPLHGGRS FALMSPEERSLILWSLSSSDAVQAKIKESYKQARHEDDLNQPLSVQPWGRDSLKRRYW LIEGLDDTHFRLYRESNPALKHVTWWSVAGTIPELLSVAQKLEEEKGTNSKKLSERIR NSIPRFEGSEEKRKRRDYRIARKAAFSRPEPGFSLYEGRTRGKKLKYTYSDDEDIFSD GLPPPRRSGRNTSGPSTPAEPTGPRFTASGRQVRSRAGGLYGESLLAGQREDTDLAED GGSERRQRTRITRTNGYTDYGMDDDEDTNSNDAQSSGNEWQGGEEEDENDFEGDDEED VSGDESVINGKPPSLVVQLRYGKQGDDNNTGEQKVQTTSDSQKAATTGLQQPAPVDNA PQDSIPETPASLDTKNAPAETPATSAPLASSGGATQGLGGPVGTTMPSVQQPDGTV ANIA_02275 MGRVIRNQRKGRGSIFTAHTRLNKAPAQFRVLDYAERHGYTRGV VKEIIHDAGRGAPLAKVQFRHPYKFKHITETFIANEGMYTGQFIYAGKNAALTIGNIL PLASVPEGTVISNVEEKAGDRGALGRTSGNYVTVIGHNPDEGKTRVKLPSGAKKVIKN TARGMIGIVAGGGRTDKPLLKASRAKHKFAVKRNSWPKTRGVAMNPVDHPHGGGNHQH IGKASTISRYAAHGQKAGLIAARRTGLLRGTQKTKD ANIA_02276 MSQTPAPQIISRLPKLGSPATSTASRWQAVVNRDPIASFVYAVI TTRIYCRPSCAARLARRANVVFYDTPSQAEEAGFRACKRCKPEDSPEHAIDSQVAVVQ RACRTIEADVRSGSKPTLSRLAEEASLTPSHFLRVFKKVVGVTPGKYVAAVMKESEGV PLDLVGDSPSMVENRDMALMDWLGNPDSEYGDLGCAVSAGGENALLWNEFDVLIAAEA EYVSRQGI ANIA_02277 MNVNKRFDRFKQWAGERMGGEVKTNVSDDFKALETEMSVRHEGI DRIHKSMTAYVKSISKRSEGDDKEKTLPIAHLGGSMITHGEDYEVNSEYGRCLTMFGR AEERLARIQESYIAQATSGWLESLERSLTQLKDYQTSRKRLDTRRLAYDTSLSKMQKA KREDFRVEEELRTQKVKYEEANDDVYRRMLDIKNSEPENVMDLQAFLNAQLNYHEQCR EVLKGLQNEARQNASQPSTGHNGSRSRSNTAHSYHDRFEPLHEEHSNGVEARPAIKSN THSFAESPIRKAYTQETSPHRPVLNRTSTFEGSSPLRQVHEHPVAAQIATRTNSENLI TRRNSVQARPISRVVPEPTEDAGYHSGSVSDRSDNSWTESRQTPFGSTVSRRTSSSTL NGFPHKKAPPPPPPSRAKKPAPPPPMKRPVLSAAQV ANIA_02278 MTSLQTPPNNVAPANMSLPANLTPQHIQETLQKFKQMQEQGVRQ DDPEYLKAHNLLSAVQRQQAFQKQRQLAQQQQQLQAQRQQQQNGSSTQEAVAPNGVNN RANPSATSNNAVQDVGSASALGQQNHQKGAPAASGSFSADQLQTLRNQIMAFKMLTKN LQIPPRVQQQLFMSKKVTTPAPSDNVAVAESVLEKFSQGKPEQAAATADVSNAKEFYE HFQSPYDLIPKTVSFTDHASRSHRMRIPALMPPGIDLEQVREEREVALYNRINARKAE LAALPANIAAWNSGQSDVATGDDSLKLKALIEYRMLNLLPKQRLLRKQIQHEMFHYDN LGMTANRATHRRMKKQSLREARITEKLEKQQRDARESREKRKQDVHLQAIVNHGAELR ETASQQRQRAGKLGRMMLQHHQHMEREEQRRVERTAKQRLQALKANDEETYLKLLGQA KDSRISHLLNQTDGFLKQLAASVRQQQRNQAERYGEEHDFDDDDDEDIASGSDEEGEG RRKIDYYAVAHRIKEEITEQPSILVGGTLKEYQMKGLQWMISLYNNNLNGILADEMGL GKTIQTISLITHIIERKRNNGPFLVIVPLSTLTNWNLEFEKWAPSVSRIVYKGPPNAR KQQQQNIRWGNFQVLLTTYEYIIKDRPILSKIKWTHMIVDEGHRMKNTQSKLSSTLSQ YYTSRYRLILTGTPLQNNLPELWALLNFVLPNIFKSVKSFDEWFNTPFANTGGQDRMD LTEEEQLLVIRRLHKVLRPFLLRRLKKDVEKDLPDKQERVIKCRFSALQAKLNKQLAT HNKMVVSDGKGGKVGMRGLSNMLMQLRKLCNHPFVFEQVEDQVNPGRGTNDLIWRTAG KFELLDRILPKFKATGHRVLMFFQMTQIMNIMEDFLRLRGMKYLRLDGSTKSDDRSDL LKLFNAPDSEYFCFLLSTRAGGLGLNLQTADTVIIFDSDWNPHQDLQAQDRAHRIGQK NEVRILRLITSNSVEEKILERAQFKLDMDGKVIQAGKFDNKSTNEERDALLRTLLETA EGTDQAGDQDEMDDDDLNNIMARSDEELAVFQRMDRERQKTCPYGPGHKLPRLMGESE LPEIYVTEENPVAEEAAEIELSGRGARERKITRYDDGLTEEQWLMAVDADDDTIEDAI ARKEARVEKRRSNKEKRGRKAQGDESSPEPSRETSETPQPKKRGRRGPAPKRKAEELA EETPQPKRKRGRQAKPVETLSPDDRAALQQILNNVYQALMDMEQELPADSSDSEDGPV TRSIIEPFMKPPPKSQYPDYYMIIQNPIAMDMIKKKINREEYQSLRDFRNDIGLLCQN ARTYNEDGSILFQDANDIEARCVAELSKEVEAHPQFADFDGPANSNDNPVSVAGGDTP SAAATPGQPKLKLTFNAGGAMPGTVNDLQ ANIA_02279 MASFQDRAQHTIAQLDKELSKYPVLNNLERQTSVPKVYVILGLG GIYTFLVFFNIAGQLLVNLAGFILPTYYSLDALFSAGKADDTQWLTYWVVYAFFTVVE SAISAPYWFPFYYIFKFALVLWLALPQTNGAQIVFKSLVQPLVGRYFTGGSTSANLRA QADAATKSQ ANIA_02280 MEPRKTPPEYFLEIFADTTTVRDVLKGVLNLIFFHRYFPSIRPT TFDVLDFTLPAINDVDLDTLIDSRISALVRQHSSSAAGAHDSNGVRGRIAVEFYEKKR RRSNMWFPALAGKGEDEVCWEVWNLDVTVATPRTESERAKVRKAMENMLQKAALKILA VVNRDKDHIPPITTTESNPFPYRIILNPRTDGWQNRFGLY ANIA_02281 MISATRRWFRRNRKTLAIGTGVIGVGYLAGQYVLGKISEARERM SSDRIARENLRRRFEQNQTDCTYTVLALLPTAAEDILEALPVEELTKELQKKRAERLA RLNAGEGTATGSDMSSVAPSLLEDDRKSVSSESFLRTSQLGDSAVDEDASQPKRNKTQ LWNEVKITSITRAFTLIYTLSLLTIFTRVQLNLLGRRNYLSSVISLATPADSSRITLE DHDDDLTQTLGNDFETNRRYLAFSWWLLHRGWKQLMEEVKAAVVEVFGPLNPRDDISF DKLSELTLQVRKKIEGATEEERKRRKWLPYLLPPREDEDHLLEESGVLGVTEPSTPQT AATLRHLLDETADLIDSPTFTRVQMLLNNECFETLIQQCKTDAFKSTGPVTAPQSFTS VATVIPVKENSELKTKLANVLAVLARQAHAIGNGTSPNIYVNAMDHGVRELEAFAAVV YSSNFDSELLGAGARTNTSNAVAAVPDSTASSPVMVGQDDGFRQGGIAEGRPGAEDAD DNDLERAWGRAVVEQPSGGSTA ANIA_02282 MGVLDRETEGSKNSRSPSREKADEVQMGLESPQPGELPLLTATT ERKLMAKVDWHVVPCLCIMYLLAFLDRVNISNAAALGLKDDLNIAEGTKYNTALTIFF VPYVLFEIPSNILLKKLRPHVWLSLCMFTFGVVTICQGLVTNWGGLMTTRWFLGMSET GMFPGCFYLLGMWYKRSEAQKRFSFFFSSTSLAGAFGGVLASGLGKMHGVRNLAGWRW VFLIEGVLTAVVAIILFFFLPDFPEEAKWLTEEERAYMRAKLAQDSGEAGEDTRMGWR EVLAVFKDYKIFIGGLMYFGQIVTAYGYAYFAPTIIQSYGYGPIQTQLYSIPPWAGAF GFSMCIAYISDKFRHRFAFTIIPMLISMAGLGILMNVHDNRDVQYGALFLVTAGCYSA MPVVVCWYAMNLGGHRRRSVGTAWQVGFGNIGGIIATYSFLAKDRTNNYYTGFSIGIS FLAFSCACCCVYFAAVWHQNQKKDRILASGDPSYLEEDEAVLGDQATKFRYAY ANIA_02283 MASDKAEKKRKRASDRHERPTKKPALDLQSLPPLSASLIDDDSE LAPVLINTPGVTSLPNIRLNPYLKTRSHGASHHAGNDGIASTELLLQSSEHPKLDFVG REANEDADSQLKHYVAVVDPASKTWEFVEVRRITLRGAVRRAAEEDEEEESEDEEMKT MREQRTDLTYTFGTKKSRKATQSMAENAQLSNAPSGAATAAESALLESMPAESALDIA AKSAAVQAEVQAAKPLPQANLDAKHPSEVYPLETLIPNGKATLRQLPIKEWQDTISAG LTVATTSRYVSSRIDDIVESGNTTHLQILRFVLVLLELARALRSGKGRGNKRLPSRDD LRRILSGGSKSSSDNSTDDLSSSQSTISDPIIDGIRRKFAPSGSTVSKNDITLLETTI CALTLHLPPQPVKDGIPTPNGGNAPNEMATDPSDLRDDLQLDNAKITQYFRELGCRVD KPRETEFAKFGIRGGKAEAATRRVARLRIPVEFPKVSRAGGSRR ANIA_02284 MEALLQQSRAMCPFLKRSSPNTLRSLATATRPSTSPGGGTMTNL QRIARRCPVMSKALAVQSARMTGTKRFTSSAAGVPGAGAGTPKPTRGSPGKRALHSTG GNGANMSTEFHKGAQQIHPGLSNATRSHVGASATVSGPTPRAPVAAPFDYDAFYNAEL QKKHQDKSYRYFNNINRLAQEFPRAHTASKDEKVTVWCSNDYLGMGRNPEVLATMHKT LDTYGAGAGGTRNISGHNQHAVSLENTLAKLHGKEAALVFSSCFVANDATLATLGSKM PDCVILSDSLNHASMIQGIRHSGAKKMVFKHNDLVDLETKLASLPLHVPKIIAFESVY SMCGSIAPIEAICDLADKYGAITFLDEVHAVGMYGPHGAGVAEHLDYEIYASQDTANP LSTKGTVMDRINIITGTLGKAYGCVGGYIAGSAALVDTIRSLAPGFIFTTSLPPATMA GADTAIRYQARHQQDRILQQLHTRAVKQSFKDLDIPVIPNPSHIVPLLVGDAELAKQA SDKLLEEHGIYVQAINYPTVPRGEERLRITPTPGHTQELRDHLVEAVNTVWNDLGIKR ASDWKAMGGFVGVGVEAAELENQPIWTDAQLNMRPDETLEAAVEREFQAAVPGMKAGG AKAKPVGSIAANPIGASIPVAAAA ANIA_02285 MTGAPPYHPQSPTQQSHYAGYSPSNKPRHYYPNSEHYQQQPPQT PPAFPQPNLARSPHYSHAPSPLPGALPPLNGGAPTPAHPSDPSPQYQAHSAAGTPQYP LPRPYSGSLLPASGTSPYGPSTPSHAHPSSRPDSHAHVSPKKEPESHFAVNHGAPAYS VMREPQASPPKEAKPARAADPMSFASILSGPTEEQAPPKIQSPTPGLGTIHSAVPAAN ATSLNPPPASFHPKVGDIEPVPPVSAPRLEKKPSADKRQRNTEKEDLKSAENPTNGVT EPPKILRPPRRIMSEKETEMVNKYMVEIDNAEKSDVEAPGFEQERERYILKGKKRALD VERAESIRRKRRRHDYLLKLGKSFEKQANAGMDRFRYANEASVISEVQAKEIQDEKER KKDMQRKRRRENTVRMEMQKKLEAELKANETQDSAEKAKFLREAERAQRKIKTTKRAL EGVTEPEEIGEITPLAPNLEGGITSSFHIGRSSPSRRKTGRGGPVTRPKKSKEQKQAE KDAAEAAYAAMENDEPLPLAPREDPRKESLKKDAKGGRSKEATPVPVSTYESKGYNQI YEQIWRDIARKDIPKVYRTKVNSLSTRQENLRKTAQLASKQSRKWQERTNKSMKDTQA RAKRTMREMMSFWKRNEREERDLRRLAEKQELESAKRAEAEREANRQKRKLNFLISQT ELYSHFIGRKIPGAGGESGDAGVQGTEAMDLTPGAGAKVTNFEDLDFDAEDDTALRQA AMANAQSAVQKAQERARAFDDPNKSTMDTMDDSELNFQNPTSLGDIEISQPTMLTAKL KEYQLKGLNWLVNLYEQGINGILADEMGLGKTIQSISVMAYLAEVHNIWGPFLVIAPA STLHNWQQEITKFVPNIKVLPYWGNAKDRKILRKFWDRKHITYTKESEFHVLVTSYQL VVLDAQYFQKVKWQYMILDEAQAIKSSQSSRWKSLLGFHCRNRLLLTGTPIQNNMQEL WALLHFIMPTLFDSHDEFSEWFSKDIESHAQSNTKLNEDQLRRLHMILKPFMLRRVKK HVQQELGDKVEKDVFCDLTYRQRALYTNLRNRVSIMDLIEKAAVGDETDSTTLMNLVM QFRKVCNHPDLFERAETKSPFSLAHFAETASFNIKQSIEDDGAFSFLRFVDTSVGEAF NYSHQGVFERALRRRGQTNRLSRLSVVYDEDESSTATLPHTLFNIVDRNDRQAVYDIA VEGHMRELMNVSRSVFEQEGLNVIEPCAGPAASAPPITLVSSGQEALIETQDALFNVP VQHALFGTPSKAMEEQIIEQQLDPTPYSLPPMLPEPISTKGRYTHIEVPSMRRFVTDS GKLAKLDELLRELKAGGHRVLLYFQMTRMIDLMEEYLTYRNYKYCRLDGSTKLEDRRD TVADFQQRPDIFVFLLSTRAGGLGINLTAADTVIFYDSDWNPTIDSQAMDRAHRLGQT RQVTVYRLITRSTIEERIRKRALQKEEVQRVVISGGAAGGVDFNTRNRDSKTKDIAMW LADDEQAELIEQKEREALERGETFGASKGGKKNAQKRKRDVTLDDMYHEGEGNFDDAS AKPSGAATPVSTAENIGTPSASTPVPKRGRGRGGKGTAKRAKTTKERLRLIDGDGGLG SG ANIA_02286 MSVPEVQWAQVVEKAGTPPVYKQVPVPKPGPDEILVKMRYSGVC HTDLHAMKGDWPLPSKMPLIGGHEGAGVVVAKGELVKDEDFKIGDRAGIKWLNGSCLS CEMCMQADEPLCPHASLSGYTVDGTFQQYTIGKAALASKIPDNVPLDAAAPILCAGIT VYKGLKESGARPGQTVAIVGAGGGLGSLAQQYAKAMGLRTIAIDSGDEKKAMCEQLGA EVFIDFSKSADVVADVKAATPGGLGAHAVILLAVAEKPFQQATEYVRSHGSVVAIGLP ANAFLKAPVFTTVVRMINIKGSYVGNRQDGVEALDFFARGLIKAPFKKAPLQDLPQIF ELMGQGKIAGRYVLEIPE ANIA_02287 MVNLEKTAMAAQANDEPAAERADTQLLGTSTALFDRPGLRNSVQ YHGPVAVYCLNFIVFYTCWSGGDGLGWLAASVFIFIVGLAMADLASAMPTAGGLYFWT HYFSGEKWKRPLSFVVGYSNTIGLIGGVCSIDYGFATMLLAIISIARDGNWSASRPIV YGTYVGCVVVHGLIATFFARIMPKIQSACIVTNVGLVVATVLALPIGKAVNGGTINSG SYVFGQLENYTTWPSGWAFVLAWLSPIWTIGAFDSCVHMSEEATNAARAVPLGFLSLA VIAAVINTDLEAVMGTAFGQPMAQIYYDCLGKAGALGFMAVVAAVQFFMGLSLVVAAS RQSWAFSRDGALPFSSFFRHVSKRIRYQPVRMVWGVVAAAITIGLLCLINAAASNALF SLAVAGNDLAWLMPILCRLVWGEDRFHPGVFYTGRLSKPIAVTAVVYLSFAILLCMFP TLGPNPNPDDMNYTVVINGALWGGALLYYMLYARKTYKGPQTTVHGSSSPSSAASTNL ERKELESEEKRGTGGGDSRDGLKWAKQVPNGNGTMSAVRMRLLQS ANIA_02288 MAGQVMADAVHSLLRRAADYDIDPDDGDAPEAGTKEFFSSWALF IMITLLMLALFTSYILQQRKIEAVHETVLSIFGGMFVGLIIRLTDSPITNFVAFDYQF FFNLLLPPIILASGYELHQANFFRNIGTILTFAFAGTFISALVLGLVLFAWTRIPLDG FSISFVEAISVGATLSATDPVTILAIFNLYKVEPKLYTVIFGESILNDAIAIVLFETA QKYAESDAGSLSILNLFEAIGLFLLVFFGSMLVGVIVGIMTALGLKYTHVRRQPKIES CLIVLIAYASYFFSNGVLLSVSGIVSLLFCGITLKHYAYYNMSRRTQLTTKYLFQVMA QLSENFIFIYLGLDLLVQRDVQFKPLFILVAVVGICLARYLAVFPLSKAINWFIRYRA RRRGVEVADELPFAYQAMLFWAGLRGAVGVALAAGLKGANGPALRATVLVVVVITVII FGGTTARMLEILGIRTGVVEELESDDEFDIEVSNGGTYYKRSDTGLGYTPRRADNIPL DGVSRRDLDRNNSYSSGNSRRPSPPSSSRPSRGHSRMYSDAFGPKDTQTPRDRSTTAT LLGNRPGSRSDSEDGSENEYGLKSSGKRRALDHDHPDAFELDIDDIHSDDDLPPAAPT RMRRSPSQPPQQSSSSQAPQDSVSPSRREAGRSAREAIRDLFSGGPSGDHVAWFRQLD EDYIKPRLLLDQSNHKGPGAV ANIA_02289 MAATDRAVNETSPLVGARTTDDADVDSMTFVNGSGNEAPHDMPE TKTSWYLFILTLSIGGLQVVWSVELSSGSPYLLSLGMSKALLAFVWIAGPITGTLVQP YIGIRSDNCRISWGKRKPFMIVGGAVTIATLLGLAWVKEFVGGFLVLFGGDPKSEGAN IVIGIVATMMMWCLDFAINTVQAGIRCFIVDNAPAHQQESANAWASRMTGVGNIIGYI FGYINLPKLFPYLGNTRFQILCALASISLAVTLLISCSYIQERDPRLDGPPPSGSMGV VGFFKQVFKSIKHLPPQAAKVCEVQIAAWIAWFPFLFYATTYIGQLYVNPIFEKNPGL SDSDIDKAWEDATRVGTLALLIYALVSFVANITLPIFVVPTYKPVLSSDSSEVDIRQE AEPCLRVERQSSSDIEVGLVAELHPEVLRDKARGSAESKSWSSKLQIPGLTLRRTWLL SQILFALCMFSTFFITSVTAATVVVGLIGIPWALSLWAPFALISAEVATLEEQRRSRR QRSELTTFDEQMSPQIDRDAADDNDDDDDDDDNDDNDAETGSSRSPKTPGDENSAQAG IVLGLHNVAVSAPQVLSSLICSAIFRASQKPRGEPYDESVGWVLRFGGCAALLAAWLT SRLSEGTPRKR ANIA_02290 MYSQHGAPMAPPQKPETFMLSNEAQQSLPHDAQVALQQVDNLKY FLLSAPVDWQPDQLIRRFLLPTGDYISCVLWSNLFHISGTDIVRCLAFRFQAFGRPVK NSKKFEEGIFSDLRNLKAGTDATLEEPKSPFLDFLYKNNCIRTQKKQKVFYWYSVPHD RLFLDALERDLKREKMGQEATTVAVSEPALSFEFDSSQSLYEQLTKAQQANSSSFAAH ASTTYGQSASPVVRTVDAMPPPQMAPQMAPPSIPLLTDESANSQMYTSIPMPNPIPQN LIKREADYGAIQYDRNGMPIARIHQRHSSMPTFVEYSPAPSFVSSQYEDYSNRGLSFE PVTPPQHSSHIGPEPAYIANEDTGLYTAIPEMSTGSGFNPMMHLPPSNLASAHFPTPA RTFHSNVYSVLEGSPTYKQRRRRSSIPPGAANPVTTGTHSPAPALSYAAHKPSDLRRS VSSSVAPGDTDDSRHESLHRSVNSTYTATLPQKNLMHEMSRNGTPLSSVGEHREQSSI PLTQAEDLPALPANGTVESGAPNGVGHKSDRYATGPVRRARSATMMELGPYPQKSHSC PIPSCGRLFKRLEHLKRHVRTHTQERPYPCPYCNKAFSRSDNLAQHRRIHEAQQDGQP LVHEDDLENDDNESVSHDEDESPSESVHPAVPGVHGMTSMPSSVALQSTMGSMMGSHM IAPQLLQQQI ANIA_02291 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNCEWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHL FEAAGLVPLNPEPVLSKLSIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRD FLKQRSKSPPSPSHNALNQLIKGCQIAMQKGILLEQENRALRAERCYTKAKASSYA ANIA_02292 MVGKRKRDTSEVSGSEKGGGQQETPATAESSAQDIFRKFFEAQF QPLEVKRVNTAKNESDSEYTDNEHDGNSQEDDSASESEWSGIEEQEENTPVEVVEYQA PSRSPEDLIDKKARKAFMTAKPPSFSMDLNPITDSSKKATNDEDDKDIESLNLKNDLA LQRLLKESHLLESASDLAPTGKNRHKALDLRMQELGAKNSLYHQKNMPSSIRKGIKAK AVSKEDKRRREARENGIILEKPAPKKQISSGRRERGVGGPSIGKFAGGTLNLSSRDVA HVQGSRRGGGKSRGRGKTRGRR ANIA_02293 MSKFRPCIDLHSGQVKQIVGGTLSNVESDLKTNYVSKLPASHFA GLYKQHNLRGGHVVKLGPGNDDAAKEALRTWPGGLQIAGGITDENAQYWIEQGAEKVI ITSFLFPEGRFSLERLQSVLTALGGDKSKLVLDLSCRRKGDTWFVAMNRWQTITEMEI NQGSALDIFGGSGVTFDECVKWNNEH ANIA_11332 MKPVVSVMNAWSCVVISLFAIVILSVLGSLFKSEHHGFTGSEGE PEDGGAVAASIFTAVIVYAGFFVFCAFQAYLHMRARRGGAISLS ANIA_02294 MATTTMTAPPPIKTKNSKLPPENERFMRACSDIANALIQEYESQ KDPTQPKRDINLNKLRGQMAKKHSLSHQPPLTAIISAVPEHYKKYILPKLIAKPIRTS SGIAVVAVMSKPHRCPHIAYTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARY DPFEQARGRVEQIRSLGHSVDKVEYIIMGGTFMSLPAEYRDTFVAQLHNALSGYQTDN VDEAVQAGEMSNIKCVGITIETRPDYCLDTHLSSMLRYGCTRLEIGVQSLYEDVARDT NRGHTVAAVAETFKLAKDAGFKVVSHMMPDLPNVGMERDLFQFEEYFENPAFRTDGLK IYPTLVIRGTGLYELWRTGRYKNYTPNALIDLVARILALVPPWTRIYRVQRDIPMPLV TSGVENGNLRELALARMKDFGTTCRDVRTREVGINEVKNKIRPSQVELIRRDYTANGG WETFLAYEDPKQDILIGLLRLRKCSPTHTFRPEFTGQQTSIIRELHVYGSAVPLHGRD PRKFQHLGFGTLLMEEAERIAREEHGSTKISVISGVGVRSYYARLGYSLDGPYMSKML EPIEGGES ANIA_02295 MQAFRRNAVSALRNAAATQRRAYTPGSYAETVNNLRINADTKVL FQGFTGKQGTFHAEQAIAYGTKVVGGTNPKKAGSTHLDLPVFANVSEAVKETGATASA IFVPPPLAAKGIEEAIEAEVPLVVCITEGIPQHDMVRITDILKTQNKTRLVGPNCPGI IAPGQCKIGIMPGFIHKRGRVGIVSRSGTLTYEAVNQTTQAGLGQSLVVGIGGDPFSG TNFIDCLRIFLEDEETDGIIMIGEIGGSAEEDAAEFFKANNKHNKPAVGFIAGISAPP GRRMGHAGAIVSGGKGGADSKISALESAGVVVERSPASLGKSLLAEFVKRDLV ANIA_02296 MPPELARAASLSSSAASQSTQVVAPQSRPGTADLMRSRSETVIS RNSRRPRSRGSTASIHSTTTQQTQDQQLTDGFPQFLPAQTSAGSNVFGNNPEDIIMRF GQQLSHQVNGTALDSLQDAHHSAISRADEFPNHAVHGHHLSHHSLPSGVSHGMPGVPI PQYQNIYDSGIENHIQEHVLDEHDASEAGLKKKKGSSSSLANDNELRKLLRQYEGYTL KQMAAEVLKHEGAGGKAEKVKQVFAMIWLKENCRKSTGSVRRDRVYCCYAEKCGTERV SVLNPASFGKLVRIIFPNVQTRRLGVRGESKYHYVDLTVIEEKQQKPSTSTTQNPSRD STAVGGVDAMNGNDMQRAASTIQQPTADTALFPSPTTSFAPRASIDRAISGCGCQASS QAEVITLENVASHSGKLIYQMLQLPTTDSSSVDTDSLQLPDINYYLPENTDSKVAAAL AALYRSHCISVIDSFRYCKERNLLRFFSAFHGTLTVPVQKLLTHPNLAPWIKECDWLM YQKMIAFVAPLTTQVVPKLVLDAFSSISQRLTTHIAETFKAQPVHVSLARLLPAHIFC NLLKHMLDVNQSANAAAAWLCHPDNRNQMWLDFTTLVDPKEMITRANIPVCAEQATEQ ILKDDIRALLTPVADLNPAASHPFFSQPDLEKSPKPHKFSVEESVGDEYNFPDKWISF ILNLAHIFPQHRTQCIIERVDALWDCILHRLTLGGAQSFSAWWMTKVFFHEMMLWQAE KGGFMHYTPSTLQKAGLDSDQSGPGGLVLRNSPKVVGNESSTSNAQDGASLERSRMGV ETNPSRMDDEKPTDISENLTSFHAPNHDDSAIDLDDDSMLMTVGKYGDMMVSDPADAE GDVIVI ANIA_02297 MPLELGHDHELDRLRVKRRKLDSDDNREGLQNLRYGQFGQVVPG TLKMELASCDGGTYPPVCETSGPENILRDDSSVYCTKSDRCNLILKHCGEAPFCLRKL VIKAPKSGYDAPIQAGMVFISMSADELLARTAQYQIQYASSRSRRGRRRSGMQPSEEY LNSYRTPLQTLERATLAGFDCPSDSDTDASEFLGASSSSNADQSPQFRVITEYDERSD GTQLDRVEDSFLESQTSEPGGPDELRALHPMYPIEEDFLCSDTEDSSSGEDESAETRS YNSRRRELQRQVRAMRRQYAMEHDDSPRGHSTIQSTLLIPPSVPRSGPTARPSSGGLM RPLAQFFIKRPKSSVSLKFDPPPSGRYILIKLWSPYHAGNIDIQSVTAHGYAGPRFFP AVGPR ANIA_02298 MDSQDQSISPDEIALYDRQIRLWGVKAQEKLRSANILIITFKAL ANEVAKNLVLAGIGSLTIIDDGIVTEEDLGAQFLVNQDCIGQNRAQAAAPAVRAYNKR VKVYADASGISSKPPEFFGQFDLTIATELDFAMYNVINSACRVAGRPFYAAGLHGFYG FVFSDLIEHDFVITREKSNVPSPINETSTRTILNITSKKENDKMIEMVTKREKYHPLL LANTSPLPDELTRLPRRRKQVTPLLSCLRALWEFQKINHGRLPTFSHQDLESFTKLAR DAHQELKLDISTLDSAFLRTFLSNLGSELSPVAGFLGGALAQDVMNVLSAREQPLQNL LLVDGERSVAPIYSLHPFYSMDTPNAMAAVPPAPNPIPLNGHPGIENGQPSIGNGQPG VGLS ANIA_02299 MFFLAAQVILGVYLKLHIEKGILGKFRKILVLLHGILGKLMPIL SWVQMLFGGITSLGFCRDDHLGQCLAHFIMGSAFIAYGILLTILLLVGQYWLRRTGRS QEFFDSLVIAAWGCVNTFTEHRWGGPWVHNDLQHTTMGIVWWCAGLLGIWLSRKRNGR PKRNLIPAIVILLTGYAMSGHPQHSMISTMIHKIFGYTLMAAGLTRIIEISFVLRDRS SVSADGSDPNSFQYLTPFLLYASGFLFMGATEEQMMLLENAGITHVSYVLILYSIAFI LFLFVNILLHIYAVHAWPESADPAAHSHSRISTDISDQGPSGSIALPNGPGSSRFTHV NGHARTPSEAQRIQDAETFELQGLISDEEEDEDKVPPYEGRRHGPGPRKAEDEESAPL FRKDRE ANIA_02300 MSPLETNPLSPETAMREPAETSTTEEQASTPHAADEKKILSDLS APSSTTATPADKEHRPKSSSSNNAVSVNEVDALIAHLPEDERQVLKTQLEEIKVNISF FGLWRYATKMDILIMVISTICAIAAGAALPLFTAPSTFQRIMLYQISYDEFYDELTKN VLYFVYLGIGEFVTVYVSTVGFIYTGEHATQKIREYYLESILRQNIGYFDKLGAGEVT TRITADTNLIQDGISEKVGLTLTALATFVTAFIIAYVKYWKLALICSSTIVALVLTMG GGSQFIIKYSKKSLDSYGAGGTVAEEVISSIRNATAFGTQDKLAKQYEVHLDEAEKWG TKNQIVMGFMIGAMFGLMYSNYGLGFWMGSRFLVDGAVDVGDILTVLMAILIGSFSLG NVSPNAQAFTNAVAAAAKIFGTIDRQSPLDPYSNEGKTLDHFEGHIELRNVKHIYPSR PEVTVMEDVSLSMPAGKTTALVGPSGSGKSTVVGLVERFYMPVRGTVLLDGHDIKDLN LRWLRQQISLVSQEPVLFGTTIYKNIRHGLIGTKYENESEDKVRELIENAAKMANAHD FITALPEGYETNVGQRGFLLSGGQKQRIAIARAVVSDPKILLLDEATSALDTKSEGVV QAALERAAEGRTTIVIAHRLSTIKTAHNIVVLVNGKIAEQGTHDELVDRGGAYRKLVE AQRINEQKEADALEDADAEDLTNADIAKIKTASSASSDLDGKPTTIDRTGTHKSVSSA ILSKRPPETTPKYSLWTLLKFVASFNRPEIPYMLIGLVFSVLAGGGQPTQAVLYAKAI STLSLPESQYSKLRHDADFWSLMFFVVGIIQFITQSTNGAAFAVCSERLIRRARSTAF RTILRQDIAFFDKEENSTGALTSFLSTETKHLSGVSGVTLGTILMTSTTLGAAIIIAL AIGWKLALVCISVVPVLLACGFYRFYMLAQFQSRSKLAYEGSANFACEATSSIRTVAS LTRERDVWEIYHAQLDAQGRTSLISVLRSSLLYASSQALVFFCVALGFWYGGTLLGHH EYDIFRFFVCFSEILFGAQSAGTVFSFAPDMGKAKNAAAEFRRLFDRKPQIDNWSEEG EKLETVEGEIEFRNVHFRYPTRPEQPVLRGLDLTVKPGQYVALVGPSGCGKSTTIALL ERFYDAIAGSILVDGKDISKLNINSYRSFLSLVSQEPTLYQGTIKENILLGIVEDDVP EEFLIKACKDANIYDFIMSLPEGFNTVVGSKGGMLSGGQKQRVAIARALLRDPKILLL DEATSALDSESEKVVQAALDAAARGRTTIAVAHRLSTIQKADVIYVFDQGKIVESGTH SELVQKKGRYYELVNLQSLGKGH ANIA_02301 MPSHKSFRTKQKLAKAQKQNRPIPQWIRLRTGNTIRYNAKRRHW RKTRLGI ANIA_02302 MAEKMLVMTSNDGKNIEVPRDVAERSLLIKNMLEDLGDPTEPIP IPNVSENVLSKVLEWCAHHRNDPPSSADDDDSRRKTTDIEEWDQKFMQVDQEMLFEII LAANYLDIKPLLDIGCKTVANMIKGKSPEEIRKTFNIQNDFTPEEEDQIRRENEWAED R ANIA_02303 MWRRTYLLLLLIRAYFALSPSYIHPDEHFQGLEVFAGRILSYPS RLPWEFTSERPIRSVFPLYPIYGVPISLLKWFYTETGTESPPAELVYYVVRGVMFLLS FVLEDWAVHDLVPLPRHRRVALVLVASSYVTWTHQTHTFSNSLETLLVAWGLVLINRI IDNKRRSSLFSCAILSFICVAGIFNRITFPAFLVLSLGLVVYNFPRRPLSFFSLVGFG LVFFCIAVFADTTFYKPSASFADVLRSPVITPLNNLLYNTDNSNLALHGLHPHYNHFL VNLPQLLGPALVAMVLQAYNRGFIASWFKNLRAASALSATAMLSIFPHQEPRFLIPCV PLLLSCLQVRKSRIFLGAWVIFNATLGFLMGVYHQGGVVSTQLAVPSVISTTTSLWHE SLKGTQSLFATVVWWKTYSPPLWLLGDNSTLNLNIDTRDLMGKPGSEMVKELERLVPT CGSKQKSTELTSSLEQPDAVFVVAPKSVTFLDQFLAPQSPDSSLELLELWSYKKHISL DDLDFGSDGVLPTMKRVIGRRGLGVWLAQRPGCRAIDS ANIA_02304 MKLTFRDLKQQKFVIDAEPSETVGQVKEKISTEKGWDVPSLKLI YSGKILQDDKTVEFYNIEEKGFIVCMVSKPKTQPSSQSPSTPAKSVTSTPAPPPAPAP STNTSTTATSGPVPATPSPASSGAAQSSASTFNDPSALLTGSQSEEVINQMMSMGFER EQINRAMRAAFFNPDRAIEYLLNGIPENIQQEQQQRSAATTPAAPQAAAASGAPPATS GEDEPVNLFEAAAQAGEGRGGAGGASGGEPQSLDFLRNHPAFQQLRQLVQQQPQMLEP ILQQVGQGNPQIAQLIGQNEEAFLQLLSEEDDAALPPGTTQIHVTEEERDAIERLCRL GFPRDLVIQAYFACDKNEELAANYLFENSDDGDDA ANIA_02305 MPKASPGYLLGGASLFLNIIVTVLHGGSFIEAVTVSFGVLSCFV VLALLLIVTNVSKMNARVPREGWKTITYGCGFGYFITATGVTAGGITWSALQAVSEAA GSGIGSNRQALLIARCVLWALSVLTQGMLCGVLLTTTSSNDNCNQWPTPIAYELGSIA SNRTEVHQKGASRAASSIAESQCPSIETITPSRPSISRKTSHRSDRYSGKTLIQSDSK SNSLDLDSTLIPYPESATTHTTVSASYEDQGSDKGHSRPQQLQRSGSQIKRSLDSVML RPLSTISSSTQLAPNKQPPSKIKVPDESNIHPLFRSGSRSPPPTATPSTMVVAAPDAG QTITVSTLQRMRSTRSFGTYSSRRKSLLEQTDYLFEDVEHKAGSILGYYPQQAHSMQ ANIA_02306 MALSFTSGSLLRACARKQLSTTSRAVVASQQRYSSSFDSPFTAK DTLKVPDFSKYSSKKAPRSNQVFSYFVAGTMGLASAVGAKATVQDFLVNMSASADVLA QAKVEIGLATIPEGKNVIIKWRGKPVFIRHRTQDEIKEAQEYDWKTLRDPQPDEERVQ KAEWLVMLGVCTHLGCVPIGESGDFGGWFCPCHGSHYDISGRIRKGPAPLNLEVPQYN FPDEETLIIG ANIA_02307 MLEQCDLCRRNGYGTVAYPLASTMTKRIQELFIQYLVEQGHNVV KSERKPRKTIQYKDLDNLEFLADVIPKTTTYKQFKEKRAKESGKDLEIEKGQRTLNGS LPPVAETEKDSPSETKEASVPRGSRPPTVMMLVDGPGDSQARDDGDVEMADQ ANIA_02308 MTFNKAMSSMPVSSSSRSPLPSPSPLTERRLFRTFSGLSASSRP RSPTGNGHAPVTEEISEIKRYEDFTTIDWVQDAVHEQARRRIKRQEGSGFWDKEGTFK WRLKVRESYDAGQAWLVITIVGAVIGLIAAVLNIITEWLSDIKLGYCTTAFYLNQQFC CWGAEGAAKLVKSFAPYAAGSGISEIKCIIAGFVMKGFLGGWTLLIKSIALPLAIASG LSVGKEGPSVHFAVCTGNVISRFFTKYKRSASKTREVLTATAAAGVAVAFGSPIGGVL FSLEEVASYFPLKTLWRSYFCALVATGVLSVMNPFRTGQLVMFQVRYDRSWHFFELIF FVIIGIFGGLYGALVIKWNLRVQAFRKKYLSQHAVVESVILAVVTAVICFPNMFLKIN MTEMMEILFQECEGEHDYHGLCESKYRWSMVFSLATATILRIFLVIISYGCKVPAGIF VPSMAIGASFGRMVGIMVQALHESFPDSKFFAACEPDLPCITPGTYAFLGAGAALSGI MHLTISVTVIMFELTGALTYILPTMIVVGVTKAVGDRFGNGGIADRMIWANGFPFLDN KEDHVFNVPVSHAMTTDPVSLPASDFPVREAEHLLNDNKFQGFPIIEDRSSKILVGYI GRTELRYAIDRARREGMISPSAQCVFTKDAAEASVARRASSTLQRTLLTPDTFDNIES SSGASFVDFSRYIDNTPLTVHPRLPLETVMEIFKKMGPRVILVEHRGRLTGLVTVKDC LKYQFKVEAEEQALAATHHPELPLGAYQAKDNGTLEERIWNLMQKIGSRFSKSSGQPR DAMPLPQDDQSPIGVGNDADGRMVELEERP ANIA_02309 MSQSTPRPQSQQRPEPRWILLAIASGAFAALNGLFAKLTTDEQT TTFANAMLSLFGAPGDAEGHPVFMFVVRGICLGLNVLCNVIMWAFFTRALTAAPSTTK VSITNTSANFLITALLGMIVFREKVGGLWWLGAAMMGGGCILVGMREES ANIA_10290 MRHNRLFNPIRPLRWSFPPRRNYHTNPSYFSAMDSTNPQHKRPK KLIFAPGDIEATPETQTPRAGEPLIPASALPPRVVAEALRSDTMTPNTELDNKVLSHP ARAHQFVRNPPLTISQLHPTNPLYQFHAWFRDPRLERSSAPETCTLATASLPTGRVSA RVVYLKELDERGWTVYSNWGSREGKGGQVFGSSIGQNGDSGFPDSMPSGVDEPLVQDL ELKEHGNKWAALTFCWSVLERQVRIEGKVEPLSREESEMYWRTRERGSQIGAWASWQS KVLWSAESGTLVSRRRKSFGPGEDSGSIIYPDIPHDVNETDIDDGRALLEKRVQEMEA RFADTKDIPLPPFWGGVRLIPESVEFWQGRRSRLHDRFRYVRIHGTDESSYKWRIERL SP ANIA_10285 MVFKPFTHIARQSFTKAFTHGYAQSVVAASQSSYASSTTLNHLT VANPAKYSRTTQLQHVFQPSSSSGAGAKASQGSSGGGDAGLAAYYAAWQQAQQTGDDS DWKQLQLKRGLGWKPLTEEEASKRKDENGLSPTARSDLNHSPHLTQASVNADVSAKVE EAVAREIQIQEEQARAEEASEAQDASATEAFPDLPDDVAAVGDIATEESRLASERIEQ LACDKKFVDIPGAFAALLRDGLTPTVGAYNALLDSAIELHDDRSQAIPKALDVYSDML RRRVIPDEQTYRMLVQLFVLRAHDAMKAMEVLEQERVRYGGMEEPGKFMLHSSELEKA ILAEDDSLGIALKLFDTATARHADLVFPIDTYRHLISACATEGKVDAMVRVYAHMESH KVTPHASIFPSMIDAFASAGELTSAVECYNEYRSLAVSDDNGTFCIIQRLDGQVYAAL IKAYITCGKEENAMRFLDRIRSSFDEVTENRETRQEALESVIIHDALVQHALKSGDYA KALGQAKTRLRDGALDQAMSQICIAAADSGNLEIASEAYDLLPKDVETRQKPAVSMLA LHVRDGNVSAARSLWLMLSTVGQATSDMVQPTVMYAVALLKSGRTEESLVEARNMFSR IRSSNDLSSNSLREQINECIHLLSRVLVQSAAILSTQASMSLLWLMAENGALISPVAQ HAVAFLGPLEISQLNSRDLALALQVQAGILVNNSAMSFDAAHPIRFSHMLDIALATGL AMDSTTVNLVDQAVNKLFTSRPDMVSRWHSYLGLTSSPSSYISGPHTPVSEISSMSSV LSEDSFDPYAYATDFKGSALIADGLESTNGRPEAHLNEALNRLRNMRRAGRHPRYVTY AKLIGAAAKNNRVDLVHEVLSMARRDVPLLPQYKAVKYGWTSILDAMVAACLTLGDRG LAAKYHHELSELGSAPSANTFGLYITTLKESTKTFDEATEALKIFHRAVAEGVEPTSF LYNALIGKLGKARRIDDCLQYFAEMRANNVRPTSVTYGTIVNALCRVSDERFAEEMFE EMESMPNYKPRPAPYNSMIQYFLNTKRDRSKVLAYYERMLSRNIKPTMHTYKLLIDAH ASLEPVDMEAAEKVLETVRASGQEPEAVHYASLIHAKGCVMRDMEAAHDVFKSAVSNP KVNVQPCLYQALLESMVANRQVAQTETVVEDMVKRRVEMTAYIANTLIHGWAAEGNIQ KAQAIYNSVGIEKREPSTYEAMTRAFLAADDHASASRTVQEMLSRGYPTAVAHKIADL VGNGAVTATL ANIA_02311 MSCKSSVTTAVSAPGKVLLTGGYLVLDRNYTGTVFALNARIHVV VEQLRKGQKVQNGSAEAENDNVDLIVVRSPQFVGAVWEYAIQRVDNGGGIKVVQKNDG RANPFVETSLNYALTYISYVADSKDFGSLSITILADNDYYSETAFSKASERKAGGFVN FGVPLHEAHKTGLGSSAALVTSLVSAMVIHRTMQPDDLGAARDKLHNLAQAAHCAAQG KVGSGFDVAAAVYGSCLYRRFSPSILESVGDAGSPGFEERLFKVVEDADPDHPWDTEC LDFGMTLPRGMQMVLCDVECGSQTPSMVKKVLEWRKQNKQEADLLWDALQSNNERLVL ELKQLSQNPDKGYDEVHSLLQRSRSHIRSMTSKTNVPIEPKVQTELLDAISGVDGVVG GVVPGAGGYDALAVLLRDEAEVIERLKQLFENWQSKVEDDFGGKIGKVLGNGGPDIRG TLAHLLPLPLPKVAPRAEVEHFTSRLHHGQ ANIA_02312 MCGSDMFLAILAIFFPPVSVWIKVGICTADSIINLALCCLGYVP GLIHAWYIILKNPEPDYDDPSYQPVPNRRGDVENGHVTYYYVSHQPAPTRPQRGYGTM PSQHASDAQSPSRPPAPKSQHEHNTAGSSSQANEDTSPPTYAEAVKGDHKVQNHD ANIA_02313 MQSMPWLFRQSLRTGLNLSRTSLPGRSPISPAPISKVHSKTARR NFSVCLRCHFRYQPSLRSDEIKRSTDEKQDKKIEEPVIALGAPESTQAEPNAGAQDTS QTADTVHTQGQEGKKEDEPGSTQNGGLPSYIEDRRSQFSKQFTTWMDNLQSNVFVAGQ RLNDLTGYSSIEALKRNIQEQEKRLRAARHRVRTAKEAYAAAINRRSTSQREVNELLQ RKHAWSPADLERFTHLYRNDHTNEVAENETQEALSAAERESEEAAASLTKSILSRYHE EQVWSDKIRRMSTWGTWGLMGVNVLLFLIFQIAVEPWRRKRLVKGFEEKVLEAIEKEK ILVHSQPVPPVEFTATQDAHSPTSGLVTPSPGDNIASEESSEATVAANTPTTTAEDEA SGSMAPENITSSSLESYKPPSLQSLLSSMSIECCRQYIHYLLSESPVTVTQRDISVVA ATSAAAGATLMGLVVALIRSQ ANIA_02314 MTSTAPSDGTGIIDLDPWLEPFREAIKRRFDYVESWIKTVDEVE GGLDKFSKGYEKFGFNVSETGDITYREWAPNAIEAALVGDFNNWDTKANPMTRDNFGV WEIALPAKNGTPVIPHDSKVKITMVTRSGERIYRIPAWIKRVVQDLNVSPIYESVFWN PPKAERYNFQHARPKKPESLRIYEAHVGISSPDTRVATYKEFTANMLPRIKYLGYNAI QLMAIMEHAYYASFGYQVNNFFAASSRYGKPEDLKELVDTAHSMGLVVLLDVVHSHAS KNVDDGLNMFDGSDHLYFHSGSKGQHELWDSRLFNYGNHEVLRFLLSNLRFWMEEYGF DGFRFDGVTSMLYTHHGIGTGFSGGYHEYFGPAVDDDGVMYLALANEMLHRLYPDCIT VAEDVSGMPALCLPHGLGGVGFDYRLAMAIPDMYIKLLKEKSDNDWDIGNLAFTLTNR RHGEKTIAYAESHDQALVGDKSLMMWLCDKEMYTHMSVLTEFTPVIERGMALHKMIRL VTHALGGEGYLNFEGNEFGHPEWLDFPRAGNNNSFWYARRQLNLTEDHLLRYRFLNEF DRAMQLTESKYGWLHAPQAYISLKHEGDKVLVFERADLLWIFNFHPTESFTDYRVGVE QAGTYRVVLDTDDQAFGGLGRIDQGTRFFTTDMEWNGRRNYLQVYIPTRTALALALEE TL ANIA_02315 MFKSGLARTFGRAAFARPSPVARRALQPSKLNGFPSFARLASTE TAAGGKIHQVIGAVVDVKFEGEKLPAILNAIETENNGQKLVLEVSQHLGENVVRTIAM DGTEGLTRGAPARDTGAPITIPVGPGTLGRIVNVTGDPIDERGPIKATKYAPIHAEAP EFTEQSTTAEILVTGIKVVDLLAPYARGGKIGLFGGAGVGKTVFIQELINNIAKAHGG YSVFTGVGERTREGNDLYHEMQETGVIQLDGESKVSLVFGQMNEPPGARARVALTGLT IAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRIPSAVGYQPTLAVDMGGMQERI TTTTKGSITSVQAVYVPADDLTDPAPATTFAHLDATTVLSRGISELGIYPAVDPLDSK SRMLDPRIVGEEHYNTATRVQQMLQEYKSLQDIIAILGMDELSEADKLTVERARKLER FLSQPFTVAQVFTGIEGKLVDLKDTIASFKAIMNGEGDDLPEAAFYMVGDLASARAKG EKILADLAKN ANIA_02316 MFAPSISRAVARSSAMPMPAIRSYRTISSPMTCVNARPQAEKKS IAAQQTRAASEHAIANPTLAGIEKRWEALPPQEQADLWMQLRDRMKVDWHQMTLQEKK AAYWIAFGPHGPRSQPPKGENLRIFFKVAQLCVVSVGLFYFIHLFAKPLPKTMSKEWQ EATNEYALREKINPIYGVSSQGYEGKGFVQSPPADKS ANIA_02317 MSLASGVQVQDECITAFNNLRMTGGQKGSKPKFIIFKISDDKKQ VVVDETSDDPDYETFLNKLGDAKDANGKPAPRYAVYDVEYDLGGGEGTRSKIIFISWV PSGTSINWSMIYASTREVLKNALNVVTSIHADDKGDLAWKSILAEVSGGKAK ANIA_02318 MSGTCISLSGSTQCPAFNASSISTNSNLYTDFPFLRNVTNLSEF DAALNSYVLGSYIQSKYSVFLGCQGDLSNASDYYARYTTSAICSGLVQSSESDCDLSA EQSRPLCAEDCALMASSEEEIVVNDELCPDRRSDYLNQIRSDFAVCTGPDGSLTGSCI SAADNEPNECGFRSNLIGLCGFCASSTVNSTDSCCVNANASSRCEGVDLPTPTTSFIP IYTTGTDAGNDTDNGLSGGQIAGIVIGSVAGFAILAVLIAFGLIYYWRRKREATDDNS LNKPNPQRKGSPMQQPGNQAFGVAPGRVARMSALREAPSSSPGHSRSSGAFLGGSAKY SDSSDSEGFASPGAINKKIPPTTGKRQGSLSSNSALAGVGSDTSPRSGTIGQYSSPEG LTSGQSEQLSSFQDYYSQDDIHPGDKVAVLWAYQPRAGDEFGLERGEMIKVIGIWDDG WATGVRVPESAEEYDARHREQRDSGVSGRSQRTSPAPSGEIKAFPLVCVCLPQHWRKI IDGAQGDDEVAERY ANIA_02319 MKTAYITALAALAASVVAAPTRITQDLETVTQSLDLPIASASAT ISSVASKATTGASGQLLHDVGSQVKDVLEVTGPNAKRLLIQLSPEVAGLLAQLGLPAV GTPVGTIVASASSVGDLVKNLGRPVEGLLTVVGEGGEYLLIQLAPSLTGLLSGLGLPG VGVPVGSVVATVGQNLKRDGMVVGDNIPKVQDILEVTGTDAQRLLIQLSPEVASLVSG LNLPTVGEPIGEVVEEASSIGELVNHTGAPTDELLTVVGSDGKALLIKLAPSVAHLLG GLGLSSVGSSVGSIIATLGNNL ANIA_02320 MGDVNAADGQFGQLNGRKKIILNAFDMSTVGHLSPGQWKNPTDK SATKRKLGYWIELAKLLERGGINAVFLADTYGGYDTYEGKLDECIRRAAQWPVTDPTI PISAMAAVTKNLAFAITASTSFEPPFLLAKRFSTLDHMTDGRIGWNIVTSWKKAAFKA IGLDSPIEHDERYRQADEYLRVLYKLWEGSWAPDAVSPNPETDSYADPDKIRQINHKG KYFSVNSRHIVDPSPQRTPFLFQAGTSAAGSSFAATHAEGIFVSAHSPSVLAPKIAAI RKQAAELGRDPQSIKFFSTFTPILGRTDEEAQAKYEELKKYASVIGGLVLFSGWTGID VSRLPLDQDITAADSLEAHKVTSTLHSFTTTSEDVPRWTPRVVAEKAAIGGLGPVSVG TPEKVADELERWVREADLDGFNIAYVTTPGTFEDVVNLLVPELRRRGIYSEAPQEGLT AREKVYGVGQAGLRSDHPGSQYKYDVYQEEPPYEGD ANIA_02321 MSTVRERRKWYHIKWFADQDTKEERRLILKLDALIVPYAFLAYW VKYVDQANINNAYVSGLQEDLGLHGNELVELQTMYTVGAVVGQLPFAYLFTRFPMSWV IPFLDIMWGVFTLLQYRATSFGEMMAYRFFVGWFEAAFFPGMHYIFGAWYRGDEIARR GGCFYVGLTLGTLTASLIQAGTSARLEGLHGLAGWRWMYIICAIITIPIGILGYFILP GTPDKPNRLVINDKDIELSKIRLSRAGHTFQGKFTLRSLTNVLKNWKFWALLFLDIFF WNGCINTSAGGYLLWLKSLKRYSTSRLNELGAISPALGIFYTLFICFASDLVLGPAWA ITLAHIWNIIGLVILVIWNVPESALWFAFITTYSAVSMSSVLYGWVNEQLRYSPVERS ITLVILNTVAQSTTAWTPLLVFKTVEGPRFTKGYSFVLANAICLIALAHFIKYFIARQ EYVFSDRFRSQAQANPTQQT ANIA_02322 MSLYKCTSCNEPIQSMKPRLSCASCTPRMTLCANCYVAGIYPSQ HQDDKSHSISMHIQSGFLPVPPPPPPRIQLVSRSLSAYGPPRRRPVPTDTPSDVPPQM PPRPTKSEKEIRSVVEDSERRPPTSLPPRMVDPEQQQLPDQDPPEYSELPLPATRGWT SLLTDEMKPSPSFTRMMEELFQHLDPQHTGFLSPEAYSRYIEACGAPPNYNIWKISNA KSGTDIADRELADHFTAYSVDFTLRPRTPHSTSSLNPLSHLPFSQRATLSRFMSQIPS MSGGQKPMLSLRGFTELSLISVLLNPSSAWSQLSRVIKTYRVPVWLEWGDLPRDMLPL GPYQPEVERVRVLLEGARANAEEMLDALHAGLKMEASGRQHALDLLDDRVWVYR ANIA_02323 MYEELGLPTEDGGEGKTQTVSPFVYFKTIYELGHGLNLLTLHHT RQYQAMTTLNSDERVHASGFWGYCVEVRQSQAEGCGSGRLCHGLCVLVLAVLAFLLRA SVKHRTAGWWILELQIGDDSGSPG ANIA_02324 MPSTKTFTRRRNATMACVSCRESKVKCDGAEPACSNCVNRSRQC RYQAFDKRKLPLRVAIEILSSRVNQLCSFIRENGLQPPPMLQEKDSALRKVLEMLGLA EINSSLIGQVKKKLPEMPNSIQESGSSQTIDLEGNKSDGVLMNSRQNQDISTLPPVAE NGAPNLPPFQGIHQIQPNSNLAWHFELGMDSIVTPACPDTYTFLTQRFSNDPSPPASE GMPEKFAPIIADDDQTLVEESSNRVDIEGLIDELSDRVGTLQVGPGGQTQFYGPTCTF NLADMLATNSGVNIAQTYVLDCLSQLGNHNAVPAALEEHLTNLYFCWQDPSFHVVDRK MYNAAKEKWHVDGDTPYYSESLRNAICALGASFETRYHPDFVTFPKSLVDFFGDRAKA LLEVELDCPCVATVQALVICSSFEVGNIQLMLTGMAIRLAFNLALHLDMSSYVSSGVI TKVDADLRQTVFWAAYTVDHQLGFYLGRPFRTNMDDVTVGKLTARARQEEQNRWMPYT SAGPVCAEIGVPDNMEAVCEEQINLCEIMAPIGDFLYGTSSISKAVLQQINEKIVTKL FSWKANLPSPLQIKLDDQTTPYTPQVLLLHMQYYQNMIYAHRPWMSKSSLQPQPPQGP GYGHAREMCIQSALAIARILVMYECRYTLRRIHTKAVAITSSAVLLLLFAAVTQYRPC NGDIGGKHGGIGDGITKHLSTCFRALDEFSISWPSAARAKDLLLRLQRRWEIRTRSTQ GRKDGTEGSFAELGQSSASDELHGLISRTSGTSRKNPEPQAINVDVDTDWMLMPDRQS SLNSRSLELYSLLSNPVTMCSVPESMQRYTNVHH ANIA_02325 MKSTSWGWGVPFVLSQLQTVVEAVDLLGGHVNMFMGTEGGGNNF PGAARPFGMVKLGPDLLSGTTDAYSGYLASGEFSGFSMMHEQGTGGAPKYGTVSQLPL VGNITNPLSNITVARNGTDEAEVGYYKAETIDGVTVELSATAHAGIYRYTFPPESDEN HVLVDVSHVLPSFRGQGLSQEYKGGNITVFPDGHYAGHGVYDNGWNRSPDWTIYFCGW FDNDVDMSRVYTGTDEDGSIEQESGSASSSTGSTRVGGVFSFNDTEVISRVGISWIST SKACQYVDDELDEASFESIVDDAQAEWEETVFSKVTTTTTNETSLTLLYSSLYFMHLI PTNQTGENPGWESDEPYYQDIFTFWPKAYEEQIRSLIDIWRFDGFLPDGRSSNYNGRT QGGSNADNVLADAYVKGVRGAINWEDGYSAMITDAEVTPENDPVDPMARDSSTKEGRG ALPDWIDLGYITPTYSRAVSRAVEYACNDFALHQVASGLGLDDDADKYLNRSRNWRNH WNPDLTSLGFSGFVVPRDKDGFIDTNPLTDAGYWADPYYQASSWAYSLTSIHDMQYII DLAGGEKTMRERLDTMFTDGASGASGIIFDPTNEPQDLSVAQSRSIAKSYYNTGVNGL PGNSDAGAMQTWLLWNMIGLYPVTGQTTFLIHSPWFESLTIDLGDGKTLEVTTTGGDG TGDEIIFVQRLQVNGKNWRKNWLEWDDIFAEGGTLEFELGAEPSDWFTGEVPPSPASV QGVPPSMAVVQSSG ANIA_02326 MSTASIKDHADTLLNLVDLERKEQRAARAPKIIWVCHSLGGLVV KQALLNAHENRKYTHIRTDTCGIVFFGTPHRGAKGVELGRIAANVAKFVSKGHAKNDL LKCLEENSLFTRDMSRHFKQQLEDYQIVSFVEGKEVFLGGSGPASVSHLVVDEESAIL GLPGQRETTLKLDADHSQMCKVSGRGAMYRLISGNIRDIADQVLEAERGFVPQPPNSP KPGPPLPPRMHFNSSTPYAGPPRTPNPNEAQVIGTIYQPADRDPRSIQVAKYMNEWKW DDARRMQYSIFQEHLRTLGQDHHSTLLAGYYLASIELEAGCLAKGKEWADWVCNNSQR VLGRRHELAMKSESIAGEFLFRLGKAQEGESICSNVLARQQMQIGDDHLDTIETRRRV ALAYAYLGQRTEAIQAITKYSESVKRLLGANHILYFASVLDTAEQAFYQRMANTEDHF LRRYTGSDPQGEAIEAIVRELSSRLGRHHPLTIRGLWISGAMQALDANGSSTSSETLR RALATAEEYLGPEHSETMNIVGAMGIMFAMRGSSNSYGYNPYLPDYGANLTAASPWLQ RYLSWAERNHGLGSPDVQTVLSLLAKMHYSQQQYQQAEPYFERLFASYRAAEMPVPEE MQTIYQICRMNNPRLLLQGIGGGSGAGTDFAKILSSFRRL ANIA_02327 MKTLQYLLKYSLACYLMVIPISSTVSSLLVRSLMWSSEKGLVDF ARRAVETPGGDILHVPHAGFLRPTARSYRPNIFWMEDLETRCASLRTEIAAAEAQLTK LKRELHEAEGAALRAQSQKTASANATTGQRTKSKWPLHGEEYRRYGRQMIVPQFGLQG QLKLRDAKVLIVGAGGLGCPAALYLAGAGVGTIGLVDGDTVEASNLHRQVLHRSRNVG KLKVDSAIEYLRELNPHPTYIAHQAHLTPREAPDIFKDYDLILDCTDNPATRYLISDT AVLLGKPLVSASALRTEGQLMVLNNPPQPPGDKTGGPCYRCVFPKPPPANSVTSCADG GILGPVVGTMGVLQASEAIKVLTSAGESVEATPPSLLIFSAYSSPQFRTIKLRSRRPN CAVCSAEATVTLESVRSGSMDYVFFCGTVDPADILSPEERISPSEYGNVDSAGAQRHI IDVREKVQFDICSLENSINIPMSTILASAYSAPTLDADEPKRLPSWLPPEVAHESNKP IYVVCRQGNDSQTVVRKLKELGLDHGGERPVVDIKGGFRSWREQVDPDWPDY ANIA_02328 MNGFASGVPKRKPLPSGAVASTPPIAASDPESDPEKTTEPVVAS GDQLAAPEHKLTLWQQLQQKWGQFSAKKKRIIIGALVTSLALLALIIGLAVGLTRRHG KG ANIA_10292 MADQFPPRDYACENHGTDRRSVKCPSLVDKAKSVWNKTGLDLQS IMLMVKGAIPPTIGLAIYQADSIAAYFTTSGYLIAIISVLGFAIMPRAKFVQMMLLDI LAVCVAAAVNALMMFATVKAREQSTSSDQSQPSSSGFPPYNSSASVTSGVFLFFQTYF VHSLRAHYQQFQFPVIIYSIVANVTFSFAPLLSTMPAALSMVRRLLEAALLGLGLSTG VSFFIFPLSCRAVVFKQMAGYISALRSALQAHTAYFEALESENVFGRTATYDSTVEKM DKHGKVYSPEATTIRKAVHKITELHGKMAGDLPFAKREIAIGELGPDDLQSIFRHLRQ TMVPVVGLGFIVDIFERLSEYNKWNEPIDPSSVVSGDLRDRAVHEWHEIMTAVHDPFV SMIETIDEGLQHVAVTLKLTPAPKNVNLDPETASSRSPGNKGFSAYMERKLSDFKIAK QLALRTWSEEKGITLPPDFFEHPTTAHLETEDIPVDGSVDRDRARRQLFLFLYMEQLL ASTGQVVLEFVRYADRKRESGKLSRTRLIIPGGKRLRKWALSIFKTGDPQGEDHLGDV NANNGVLQLGEAYTTRKDPEHLPPETTLQKLGDKIRRIAAMLRSPQSSYGFRVACATM TIAVVYFIRDTQEFFIRQRFVWAIIMVNLSMSPTSGQSLFGFALRIVGTILAMTLSLL CWYIPGKQTPGILVFFFLFVAATFYIPVKQFRFRIAGVITVISTAMIVGYELQARKIG EQNVSANGQTYYPIYLLAPYRLAVVTGGIAVAFFWTFFPYPISEHSVLRQNLGSSLYL LANYYSIIHETVTARMRGDEGDNALKTPAGRRLLKARNKVFSKQMIMLSSLRTYSEFL KWEVPIGGRFPKQQYDRIITCIEKPFMKLTAKPRFSIVNYLSLLGYASDSLKQLGNDD ESDSAWLNDLRRLIASARITTHQITSVLCLLSASLTNQQPLPPFLKTPRPYSFSKRLE QLDKDILSLRHIAEPGFATFSVLQISTRCIVGDVELLMKYVIVRD ANIA_10286 MRAESIDLGHADDGLRTQLHESISAEDLGESVYLERPPFSDSSA DSESVVWRPRLREWLVLICVSFVAMLDAFDATMLVPIIPVLSAVFEQPLRTVLWVDTS YLAARAASQPIFAMLSEVFGQGPILIVAVVIAIAGTGVCSGSLSVTCLVVGRLVQGTG NGGAIAVSSLLVTDLIPYPQRVRFSDYKCRAWVLGAILGPVSGGVLARYGNWNWTFYF SYIFCGLSLFVAPFAIDLKECKSISRRAAREMDWVGAMLTVLGIGSLLVACSWVGQPQ NGGEDWRILATSCIGGLAMVVLVLYESVWVSRPMFNLGIFSSISKIMLYVGSTFHGLL VFWHLQGLSVYLFLVKEFSTPFMGVSIMTITAPALPILFLTAKLGIGRYPFRPRWIIR AGWTLSLLASGCFILLTAETPMPGWVFIFLTTGISHALLISGYNLCSQTESPIRKRDE EDGRHTARRGRAASPAFAILMYSILRAWGMCIAVPVGGSIVLTQMVQELDASGSAAEP SGSLTRKGGIVLTLDKRQELGQLFLSSFGFLWRFFMGASALGGLSSLLI ANIA_02330 MHFSSPLLALVPSLSFAFAAPTAENVDNTPLLPHGLPHPSPEQL QQIEQAAHGTLPGLPLPTNVSAAGITNLQLLAFQEHVEVAFFDQLIGNISRNVPGYVF INDAEREFALRSLMATLAQEEIHALTANNALQHFGIPTIEPCRYWFPVTNIDEAIALA TTFTSHSLATLQDITERFATHGDAALARIMTGIIGTKGAQQGWFRTFQDKYPGEVPTL TTSDVDFAFTWANSFALPGTCPNLGDIKLRIFEPLEIMTRPEPRTHKIQISWSHGSDE SKESLLWVAYINQHNAPIVAPLQVVACDGHKSTADVVVPFDDFLMNGLTVMAVVNRRG PFANAEAVARATVYGPALFIVQ ANIA_02331 MKTTFLVSLATAALSSTAAAVSVSGSAEGFAKGVTGGGSATAVY PDTIDELVSYLGDDEARVIVLSKTFDFTDSEGTTTETGCAPWGTDAACQVAINQNDWC TNYQPDAPSVSVTYDNAGTLGITVNSDKTLIGEGSAGVIKGKGLRLVSGTSNVIIQNI AITDINPKYVWGGDAITLNDVDMVWIDHVTWSYGQEYMLTLAKTARIARQHIVLGTEA DNRVTISNSFINGESDYSATCDGYHYWGIYLDGSSDMVTMKGNYIYHTSGRSPKVQGN TLLHAVNNYWHDNSDHAFEIGEGAYVLAEGNVFQNIPTVAEDPIEGELFASPSESANE VCSTYLGRVCELNGFGSSGTFNQADTDFLSKFEGKNIASADSYSSVASSVASSAGNTL ANIA_02332 MASLRTTSRLVASSRSLFRPATFARSYATVESAQEPAPQMKKFQ VYRWNPDKPSEKPKMQTYELDLKKTGPMMLDALIRIKNEIDPTLTFRRSCREGICGSC AMNIDGVNTLACLCRIPTDTTKESRIYPLPHTYVVKDLVPDLTQIYKQYKSIKPYLQR DTKTEDGLENRQSPEDRKKLDGLYECILCFCCSTSCPSYWWNSEEYLGPAILLQSYRW LADSRDQKTAERKHAIDNSMSVYRCHTILNCTRTCPKGLNPARAISEIKKMLAAH ANIA_02333 MHAIEPFWGPQTSYLNFCEEDYVITRYVAEFINTLSSLIYCSFG LFGLYQLSQRKQGSFSRCIPYYGLIGVGVCSAGYHMTLKYHTQMSDELSMHLLTTPLL YRILTFQKTESYTRRVGVILSILFTIVMVTHMIMDEFLLHAVSFGAAVLLITIKTIKT IPQQIHDSDIRLNIKIVSRFGLICFISGYALWLVDNFLCLSLTSVRSVLGMPLAFLFE FHGWWHVLTGIGGYIAVAVVDLITAGEAGRDPTPDMAFPVPLATRAIRGLINRKGDGN KKGNCP ANIA_02334 MPLNKPNPALSILDHASKNNYAVPAMCVYNVEGILATVRAANKK RSPAMIQLFPWALHYADGLLVHAAAEAAKSAEVPVTVSMDHAQTPEIIRRAADIGGFD SIMVDMSHYEKEENLRLTRELVAYCHERGIATEAEPGRIEGGEDGVADTAELEGLLTT PEESEEFVDTGIDWLAPAFGNVHGEYGPRGIQLEYERLKSINEKVGDRVRLVLHGADP FTEEIFRKCIECGVSKVNINKVLNNEYVKVQREKAGRVPLTQLLEEATDEMQKAVEKC MDMLGSTGRY ANIA_02335 MSKPAIGFVGLGAMGFGMATHLVKQGYPVHGFDVFPASVERFKA AGGIPASSLRESAEGKSYYVVMVASSPQAQSVLFAEDGIVQHLPPNAVLMLCSTVSSM YAQSVVTELQNRGRSDIRFVDCPVSGGALRAANGTLSIMAGASDEALAAARDLLQEMS DENKLYLVPGGVGAGSNMKMVHQVLAAIHILGASEAQGFAAQLGLDARATAEKIQSSD AWTWMHENRFPRMVEEDWNPGVSALTIILKDAGIITTTARQQRFPSPLCSTAEQTYIS ALLHGWGPKDDSAMVRQYYAKPLSDVTPCTDAEAATELVLDYMRGVNLVAAAEAVAFA RYLNVDLSMFHVLVSQAAGASKIFIEQGLEMIEGRIGDKAPAGSPTVDQVATKLESAV QKARDLHCPLHLGNEALNLLFMAQRHGWGDQSSTSVIRVYGQ ANIA_02336 MKAHTLVPILSLASATAAAAVPPSSKTPFGYASGSKESIANLKD KIENVVWILLENRSFDNILGGVKRKGLDNVVNNGPFCYPQNVSEPSSTQRCSVYKDFD SVKHDPDHSITGYNMELYGTYHPSDEAIRNGTLKPSMNGFVQQQLVHHKGMDPKVATE EVMGYYSEDEIPTLVNLVDDFTTFNYWHSCIPGFTNVHQPTNPNRLCAVSGTSDGHGE NDNSFDVSGVEISSIFQVASENGISWKNYDGTNGDFLPDALFFNWTSENAQSSVVPLE NFYQDAYLGLLPQLSYINPSCCDLDTNSMHPSGNVSFGQVLVKQIYDAVRTGPQWNKT LLLLTFDETGGFYDHVEPPLAVRPDDKTYVETAADGTDYTFTFDRLGGRMPTWLISPY TPKGHIEDYGVDPVTGQKASYSATSALKTLGYLWDLEDFTPRVEHSPAFDHLIGPTLR SSPETLANPHTFPDAV ANIA_02337 MSEKANYEPNGQGPPSYGPPGPAQPAPVVPGTQPPYHPTEPNPE IQVQAIGYPAGQQQPQNAAPQMDPPQPYVQGQPQPSQYPTAFPLHALQRTPQVVDCPA CHQREMTRTEAVNGNSTHAWAAVLCCCACVGCIPYFVAYFKNVDHHCGRCGQLLATFH GSGHVVVHPQGGQVQQAPK ANIA_02338 MVRDLTFWPRYLASLLLVPRAVAWTLLWRNETTTSSVEDGQSAQ NCTQIWHEEGRQFSWDPEGPWCLKFYSDPLCDYSNGISCEGRLWKQQATQNISAFSVY PMPDSSVTAFGFASSTAIPTTTTAATSTPTDANAEQTPVAETASGGSSRLSAGAIAGI AVGAAAAVALLCAVFFYLGRRSRRKAAAAAAVAATSLPRSDSPSAAPLNTSSSTNPSS PPSDDVSSSATLAVSGTVAELPKPPMVEDQHVSPPDYIQPPNGTRMIELPGQTPEVEL SNTHQVQEMSVSRQIQELEGHGTEKRRL ANIA_11333 MKNAVLSTLFAFLLCSASVQIVGTPSGFAAGTTGGGNATPQTPS SLDDTRTTTCEWNRLAGSGTWPSLTGQGVLAQSAQYKDS ANIA_02339 MPEMSHQGSSLFWHNQDYKSLSKNGSERIPKPTKPSSATDSGPE RWPPSARPPSHTVFTRRIQPYSIHGYSGIDRAVSTVATPPMRGPGASIIGRGPVTRLA EQGTSISVCMESEYVTLSRSSNKAWSQQRSPNPRALSQMIYAVRGLLQSWDIKS ANIA_10287 MAKRNPENLDAWILGSGIASLTAAVLLLQEARVPPSRIHIIETL DVASGTTVSHGNAKEGYDFRAGMRPQFNDVCMLNLLSLVPSFTDPNRSVHDEILDFAK TLDLKHTHEQTRFLTRSGNKVHRVQGKKMALSVRDRISIFMLSSKSEKMLGRSRICDF FSKGFFKSSYWLALATTFGLKPQHSAAEFRRHLHRFNNLHDLSDPHLLDLGKYNVHES IIVPITQFLLNRGVDFRLNTGVCDIIFAHDNPAEPNEPTRVTAIQTFTSDDIVLISLG SIFSSTLAGSNDYPPPSFDPTSLSHSLDPDAETTAESQRDSGVAISPELDENWLLWLQ LCTKHPKFGNAYNFCTRQHASRIESFTVTASTEELFNVLADVSAIKPLPGPNTILTFS DTPWVVTLRVPTQPVFPDQPAGVQVAYGYALAPEKEGMYVTKPMLHCSGREILTEILC HMDIPPGHPSHETILNSTMTIPCIQPRATATLLPRESTDRPPVIPQGISNMACIGAFV EIPDELAVTGDYSVRGAQIAVRELMGVDSEVVRRKKSKRGSSTGLRVL ANIA_10293 MDKRISQVLAGLRQLPIRALLLDFWRDPRRRLELSAVTLAAYLL LQRSLRYRRLKRLQKVYRKYTTREEMASMTDHDAWKIQKEMLVMEFPSASLKALQFAL FRTYGIPTISSLLLKTSQFSNPATSFKRYADTGALIGQFMAFEPSSERAQTAIARTKF LHVGYRNSGKILESDMLYTLSLFALEPIRFIDMFEWRSLSELEQCAIGTYWKSLGDAL GISFADLPSGPHAFRDGFHFLEELRAWSLKYEEEYMKPSSSNQEVADKTMDVLVYALP RFLKPMGVKFATCMMDDRLREAMMYQSPSAAYKKVFSSLVAIRKFYLRYIALPRLNFQ RIDIFTDEPNEYGRYYVNIYEAIPYYVKPTIWNRWGPGAWVRWAMGMPLPGDDDDKYY PHGFDLEDLGPKYFEGKGRRSVAEIRELLKKKRRGQAPFVPDLPSVEDAKYEVVDPDS PVA ANIA_10288 MLAKMHYSRSSSFSSPSLASKSGLCNPMSELRAHPTRRMQESRK NSGLVYQPRSSQRRQADPAQGAGKCEAKLNSNQGLAIFSVFEVGMMRSLRFGSGVVVA RLFNGTWSAPSAITTGKLTTKGQFGMEHTEFVYVLNNDKAVEAFSQAKSVTLGEDVSI AVGPFGRSAELAGDAYEADIFAYCKTRTVFGGSTLEGATIGERVDANRKMYQKTVSTR QLLRGEVTLPPETYGLMKILHSTWLRPVTKRPSVEKAHLARIVTQQSTTIEPRSRAST IASPAKGEKRFYGEQIMAPHQITIEITDYSAVQLPSSLTQHEETRESLDSEASSSPTG HPPILKPGRRTWSASSNGVWDNHEPLHF ANIA_10294 MDNEQAQLKIRSRKTHRKSRLGCGNCKRRRVKCDEKKPMCTNCV QHSIDCDFRLSASGSPSEGTTLSHTQRFKFRESKYQSQISPSQEQLRPNYRSSGVQCD SVPTIGFKGGPGEGISLTDLYLFHHYTTSTYCTFASEAAHSVWQVHVPQWGFIFPSIM HLLFTLSALHLAFINPAKREVYIKEADDHFTFGVRSVTTVLALDTLDSGNCQQIYMAA VMICFAYFARGPRDGEYLVFNVKGKSEWLVLLHGVRAILAQKQAEIFTGVLAISEKEQ PAEITDHELDMELSRHVQHLQKIKATVIAEVQADRDFYIQVTDDLIGCFGDAYQARKA GSAPPELMPYTMGWTFRLPEMMIERLEEREPIALVIMAHWTILLRYMGEAWFMRGWDQ HIILGIRACLPPAYHDQISWPEEVIAFGG ANIA_02342 MDFTFHPGVNGSSPWVEFYPYNPSLPAGYAFMAIFAIATLVHFV LMIPYRAAYFIPFILGGICETFGYHGRARSHNNRTGLGPWAQQQMLLLCAPPLLSASI YMTLSRLMTALNAEEHSPIRPKRLTVLFVLNDIICLLTQLVGAGLQVTGDEKIISIGN KAVLAGLIFTLFVFGWFIWIASMFHRRLEAHPTAISLDEAIGNWRVYMGVLYGVCGSM VVRNLVRTIEFGSPHGADVRSREVYIYLFDGALMACVMVLWLVWHPGRLIKAARRVRK GVEGGVELLGGLFRRIWEFWR ANIA_02343 MVEFKNPATATLLEAVKARRTIYGLKAESPVSDDTIEAIVRDAV LHVPSSFNTQTSRVVLLLKEEHQKVWDIALQAMEGLVAAGALPKEAFESSTKPKLEGF RAGYGTVLFFVDYDALKDIKEKFAIYADKFDPFALESNAMSQYLGMHQSAGIWTALAS EGFGANLQHYSPLIDEQIAKQWNIPANWKLDAQLVFGTPTSGPLEKTFAPIEDRFKVF GKSA ANIA_02344 MSAIDSGKPQELFVEDVPTEEERQLDRETLNRLDLILMPMTLIL YLLAWLDRANVGNARVAGLDTDLNLSDYQYKVDRLFDWTAITVTYVPYILSELPSNLV LKKIGPRILLPTLCTSWGLVTVLQCQARNFSGFVACRFFLGLCEGGLFPVIVLYLSGF YRRHELQVRIALFFSAASLSGAFSGLLAAAIQQMDGIRGLRGWQWIFILEGLFTVCFG LFSFAMLPNTPEGVLTFTPRHKQHCLRRLQSDANLLESDKVTIKKVLSVLKDVHVLLV LVILFSSGTCLFGLAYFSPSIVQAMGYSNTKTQLMTVPPYAVAFVVTMITAYIADRYR QRGICAFATTSIALIGAALMLVGRSIALRYAALILLVTGIYAAAPCLISWVPNNTAGH VRRATAVALGFVTTSSGGVLSTWIYPKSSAPYYTLGATVNLSLIVVSLVGLVLQVGVL MWLNRRKEVKRTEILSEPGLEGLSFEEQFEILGDRHPEYRYTY ANIA_10289 MPSFSNGNQLQISSTSLPLQGKLVPKPISTQPFTLLTSYLPFTN EAQRQWWLDSGSLFSRFLQASQYTTGQQYKHLLFFHRYLLPFLGTYPPTWFSIVSRQG LAIEYSLNFQASGNPVIRSAFEPLSYASGKDAADPLNKRPTEDLLAGLVEQGLEGFDM TLFDHVRDTIFISDEETAALENPDAYGIKTHTALGFDMKGDNTVVKCYMHPRWKSLAT GVPVAKLIRDSLERIKDQFDCEQALELVDEYMEDSGSWDLRTFIAWDCVPLAQTRLKI YGIINEVSLGKVEELWTMGGRLNDETTLEGLSLLRRLWHLLEVNKDDRLFSKGDEEKL EYGPTVDGFLPLFWNYEIWAGSNKVAPKVYIPVYGENDLKVALALSRFFRSLGPAWQE KADGLAMCSYAPKQPRSRYQSDNKTPGLDFVCVLPGEGSLRECLLPFDQFISIPRAH ANIA_10295 METEFYNSVNWALTTDPTDALFPWDVGVDADILPQSTQADAGPG GGGWGDLDQLLSASSYPVPEAQLQPQAEIKYNSAVPLLGGLLVDNDNQSSTDSGNQHT SADESTTATAPVPAPRNRPPKQRASEPARKRGRPRKLIEDGGLNAEERRRTQVRMAQR AYRSRKEASVSSLKERIGQLEAAMKQISTAVISFGDDLVRSGALDTHPELLKPLGNTV QACLALPAIPHNESVAGSPFDNTGHKRGMLPLSSSSSSDGTTGDAMTMSIPEFIDRLH VTCSYQAYLVTANPSVPQRRIERPFRILLSLMPRAFVAEYFKDWLLARAGHKSMDQWD HIPFFRIGGAGTHYPAPSGNVHYPFPSPRSSAAVQEDVSAFTADMGDEVEDIWFDLGD LQGYLTERGVVFPASSPGAGTVPLGLGLREQAATDVARFMQSLVRIAICLGRSPGFRR RDVERIVDAFFASQTSQVV ANIA_02346 MLFLDLPDELLLFVGEVIPLQRDLSSLTKTNRRLYCLLSDLLLK INMKDSNSSALVYAAQHGNLAVVQRMVELGADINATNPDAYTVLDCAASEGHADIVRY LLRKGASHRFTVERPSVSNVSQRTVQNMFTTNVSKEEQETLEYTIPLFLAILHSHDEA ALSLIEDQRVNINYCDLWGRTPLMWALTCGRRKLVRALLQHGANANVHDEHSGLTVLI AEIRRPKARHVRLLLAHDKVDPNLPDRHSCTPLWAALDSWDVTMLKQLLARHDLDVNK TRNGITPLLHAISNKQEDVAILLLKHPNLDSSSINSMDANERTPLSYASEYGQVWLTD MLLEKGADSQLTDVDGRVPRSYAAARVETQAATSPTQTEQVQADSGEVPAQAPIFLSI DHGRLDTLETLVSLGADPSQQNEDGHTPLHCLVKRSGRQPVLAPEDEQAIRYLLEHGA DPWIKDKCGMTVLDMARAYGWGELMGGILRSSFRRIRGEDSHGSWEDANIPPMSEGCA AAGMCWTQRRTWRIGVGYESDWVESREPWRV ANIA_02347 MRPSEKWWRQYGHSVFQIRLANTRVVVVKSFDDCRKMLLSHQNA LIDRPKLYTSYCVISSTQGYTIDSSPWDEPCRKKRKAAGTALGRPALRNYHPPYVRSG ELLHFTDLYRDSKDGEAEVSVRPYLQRYALNTTLTLCYGIRMDEVTTKFSVRSCTLVR QYLSCTAQLRIYKITSRFSGYMDGCRDGGEGDLYQCDHQGGSAVLYCQLDEFAAQEVT EINWNGAIIPPKTMILINAQAGNRDLFSIKAHVAVVTGGSSGIGPMVAKLKVIGFIGI MTNGARVHIVALPTDPIDETVSDLTSWGSASSGGSAQGYACNLSSKSALLELASYISK AKGGKLDILISNAGTRRDPPVECNVFTAPLFELQESMFSRTEHDWENTFSVKTTAHYF LCVDLLPSLAAATD ANIA_02348 MASSHPQTTQFLHQLPAEIILSIADALSVRDLNRLLRSCRRFAS LLRPRLYRLATTYTFEAHGRRKSPLTWAAEHGILSTVQEMLDAGADVTATVGGFTAFY QALRNGHTEVVRVLLDAGADPLPSKSNGGIPLVLAAQHGDEELLRLLLRVTPTNATGE RANYEHAVARAIYHGHIRLVRFMLDNAAGWLDVAHNGHLIYQAASTGNCDMIRLLIGY GATVEPLHRTARHPLVVAAQNGHKEAVQLLMTLSKEKSAPTAEPVKDPEERSISARLV DRFGTDVSQPTKDGLTPLQITLEEFSPPEVIHQLLDLGAEPSVQSRDGSTALHTLVRL KRYDLLETLIDGASLSASDSKGRTPLLLAVAVFNVSAASLFIECGADLAARDIHGRTV LHLAASHSSGVILSMLLRAGADVSATDEAGRIPLHYTTFGSNLTIPSAFIATHRATRT DHLVRSHTGRTVLDMAAESGNLDLVRELIREGADVNSHWEGYSPLHAAVANRHPELAE LLLSHGANPLRLDYYGQTPFDLAAGDRSMLDGLARWCDIPYTRTDRDTRRGVLKESVL RFASRISDGQTGDFYKLAKCLVYLKDDEAALAAFRQAARIRACEDDLRYPMCCGGCRK RLNAPRFSEAVVLVCRRCHELDFSDPSPFLGFYSTDAGFATPSNSDERGNDELWNEQL EQIIRPRYVAKPMSKQRVTRIFGYIAIPSIVTGLGIHSGLKHLENKYPELPPAAAGSK ALSTPARPTQHCPYTDIYAARIPLRALQARTRHPETKDQTALEEAWARSLLSCRLLRT EASLIGLLTRGKYEPGDLGDNGFGPGPGSEPRVLLNGALTVQRQPGAEGSNSLLVSWK MPDGPRLFFEKIARWGYPWRLMSGGRHEMIVSEPFNVKGQGEMVEVRFSAAHDYEIVE EEGGLQQQKVLPAWAIRLHRGYARLILDMAARDVERGT ANIA_02349 MKSTAESKETPSQDESTTSVPCTEAPLVEEGEEASFGAYKRIFT FAGRTELILQAVAILAACASGAGIALQNLIFGQFVTVITDFTNGISTPADFRDNAAEL ALYFVYLGIARLVLSYTYNTLLTYAAYRIVRNIRHAYLKAALSQEVAYYDFGSGGSIA AQATSNGKLIQAGASDKIGLLFQGLAAFVTAFIIAFVVQWKLTLICICIPVATIGTTG VVAAVEAGHETRILQIHAQANSFAEGILAGVKAVHAFGMRDSLVRKFDEYLVEAHKVG KKISPLLGLLFSAEYTIIYLGYGLAFWQGIHMFGRGEIGTAGDIFTVLLSVVIASINL TLLAPYSIEFSRAASAAAQLFRLIDRESEINPYGKEGLEPERVLGDVELENVTFSYPT RPGITVLDNFSLKVPAGKVTALVGQSGSGKSTIVGLLERWYNPTSGAIRLDGNLISEL NVGWLRRNVRLVQQEPVLFQGSVFDNIRYGLVGTPWENASREEQMERVQEAAKLAYAH EFISELTDGYDTLIGERGGLLSGGQKQRVAIARSVVSQPKVLLLDEATSALDPHAETI VQKALDKAAEGRTTIVIAHKLATIRKADNIVVMSKGHIVEQGTHESLIAKDGVYAGLV KIQNLAVNASAHDNVNEEGEGEDVALLEVTETAVTRYPTSIRGRMNSIKDRDDYENHK HMDMLAALAYLVRECPELKWAYLVVLLGCLGGCAMYPGQAILMSRVVEVFTLSGDAML DKGDFYASMLIVLAAGCLICYLAVGYATNTIAQHLSHWFRRLILHDMLRQDIQFFDRE ENTTGALVSRIDSYPHAILELMGYNIALVVIAVLQVVTCGILAIAFSWKLGLVVVFGG IPPLVGAGMVRIRVDSRLDRQTSKKYGTSSSIASEAVNAIRTVSSLAIEETVLRRYTE ELDHAVSSSVKPMAATMICFGLTQCIEYWFQALGFWYGCRLVSLGETSMYSFFVAFLS VFFAGQASAQLFQWSTSITKGINATNYIAWLHQLQPTVRETPENHDKGPGSGAPIAMD NVRFSYPLRPDAPILKGVNLKINKGQFIAFVGSSGCGKSTMIAMLERFYDPTTGSITI DASTLTDINPISYRNIVALVQQEPTLFQGTIRDNISLGVFNPNTQPFFSDKDAVKSVS DEQIESALRAANAWDFVSSLPQGIYTPAGSGGSQLSGGQRQRIAIARALIRDPKILLL DEATSALDTESEKIVQKALEGAARDGDRLTVAVAHRLSTIKDANVICVFFGGKIAEMG THQELIVRGGLYRRMCEAQALD ANIA_11677 MSCSERGSVLGVFRKRRTFNYSILYQPDG ANIA_02350 MRSFTFSLSLSLCLALSQSAVSLTIDSQPSLLQFRTPKITADSL HNVYLDFADSSFEGDLHIVYGNCEKASVDSHHHSLGTLSIKRDALPERIVWITPPDAP HLHCLHAFHNELGLIARSEPIPVTSPIVRREPLAIADYADAMGPWFDGVAYLSAQEPG KTAVASAKNSSIAIIGGGMSGLMTSLLLSSVGMHNWHIHESSHRIGGRIRTQYLNNTR PDQYQYQEMGPMRFPVSITYPEQNETLEIQDHKMVFQLGRVLTEMNEKTHPELRVDFI PFIQNSDNVPAAAGGNRLSNGRIPTAGEVAADPDLVYTAAGPNETVVEEAEAAYSAYI DHDGLSAKKVADNIFRAHKTAVEHGLFHWSEAGYLRYALGYSDNVTDYVAGSGPESPM WGDIYDNVYFSATEFRTIDKGLESFPRAFYPHVANKTTFGRKITGLKYNQTTSKIAVT WRDDPLAQVPSSEDYDYAVVAAPFSKVRLWDLPRYSSLLSRAINEMNYSPSCKLSLLY ETRFWEHQSQNPIFGGCGSVDVPGVGSVCYPSFNMNGTGPGVVLASYISGTQARSVGA LSDEDYVGIVQRAMVEFHGPVAAEQFTGIYNRQCWEMDEHQAGAWASPLVGQQDLFLP AYYNTEFKTIFIGEHTSYTHAWIFSALDSAVRGTTQLLLDLGLVDEAKQIVEEWMGRW IKL ANIA_02351 MKAIRLHPNSDEKHPYSSSNPAPASALHLDTIPIPKPGASGDLL VQIKASTIIRDTLTWPETYEREYITPGHDFSGIVAEVYNKESKFQVGDEVFGMLATDR PGSWAEYAIVLEGEVALKPSILTWEVAAALPLSGMTAYEALFVHAGVAVPGDEQALRN FRERADLRNEEGKNEHGKRVLITGAAGAVGLHVVAATSSNQRNAEFLRGLGADETWEY TALQGDAHRNAYDIVIDTVGGQPLVNAWGWVRDGGNLVSVDSSSFNFVEEHTGRGIAR DRVKALFFIVEGSHQALNALSRFAELELLKVFVLDVYPLEKTREAYEIANGRLSGRGK IILSIGHP ANIA_02352 MAFQPLTEEERPCVLFRAEHRSNASLYDGYILPRARRGSGGATV NDFHNHLAGVGTATPFVSFGSWLRAMHWRQILQTQNRADIMIIAIWVKDLPNLYSAED VARQLGYPESGSETDQGATISAHHDEYLVEGGIAPDEYRILAIFDGGGPDRTVIFESR FYQIITTIPDEFFPGRRSGNALQDIEEEIWSHLGVRNEEKRDELVMAISRSPRGPLMG EIIYQ ANIA_02353 MGVYSIYCAICSGSLNRYELGSSHPDALRYRRAHVARRVFWRNK GLGYYYDTDDEEKDESVKNERLRCMQAADEHLEQPNEVGNADKDDEDHSSNNEDGEEG HSSNDEDVEDSCSFYEDQEQCSYDPALLCEEDFEWLPQTGALGIYNNHPNGPRHFIAT VDYDDYNLARVRNVDDVCFPFHWSCFQLLSRALKAGPDNKDIDKKVLFDVMESLNYNN CLKLPYGDMHGADQDWACIPGEEYTVKDPLADDAFQPSFLEHCTLDDRDDSARGRSSQ LRTRILSDPFDTLPAELTSMVLSYLSGPSLFALLQASMAVRSQTQSQSFWQRKIRADM PWLWELFSQDTQGIDLRKAYVYFMKKTRPRYGLDEPDWLALANRRRIWGACEVLAEMY KTQKKQAQGHI ANIA_02354 MGLFENLPLLLGSSHKACQSLVVAIPAITAHAQIQMCQGGPSLQ PICTSSCDLKAALWSREPALLACIVLWSWFTKNEEREAVQEWDPQVLEIVAKPQYHAT SALNGDSCAAADTGLNSQRARKRHRARHHKSRLGCFSCKARRVKCDEVRPVCGSCSSR EEACVFPDPSAASLKPTSSSLQRRNLPRSWSSGSPRPVSSYLETWEEPTSRRVAVSAP EAHIDLRMDDLLSMQFFHLHTAQEMSLHAKRSMVWQRVIPRLAGKHHYLMHLLIALGG IHLITHRLKQSSGEDYDSSTVDLWSILNHYQRGLQDFRKEVAILSDANAEAVYAGSLL LVAFVYASLQVPELHEAFSKTESASVPYTPTLHKQTLPPIDRLEVNWLHLIRGVSTVI QSHWPALKSSCLRPMVLHFHGDEYWKEVPFDSSLPNLNHCSLPLQVFSRGAFQAVTDL RAFHSTIQLNDGSELHLAPISSPNTSEGSVDGPSRAIDVLQNIYSRVMSVFQCTANEL GFPDDVEIQYNLEEAAVLSWPSLIPEAFIGLLEIDGAAGLAWGLSLTILAHFYVVNAL VNRWYLDAFKEEILRIQRSVSTLRVADLDRLLIWPVKVATS ANIA_02355 MAAAVLFTLLFIGTTGYHIFQMFKSRTWFFVPFVIGGISLLAAS VYMFLGRIILILQAESHALLRRKWLTKIFVTGDVLSFLLQGAGGGIQSSGNLENMKTG EHIIVVGLFVQIFFFGFFIITASHFHWKIKKYPIPRSCTPDIPWSKHLHVLYLASFLI MVRSIFRLAEYLQGNNGYLLHHEIFLCTCYQAIRRVCWLFRAFLLRLSIFRAFPFLLA AKE ANIA_02356 MKVFRAATFITVFLAATDGVNAITDTRTSPLRKEAAGKGILIGS GAISPTYLNDPQFATVLAEQFESLSPENEMKWSFINPTKGHYNWETIDRLVEFAEAHD MVVKGHGLISSCCNPDYLVNITNPTAFRAAMAAHFKAVMRRYHGKVDRWDVVTEALKT QGGGLQTNAFYKILGPSYIDDAFRIARAAVPEAKLFINEAMVESLAGKRQELYNLVSR LVANGVPIDGVALQMHVTEGLPVQPGVIREMVDSYKALGLKVTIAEMDVHTLNTTLQT EIYSTIVSEALDSGITDISFWGFTDKHAYTWVKGAKPLMFDEYYNPKGAFYAIHSALT SFKDVVPGNQSCAYASPGSLKKQ ANIA_10296 MSTCAMPQPLAHPSGIIVVGTGLAGLSTATQLTTHNVPVILLER AERPGGNSIKASSGINGAGTKFQTVPDSAEEFYDDTVRSAGKPFATAANVERERRERL IHTVTRNSKGAVDWLSDEIGVDLSKVAQLGGHSKPRTHRGEKGKPPGAAIIGSLLDKL KSNPFVDMRMKSRVTKVLKEAQEVVGVEYIDSDEKTQSLRGPVIFASGGFAGDVRGMV AQYRPDLAGLPSTNEAREGSQPLLAEVGAGLIDMDHIQIHPTGFLDAKDTSAAVKFLA AEALRGEGGILLLDNGARFVNELETREHVTDAILKSATKLDTDLRQWDVTLLLDEGAA SALDTHMQFYLWKGLIQKTTLGELGKSTLETVQQYADIMAGKQQDPYGRTAFGNWTLS DPQPESVAYVGKVTPVLHFTMGGVLFNEQGQVLDQTWTPIRGLWAAGEVTGGLHGQNR LGGSSLLECAVFGRIVGDQVAAFYNQHYVSDSGH ANIA_10299 MDSDQFREAAHATIEDTLELTDPVISYFNNIPNQRVLPTIEPGY LRPQIPPSPPTEPESWPAIQADIDSKIKPGLTQWQSPNFMAFFPATVTYPSILGEMYS AAFNAPAFNWLCSPACTELETVMMDWMAQALGLPKCFYSTSENKGGGVIQMSASDAVA TVMIAARERRVQQQAKAEGLKEGTEEYEDRIMELRPRLVALSSSQAHSSTAKAALLAG TRYRSIGVSLENDMALTGAELRSMLEELDIKNLAPYFITLCFGSTNSCAVDRFKEITD VLKEKEHWSRIWVHIDAAYAGSALVADEWQYIARDFAEGVDSFNLNMHKWLLVNFDAS LLYVRNRHDLTDFLDITPAYLRNPYSESGQVIDYRNWSIPLGRRFRALKIWFVMRSYG LNGLKEFVRKGIKLGDTFADLIRSRGDLFEIVTKPAFGLTVFRVKAASLANGNGVSVN GQSGTVVKPDEEADAVTKEVYETINARGEIFITSTVMAGVYAIRVVSANERAEEKYVR RAFDILVETSEEVLKKAK ANIA_02358 MDTKGAQLQTTSGYELAESSRAVNRERPVEYPSTESSTPLVESN DADDFPDGGIRSWLVVLGSFFLLMASYGLMNSVGVIQSYLETHQLSHYSSRDVGWISG VFVFTSLILGIFVGPLFDAYGPKELVAVGTGIYSLGVLLTAQCTQYWHFILCFGVIAG IGAAVISNVGMSCIPHWFYAKAGMAIGTAMAGAGLGGVVFPYILRETWTSIGFKWGMR VTALLVLLLCGSATFLVKSRLPRNGRPKAAFDIRCFKDARFSWLSAATFCLELVVFGL LGILPSYVVAQGFSAASSVNLLVVMNVCNSIGRLVSGRVADKYGRLNVLILLVAMAVI LIFAILYPFSNSLPALYIFCATYGFVSGSFICLAPVCVRQISPAKETGMRFGTLYGLV AFATLICIPIGGEMLEKIGAHMVVVYLGVMLVVAILFFVIARWACLDYKWNWWSKI ANIA_02359 MRSLISVAVLSALPTAFSQANTSYTDYNVEANPDLFPLCLQHLN ASFPDCASGPLSLTPVCDRSLSPKDRATALVSLFTFDELVNNTGNTGLGVSRLGLPNY QVWGEALHGVGRANFVESGNFSWATSFPMPITMMAALNKTLIHQIGTIVSTQLRAFSN AGLGGVDVYSPNINTFRHPVWGRGQETPGEDAFLTSVYGYEYITALQGGVDPETLKII ATAKHYAGYDIESWNNHSRLGNDMQITQQELSEYYTPPFIVASRDAKVRSVMCSYNAV NGVPSCANKFFLQTLLRDTFEFSEDGYVSGDCGAVYNVWNPHGYASNEAAASADSILA GTDIDCGTSYQWHSEDAFEDSLVSRSDIERGVIRLYSNLVQAGYFDGEDAPYRDITWD DVLSTDAWNIAYEAAVEGIVLLKNDETLPLSKDIKSVAVIGPWANVTEELQGNYFGPA PYLISPLTGFRDSGLDVHYALGTNLTSHSTSGFEEALTAAKQADAIIFAGGIDNTIEA EAMDRENITWPGNQLDLISKLSELGKPLVVLQMGGGQVDSSSLKDNDNVNALIWGGYP GQSGGHALADIITGKRAPAGRLVTTQYPAEYAEVFPAIDMNLRPNETSGNPGQTYMWY TGTPVYEFGHGLFYTTFEESTETTDAGSFNIQTVLTTPHSGYEHAQQKTLLNFTATVK NTGERESDYTALVYVNTTAGPAPYPKKWVVGFDRLGGLEPGDSQTLTVPVTVESVART DEQGNRVLYPGSYELALNNERSVVVKFELKGEEAVILSWPEDTTSDFVSSIDGGLDRK QDVIA ANIA_02360 MRLLTALKTAPLLAACVVAKANYPAIPVDTTTPVQQRLAIYGPN SISIGWNTYEKLNESCVEYGTSSEKLDRRACALVEPTTYPTSRTYENVVILTDLTAGT TYYYKIVSTNSTVDHFLSPRVPGDETPFSINAVIDLGVYGEDGYTIKGDKSKKDTIPT INPALNHTTIGRLASTVDDYEFVIHPGDFAYADDWFLSLDNLLDGENAYQAILENFYE QLAPISGRKPYMASPGNHEAACQEIPFTTGLCPDGQKNFTDFMHRFGRTMPSSFTSVS TNDSAKVFANQARELAQPPFWYSFEYGMAHIVMINTETDFEDAPSGKGGSAHLNGGPF GAKNQQLEFLEADLASVDRDVTPWVIVAGHRPWYTAGSACTPCQEAFEDLLYTYGVDL GVFGHVHNAQRFLPVYNSVADPNGMQDPKAPMYIVAGGAGNIEGLSSITKQLDFTEFA NDEDYTYSTIRFLDRNHLQVDFINSVSGEVLDTSTLYKSHEARFVRQ ANIA_02361 MATDKKVIAFDLYGTLLSTESIAKQLESQFPNKAQSISSLWRRY QLEYTWRLNSMGIYEPFSDITRNALQHALAEHEESLPNDAIASLMKAYDNLSTFPDVQ PVLMKLSQISTLTAVVFSNGTLSMVSNSVNSSPHLSPHASVFKDIISVESIKAYKPAP AVYHHLVAKTGKSSAQKGDIYLVSGNPFDIMGARSVGLNAIWVDRGGRGWADAAVPQL RPTAVVRNLEEIVEVVQDT ANIA_02362 MMRIMNIITENPDRRLKLFDKRITTKWREEVAQSAQDVSARMMH WIIAELKWKADILRDTGFGKVFDDSVVNRDLFGDRIKFKGVEPENDTCPPPEGPRDDA EDYDFDHFEERRAECHKTLISGGQLPEAFSEPKVSSDCEIGEHYVDARKPEYEGGTWH IEGQLNERIAVSAIYYYDSHNITASALALRHRGMSGMYGVIHEQDMHHFLQQTYGFPE DVDGRNEVLITQDLGSVDTTEGRLITFPNTLQHRVSVSLADRSKPGHRKILALFFLDP HHRIISSANVLPQREDWRRTGNRASGYAHGTTTMTMEEAKALRLELMEERGHSSQESN NSFEMGEFSLCEH ANIA_02363 MSKGAYKSACAAERERLREVARYYCVVDRPSSDKDIYPLQGDSR PDHNKRLASDAALTGLARLGVLQFGCNRAFVSIIDETNQHVIAEATTSIFLQNAEKHQ AGDELYLGTRRLDLGWGVVPRTIGFFTKRNPDFIENENVIANDTRYIINDLTKEEAYK HRPYVAQWPFMRFYAAVPIRSPAGYVLGSYSIVDDKARSNFGEVEVECMQDIADAIAQ HLETVRLSYCHQRTETLVKSLTTFVKEHDDFDPTESYQVTPSQSTINIPETTEGGDRG IPDTPGDSDRSSPEAAEGDVFELLGPCSPSDGTGEASSLFSKVLGSEQTEVSFPIRSA DRPTSAFSANDDRRDSVPQNAPAVTDTPTSETQSWIKETVNKTAKIFRRASILLRDSM DLDGVLFVDASRCNAGVVLSNDTGTWEPLPSTLSPDFLADPYPSPADMPGVGSLSKPS DKPCTLLGRALRDSVHSTESPHNWNITERVLDDLMASFPQGQIFDLSDLPARESSPSS DLCNNLCHDLAKCFPNANSVLFSPIWDWNKSRWLAGTLVWTSDVVRALGAEELHYFKA FGDSIISEVARVDWSATQKSKSAFMSSVSHELRSPLHGILASAELLGTASLQPEQQHL VDMVESCGLTLLDTLNHLLVLTPTIRLDFSGINNLTALEDPAQGLSDAGMTSLETDFD LGDLVEEVVEVQYTGQNLPKAAVHLNHRSSPSPQDFDADNAELSVIVRVEDMPTWKIH SVPGAWRRIVMNLLGNSLKWTKAGFVEVSLTKVRRKRDPLHVFALLSVTDTGAGISPD FLRHNVFSPFAQENALSEGLGLGLSTVRQLVGSLNGHLNVRSELGVGTQVDIFVPVQI LQPPPTPRPDLAPRYNPSQRPPVRVCLIGFSDYPCLKETPTGILPAEAKRKLAIRSCL TSILAEQRSWDIISSESFHGTEGDIAIIEEFRYLDALKSGELPLNDPKPAGFRRIFVI LNSKNPTSRHLDSSRLIRVSQPFGSRKFRNAVVRVDQLLKEPPPEVSSDQHLRKPSPV PEQETPRKTPSPPMQQMPVNAPVLEHSTVELTSHGTTDPVRIHENLHLLIVDDNAINL KILATFAAKIDISMPVMDGIVATKEIRQFEEEMGLKRSRIMAVTGVASTDMQQRAQAA GVDEYLVKPVSLVALKKVIAAL ANIA_02364 MPISTFPAELIYHILSQIFPPEGWGKYWPLTRSVHDVKHFLNLR LVCKEFDMIVLDYFLTKEILAEDFDQACLQRLDPPTPAAIRMGRRLLARQIERDKARD TGNPLVREIIAVVDAAVSHLQDGQPSRDDVEQLRETYTQGLVTAVIGFSGLSKTVLEG MVKGTNTRRSQAALRRPGQRYLNLALTTAATLGRIEDMKLLMEKGADPLFDERGEWIG TPLYGAAIGGHIDAINLLVNQAGDDLNRDSRYSGHTPLHYAALNGHEQLVQWLLKHNV QPDERNYSDQTPLFCAASSGYAGIVKELLDFDREQSEEFMAKPPQTEGDMAYHNGYVD WIRTNIEGINLIDVDAEDSRERTPLIMAVQRGYLKTVEELMEREDLNINRRNAEEYDM SPLATAASKGYEEIFRLILSHPSVEKSTRDSSGHGILKHAAAGGNINIVREVLKWPNV DVNLRGADDSTPLMWAALYGHESIVRILIDEGAAVDLFTSQLHLQLMRLLGSDPTDVA PSIELLNAMTGRTAVLVGSSALDAAVHGGHEGTVKVLMEHPDVQLDQYDFDDRTPFAN AALTGHAGVVKLLLERGGATNEWSRDITGCTPLILAARAGNEDVIKVLLEHSDDPLNC SDREGHSALVHAAKAGHKGVVELLLKHPKIEVDWALAAAAGENNKAIVRMLLNRNVVK SKREIQRALLFTEEARLDEMHAFLVPYLETMPEE ANIA_02365 MALTLYKPSVPAAVESGEIQRHQCFVGEILQIGLSVNSTKLAVK DQDGNNLRIGFTFTPDTYDKECIPLGLLKRGLTILVLTASIEDLPGGGKSIKVLDPRL VKGCQETAVHHEDHKVHCAVLRDPDMIPFFSKQWKCLQEFRCVSLQSLTACQPTNIFQ ATQHSHLAAPLGTVLYNRSQIQALPLNEAHSTPSGQIKSLQDLCD ANIA_02366 MKTAVKTTALLSLLSTAMADKAIVGGDDAEITEYPYQIALLSGG SLICGGSIISSKYVVTAGHCTDGASASSLSIRAGSTYHDKGGTVVDVEAITVHPEYNA NTVDNDISILELAEELQFGDGIKAIDLPSSSSLPSEGTIGTATGWGALTEGGNVSPNL QYVEVPVVSKSQCSSDYSGFNEITASMFCAGEEEGGKDGCQGDSGGPFAADGVLIGIT SWGNGCARAGYPGVYSSPAYFRDFIQQVTGL ANIA_02367 MGTPASKACHNCRRRRLKCDRAVPSCAKCFHTGQECLGYGKLFL WNQGVASRGKMMGKTFPVPGSESVSGSGSKSLPEPYDQKKEATTVPSQDALVYTGPGF FLSGPLLDPLYQSLDESSRRYLSHSDATNANPFRRLVPLCRDYPILRHAINAAGALHI SCLHRRTGDPCDADRSVQRRHTFALDPTDPSSRALIDALSAKQRALALLRQALEDVST IDVDLSIAVVHLFIIFELLSPGGDEWRAHVQGALRLISYLQTLTPRRASPAALIRDTV TSDCLTWYILGSTLTKTTTLSDPFLLSGDIFASLTRAETTSYISLPTTLLHILFRACE LSNLVSVSTMLNDPNIDYSGILSQAHTLLQMTKSFDAHAWAFSLERSFDSSRTLSRIH TALAHQNALSTYICRSVDVIASPVALGENTETLVTNIITHLSFVGSTDPIFVATTWPT FIAGAETDNPIYRRWAVDRLSEFWSLMPWGYVRTAIEVMETTWRLRDEAGARGRAGGV LKRESWLQQLKELEKYWLIA ANIA_02368 MAIQFDVNKRRETSLEAGVQNEKPDPNASAVGTESPPPFLLDKE SLDRLGRERPDVFPNRWIELGFCFCLLSSELLAEYFVSGFNNLLPHITAALDIPPGSQ TWPASVFSLVTGACLLPIARLADIYGPRLVFNAGLIWYIVWSFIAGWSVNYKMLVVCR ALQGLGPAAFLPAGIMLIGSIYRPGPRKNLVFSLYGAFSPVGFYGGICVSGLSDSGRR PGRLPDLKMDWWGCCTIVPGLILLVFAVTDGSHAPDGWRTPYVLVTFLLGIALLAAAF YIEGWVASEPLLPFDVFKVKSMSPLFVALSFSYGVFGIYLFYASFYIQDILGHNSLIS AAWFAPMAAGGVILATVGGFTLHHLPGKLLLLISGAGYLVSMLLFAIIPDNPNYWAYV FPAMVAATVGVDIGYSVSNIFITTNLPQNRQGVAGAIINTIVFAGISFFLGLADLVVA ETKDLGAAGSYKAAFWFGVACSGVAILLLVFIRVGKAQSDLTVEERIQLAASLAVGDV TPEQLAAAGHSS ANIA_02369 MWGPALKVFTLPGEANFGKTDFYTVMEPIHSWGPTRSIMVSDCL SGPQPQLSTGVPRLTSYSHYLHLPSPFVLITGANQGIGQATAKNLASQYNYHVLIGCR RIEAGEKVASELRAAGHKATSLQLDLDSEESIKAAVDIIEKDYGYLDILMNNAAVLLD HDNTLSPWALYSRTFTPNVIGTATLTHLLLPLIRKAKATPPTIIFVSSGMGSLTYGFD KSVPFHQADNRAYNSSKAAVNLLVANYKRILEPKGGKVNAVSPGLVATNMTAHMNARA TAEVGAASIVQLSAAGKDGESGTFTNRDGVVLW ANIA_02370 MIASQAGATIRFPGFTSRTDQIFVRDGIPESSESTSVSNGSPVK TLIIFAWGDAQPKNITKYADGFRTLHPSAKQIVVLSPIYKALWRSMAQRVEAMSPIVD EVFPPTGKSEAEQDHDGSGVLIQVMSNTGGIAYAAALHAYRNRYGRPFPHRLVVLDST PGSTDLTFANMRRFALAMALGTAKFFPWPFCVTRGLWAVFLYVLNLIEKILGRTSAGA ESVKVVGNPELASLETKRLYLYGKEDQIILWSDIEAHIAESRRKGWEVQYRVFEGSGH VEHMRKHPVAYWKAIKEAWEDATGTA ANIA_02371 MAENRDETNLARQLTNLVSLKVPTSNGGRSIFSKGPENFQVSLI DLDTYTKGPAEPYIIRNEEQLREYIKSRPEHQARIISICCKNSITPLLITQSAMQILL DRYDIGFEFMDLVQSFGRKPQVSDAGHGRMTIHRRRNGAYGAVFKSYEVIETNYTDRW VGVFNRFSPERPGQNLWIILHPKQNSEAQQRIESATKHALDFCNNPSLLHLVILSTYM GNWRLCIQSIGEKIEEMPG ANIA_11335 MSDKCNYVQNIVPADLTAYIYKSRLEQLLRAMFGENIEVTALAK ATVG ANIA_02372 MKWKQRPDGTPQIGVIDDPFVGISLREIESDARRFHGEAPGLKS LLDVEVLIKGAKLAKDSNFRNDISISEVERRLLEEEEKSNWRGLREVIQQFGEGMRVT IMATACAAAALGWQQSAINTSTRTWPAQFGLAGDSWKVATINAIPSLSGSFMVILGIA VWPALFFTFLCAANTDDRPEQFGTKASVAPIFAAEAAMEMSRGRILMLWQMFDAFGIM LGFVVALIAPVSWEVQLGLALIPSLAQILVVLLCPESPRLLIRNKRYGEAYKSLRRLR RLELQAARDLYFIHFQLRQEVRLYDLEQEIGSSSFPYQDYVEKIDSFKRMLYLFTIPR NRRACLVAFLVMTSQQLCGINVLAYYSSIVFGNAASTNKIDLLSFGFGASNFVFTLLA FVLIDSKGRRFTLLTSFFFMTFTLLGASFCFNIEPEGSRVAAVVVTFILLYTASYSIG AGPVPFTLSAEIFPLAFREVGMSFSVMVNFLGLGLLVLFVPRITRSWSPTENERVGQR NVLLLFTYVGPPTTE ANIA_02373 MIAWNGWHEFANLFLRGRDCGTRNTDEAVRKLSSPDLEQCLGVS YALNFQNWIAAFNPIVIQFGKIMACKRDIMLSSSGHSEYVQVSDGARLPFLLNESVSV LGRGGCSTVTREIIAEGQFQKSGECNIKVSRARKLPVRQRLTRSPPQPKVIARKRFND KIYFDRERVIMSLLEKGLQQHNHIVYPLAMISLESEYSILMDVADCNLETFLTEEGRV DSNISLKSLLKQVANIAHALYSLHTPGASGYVIHHLDLTPKNVLVKLINHSDNPWTWM LSDFGWSRHYDGCSNAAAARSGANAVQATDQVEYSERTGTYLPKEKERSSYTDIWSLG CIMCRVVCRKRHGIEGLRQFDELRLKDDPDRNDYFYRGTTVNPYVTRLLDQFCDSGCN MTTRCGNLLKSMLSMDKSARPTAWDLHNDLKQITDTCEEFTHTVIPLELESQRPQKDS VSDAKSANTVDRSPNPVFLAIENQREDDALVQIKSFLRGSIRAYSSIEQYDERLTPLC HAAEKGYTKVVKFLHQKGAQIDERDTRSNTPLMYACKRGHCGTAEYLIDQGADWNLQG EDGYTCLHFATECKNTEIIDVFIQKQPASRVKLNANILNTLDRTPLELTLYMKSGQAR YKLMEQLISLRAKACATSRKQNHKTAVDFALERRDQQAMKILVTDVDKTWKIPKSNMG GFGPMKDILRRAGRLEGLSTPGQRTDHLTTELCGERSCLASFFSLLQLIDLNLPKSDI RSGSIVLIPRSENVDYGSGIGGDTALGRFGNCVKTSSQTLRIVCQ ANIA_02374 MDSFHVMLSHNAGIRSSLPAGIVAVFVGATSGIGAATLKAFAKY NRAPRAYFVGRSQTAADTIIAECKALNPGGEYVFIEADISLIRTVDEVCARIKARETR LDILFLSQGTASLERNKTPEGLHLLTALAHYSRTRFIANLLPLLEHASCLRRVVTVGA AGFEGPIDTSDFGALHVAPGQVRGHVATLITLGLEALARHAPSVSFIHSYPGTVDTPL TRRVMSGTGAAPVDWMNPTESGERHLYLLTSSRYPGRNEDADLAQLDGDGVSDPDVIR GTDGKLGSGVYAVGLDGECVTMETLGFLDTLRKNEMVDLVWEHTVGEFERIARAI ANIA_02375 MPPGSSIAISLPRKRKVTTACTNCQTLRIKCAGQQDQPCANCID TNVQCIRNPNRDGRSKIPIRQRLRSLEEDQDLFLRLLRTLRHDNQQVDSLLKYIVDEK PSLNEIRQYMNRYFLPEDLERGFDLLKMDDEEGGSDHPATMSAHRALSIARLCDIPPY AGPACPWTTVTDDNGLVSHLISLYFTWNNLFFNWIYRDLFLRDLNSGNVDSPFCSPFL VNAILADACWYSSYPETCAVPGDVTTKGQHFWREARRLLDAQEGKVTLPTVQGMAIMF PTSCVMGKDEVGWLYAVQTSDAIPVLRSYLEDPSNTDIHRELTLSIVDQLEISWFNAA IARCFGLRRKPLVNVPRRARLPVHEKTDETWFPYPQQVEPVPAIHRNCVLNHGVELGK IIWHLCGGLYSSGQDGRVPTDIEQIVSKAYEDLLRWRKNVPTCIEYDEDSLPDVLSLH MDYHTFIITALSYLKTSIPNTESDVATQGSVASAQDRCIASAHAINRLVTMYKNRWSY GYQAVHNFHHFYAALFILMEDLNNPASHDAFLSIANTLALTAGRWLFTRGMLRHVQIA TV ANIA_02376 MAVEKYRRRKTRIAFRHKEVYVIRLIQSLRDQHYLLVSDIRLTL NGAGVNYDTLSTDRLPALFRDHNIAESVKDYLGSDSHVYFQAVDRCHSVLSALMRRIK GLESASDLDSLVRTYGGQCQLPENIRFPVKRDELDKQIQDLDSATMMLRRISDNMAAL RVQISLQTKSRQISRYTWALNTVRNHAKRLYSAVAAAYPSHCHSCHEARLVLRSRSYF LERAEEGKHWKDSTFTVMLSPVTSASGPMNLYRTDIKVVKGDDGPDEQITQRTAYSKV VIQQPTPPTKAEPRLTAMDDLCEFIRRARDGRAAFCLQVSEGNRLMYYYPQASVHSNS MHLAADSDGFVTLEQLLKEPDASWLPNHRIALSLTIASSLLQLVSTPWLRSPLTSSSV WFSRSAVLSAMLDLSSIPESFVEEQICRTTATGAACAESGVKECMLELGILLLEIAHW NTIDEYGKDAQNKGLLASATRYDLAKAWMDESRYLILKVHWDLIMRCIENTFATSGPD LRWDDLIFRKSVAELVVKPLQENCIHHLR ANIA_02377 MNTNHLRRFLNEAQRFDIWASNLGVFHDGHSSLDYRFRDSSPLF EYTSNLLYDLSEALSAFALQVAPGPHSQEAIVDDFDDLTLSDDESEDFSSYQEGSLPD QYLTNISTTVNRLYALSFRVRNPKMRTGLSKALSYKEVDSETGVDLMEAYMERDSRRL EELFRTWRVGDEAGPQFMVERLARANMNRRKQFRYWERRKIKYQYYHNVATGCRWLRE LETTSIQRNTRPSEPSTATSPNTSVILETESTVSTDTFVVTSGGTFDPLELPAPPVVD ADSPEFECPYCFTICAEETARPHAWRRHILRDLRPYICTFKNCKDADQQYDTFTDWAS HESSSHGIQPTATRTCPICTRADSTAHHIASHLRHIACFVFSGNTKATNTDQDAAGHS NSANIGSIDWDSGSEDSDFDDEWAAHTKTIHLDLTACGFGVTRIQLRPEDVVETTVFK YTDPNGHPIPLDYDSLYNDIIVHVDFVRDATISGTPATVDMLGVWIPCEPSITQCSHL EPQDNRARDDPVPPQPAIFAILWHESPSYGSAARSSVLERGPQYIGRFGEPIYSTIRR MVVFRKIEQVSWCFAITTYGGRGLKKSGLNPSQHVVLYERGTTPIIRTDEPPMTMGPL PVVLAAETGRLDSMSRLNFGKIYTVEHNACSSPDILFFWGAEQRNIVAGLCNPQTLKF TLS ANIA_02378 MSPKKLQVGAAGLGRMGKRHALNFLNRAPRAELVAAFSPDPEEV QWAKQHLEPYGVTLYTNYEDMISHPGLQAVVIGTATSVHAEEAIKAMERDLHVLCEKP LSINVDICNEVVAAAKKRPHLKVMCGFSRRFDESYRAAYRKVENGLIGRPSILRSQTC DKHDPSGFYVEYASWSGGVFVDMAVHDIDLTLWFFGSDIIPKSISAYGITAVTPDLKK YNDFDNAVGVVEFYGGKIAYFYCSRMMAHGQEDVTEIIGTEGKLSVNLNPANDLVNYY HAGGITREVPATYWGRFEQAFVKETNEFVAACLDDTPLPMKLSNAVKAVQIGAWLQEA LVTGRQIKFDETGRRVESARI ANIA_02380 MFALAESFFPLPDSVKATVPWNPNNVGWEKKGQVRPSTGKPDQK ESYKLQFGENVDGLWLDDQYLTGFRETCLAFMHQIQEVSELLMRCFARGLGFLDDFFI KAHDISRPKAQTTCRLLHYSALPPPSESPAGAGAEGQPYLSAGAHVDWDFLTLLFQKE GQSGLETCPRRESVTEFGIGDEWTKIEPRTGEIPCKDAVIQGPLKKYPPVTGEEFNAN AMERNFKALQEKLKVLKS ANIA_02381 MHYLSTENILLKARCQGLEEALVNEKKRRQRGKPLIFQLQAPEA GNAVFYSPRKIQQARDLQKEKDEAIQQAKAAKEEAKLHRQREKEEKQQVTEERRRMKA VERQIRLQEAEKKKLEKEHQKEEARIAKGAEIQLQNDIKTVKQRRPKLPATPKAPKKQ KRSPPAAEAVLETPTTVNRRGRQIRLPQRFRDS ANIA_02382 MELALAEIESLEPGEQFSYAQNAKKFGVSRSTLSRRHRGVQGSK KQQYENMQFLNPQQTKELINYINKQAKKGLFSSNEMVKNFAEEIAGKKAGKNWVSRWL KKHDDKLVSAYTTGIDSSRKKADSAFKYSLYFDSLKQKMEEYDIQPEDTYNMDEKGFL IRILSKCKRIFSKESYIAEGSKQRLQDGNHE ANIA_02383 MSTDNPATQATPDPPAPPAPEASQSTQVPMNQPEPLTASSAREP VQTLHQVVTYVDQHKVLGVIAVHQNRYFSWQARFAHLQWTSLASTFERVLGGSAVTVG LLISFISPFPVASLTGVVIVAWQYIVVSYHNEIRRIAAAVDEIASQARASVDSGRLYA SSVTAYEKSILRLVAVLVNDTGRYNLDVYRPTPGIGPLLTENIDAITPAAKEVAALVT TSSTNLPKALQALEQVKYLGDKANLLARHGEIADAAALLAELGTALTTIRDVEAQLLD AEQRPTHRKIVEQLQAAATPPPAPEPEPQPEPQQANPDELPNAVTLRNPKVIVGGLSG APEDVDDKVFQLDLPFAVSLYGHSSSTLWVVDNGMICLDEAPTASPASVRTGQQLPFR DGLAPYSLFPLWKDLKIVQGKPHGIYYDIEGNAPNRTLTIEFYVTRYNMEDQYFHFLM ILEEARPNIATFRYFDVQDEGAEGTVGVQGPQNYKLFSYNQRKISPGLELVFDTTPNV NRVETSTFPLP ANIA_02384 MIFESDSALRNLERLLRKTIDLKTLLSGKSVLECTITASKLFME NNEGSFTTGNVSKEYMDLYILVKNKVTELYDNINQMKYEYQKHPHLTMICALPKYKSL VNGFHSALEEIGHFLKVQDLINHGINSKPPTTHAKQLKRSVRSVNRGEPHARIPAQPR PPSRVDKRIKYAQKPQNTRSRRNQRPHSLDLVRQNMDTCIYNRQKRGSCRNCGLYNHW ESECSHCCGRCSRDNHTVSFCWKTPSCYTWNAATAKATDTMSTNNPNWKEFINVIPGR FVNPGRFVNLQKFRTRQPPRTSTDHHATKSA ANIA_02385 MSSSLMRRVGSLAASAIIFPGIAHAASNYKLKESWEGEKILNHF HFFDNADPTNGFVTYVNQSYAESAGLVKTTDSGSLYLGVDYENVLTVDGPGRESVRIE SNEYYDQGLYVVDIQHMPGSICGTWPAFWTVGPDWPTDGEIDIIEGVNKHDANKIVLH TSDTCDVGGGYKMTGDMTSSECGEASGTIGCVVQGKQGSSGDPFNEQGGGVYAMEWQE KYLKIWYFPRSSIPESLTAGTPDVSSFGTPMAHLQGSCNFKERFTHQKLILDTTFCGD WAGGVFGDSGCPVSDPSDPMLSCKNYVAENPAVYKNAYWELNSIKIYQLGGTAEVEGT QSAAAESTAAEATAAETTAAATQTANGGSIEEITTSTHSVTRTKTVSATHSTETAAVT ETAAATTAAASVASEVDATNTQPVSKTKSTSYVTSTTTLCPVESSQAAATESVSRTKT TSYVTITTTLCPVESLQTANAVPSAKASTDAAAATTPAAEPHPSNAETPADSKSSADA VTAQATKTTIAVNTPNPATDSASSVPPDSIVYTAPEVTSSSSVPLFTIVSSSSQFVTV PTAAPSSFEPTDAVRDGADSYSTAASPTTPSNPVFTGVGSKVSISASVAIAAFVMLLL VN ANIA_02386 MSMADLDWDTTPALSPPPGEHTDFVHHPYDGNRYVIVNAVFLVI SLSSILIRLWTRIFIAQGFRLDDVLNWGLGVHMWDVPLSHLSPWFLKLNVVAAIIYCA GTGFLKVSVLLFYMRIFPSRNFHIAVWVLVFIAAGYSIASVLANVFSCNPVAKSWDMR IVHGSCMDRPTFYFWNAGLGIFTDFATVLVPVPWLRRLQMPNRQKIAVGCILATGCFV GIVSCIRLSSLYILQHTDDLTWATTNALMWCVIELNLGIFGGCVTAMRPFMRCYFPRL LGLSSYSGDKYPSRSRGNSLPLHSIPRSNHPTFSNRGHNHSTAYKSALDNSSEEHILS GASAPSGAGKEIDGIIRTVEVDIKKSGG ANIA_02387 MRIINAAPLAGFLSLAGLSLATNPNGTYGCAALKKDIPNSLFER NSTVYNDESNNFWSNTEIMSPECVFRPESATELGTAIKLLKRTNTQFAVRGGGHMGIR GSNNIDGGVLIVMSKLNTLELNEDQSILHLGPSHRWGEVYSYLQPYGLAVAGGRLAPV GVPGLLLAGGVNFYGNQVGWGCDTVVNYEVVLADGSVVQVNKTSYPDLFWALKGGSSN FGLVTRFDVETIKSPLVWAGSYTVSEEYIDDFLKAVATFAANISDPKTHIVPALVPIP GSPTVASAILFYDSADTSFPEVFKPFTDIPVYASTLGFKTLADFAEELGQMVVDDIND VFVAGTVKGTTYGELYHGISIINSTFFARLPELYAKVPASAISTIQLDWQPIGKLWLD ASAKAGGNPLGLDASKVYLAYAEVVEWTDSQYDEVVMQWVEETTNAINAATKKAGLYD PFNYIGDAAGFQEIFPGYGAENHRRLAKIAQKYDPHAVFQSLMPGGFKVFEN ANIA_02388 MRIFSLALGFLPLVAGHTLMTTLYVDGENQGDGVCIRMNRNAEK ATFPISPLANDAMACGYDGEIAAARTCAVSQSSTLTFEFRAYPDGSQPGSIDGSHKGP CAVYMKPVANATSDNNAAGDGWFKIYELDYDSSTSQWCTEKLIANNGFLSVQIPEGLR GGDYLVRTELLALHAAQDSPPDPQFYVGCAQVFLEGSESGDVPEGVVIDASTYSLDVP GLTYNIYTEPLELPYPSFGPSVYQPNASASTENAKVSGTQATQKDGLQPEGCILVRDD WCGYEVSSYSDEAGCWAECWTQADECWGTYLPTGNKNCQIWQDKCTEIDTQCSAGNWN GPPNKGKVLTPELEGVGGSMKVFSGGVSSADSEGSGSGIDEAETEMNTSQGAAFTSTP AAETAVAADATATATATTEDAEATTAAEAAATSGAGRPGRGHGHGRGPEVYADITVYD DNDHLSNIRPVISCCKVNLGPNDSFLRAARFAPIFSGSHGLRIDYSDTHYWLIAWPVN VVSFDQCLPQPQGSAPETSRTAIHLFAFSRAALSPYTCYLHLHIIEFTISAAFDQNDA EES ANIA_02389 MQVVFPMVSIFLVFLQCKPTAALWDYTITHATCWDQAVIYNFSC WVSAYTTMTDIILALVPITVFWKLQMRQSTKIGVCILLGLTLLSAIVILGSIALQYRS IADPRTLFSDSTLSPLHFSSNLQLRPQNGQLNLRLSKCPSLRAWSGISIDSKNHGKNT FRPDRVIRAPSDVAGSHFDYIVCTHKAFDPRGAIIPLDPVVDGTTTIVVLQNGVGNED PFRERWPGVTIISGVVWVGASQPAPGVVYHTHSEHTELGLFPNRSLNDASLEESRLQT FTSVLSAGGTHFTTFADIQPRRWEKVIWNVAWNAITALTDQDVSSWLSSSPDAVPYTR QLMNEVIAVAKGCNVEVKDGLADQLIERAQRLGALRTSMQADREAGREMEIEVILGVP VKKGRELGIDTPRLEGLYVLLVAINRQIKDKRAN ANIA_02390 MDIPTLNFRDFTSGTQSQRDTFCSNLYTSLSTLGFVKIKNHTIP DEILDQVFDWSKHFFALPLESKTLAAHPVQANPHRGWSCVGQEKLSVIRQGKAVFDLK ESFDLGPENDPLYPNIFPDDSVIPGFRPFMESFYAQCQALHLTLLSAIALSLNQDASF LSDRCGTNSSELRLNHYPATKISDLQSGKKMRISSHTDFGTITLLWQDGVGGLEVEDQ NHEGVYLPVGPTSTESSSGADTDCDSGREMIVNVGDCLQRWTNDRLRSANHRVTLPAE MKDKSRPEISNDLVPDRYSVAYFGKPDRGALVAAIPELVEEGEEVRYKGGMTAWEYNQ SRLLQTY ANIA_02391 MHTIVGISLAPRSTSQWHPTAVPGATPECLRPATAEPRLKDLDR LTPLAVMSDSHPFNLASSTPLHRLVRNYHDNAVPESDTGVNLGDVIASPAEHATRPCL EAGDSICTMSTYTSKPGSPPNARPTHPTGWRPIPLRPTFLTFIACLMLFLMVILEALS QYSERTGGLRFFDDTDDLSNLESFTYNYVPVIIALVLATLWSFIDFDVLRLEPYFQMA RPEGCPATVLFINYNFGQSFITPIASAKRRHWLVLAVSLVTLLIRIFHPALQSSLLEL REVTTISNESMKTWSKLVDLSTQARWFAVQEDNESNNFEAYFTGSAELQQTRSGHFAV APVQIPTDDRRETTVWSLNQTIYWTELDCQDLFTQDFSVSVDETEGLLHWNVTDIPIS GLGEECILDFSYDNVIFPNVDFLQVRYWEPTWSRTPFTGADKTFVPDGCNSIDLYGVL LSINATSHGSNAISSVVGELTSSATMFACNIEYFKGEAEITMHANSSITSARVFNGTT QELTDDEFNIDAFQSFLAHRALYTSDMLFVQTNETTGERTLTELPVISQHLGDLDPVL VLDSSKTMSSEEFAAKVTRGVKQTFILTMSRLFNPDVSPTTAAAVRSTGQVSLAIVAA VARCAEVILGIGAVLTLIMLHYYHRRPNILQSDPGSVGAMCSMLTDVFGLNNILADPR SGFHQFSTRQLRRLLRDCRLQWYHSPLGSRLEIVTVDGNPVRLDDQMRVRADPRPHFL VIPIFILEFLLLAAVIVLMSLVISTFAKKGGFQHLNQSSSSFLQVVLSFLPSVVASAV GALCTSIHRNLSILEPWVHLQRGMASASSSLTMNYSCQNPWTVLPKAAKNRHVLLGLI SIACIANTVLTTVAGGLFTQQLTQSETATDSLFANYSHSVFRQNDFAADFTEYDLIQT SITSGVPLLPWTSTNHSFAPIAIRDPDQDSSYSATTLGVGADLNCQALSIPDNLVWQE DGPYWTYHPSLEPDRDCWVKMTPPRDGNDRYALSINFFSPVAIEEADICQSSTVLVVG RWNYTAASGVTSNNTVALHCEPQLLLRNFSIVFDHKGQIINHYEIPNTSITSGNMYDN ATISLGQFNKVFAAIPQSFYGSTNTSSSYVSSYDWAGFLVALLYKQDVAPITRLDPDR LMALSQTVYQWVYSTYFSLWREIYLLPLREPVLAENATVIWSAWRMEPSVSSLVIAMA IIGLDTVVVLIVFGTRRGRFNGPRIPRSIGSVIPWIANSHMIHDFDGTYGWTNAQRQT HLERLKKRYTFRMFLRENNEWRYAVDEEPPVAATKTNLPPDSTNGESKPPEEIELSVM ESRGTPPVQPTTEAVRSETPPPDYEEEPPAISVSERNETREQDSRAT ANIA_02392 MASAFEPDYRSSFYSPLQQAPIYRDAPYTPPPPQHYAIPSVGCG PAVPFAPYFPQTPFLSSIPYVHNEYDGFVNGVISDVLIHVDDGVTYKQPYDAKILAAN RYTVPESNLMWGSDVSFGPNGYQPAPNSSPEVKMEPEPAPLYGIHAVPHSRAATPTTA QTPALKPESEPETELELEPEAVTEATKILPSSKEEDQLPELAELSRAGLQKITGKKRR RLLHIIAERNRRLHQNRMYDELYKMVPGLENSSRSTKREVLMRTVDFLAELVDGNRRL QQQLRHLQVPPNTSSGTSHTNRLISLPLTCSDTANPRKDQAGTSRNPSTQGLITPPTE YAYAQLEKRTASAM ANIA_11336 MAVLFQVSRGFFLNKLTKTAKVSVAYLTTRILNPEDVSLFVRLP ETPVVDHAVGM ANIA_02393 MSSTWFITGASSGFGLDLALLALSSGHKVIATVRNASKSSSSVA AIKAKGGEVLEFDVTKADTVSDTVEKANALYGGIDILVNNAGYSLLGAVEDMTDDEAK LQMETNFFGPFRLIRSFLPTLRSRKNGGATIVNVSSVAGQDALPTCGLYAASKFALEG LSEALAREVAPFNISVLIVEPGAFRTNFLSAVQKTVTPLSEPYKGGPVDVVLGKFEAA QGKQRGDPRKAVQRVFEVVTGTGEAGALKGKILRLPLGPDCVERVEGKMGRLQSDFDA ARGVAMGTDLD ANIA_02394 MPFLKRSTLLSLLAAGANGLANSLKQPGQRLPADSFPCNFWHRR KQNYTTFYPCSELPVRGYTTLCLNNAQIKTGLMNDLDLETMMSDVGAGVQFLRAMGDI DKVIVWGHSGGGAIMAAYQDVAENGASACNGTEKIYPCSSARDGPEPADGVLLIDANH GLSTMTLLSLNPAVTNSSEAVNLYSPANGWTEAGANYTSSFVKEFYAAVASRWNGLVD SAVQHNQLIPSGNATYTGDKGLVIADTNYIGFNNKIISQDTRFLSHTAYKWPLLHKSN RTTTQIVPSTTVTRFLSAFTIRVDAKNLAVSADNITGVDWASSQMAPISSVAGVSVPL LTTGNTRHYEYLSAEKIYLAATKTTDKIIAFVEGAQHTINTCTECETYPGEFGDMIRT AFDYMDVWLGKPGRFISA ANIA_02395 MSLLSRAMLPLLQVSLIGAGLTSAATPYALQQPPLTTDWTEEVG TNPWPEYPRPQLQRPQWQNLNGVWQYRDARNAAAIDSPPFGQSLDTEVLVPSCLESGL SGLQGQSLFYSWLSTNFTVSEDWQGNSVLLNFGAVDNEATVFINGQNVAFHRGGYFEF TVDVTEYVNFKGSNELLVFVYDPTDTSGTMVPLGKQTLNPSHIFYRPCSGIWQSVWLE SAPAEHISKLDVNADMNGEVTVTVHSTSNSTSDVKVTIEDGSDTVATHSGSANTEFKF KVDNVKLWAPDTPNLYNITVTLGDDTVTSYTGFRTISKGEVNGVVRPLVNGEFKFLFG TLDQGFWPDGLYTPPTREAMVYDLKVLKDLGFNMVRKHIKVEPALFYRACDELGLLVI QDMPSMPLRTPNAAQQVEFARQLELLINQLKNYPSIYTWVVYNEGWGQLTTAPEIALT ERVRELDPTRLVDSTSGWNDHGAGDYSDNHHYANPQCGTPFYSSPSVAYDPQRIGMQG EFGGIGHNVSIENLWNVQAAINTIPETYEIDEDLDTWNYRAHYLLSELTEQVTKFACS AAVWTQTTDVEGEVNGLLTYDRRVQRTDVEQWKEDIRGLYKAARDRA ANIA_02396 MTFRSAYPKINLLFASHEDKAAIARAVAEHDLVLHFALSADHLP SAEAIVSGLEARGGGIYIHTSGTDVLLDPHENSTRAAREYVLRLLMTGRVLGSLCLCL GLQLSDGKTLWNCVHVYDLSRLYVRFIEQSISSGELTWNEEGYYLVESGTYLWGDISR RITNEAYVLGLLPSEQMMVVEMKDRDILAPAGRPVGNYAVKAKAVRARRLLGWTPIEG SLEQKIPAIVLAEAKSLGL ANIA_02397 MSVKQPAELYSYLEEGTQLAITSVHLVNQDLPLGGACGHGTLPN GKTVSLELLKDTELELLHRSPTTDNDERDIITRVMTRIGSIEDEARMCIVGRNIWSVK NRLWAGITPLSEQRWKEKGLDQPDNFDTAAQYLSAVVAVFEYLNRPKVQENIRDTFNL ISQHLGEFETIVNAERGKGHPHVNVRQLWTEYIKAHFEVITERAHRWVLVHVNALRKP LIRDLVTYRPADLDNPDRVQWKITDRLHILAEIAGVADYTIMLPMHGYYGYTAPSIPE GVLPSLRSPKWDVRSKAYGPYMKTISRIQQVQNILDRREREGHVEYHIADPIQFQRTT EIQLGCQHRARREIRGEPVEPVPKEPWITDILHWMKTDEHRVGFVVYRLTYGQNEADW NAFREKFEAHLSDWGSGQTGSAALKPHLALHWRDGKDLGIPEDDVEAARKHYLDTYTA STDHPLTLLDHINQRAFLAVDTSSYTSYTTSTYTASTSYVLPGDFTGFILAVDPEFDP KKGPDRPDETPGFFGQLRILGSLVWGDLLSMLASQCAILEDLWPLAIDHPDQVYAGSL VPLVVKSWRIHNGIRGILMNQMMEYVKARVEDRAWPVTATPAGDSPQRAITNATTTTQ TDNNSGDGNGGNNTIRTPRYLLPELVPPADPAEANIQRHMLFQFARHLRRNGQTEQAI IVEQVIRAPRGELPDMDEVQRRMELEGITPGETRSAPLSWQEQGQNQEEDEGCPMQ ANIA_02398 MGSIGLLTSIKGPTLVSLVEDIRSAAIIDTSSSQSPQSHHHLLT LIDRLRLAAETPAETARRLMYQPPQNATIRALIDLGVFELLVKNARDGRNHGLSASEL SVRTNAESDLIARLMRVATSLGLCDSNLNPECAEPETIYSANSKTEIMTKPLGRDGLR CLYDLTMPTLSVLPSYLAQNAYMMPTDYDASPMRWATGQSQFEWLEERPGQQARFNAL MASRREGQARWFDIYPVERLLRSIPSFEDGAAKKDENVFMIDIGGNEGHDLLWFLERY PYFRGRLILQDLPTVVARNEIQLERKGIEVMGYSFFDQQPVKGTSTLFQYTILSLVSK ADCTQGHSSTTSAPSSTTGRTACVQILQNTICAMGPDSRILIVDFVLPDMDTPLFQAS LDIQMMCLGSGVERSRSEWANLLRKVGLEIRGVWSVSPTQESVLEVGRVRDVNGNGS ANIA_02399 MSLGRATATHHSSCTNPGTVQLGHVAVCTRRQLLSSGLAYDHVE GYVERFYEFLYPITTAVYETRPVHMLLVCHSSSLITRPRLPGSSALELSQLTIQLDDY EGQAARARCKLEALSPTKSTV ANIA_02400 MSPSSQTFNNLYLIVSHGFCSARGYHGNDDKQLLAVINQSLRFD NWARVALFASDETGNVHLCPTLCNDVDAREDIRRVMDRLGNEMNKYGETLMQMRAAAQ RADLERQEQEHRRREQQHQGQQQRSVLSGPNAQNGQDAQSGENEAPGQTGESGENGQA GQMSQAPQASQDGQNDEVEQAEQAGQDAAQGQGEEPQGRRQTLRHRIRRVRWASPLSW GSRTPPPTPQDVRDQRSSQRDSQHLRENGEEQVAASTRTSAGARASTTGTPDTATLQA VSEEEGALYRAIERALDELYDICPVGPLLHDDDGDVPMQDGNAVNGNAGMNGDESIGD CVGNGEL ANIA_02401 MKKANHDSASMTEVEDIEGKPVVAHETLVAGYVPGTEEEKRLVR KIDLYLLPCIWIMYLLSYMDRTKYIDPSSTPLDERQLTSEYSIGNAKVAGMETDLKLT SNRYSIALVVFFIGYVIFEVPSNMLLSKIKPNIYLPCIMFAWGCVTIGMAYVQTYKAL IGFRVAMGVLEAGFAPGVLLVISSWYKKSEQKGVHGIEGWRWLFIVEGAATAGVSIIA SFLLLDFPATTKKLNERERELAMARMAAEAMMVDGDAEALGHGQALRTSLSNWKTWLF VVGYMAIVGSSTLSYFYPTLVSGLGYKGNMAQYMVIPIYAAAFVCNAITGYFADRHQS RRGYVLVAMMSVAMICSIVICVVYDLKARYALLVIMAMGLWASNGLSLAYASVSFGEM PREVRGISLALVNAMGNLAQIYGAYLFPDNDKPKYLMGFGVISGLCFTGVSRSAMGRI GRESDPGLQVLGVVIGGLPMVINSVNSSKPHYQSSREMVKTLEELTDTLQRQHFLLQK DLESILKSQAGDLGEERVAEILHQPHAVYFAEPDVVMAVERSLGDGKDVYVSTVRKCG EAVFDIAERLAGFPSTTGKGGKGTGRGRAWGKRQLITSASQRRDFDRLLERLDYTTVL LARLRVTIEPQREEGLTGKVARTASRPGSRGSNVNANASPSLDSLGNADVLSRAAERL YTAISAGLDNDCHTTHTAALFLPSGTELKKRSQENVAKQPFVFTVGFRMPSIIKGYYT VDAKVIPNRASYGQPTPGQSSGRVSNDLCQLITTAEEQGRMLELHVSQAGSCTWNELH PALSPSQTPATADLTTMDTVIRHSAPGSWTTAQKLRLSFFLASSILQLSPTRWLQPSH PLTSDTIWFSKANASTLTRASASASLLLPKPLILRDFFGSDTRSFEPPIARNSLLEFT VLLLEIWHQRTFDWYAEEIQAPLDTDFWARLRIVEMWVEDSKDLVLDEVYTIMMRCLN GTFGTAATEPVARWDDDVFRADFWRRVVVVLEEALNTV ANIA_02402 MATNGSSSSEATPVVSTLPGPDHNWQVTLAGKVIAITGANQGIG LGIAEVILANSAAHVYSLDISTPGDPFNELAQKNPKRFSFIQTDVTSEESVQAALDQI VSEQGRLDGMIANAGATKHQPALDFTMDQVKRLFELNVFGAWNCATAAAKTFIKLGIK GSIVFTASMTSYRPNRAAPSAPYGGTKAAVRNMTHTLAMEWAKHGIRVNSISPGFVKT ALTYYVETSPDWDTKMKYYGGMPRLAIPQELGGAYVYLLSDTATYTTGIDIPIAGIVG AW ANIA_10300 MDAPAKVVKKRIRKPRGRGLRTNTGCLTCRTRHKKCDERKPRCG PCTNSDRDCVYPGDTRQTPSDRTGLASPASNSSRIQHILCSPRSAPPGPVAPTLDYDA TSGPSPETHNILDPELVALYPDTRPPDYSGSVQALPSAQNSESVTPDVNLDHASARWL NLLATDAAQAGDFSLPASTPRTRLSRGSVSHYRDNASTAPLYSPQLSERQAWQADSDI ALSKHEASLFRKFAEHIALPLDLFDCHKHFSSYATRLALRNVGLMKAILALSARYSSL SPPTHDSQEPTDPNEAIQFYYETLHYVSTALQYNSYKHSEELLATAIVVSTYEMLDAS EHNSNWQRHLKGVFWIQRSQDVNGASGGLRQAVWWAWLRQDLWAAFREHRRCFSFWQP IVDYPDLSEDELACRSVYLLSQAVNYCTEPPPDPEAAVDPEQIRLRTERGNELMDMLE RWRSFSAGAFKAFPSPNRDEVHGWTPIWIHPPVFGVALQVYSFAKILVCLHRPVTTGF AGYRTFQKILTDAVATICGIAIELTDPSCQIMSAQCLFGAGLCVQSAPQQETIISLIQ ACERRTGWPMGTIQDDLRKEWAKVAEGKIS ANIA_10297 MGNSQDTESTGKWLQDETQELVQRFGRLNTLDDLIRLRAADAVQ EPILAYPKSLDAAVEYEYFTGEDLNCMIDHAVCGLVEAGFKPVRCGREFCSAMANARQ PKDEKTVALLTLSNMDMVVTFFALSRLGYTVMMLSPRLSGAACVSLLNTVGCDTIMYG QTPSIRETLGEILRQQLVIVRPIIQRASPTECMDGPAFILFQGSRNGAARRQRTALIL HSSGSTGTPKPLYLSHQAILTHPLRGPGLTSFNTLPWYHLHGLSTAFQAMYMRKVAYM WDASLPLTATSVVAALEAAKPESVQGVPYLLQLLVDSDRGLEALRLCNMVTYGGSACP DDLGDRLVAEGVKFGGYFGLTEAGLVAESVSRPVGDPHWNYMRFFDDLKPYIWMKPIS DNLFECVYLKGHPALTASNSDDPPGSYHSRDVFTPHPDMRERWKYVTRLDDRITLLNG EKVLPLPIEGTIRQSALIDEAVVVGVNRTAPGLLVFRSEAAKTTPDAEFLDLIWPNVE DANSRAEQFSQISRDMVCVLPYGSSRPQTDKGSIIRAQVYARYADVIEGLYTRYEGQS DGTLELNTADTETYLMKLCQNELGLPIPNAETMFFAAGVDSLKAIQLRRLVLRNFRIQ DSMALAQNVVFEAGNISRLAELIHAAQAGRNGDQEDHDSIMQHLIDKYSSFKAHIPVP ELVKNDRGVILTGATGSIGAHTLYQMLNNDTVSVVYCLTRRDNPKEAILKALEQKRLS ILPYRTKKIIALKSDLDRPDLGLTEEMIEEMRQCVSLIVHTAWPVNFNLPLSTFTSHI VGLNQLINFSLSVHMPTPAVMLFCSSISTAFASSADSIDELPISDLGSALSMGYARSK LVGERIISNARKTGARTYSLRIGQVSGHSKKGLWNDSEAIPLMLRSALTLKALPELDM DCSWLPADKLACSIMEIARVCSSHSSHDNRDDGDDSVYNLCNPHTFTWSAMLSTLRQH GFEFRTVPFNDWLEKLRQSEGRGEELQNPAVKLVDHYEAMYGGNAPKPKIFRTDKAER DSETLRNGRLRIIQDGILARYVQDWMNRWN ANIA_02404 MAEQEVYGGRLSTGPLPVDKAGSYLPHTSGAEQDLYGSRFGTSF EKARQHIPHVGQTKGTAAEQDLYGSHFSGHTQSSTAAALGLLQSAALPSFTFHAAFST IAYGISRYTDRAEGKDWLWPAGMTLNAWYSAIGTKVLHDGLSCSTAWSTLSYSEKLLL GGVTAWGVRLFHRIATRGVARGKDDPRYDALKKDPGFWNKSLFTMFLPEAAVQTLISL PFVLPFRKTAESIAASPVTTERGWYHALAVFLFSAGFAMEVLADKRLASHKKKGDIGV CRDGVWSVVRHPNYLGDALIHFSFPVLLLGAGLFHPLAALGPITNYIFLRFIGGDREN EQTQAERYAKEDPIKAQQFAEYRSEKNSFWPSIKELSNKWTWAVALAGAGGVVLERGL RSAFA ANIA_02405 MASAGNERFNDEAAEWDKNPSVQEATRFAFESLKPIIETLSEQK QSTLGTGLEVLEVGCGTGLLTLRVAPLVHEIVAVDPAHGMIDALKAKISRNKEAEPVD MQQSNKDSGRNVVPICRLLEDPEDPALPPQTVDNLEGRRRKFDLILSHLVMHHVPDLK SFLSTLRGCLTPGGRVALTDFEDFGPEAIKFHPPTKLEGVERHGIPARWMENLMKEVG FQDVKVSVGWTLTKKVEAWEGHNPGDTLQFPFLLCEGSSP ANIA_02406 MGLITRTLKLGTYTGLASLGAFFAYTRNDRFVDMPPTDPIFNHP LYAKFNPSKNPTTHDLCIRRVPLSEINPSLLEKKGKLVEAFCAGVWSGWGYAFQRSYL SRKYEAADTASHLWTTEQLASSTYDVGTLITDHFEVVEKTNDRIVVRCGDSPRRQGVR ASDGLFEISAVVKPEEGVAEFGLKSCFYQGLGKAEGTPMPPHITWLHQQYTKLLAETA LYKVRR ANIA_02407 MACAVESFSFKDFKFPSEAGERPESFFNSLPYRIWEHKTDYSDT ISQVIADWKAVTGKDVSHTVGIQSSGLPVFLASDCPPHILPRFVRFGYIVLCWDDATD ALDSATHERIQLDLRAALLSEVKLGRRNRCEFEINELYIQSLVDLLNGADAFDAVFRR SFDFFDTGLQAQTVPALHTVTWEAYKAHRIKTVGSGVLSRLVPAINGFYVSDKELDSV SHMTEIGDLITGLTNDFHSFHKEFNEHFLAGTLDMMHNGMAVLMSNYGYDEQEAGNVL KQEVLAAEARLMAEYENWNSSESPKTDALRRYMFNSILTYGGVSYWQSATTRYQQTDL TATAADRAQLVGRGYDGKRRLPGYPPPAMAMTMKTNGHTESDSSSEASHKRTSAVAPL AREIVVPFEKAPAAEIVLAPWEYIRSLPGKKTLGRLIDCLQCWLTLPEDSTNIIGEVS RMLFDAALMLDDIQDGSRLRRGRPAAHAVFGQPQTLNSATYLYVKGSRLVKKLKHSNE CADVFIEYLVMVDNKTGGFFRLVLRLLEVESESEPNPELMHLFTLLGRYYQIRDDYLN LASEEYTAKKGFCEDLSEGKFSFPLIHLLQNIANPEPIRGILFRRDNATDLSVEMKQF VLDEMKKAGSLTHTEAVLNGLFDAMLEILDNLEANIGPNKKLRIFLLWLKL ANIA_11337 MPPATMNIGKSAGRSPASSSRNIAFMTIAGVIGGAYALFRLQSP SKGVVKEDDIADATETPKYGRSKILGEGDTAAAMGNPPQGHGHVGRSPRKGLE ANIA_02408 MDYVELPPDSPYPFLEVPCLSKEPYEHHDFLTYPEHEGWDITRL FNGDFTGHKDAAPFLQNWLFFGVLWEVFGPISKGGKHYYVKEQQNHPNGVISVTGLEE RILELTSFISSILQTEDKSTAQQIGRRIEQCLRTVSRFCRIAKCEDDPRPGLTTWPLP PEIDLSIRTLSQRLSWSFSTGVMPLVFGSDTGGLEFPCAWLPLKRLQESGWCPSEVAL VEETFTSASAYYTSQLESPPSATEKDHSLCTRSLCMARQLNEETYRTAHTSSDCECQH YGPLIDEVVSIIGSGGVPLLSITPIKKAPYVKVEVEKYTEGKRYIAFSHVWSDGLGNP SANTLPQCQLLRIQSLLDELVSGIRSVDLVNRLAFRELWKKKFHGPSLLFWMDTMCIP VAQEHRELRSVAIKSMKAVYERAFRVLVLDGDIQSFSSSDYTQSFMRIRLSAWMRRLW TLNEGVLANKLCVKFADGIFDVQEKSKAQQSEIYESELEQIKYSYGTPMRDADSFHWK FRLLRLNVISEPDPRIVRRTTSEVTSPEAKRCFAIMEAFSAALYRSTSKERDEMLCFA SLIGWDTSLLKGLPFEDHMHALLSTEKYLPQGMLFLAGPRMRQHGWQWAINRFGNCGA KRLKVKSDDMTMGLVTEAGFEVEYPGLVLPVTCTLRDLQRLVLSVDMGNGSVGKFQIM RHDEGLGRGEDRTDSWEEDRRQLYVLYWDMTKKMPTMTPMPAAVISGPKDEDLARGEK VYQFECLASLEILEVTYGALKENGDEVAQLDLRKWTIG ANIA_10298 MKREDITYLGAGPAALPTDVLATAAEALQNYEETGLGVAEHSHR SELASGILNSMKADLATFLDIPSDFEILIMQGGGSGQFDATAYNLIAIWVEKQRQKIL KEKGEIPEEEVIAELRKKVETDLKLDYLVTGSWSLKASQEATRILGPEYVNIASDART VNDGKFGKIADESTWKLSPNAAMVYLCENETVDGVEYPAFPKILESKGSENDPIVIGD FSSTILSRRIPFENFSIVYFGAQKNLGMAGITGVIIRKSLLPPLSPPASPTVLRKLGL PVAPTILDYSVAHKNNSLYNTLSIFDVYVAGQVLKKLLNDFPDKVDGQQVVAERKARK VYEALEAYPEVYRIVPDKAVRSRMNICFRVVKNGNIDESEKTFLKGGTERGITGMKGH RSVGGIRVSNYNAIPESGIDKLVVYLKEFATL ANIA_10301 MSVIAVAGGTGGVGKTIVDVLTQQAKHQVIVLTRKAQENNQILS RVKQVEVDYANIPSITHILNEHKVHTIISAISLYGEEDSVSQLNLIRAAEDAAETRRF IPSEYSFVQTEDLIPLDPSIKYFLDAANLLKASSTLQFTRVIPGFFMDYWGMPHVKTQ LSPMTIAVDMANCEAAIPGDGNDIIAMTYSYDMARFIARLLESEKWEEFSVVVGDETT YNQLVKIGERVRGRKFKVLYDSADKVEEGAVTVPTQPEGIGYSKEELEETTALMDRLV IGKVFDFPAAIRSRNVEGLGLVKVEDLVKEAWGGKA ANIA_02410 MAGQISGVGQGLERRSVVSSFIFHLPTSLSDQPLVALFKRSDKV STYKNHIAPISGTISRNDKDALTAAWRELSEETGINPSSATFWRTGKPFSFVDESINR EWTIYPFAFQLKGTAVGSRRDSAVELDWEHEGWEWYNPTDVLIGNGLQGKGKEVPHLR ESLRRVWPEGELNSKAGKALRRGLEKLQNDHESGSHELTSVALGVFRDVVKHMPDGMG GAKWWEDLQMIAWHIVKNGRESMGAATLNALLAILEEMEEIWRLETGRIDSDAGWKLE RMLTIIDHHLKSRMSRAGLVKDMFAAYVRDHFLPDGKPRDKLTILTLSASSTIRDSII EAFASLEIATLELRVLESRPLFEGVSISSSILSKFKTQCKEPSKHLNITIYTDAAAAI AANDVDMVLLGADRISISKGVSNKTGSLPAVLCAKHVSPKVKIVVLSELEKVNGNNGV IDDAKHEDNDPAELIRPWQNEGVKGLRAIEEGFHSTVRVEKSNHSIEVRNVYFEWIPL DMVDGFVSGEGVLNHWSINEKAKQQDELAKRYFGSISLRY ANIA_02411 MTSIAVTVAGKSSLFEQAHPPLTPVTSAQWKSALCEIKLLYIQR QYKRCVARSSSILAGAREPMNPIYKIYLHFYNAICYEAMGLYAHEYSSKKVPLLHKTF DCPDDDPFLSDLDSEDIAGNEDYNTIYRSDGENPDSQLEPELEHLLVPSPLQVRKSKS STAFLILPLSFYPCNGMESTLQTQQSHLRARAAFASELHPQPHPLPPFSDSLRIDTGP KSTAAVNSTGNAFRENAYAYYPARNTFRSLSTSTINYSHEYYSNLTFLHTQITNTTTH LQALIQDAGVYSGHPRSGRLVQ ANIA_11338 MTYLLKWERNDMGCGDYLRAVFSAENADVQLAREALEAFAWRHE ASSYHNAVLGQASRSFKYVHDPSATRNFNLPGYIKYE ANIA_02412 MSFANMFNKLSGQPESYEKKSLYRFGRTLGAGTYGIVREADCSS GKVAVKIILKRNVRGNERMVYDELDLLQKLNHPHIVHFVDWFESKDKFYIVTQLATGG ELFDRICEYGKFTEKDASQTIRQVLDAVNYLHQRNIVHRDLKPENLLYLTRDLDSQLV LADFGIAKMLDNPAEVLTSMAGSFGYAAPEVMLKQGHGKAVDIWSLGVITYTLLCGYS PFRSENLTDLIEECRSGRVVFHERYWKDVSKDAKDFILSLLQVDPAQRPTSEEALKHP WLKGESASDRDLLPEIRAYIARSRLKRGIEIIKLANRIEALKMQEEDEEDIPSAVDVQ ASEASDKSGLSPFPALSTENSNTHPASTGNGESGGTKKRSLSKIARGAIFREVVLAKV REMKENEEREKVEREARERAHS ANIA_02413 MDIQVLDDNPLYRGETYRLRFTFSSKYPIEPPEVQFITDSQSQP QFTRSSAPSSTAGEHQPSNAEHQTSTAAVKRPIPIHPHIYSNGIICLDLLSSAGWSPV QTVESVCMSIQSMLTANTRNERPPGDSEFVSYNRRRPRDINFMYDDDNV ANIA_02414 MAASFARLAGNAPKKLCLRPSGFARSTLVPRSRSIATSVSRRAT EPTSYQATRLIPTDPTFSHFINKDSDVPETSAGLESEAEGVGRKIRHYTVNFGPQHPA AHGVLRLILEINGEEIVRADPHVGLLHRGTEKLIEYKSYFQALPYFDRLDYVSMMTNE QCYSLAVEKLLNIEIPERAKYIRTMFGEITRILNHLMSVLSHAMDVGALTPFLWGFEE REKLMEFYERVSGARLHAAYVRPGGVSQDLPLGLLDDIYQWATQFGDRIDETEELLTD NRIWKARTQGVGVVSAADALNMSFTGVMLRGSGVPWDIRKSQPYDAYDKVEFDVPVGV NGDCYDRYLCRMEEFRQSLRIIHQCLNQMPAGPVRVEDYKIMPPPRAAMKENMEALIH HFLLYTKGYAVPPGETYSAIEAPKGEMGVFLVSDGSERPYRCKIRAPGFAHLGGFDQI ARGHLLADAVAIIGTMDLVFGEVDR ANIA_02415 MAPLVPSHEELERRRIVNVNAETVTNIASTDFPGHWPGESHEWN LDKFRDGFRVDFHQNKKFEASFSLIGLDASIANAFRRIVMAEVPTLAIEWVYIHNNTS VIQDEVLAQRLGLIPLQGSVEGLNWMQWYNKGSEDEPGSGSDLADYNTVVLRLDVECT KNPNASRDETDPRKLYKNAHVYAKDIVFHPVGRQEEFFTGEGAIRAVNPDILVAKLRP GQKIELEMHCIKGIGADHAKFSPVATATYRLMPVIQILRPIIGEDAKKFAKCFPKGVI GLEPITAEDERQNAEYRGRGGELKAVVRDAFNDTVSRECLRHDEFKGKVKLGRIRDHF IFNIESTGQFDSDVLFLESVKVLKLKCARWKRGLTDLMR ANIA_02416 MGAGNQWKYLYEVLSKSGPFSDPDWVPGNETIKSLEESKILVMQ FLFRQSDIGKPKAEVAAAFVERRVKGVKITPFVGKIQDKDEDYYMQFKIIVCGLDSIE ARRWINSTLVGMVDIDNPESLKPLIDGGTEGFKGQARVILPTLTSCIECQLDMHAPRP AVPLCTIATIPRQPQHCIEWAHQIAWQDKRKDDTFDSDDMEHIGWVYNAALERAKEFN ISGVTFQMTQGVVKNIIPAIASTNAVIAAATTSEALKIATSCNPFLENYMMYAGEEGV YTYTFEAEKKPDCPVCGNLARKITVNPDATLEEFIETLGERPEAQLKKPSLRTEEKTL YQRFPPQLEEQTRPHLKQKLRDLIVSGQEVAVSDPAYTIDFRFQLVFG ANIA_02417 MDKLITEIETIHHGQTPALTVREEKTKDFVSETKDATPTAESSS DSRSPAQILSTLKSTPRREELSAAIFALDPFNKRSSASSIDIRVPSPVSAQLLNALVT ITIPDHWGSINAINRTGTGDTKLRGALLRCLSSVSGINCLVTQLRSLIAQSRASSRQA DASGSGRRIRHILEVVSALLEPIDLVWRLYNDIDAVYGNATQKQVAWKELVSQLATGR LLSISAEATSLAGESDLPNKISWLGTGAQYASWLGANISHMASKLSVGNEEQWKAVAS LTGRALSLGYTGPLVREIYHNLLVEQTHLEKYTALFDHLRWTEQLTVLDTIFRDIEKR CFSTESFSGNEKDLSEPIDDVAALCSALISKRASLESQLVDWLSDGQGGSIQTVSLRR AIIVNLAHQKANTQAILLAAGYLHRLNRDEVKSIGHTSVFLNAVSNRLAATSTRARFL GMIIGTAISQLIEEPGKAIKFDLEEMEGDEATWYLSLVNSSDKIGSLDSIRLPKIRAE ARTSTTRPLGKSNQRTTKIVAVEEIDNSDEEGEDEDADLIPYEKPDEDPADEDDDPTL LQRNKPAAPVYIRDLIIYLRDTENLDRYELALATAPELIRRKAGFGTELAEHTEELGL VLVGLQEQSKFARFHELRLQSMIALIVSRPLKMARWFAAVFFDADLSQAQRSAVLIAL GLSAREVAGVGADDAKAMGLPALSDTSFPSKRLPANLEAFYASPESPIASITRGIERA SLQPIATEAADSVSGPKALKVRKFSSRMEVEKRRQQREAHRQKSTVKDLYKVLSEGFF YPLTGRFEIMMMQFTSSTAPSHNPFFVPHLLTLFIQTLTIIISTSGPHTPFLPTLTHQ TLTFLLSLHARPLSSEPTILSALLSLFLAAIDLNLEFGTSGEERLVNEYAVQVMELRE WVGEVFDQTPAVEDENDPRERVRTLAAGVMIKLGEVMERYQGRLMGVNSGFKY ANIA_02418 MAPFPGSDADNIKDKARKGLLNLLEGVRGKKNLVISQGLAGPVG LFVKFSQLQEYGVDRVFLLENGNVDSSQRNVVFLAYAEKIRQVRAVAEQIQRLQRNSS IDHEFSIFWVPRRTLVSNNILESAGIIGDVSIAELPLYFFPLEQDVLSLELDDSFADL YLHKDPGCIFHSAKALMAIQQRHGYFPRIVGKGDHARRLADLLLRMRKEIDAEESSGL TGLSFRGLLPSSSIESLIIIDREVDFGTPLLTQLTYEGLIDELVGIKHNQADIDTTIA GASSTPQAQESSKASQQAKQGQKRKIQLDSSDQLFSQLRDANFAIVGDILNKVARRLE TDYESRHTAKTTTELREFVNKLPSYQLEHQSLRVHTNLAEEIMKNTRSDTFRKILEVQ QNDAAGADPTYQHPLIEELIARDIPLKTILRLLCLESCMSGGLRPKDLESFKRQVVHA YGHQHLLTFSALEKMELLQPRSSATTMLIPGTGTQTGSKTNYAYFRKNLRLVVEEVSE KEPEDIAYVYSGFAPLSIRLVQCVLQKSYVMSLMKGGPAAHANTASPGWLGYEDVVKS ARGSTFSIVQKGDDKAVRARQTLSGNNAAKTVYVFFLGGITFTEIAALRFIAAQEAPR RNIVICTTGIINGDRMMDAALEKGGFALTES ANIA_02419 MKRFGLKKSSDAGDDDSSNRRALFGSRSKNKSPPAEANPYAKPI PADPYTRAKAQHGIAPPPPGVDLGGPPNHASGNAIPGDHKSQIPGDNKYQGYAPNAYG SQGGYGANRYGGGAGAAPTSRYGGYGGLGNADPNDPAAADDNRAALFGNASERAAAQP TTAPPPYSEGQPAQAGGYGASGNSYSAATYQERHLTAEEEEEQEVQAVKQDIRFMKQG DVASTRNALRIAAQAEETSRETLARLGAQGEMIHNTEKNLDVAGVEGRIADEKARELK TLNKSMFAVHVSNPFTSAQRKRDRDQRIMDNHRQVREAQAGTRSEAYKTNQRMEQTFR EIEREDRKTNKPYKASVTERAKYQFEADSEDEAMEDEIEQNLNLIAGASGRLNLLAKA TGRELDEQNRHLERIMGKSESVDDQLAMNRARLDRIR ANIA_02420 MAAADFDAVRKLQAERNAAAAAKKGSRTFDPSNQRTDNSTKASL TESFDTTLYDREGADKYAGYSTSIAVDDGDEDMEDADNGHRLVGQYTATRSQIDEFAR GNGVEEEDILLGREKAARISDRETDYQKRRFNRGPLTPTRADPFAANTHANVEQEGQT YREVMALREIEKEEERVQKLIAEKQARGENGVQEHEATLKLEDKENAEAGSTVSVATG RKRKQRWDVAPTDETPAAPTSDDAKAKKSRWDQTPAPGGGEAPKRRSRWDQAPAIAAA TPVGNQGLATPMHPSQVGAPMIPTSFGTDISGRNAPLSDEELDMMLPSEGYKILEPPP GYAPIRTPARKLMATPAPIASSTGVGGFMMQEPESARSLGKQLPTEIPGVGDLQFFKP EDMAYFGKLMDTGDESTMSVEELKERKIMRLLLKVKNGTPPMRKTALRQLTDNARQFG AGPLFNQILPLLMEKSLEDQERHLLVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDQ DYYARVEGREIISNLAKAAGLATMISTMRPDIDHVDEYVRNTTARAFAVVASALGIPA LLPFLRAVCRSKKSWQARHTGVKIVQQIPILMGCAILPHLKGLVDCIADNLSDEQAKV RTVTALAVGALAEAANPYGIESFDEILNPLWTGARKQRGKGLAAFLKAVGYIIPLMDE EYANYYTSQIMEILLREFSSPDEEMKKVVLKVVSQCASTDGVTASYLKEHVLVDFFKS FWVRRMALDRRNYRQVVDTTVDLGQKVGAGEILERIINNLKDESEPYRKMTVETVEKT IASLGAADISERLEERLIDGVLYAFQEQSIEDIIILNGFGTVVNALGTRCKPYLPQIV STILWRLNNKSATVRQQAADLISRIALVMKQCGEDALMGKLGIVLYEYLGEEYPEVLG SILGALRSIVTVVGINQMQPPIRDLLPRLTPILRNRHEKVQENTIDLVGRIADRGPES VNAREWMRICFELLDMLKAHKKGIRRAANNTFGFIAKAIGPQDVLATLLNNLRVQERQ SRVCTAVAIGIVAETCAPFTVLPALMNEYRVPELNVQNGVLKAMTFLFEYIGEMAKDY VYAVTPLLEDALIDRDQVHRQTAATVVKHIALGVVGLGCEDAMVHLLNLVFPNIFETS PHVIDRVIEAIDAIRMAVGTGTVMNYVWAGLFHSARKVRTPYWRLYNDAYVQSADAII PYYPELEEDGLKRDELLIMI ANIA_11339 MRLRTRLGSLRRYPFLDNPRDRDDSDEQRWDSATAPGFQYNSSK KGGMSPRV ANIA_02421 MTMVIENQNRQYGGGMGFDSVYQHPHHSQPPQFSDPWTTAHSSS HSTPPVYPASVGIKQDETSRPSHIPMPYSVSVSAPSIVAGSNYSSASTSASYPAPDMM GLPHEIPRTSFDHAPAYTTAPSISSFAPASYAPISYASPVHQDNRRISHADASRVASS QSTSGPTFGDALDASRGMVALSQDLTPRNIYGPRGSRGSGDSYGFPLAHSAGSSISSA GSYPYYSASVASVESSVTDYSSTTSESYENGHLSRTLPRPSTLLTGSAPPGPQSMMSQ FSSKMPSNTQKKHKCKVCDKRFTRPSSLQTHMYSHTGEKPFACDVEGCGRHFSVVSNL RRHKKVHKGGKEGGSGDDEE ANIA_02422 MADEHRPLKRVRQACEPCRRKKSRCPGEKPVCSFCERLGQQCVY APGDGPELPAADIAKRLSSVEDKLEELARNLRPHSTPAEVSISQSALVQHKLPNLQQN DLSTVAHLFLTCCNYQPLPLFHPDSFVETLESRDPELILAIHAISLRFGRSPDGSDLR PYITDCARRARTLVMERIGRGPLELSTLQTLCLLALFDFTAGDTVQAGLHLNMATYLA QSVNTAGETLGALHPDPDEKRRCLWSIYFLQSLQGDGVQAARLIAGVRTPFNTGSGFS PTFTLNPGPEIGLPLSAKEDLDLISYAVQAGEVWSMAMAYAASRVEPDAPAPWSPHSD YSIVTYRHMEFDSQVPLKYRYDANRFHEHRLHELNQRREFWGPWLFTQFLYLAIPCLL NHPFLLSRRLRSFRHTMPQSFIRQSFETITNNAAWILHLIDLIEHKGFEVCDPTLAHC VLIVATIHLQHSFVDDPSFREKARRGFTKCVRFLHPLAQRWPHVQNMIDRLTRLRENV SAGDPQASHSRQAWTTNAQLLWELLVYDRASRSPQASQLFGATLSDTSSRQTARMTPD PNFPLVGSAGITGHKTVAKEIYTHPPETITTPPAVQAEALAGAVPSIDLDPFNMISDP TLEGITDHMFLEPESYGRAIEDWWEGDGLI ANIA_02423 MIWATSTLVPFLCITAVTALPARFDFYSFFHPNLIKDFLQNTQL AGCVLPSTTTLSSSSLSAPSSGLSLKAITLGRGTQNYTCAGNTAATTPEATGATATLF DTSCLVAANVNADRQPTLHFLPDSLKTVPLSNLDLFASLLSHSSGQNIAVGKHYFTAD GTPFFDLRGSEMYGSGWIAAKKEDEEDAPAKPGYGITGDVAWLKLTAIEGSLSFLKEV YRIHTAGGSAPATCEDMPEDFTVDYAAEYWFYGDNE ANIA_10302 MISAWQGYQAIFEELANLLSQCAEYLERLEYHIRAGMDTNLSRV SAQHLLLFVEICSRTVRLRSKRTKLLAFTKIFFMQEDMVADLLDQMQRLVDKENRLVG AQTLALAAEAAEASQAALAVTQGLVDTLTREKDTTSMNRLLLRTLGFDASKMDERTQE PEASWTTIHRNYMRRRIKGTGEWVFEEPLYRAWFAGEGAPILAIEGKEGSGKSYLAST IISWLKQQRAAGARTKRISTAFYFVEGDSREELKKATNLESVAKSLVWQFAQAERRYL KSVTGICERMGEVDPRDISKHLLFWNEDLVHMDVTFYIVIDGLGDAVGEGMIRFLARA SKLIHGRETRVLVTGDPRCFDQLAQQEGIKFDRMTIEQRNAGDVQQYISRRMDDMPAL RIGTRRKGITCLRRRIQERLAEQAKGDYLTIDTALDTIQECEYVADIERALDSAGRER SEQILEEIRHLNDQLTEGEIAEVNEIVRWIVYGAERLTPSQLSAALDLRRGQPSLLPL EDKIKSKYRIFEVDRIGRVDFRSTDIEELIRRGYHSSRLQKDDDNFQDHGITPEESAM VQHFLRTVCPPEVYKRLNLDAYLEQKQQRRNKGALRCEDQHTGQTLMALACLRVLNGP IDPQRSLLLPYAYSYLVQHLSAVDLALADDSALAKVGSELVMLFTKGDSLDVLLKNDE FITSPLIRWRVRHDLLEKDESVRQVARWLADSAVIADIKDEGSRQWLAKIVSDENHLM RPGAEWMAHRLLQQPHFRPFTRDAFLFILAFLNKIKGNGHVPEVTYTPTLEEINEVES WCASVLGEDVKKRSSLWHVQLGILSQYFKYRAEAESRARHALALDSNDFRASTLLAEI IGPAEGIDLLESAARNLEYDQEWTKGAFNRMELAKMLNTLGVLYWKNKLYNQTVAVCR RALIFDFTDYYRVFEIMQMYSKQGRWGDIVDLLEVIRDHSTEVNNLGEMVEVFAERPY FHSFLLKVIQETERIDLLDAMYEISIARLKYTEQYVQLCHVRCAYGEALYGVPNRRAE AIKQWEQAIQQDLPRGNKYSLLPQLISKLGPIYVDMAERADNPSLYLQKISSLVPDSI SETASFVGPQIYLARYWYGQGDHIQAKQMVRETVQQALDLLCDEDAENDAFAFQRLLS VFVPLGDNKNTEVCVQMLARIGGKVLCDGDCGHIWGYGEGMWWCRDCVNTNFNGACYQ KLCEGEFKFSVCHRGHEFFYIRAQESRMKVDVIDEQWIAKIRREYLGVS ANIA_09509 MATDYAKKTNAELVEILKSRNLPHTGKKADMVARLLEDDSSKAA APAQNENADDVIDWEDDEVPAATSTTTTKAAETDAAAAAVAPAPAEETATAAPKAEET ETDGAKSATGATKDSAKPSGTVEPTGAEEQAAQQPAEEKPAPNFALGLTVTDLEEELK KRKARAEKFGITEESQAAIDEAAKKLERAKRFGTAGEAPAVESVSRLDQALPEGSRKR GRGENDQGGRGGKKRNHNGRNNQRQRGRGHRGQGQGQSQKHGQAQKSATNNGASNGWS EKDKAAMEARKKRFGTGA ANIA_09510 MSYKSDGGRIPRLRELGHRSRNASTPTIATLPSGYGSANSSYSG PQIPRLAMRPTKSRRAASMSEAEENRPIVAQSNFVLSSDPRPYMPPRAPTHPPESYST LNQHVHRTLHTPVVPQTPIDNRPPVQTRPRNVLRRKAPTIGQQNANSKLQADSMRPSG LNVIIPSITASDRHQYSSSQSSSRTELARESRSPMLSPDKVTTVSAEQKVHNGPKELA SLRTTINTRDLAPSTPIFPSASTPSTRYSGSPGVWTQSLTYQITDIFTPDAEARTPM ANIA_02424 MAGVWIITALLYVVVSASSLQLLPPTPVFSDHDRVRSKVWETAA SCRRIYIDADFAARKDTDGLTLIPPSGHDFAEVFLEDLNHITGFNWSLETVESLPATA DANGAILLGTFTGHSASLTYENGMPTSEGYELDISPSAVFIGGTGARGTWWGTRTVLQ MLLIGNNTLPTGRLSDAPAYATRGYMLDAGRKWYTKEFLKEMCSYASFFKMSEFHYHL SDNYPLNRGRNETWQDVYSHFSLLPEDESLLGIVHGRENETLSRKDYVDLQKHCARRG IAVIPEIEAPGHCLYVTKWKPGLALDKRDLLNLSHPSTIPTIQRIWAEFLPWFDVKEV HVGADEYDSSLADDYIGFVNEMADFISSTAGKNIRIWGTFMEDSEDKSLRINPDITIQ HWQYGQSDPLRLIDQDHHIINSQDWWAYMSIKNDHMPILPAPYPQFFNESRILNFADQ PSWQWMPADFNNVNTTEQPLPDEPLLKGAVMAAWNDNGPDASTKLEMYYAMRRGIALV GARSWSGSRGPEVQPKTLDASIDFFSPLAPAQNLDRVIPVPGNGSDGLLVSWARPHSS TNSNHIRLGKGSKGMNYTCTLKATGPFTLSSKDNTLSLDREGTLFFKEDGYIYPLRSV TIKDALELDPGHPGRIWANTSSTHEPVTIYASVSHPVTITIATDVEHGAVVWLDGQLV GRFEVFVYGGRNTEFSWSQMAFVAPLEDVWEKGLVGLKVEGRNLFEVPLLGVAMLGEW KSRGRRPRVKKAYNATLSVSKVLYINTHMDCCW ANIA_02425 MSYMKGAVSWYLVFSAACLSWSPRIPPLRLPVSSGPPDLAAPLK LQRNAHLRGCVQCFTASLLLSELAWTSTHRLASIDLLITSSFSNEASFSSRIQTLLSS AAACVPLHKTGRMPYTAPLKTSPSTLHIEHSDLSTPPSPSSPNVADPDADNLNLPPSY SSASYVRRHRRSPSNSKSFVFPAPDNSFEQSRNTDVFASLRQSPSPVNEGPIPPGALL SPPESGQNSSDEESSSPARDVLKLEELEAAVRSIEQRRSVSPERKPSEDILPARSEEQ AGTSPARPRRPSLTHDNRLISRSRSFVEKSAHRNPEEAVTSSPEDSDRDVDPEPRLPM VRKKSGELVRPALRPSSRRRPSSMPGTPTYSKAVHFDAQLEHIRHFLQLDKPQAVSAG SSPVEDLEADSEYPFHSDSSSYPAFEWGLRLSNFPHKPPSHPHPRVRLERLFLSTDKH SLVGQVVVANLAYQKHVAARFTFDNWRTTSEVTAEYSHDARRKQLHDGYDRFMFHIRL DEQTNLDKKDMFVCIRYNVNGQEFWDNNETRNYQVNFTKIPKPKAQTQDMPRARPRPN LPRSRSFTGSGSRPLSMPSSLKDFSDMHRYISFGPPLNDRKEKPVDDDDVPHDSESPV PIRRDKQSHQVFGNRYDFESSLSAAMRKKPEHDRTMLTTRAKSGAPAPRSDARSISPV LQLAQASPEKPSSMLSSKPNRESSVYQELVDRYCFFGSPASSPKLNHPLKVNDSGPAT SSSSPSSPPSYSPNESVAEGSRSLPASPPTYSYNYFEPMQERLFKETQTPAMISG ANIA_02426 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKSFLESVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G ANIA_02427 MPAPSMPSLGYAPGQVAPGDFRREADALRKAMKGFGTDEKALIQ VLSKLDPLQVAAVRATYSSHIRRDLYSDIKSETSSYFRQGLLAIVDGPLMHDTASARE AVQGIGTKEWLLNDVLLGRSNADLNAIKLSYERTYRRSLERDVEGDLSFKTKSLFAHV LRAARHEENAPIDYRTIESEAQNIHGATAARMVNNADEVCSIFARSSNNELRALSQAF SARYHTSLEAHIEKEFSGHMKDALLHMLRTALDPAMRDAVNLEECMRGMGTKDERLVV RVVRVHWDRQHLENVKRAYQHKYKQDLVKRVRGETSGDYQRLLVAMLE ANIA_10307 MSPEPQGAPGLGDLEKELTCSICTELLFQPLTLLDCLHTFCGSC LKEWFYTQASRRPSTTTPRYTCPSCRARVRETRPNATVTTLLEMVLTANPERAKPAAE RAEIEKRYKHGESVFPPVTSSDISSAGSDEEDQRILEEVRQLSLQDNRSRTQATGHRS RQSSRTRRTDSADFNGQREDGRSRRRRDEERAARRERTARTTGRAEDARERTRRIEHQ SSLRSLLSLSDTETMEEEILRQIFEEGLLDDIDLDNLEPGQEEELSERIADAYRRRHM LRTRSQRRQDTSEPPQAQRQTNFRAGPTQSSQETSSSPATPRSPLLEPPASRPGPSNH QRHLSEQGSNRRRRTSPVPYNPASSSDVTLGPAQRSSSDIIPDRPRNSHSRPPPSGSV ATRSRRASSSGQSVPHILIGDRNRPSSNNRTRPSINSPRPTTSTRNPSENPSSLRPRN GTSEISTNSSVVAEVNGPRSRPSSSRSNTLTQPANSYLEPSISCDRCGKANIQYELHK SCSRCKDGNYHLCLGCYRHGRGCLEWKGFETSPLAEFSKLKVLSAIPPTQLQESQHVL HSYKYLRPPETALRFVRDGKEMISDNPTRRLQQGLFCDICESSANELLWSCNQCNQGD WGFCNKCVNKGKCCTHPLLPICRMNNAPEGQSTASSSVSEFSVLTITTRCNACTCQIP TSTLRFHCFRCADGDYDLCANCYLKYVAASKIRKEDGLNGWRRCLKGHRMIVVGFEDC PEGQRRVIARNLVGGHALKDKYIPSTPSSVQAVSSPSGSFTTESPTTIGDWSWKEGSE RRKKASRVRSAWNNDRDRTPVSELGASSSLNSNQSQSPSTGTLSSRLFPPDGGVGLIV HAMWSWYPEEGVDDELMFPRGAEITEVENINDDWYWGCYAGMTGLFPGSHVSVIGEIV ANIA_10303 MSEDDYELDAYQLYLKYEESPPKEDWRKVALRGPALPTIGNAVA GAVGAAASNLATYPLSLIIARLQTQKRRGRRASDEQKHEDGDEYAGIVDAARKIYSKE GISGLYSGLVQDTLKSVLDAFLFFLAYEFFRQRRIAARYGSQRKSRHKVLPVLDELAV GVLAGAFSKFFTTPLSNIVARKQTSKTSASSSQIASKILSEKGIAGFWSGYSASLILT LNPSITFFLNGILKSVLRRSKGAPSSAAVKFLIAALSKSAASSITYPFSMAKTRSQVS GSSSRSKDEGTNASDDNDSDEIFFVPSIISSVITIARTEGVSELYAGLRGEVLKGFFS HGFTMLAKDAVYSGIVRAYYLILILSRRYPSPEELLEAARERAEEYAEAAREGAKDLA EKAKSNTEEILDSHTGSAAVDMTSNTNPVNVDALGSNETAELVGDYVEDEAREWKSLY HWFWEKEKFGK ANIA_02429 MAFNGGNGKLPRGFSTFMGFDAVRDSAGRDHSDSKERYLENNTQ PSFPSMSDITGTPHSRGASESVGRSSAASKPEDNSTEINSNPFDDFVGNFANPPHNLG ENDFPNNDITNLPDDGPKLGPYVSHNNTEATASTSSTLSQIPGLTSDAPTPVDATDAR TPEPQDVNSSSALRIHQGDSDVGMVAKYEIYDALRKINRAFFAYSNATSPNALVRGNY DAQIDHPAALNCRELLLNARLRLIDLQDLESGEYHRQPPPKDRYYEDDDDEEDTDRED GREDDDHCDTFTDAGTVLTLVADCGKEQETFTPTSTQATHDEDIEAALRRITNTVDEE GIPNIADRKKSYELVVDAALHIETLKSASTIWRSIEEARREMESKVVRPKHDDTIPRT VAQKRALVKVLFTIITDVEYSTDNPKTLQKFIKDWESKAKEIELFCWEVVELMIKRCT EGPLSSGTQFHGNFKLRMAAMIECLHCRKTSFLSALRPTFLQRLVDNPREKMNQSESN QRGNKKKGDDIKVGRQARSAERAASDTTASKTRSGSQNNPQSRKQQQSAGAMRKATAK PRKNARKKNQGSTQSQNLDMRAPSMLQTLQPYPQAGQGGNRLECILETPSSESHWATG HQQMQNGGGRQSSILSIASQRQTAHNPSFHMKPDTRLRTQPYQQIPAASPNSSSDPSL STFTHSGFSSMDHDMHVVYQAQPGQHQQSHHYPAHLFYSTSNRRPTNLRQGRSQSEQT LPLGVPPYSNQDPSQPQEIHETSIMLENAFTPNPGAFGLLRSPQSTPADFRQTSFQRT NSHVTGEQSYTDDGYDHSPVPTKRLRR ANIA_02430 MQEDNSLPACEPRRLASTTSALVQPSSSNQGSPGKQPESAKLAT GDNRKLDSASNEFFKSAEWSPDGTTILTDSSDYHIRTYIVPADLLEERQSPHQLSPYS VLASGEPTYASTFYPFYSLQEPSSAVFLSSVRDHPIRLASALAPTLLATYSLVNPMTE AFITPHCILYPPALGGTHFLTGSDSLICLFDVSRPGNEGPVSWMPTIPSKRKQLIGGG VGMKGIVSALALSPNEGGILAAGTFSRNIGLYDSNGAGQSLGSFNISKTEAARHIGGS GVTQLLWSPCGRYLYIAERKSDGVMIYDIRVTGQLLGWLQGRNTSTNQRMKIDLVPTG EGDGHGIWGGGTDGVVRFWRDPTHSAGAQDPTWEWKLHDDSLSSTVMHPIGNILATTS GQKHFKDYTDMGGLPSTLQADSSLDVWLLPCVSGSTTI ANIA_02431 MFSSKPATPSTGLSINTNSANSLFGGNTNQSANTPATTSSGGGL FGTAATQSKPAGSLFGNTGMGTTQQTQSSGSSLFSGLGGQQNSTSGSGLFGNTTATTT QQQPGGLFSGTTGTNNQANSSGGLFGNTASGATSQAQSKPTFGLGATSTTNNIFGTNP GAGQQQQQQQQQQAQKPTLSLFGTQNTTSQQPTQQTPAAGSNTVIQGVKVDITNLLPT TKYESCADEVKAELERFDTFIVNQINMCNEVASILPLVASQGSTIPNDVEYVQGKLET MQHALENDASDIDQLRSLVSRDAAEAQVAFRAIDTLKLPLQYQSTGGSGWWSAQDQKV SDSQSLRSTRKSTLALPDDVEGEPSMSTINGVPVNLVEYFSQRSDEMGVVLERYTRNL KEIEDHLHGVESTLERQIHEFTTSRNRDSAGNGAPKTVLNDLAAVLADVETGILGVAS RLGAVTEQAQEVVLGAPSGLRQA ANIA_02432 MSLLRNVKNLAPLLDRVLVQRVKPEAKTASGIFLPESSVKEQNE AKVLAVGPGAVDRNGQRIPMGVAAGDRVLVPQFGGSPLKIGEEEYHLFRDSEILAKIN E ANIA_02433 MSHAMDDDDDDLYDPADAVPVTNPSDPRGPSADAPMNDNVEEEE EEVEEDDEDDFNIITEAPPDAPPPETSHPRHANLRTESQRPTSADSTSAQKSQTPTLT PKVDTSASVPAGTRPTSQKPGSAYPPVHASNIDVNANPVHPATGKPILMTDMDADFPD DDKPWRRPGTDLTDYFNYGFDEFTWASYVLKQQELRKEVGDQKRQLDDMQNFLTMGLP PMPGAQPGAGPAAVSAPPPMPGMPGIPDMNPDMMQGMLASMMSQGLDPSSMDPMSFMQ HAQTMMGGQSGAGGGQQGQGGFGGQGGGQSQMGYGNAGGGYGGGRGRGRRW ANIA_02434 MPPPEQPSHSSTPRSFTSQTASAEDLLKSQTVGLVHLSDFRKRR AEVLEQKEREAHDKSLGRFTSGSSRSATPSGGDITDGNSTSRSEAPPRKKKKKPLAKS KLSFGDDEKEEDDDTFVPKPKTKPAEDLTPTPAELSASRSATGTPFDDSSTSQSRRIT PNPRAPPPPKALTKAALKAEAEARDALRREFLVMQDAIKNTEIMVPFVFYDGTNIPAG TAKVKKGDQVWLLLDRCRKVGAELGVSGTSGASKGRKYNRREWARVSVDDLMLVKGDV IIPHHYELYYFIANSVPSFSSAGGLLFDYSNKPPPPPPTDDPLYRPSNDELEGNDKDP TLTKVVDRRWYERNKHIFPASLWREYEPGPEFEEKMRTTRRDAEGNTFFF ANIA_02435 MSAKSIFEADGKAILNYHLTRAPVIKPTPLPPSNTHNPPPKLAS LYFPDDLSVKDVLDQAEVTYPWLLTPGSKFVAKPDQLIKRRGKSGLLALNKTWAEARE WIEARATKEQQVETVVGVLRHFLVEPFVPHPQETEYYINIHSVREGDWILFTHEGGVD VGDVDAKAEKLLIPVNLKNYPSNEEIASALLSKVPKGIHNVLVDFISRLYAVYVDCQF TYLEINPLVVIPNADATSADVHFLDLAAKLDQTAEFECGTKWAVARSPANLGLAALPT SDKVNIDAGPPMEFPAPFGRELSKEEKFISDMDAKTGASLKLTVLNPNGRVWTLVAGG GASVVYADAIASAGFVSELANYGEYSGAPTETQTFNYARTILDLMLRSPIHPDGKVLF IGGGIANFTNVASTFKGVIRALREVAPVLNEHKVQIWVRRAGPNYQEGLKNIKAVGEE LGLNMHVYGPEMHVSGIVPLALQGKQTDIKEFGTA ANIA_02436 MPAAPLVSTANGPNANDNITRFEPPSRVRSPFADALFHNKTRCF VYGMQPRAVQGMLDFDFICKRSTPSVAGIIYTFGGQFVSKMYWGTSETLLPVYQDTAK AMAKHPDVDTVVNFASSRSVYSSTMELMQYPQIKCIAIIAEGVPERRAREILVTAKEK GITIIGPATVGGIKPGAFKIGNTGGMMDNIVASKLYRKGSVGYVSKSGGMSNELNNII SQTTDGVYEGVAIGGDRYPGTTFIDHLLRYQAEPECKILVLLGEVGGVEEYRVIEAVK NGVITKPIVAWAIGTCASMFKTEVQFGHAGASANSDLETAVAKNKAMREAGIYVPDTF EDMPAVLKKVYEEQVQNGVIKPQPEPVPPKIPIDYSWAQELGLIRKPAAFISTISDDR GQELLYAGMPISDVFKEDIGIGGVMSLLWFRRRLPSYATKFLEMVLMLTADHGPAVSG AMNTIITTRAGKDLISALVSGLLTIGSRFGGALDGAAEEFTKAFDKGMSPRDFVDTMR KENKLIPGIGHRIKSRNNPDLRVELVKEYVKKHFPSTKLLDYAIAVETVTTSKKDNLI LNVDGCIAVCFVDLMRNCGAFSAEESEDYMKMGVLNGLFVLGRSIGLIAHYLDQKRLR TGLYRHPWDDITYLLPALQKGGSEGRVEVNV ANIA_02437 MVRVKNRYLLVEILYPDPSSSPPLSSATSLKNYGQRSQLRFHAP TSDALTPSLLAKMVRDEVAKMFGDWGIGRLGGVGAGGVSVKYLSPATSTAIIRCPRAS YRLVWTALTYISHVPDLSGTNGAKSKRLDLANLSRPCVFRVVRVSGTIKKAEEEAVRR ARREIVRLRGKEELGVLDGLVGELERDIERGKGREKKVVEDVDDMELDVNSDVE ANIA_02438 MPFAQLVIGPPGAGKSTYCNGMHQFLGAIGRKCSVVNLDPANDK TSYPCALDVRDLVTLEEIMSEDQLGPNGGVLYALEELEENFDFLEEGLKELGEDYIIF DCPGQVEIFTHHSSLRNIFFKIQKMGYRLIVLHLIDSYNLTLPSMYISSLILCLRAML QMDLPHLNVLTKIDNLSNYTSLPFNLDFYTEVQDLTYLLPHLEAESSRLSHEKFGALN NAIITLIEEFGLVGFETLAVEDKKSMMNLLRAIDRASGYVFGPAEGANDSVWQVAVRE GMGSMDIRDIQERWIDAKDEYDELERRQREEEIKNHQQAATYQAGNEDDDDDNDYEFG RRMPVPDSGVKVMRK ANIA_02439 MASNQFTITSPPTDAISALKFSPAPDSTRFVVSSWDKNVYVYDL RDENGAAGEGKLLQKFEHRAPVLDACFGATEDEIFTAGLDWDVKRIDIASASQTVLSS HDAGVRSVVYSKEYSMVISASWDNTLHVHRLAGDRSVTNTASIPLPSKPFSMSLTATK LVVGMASRALHIYDLKSLSLLTGQSEGGVAPAKVEVEPWQRRESSLKFMTRCVACMPD DAGYASSSIEGRVAVEWFDPSAESQARKYAFKCHRQTSDDVDVVYPVNSLAFHPIHGT FASGGGDGVVALWDGIAKRRIRQYQKYPSSVAAVAFSSNGKYLAIAISPGYEDGKDDL TDGTVRIYVRELGETEAKGKGAK ANIA_02440 MAELIEKAKRAAGKAAVENHYPKDAKFVGIGSGSTIVYVVEAIK ELGIDTSGTCYVPTGFQSKQLIVSAGLTAVDFDAIPEGTVLDIAFDGADEVDDELNLI KGGGACLFQEKIVALQAKEFICVADSRKLQSRLLSNWKYIPIEVAPIAAKRVLPALRQ LGSINPVLRPQTGSKLGPLKTDQDFYIIDAPFPTLLTKADVAAGKDGSGKDGIWEVDA LSLAIKQIPGVLDVGIFSGVTGPQAQALGGIGGQKPVAAYFGMPDGSVQVRKADA ANIA_02441 MTSEFPHALTGPSSKERKYDRQLRLWAASGQQALEESRVLLVNS DGPWGNRSTGVSGVVGVETLKNLVLPGVGGFTIVDPAVVTEPDLGVNFFLENESLGRS RAEETCRLLKELNPDVEGSFRTKPIAEILQEEPGILAQHKLILISGPIEHSSLRALCD GAKKLAIPVLYTRSVGFYSTFSLQLPAVFPIVETHPDPESTQDLRLLNPWPELTAAGA SIRNLDSFDDHQHGHVPYVLLLLHYLEKWKETHNGSVPSNYKEKTAFRDFVRSCARTN NSQGGEENYDEAVAAVLKSLNPFSLRSSTREIFEMEECRQLSAASADFWVIASAVREF YETHQLLPLPGSLPDMKAQSADYVSLQNIYKTKAREDIAEVTDIIRRLESQLGRAARV DDKDIEIFCKNASHIQVIRGRDIPQVDGGEDTKKRLRLELNNDESLIPVYLAFEVLDT VVTGIQEGKYHQDALDDDGIWSSEIGRILAVIAADAAVDEARNRVLDAAQELRRTKGG ELHNISSLTGGLVAQEALKVLTRQYVPLDNTCIFDGVRSSSAMYKL ANIA_02442 MSSSTGTGIPSTAMNTSAHSPSVSSVNLSSTESDYVLRLFRGLR RAESYAFYLVPERLLRITGYRELQAYVWNTFGVGREMHAIAAATEAAGEAAREAAVEA AAQPDTGIHFTDLLQGAIKITIYASTRRHLHLDWMRRLALRIIPILLFVSQIHSLLRA IKCQTSPDYPELRYGTPGKSLLLDHAGQGGFLYSLSSTLLPWETDQHSCNALGMNRVG SDIPNGTFRLLWPVFLRICLSHFVETLSSSLQGRPVVAEGGMSIFEHSLSFAEAESTI SQSLGLGPFSSPKPSTGGDGGGESSRSSLHMLTKSQILDRMNVTPELLLIALISCCHC LSSNVLDVLGKQSRYRLVNTTFWGLCFMAAMTWGLVSGSPPNSDGLSVRFPTVCVVGF IPHLMILCGIIVCAGIYSIALVITAFSLPSDTPQQLTLRQRFQLAHENMQGSNQVGSV RLNPHEDFYSALLRIGYTALTAASEAVFLNEGRSVVARNMTWLEEDRLAEIEQSRRVR SVQQASGTLPADFPFENRELVAFEVQEAASEWESGYGKEKKFQRPKNKPRTSRYQTNP GGVGAFRGLVRCYQGISFFRAIFYLMFRWVTVASDKALSRVGITARPQWVKAILRSKA AQDNSGKTEPESLDFWILTDEGELELPENHEFDVEKEMRKRERLNAGDWSPSDEQRLD EKLYNWWKAGGSWGNEDHTSDYELSENDWDDTTSVVSTVTTDSEWEDESDGRRTPTQT NPFPNEYYRESTPTPESLMDVSSIARLLDPPDEATRQEARILAAHLNAGREGRVLTRR QYQAQIERQRAQVLLGPHRSRLAAGSRDGNQKPSAQEESEILEKLILDKRSATLASAA NTEQTWETGASGLGSSGPPCVVCQTNSRSIIVWPCRCLCICEDCRVSLAMNNFGSCVT CRQEVGGFVRLWVP ANIA_02443 MSSINRGESDPHSSGYEGDISDQVSETRAVANPDTVDEGSSETS ETNELETGTIWIGCDVRDAGPGVQSDEDAEFGTIVIGCSIRDMGFESYQAYLNADDQA TVEHIENIDEIADVEHSSTAAIDANNKKLISLD ANIA_02444 MSPVFQRFRQPAPQPNSGHGLQAYLMVIFVTLVMVSDLVSWVFY VVHTGWILYQYLDNLVLGGDGPLQILFSKWDLVLSALMTARLGMLAYGFLRKRVRPWA WGHWMFGYWAGLVQAAGLTGEGSDSVSVRFL ANIA_02445 MKLYVAATLLLRASIILAQDLPDLPSCSLQCFLSAMSNDGCPSL TDFACHCRQPALVSEVAPCVEQSCSEQDQSSVSNIVMTACSSAGVPISVPPVDGGTTT ITESGLAPSPTGGEHETMIPPGGSVSPSGPMMTLPTSTDTTPPTLSPSSPSVSSQLST PNVISTSPPFLGGAESFRTGGRLAGAAAAIAAGYLM ANIA_02446 MPPARRRGGNTASARSNQPVLSFGAKSRVTKPSAAPSTPSEKTK ALEHLTAEVREKDVSKDVSIDVPGSKVEPEQPHVAELAVRAQAKAEIQQPLSEEDKKA AKVTRKQLQDYWKAEEAKSRGPRVHQEGLSLDEKILRHFDLSSQYGPCIGIARLKRWR RAHSLNLNPPIEVLSLLLKQEGTAGQRAYIDELLS ANIA_02447 MAAFVRVSGPPNGNFLIGYPGISATMPRIEGKVEIRPSVGITAP VNVSLVTVSLVRRETIHPSADSVAKRRLAPPRKEISDTVGKEMLLFRCPAGRESEEVL SMDLPFVLFIPFGRGGPDASRRVPPASLQLPSRTAETFYEIVVMVQQGHSEQRKYSFP VPIARYDTLSTFGMYNRPESAESVSDHLVTLGISLPRWSYGPLDPVSVYVKLSPNPDW ISKARKVTINKITIGIDEEIIYNHEGDEPQRKVKTLTKRTEPIGVKMPPTGFLTNMGL VFPAKDMRDGEGILPRGKPGFPTYAVSGFTTTASLYKIEYYLTVKAHLTSARDIIIRQ PIVVCPLDHAGCKEEMEAIEQAAREAAHINPDNPLLPLPSIVRPSDPNGLRHLGVAIV GNQKKPLID ANIA_02448 MASVSENTPLLAESEPRETNEYQISQDHSVTTAPAKPYYRTIVV LTHLSAALSVPAFVLYLTVSSIDIAGPGGFYLSWDLATRIHSLAITSILSFLASALNL ARLRHARRPLWLWLNLPIDAAIAFSSLVLVPGALALNFNQSPDSWLPDRGAAATARAV IVFLANSNLLAASVEGIIQQTSTPTPIPGALTAMIEETKKEEDQNPAPPSSVIRPEKR Q ANIA_11340 MESEVASGRGIDYNDVLIQMSTNLTNALNTYGPSSAQYQTVLEM LKDYMREIDRVGRPETQDLDPNVLSIAMGFLGIGK ANIA_10304 MVDLDEDAITNPSGGAQADIDLSDETQDFRMLNNLSFVTGSTEL SLPKRGEKDFEPNPTLFQADILSASRQAMHNALAYPRLHHPKNSIIGVYAPNGPAPPA APKALDTIAEDIPAEKAAPNSVGAHVSPDSCVYVTNPKGQHFKSLGQADRWGRVWLLP EEALYLLERGSLDIRWPRCAVGAGDEDDIEDSGIPMSLQAAYACLMGRGGLTMERFSV FTGLRRLGYVVVRAPGWSESEEEKGAQSVTKSGIQQGPGLAGIFSRFLQWLRDTAPTT ALGPVAGLGFHHNYGMNVLHISQTLNHTLLRPRYFGVVFSIARAALCRCMSAPPSPDF KIAVIDARTTTTIPTLSQIGNLLESTPLDPPNPDKQLYVRLKNGYRNVVLAVVDQGVV SYLRIADAAFGKEKIYAQRLSGGNKFRGTPRHKFKKR ANIA_10308 MITGGSSGIGFAIAERFLREGVDRIILVGRSYERLARAAAQLEC PTASSQRRPSETEKEQDSEVATRTPEEDQAQDRSEISPGTLVELSGRISLLVGDVSEA ARWTRDLESAMQPVDILVNAAGISTSTVLPRTDPNIISQVLRTNLEGSLLTSRALLRA SIRSRMKNRTGDAHNPTKCIINISSLLALKAGTGAVPYAASKAGVLGLTRSLAVEAAK TLRNQVIRSNAIVPGYIETPMIADFSQAENNRLKEDIPLGRFGQPHEIADAAVFLAEN EYANNCIINLDGGLSAL ANIA_02450 MTRDTYLKRSLGTQANRIRESRVLLVGAGGIGCELLKNLLLTGF GEIHVIDLDTIDLSNLNRQFLFRHEHIKKPKAIVAKEVAQKFQPSARIEAYHANIKDS KFDVDWFATFNVVFNALDNLDARRHVNMMCLAADVPLIESGTTGFNGQVQVIKKNVTE CYDCNSKEVPKSFPVCTIRSTPSQPIHCIVWAKSYLLPELFGTSETDTEEFDYSADAD NVEEIENLQREARALKEIRQSMGSAEFAQKVFDKVFKEDINRLRGMEDMWTSRKAPEP LDFKELEGTLSTVEPEVSLKDQRVWTVSENLAVFKDSLDRLSKRLKTLQSEESGSPAV LVFDKDDVDTLDFVTASANLRATIFGIEPKSKFDTKQMAGNIIPAIATTNAMTAGLCV LQALKVLKGDYDHAKMVFLERSGARAINSESLNPPNPHCPVCSVAHARIEIDLTRATL NDLVENILRTQLKYGQEFSVNTEQGTIYDPDLEDNLPKKLSDLGITTSAFLTVIDEDE QPRVNLQLIVVAPGSPPSEEQPIVLNRIPEIPRKPQAPAPYDAEANGTSNLGKRKRDA NETELNGDPPTKRVANVSISDGADKAHPIDLSEAEGGAILIDDD ANIA_02451 MSDDEVDHELIALLRKSLGLGGGAANPGAAETKVLQNAQYVFDN AIDVALNPSKTKEAAETIWRQMQKKKYSTSSWSEHELHPKAKDESTVDFIFTMDLLNF SFWSAEPEGKRFAIEYRGKKWTGYWSLVAALRRALDEGIEITNPEFWVDEEECSEELI RHVFRSATDEDMPLLKERLECLREAGRVLCNDFDGSFTNCIYSSNRSAAALVNLLAES FSCFRDEVVFQGRRVRFYKRAQILVADLWACFDGEGYGEFQDIDKITMFADYRIPQML HFLGCLMYSPSLETRIRKQEEIPSGSNWEIELRATSIWCVELIRREIEKQHPEVKSVK TEKSTSNGHSSLSHSNGLSHPNGHCRETSQTQNGNDDQMDTQAPQTGHFRRHSRHSSA ASNAPLGTGVSINAILIDFFLYDTMKELEKQGRENSTFIFTETLSAGSRDVYTRC ANIA_02452 MGDDLHPDGMQNIINASAANEYMVQTTYLKNTSSPHIRGHDDLD EDAREFLEAVLTAKDREKDEDFKETVNALVVAVYFLVLARRRQPSETSADAALKETRK MDKKTFTEMRQTALTSLGLPHSERRHREDVDQWIALIMEHDWAHGREWFENIPQAGDL DGDIETISDDDGHPGEGGNKRLKSLPSDRGLFAPRAASRRGLLPGLGTMMQDRVDWLS PERREDYTEWKADILARIQQAEAAAQS ANIA_02453 MAYFRATDSSAFGHLIDVNYTWMMSVTLHTTHGDLKVELFCEAV PKTAENFIALCAAGAYNDTPFHRLIPGFMIQGGDISLGPAANSQGTTPMLPFDDIPKG GTSIYHPSALNQEIHLPALRHNTRGILSMASRPVKNQTAPGSQGATGPTINGSQFFIT FAAAPHLDGSSTVFGKVLNLTAEDEGGDVLSKLEKANVKTDKKGKVVQPKENEETEYE TLRINRVTIHANPFAT ANIA_11341 MRSTKLLLGIFPAALMASPLEINMMGVAASNVDVTVKFWGGDLC NGPVDSVSLVDSGSYRSRMDSLMDWEDHECGTMTSWKIDHS ANIA_10312 MLALNFDVLIPQWFPVSENTSIVTCLALTYMLDMVMEYLSASGV KSSPPNVNERSFSSSPKFHHKASDIDSLEILVSGRSVDHTESQLCRRE ANIA_10305 MPTVPAMDRSSGSLDAPAPSCSRPLEQPMGRLRPASASARRGYR ACRYGRNPRIYGLVVSVQIANNLAQEVALYTSLLQLGAGLAVGLCGLAAGLAIGIVSD AGVRGAAQQPRLYVGMILVLIFAEVLGLYGLIVALLMNARAGVIDFKCR ANIA_02455 MSADEQVAKEEVFQKPGDLVERNEETGVMQVESLCMNCHENGIT RLLLLRVPFFRDIILESFECEHCHFKDNSVKSAGQIQEKGSVYTLDVENEEDMQRQVI RSDVSIFKVESLGIEMPKGESQLTTVEGVIQKIHESLSSEQPLRKVQAPELHDALVPI IDKLQKILDREGYPFTVSLDDPTGNSWIAPTTHDTGHKYRRRDYARTHEQNEQLGIAS DPEALKNEGKTIGNLDDVDIVDGKVYSLPADCPACAKECTVNMQKVDIPYFKEVFILS NVCEHCGYRSSDVKTGGEVPEKGKRITLSVETITDLHRDILKSDTCALHSEELEVTVQ PGTLGGRFTTVEGLLTEIRDQLKGQIYDIDDSTQSGGDSMSATDKEKWARFFDRLDSA IKGDLKFSITLEDPMANSYVQDLCAPAADPQLKTEEYTRTEEEEEELGLKDMKVEGYE AEANEKDGEENKS ANIA_02456 MVIDAEQSSQVNAEEEDAALEDAKRRRILHALLDLIALEGIYPS LSFGVGIPLQQRVISVLPAGVIAQQNPPPPEKPPDILLLGRILTDLSGIIFDARPSIQ PVITGRILSDIISASSDLGYNPTLPASEEQSQYRRILAKVVEEFVSACHHVLHVLTLP STPSASLLPTLSAFLQSNPTPWFKSVITGLLSRVPLRSNGVLQTIVFLASQFAPSLGR EAQEQGPSGLRITVNAIMHASRLLSAVPQGMEANTYLKVIGPQLLTLIDGDDPDLRKA AAYIIGNGILGKKAYGAPGTIGHLIFLDPIFKALTGTADASSRCYLIPPERTQRQESN QILSSEAEIILAINRLKALVLQPPNPGLVKRVVYPVLLPLWGFACFSAQQQLKSIHEN VVIVLQTYFGVSAGFPPLKKIVDNFLWTGGPTCAYASGSQNGILLKKPNGPGIGSNIV ALVDDLQSRAKLFMSLLNADPSHDERTGEVFLYVSETWLTQHANNARTLDKIRLLADN GGSDSIIQNLISAKLAETLLDTFRDTLSRRPLKVLQLIKQILDGELRQLISHQNATSP GPTKMSLSSLANIVLSEQVESNEASGNDSAESLQVVFSLLSTILASPEFSISKETGDL LATIKETLDQLLPHLSQSLSKSGTTASMLLEIQLDSPENQESKGKSVEVPDFEIHRRA LMNLNSELPPVQAEGFSLLSDLVKRSSPILDIPSTLTLLLSIITDSSETAANDEFIYL NAIKLIGTLASRHPRTVTTTLIERYIDRSENATLDQRLKLGEALLRTVQDLGEALVGE TAKVLGEGLISVAGRRASKPQAQKSRKQALEQQRRKMEREERQKQKDSSSGWRLSTPK IAEQILDNDDSDSETPEQAVHSANIISAWAAGSSRDEEPDDLRVRASALSILATAIQT NITGLGPSILASCVDLALATLTLEPEDECAILRRASAVLLLDILRALDDAREKRKTQD IGIGFTLADESSHGLHQDVARRGPSTIGNIPFMLRTLAGVEARETDPIVRGHCRVLTE SLEAWIEKSLLWGVGQYAGNGSEEDQPRLELGDKIAGLRINPMAGRGGHAERPKIEEI E ANIA_02457 MSDPNTSGSGVVGESSGVRVAIEGCGHGCLHSIYASVERAAALK GWDGVDLLIIGGDFQAVRNSNDMACMSVPNKYKEIGDFHEYYSGQRTAPYLTIFVGGN HEASNHLFELYYGGWVAPNIYYMGAANVLRFGPLRIAGLSGIWKGRDYRKPHFERLPY NDDDIKSIYHVRELDVRKLLQVRTQIDVGLSHDWPRQIEYCGDWQQLFRAKPLFRRDS QNGTLGSTAAEYVLNRLRPAYWFSAHLHVKFAASVQHGPQEVVPNRQYGLDGASLTSM LSETEEASRQTGYSQAEAEPNAHAVSETGTTEAHYPSTTEAFTQAMNENRPVPTAHDS ETAESVPAQTRESLSAWKNFHQVAANNEAAENSLFPAGQEKHAGTSALNTQSHNVTWK KIYTDEDGLERKLGGIEKSAPREAKKQKVEHEPPLVKNSDEINLDLESDSDLDAGAVS ARSSAETVTATKSVSGFQGTALPQEPSTSRYSEVSDDVRSQLPASFFTSHESPPSTSD TTLPFPAEITNKTTNFLALDKCLPNREFLQLLELDSLYGKTDQAQSQRPFRLQYDKEW LAITRVFAGELRLSGGPDDRTPANRGEAVYRPLIEEEEKWVEEHIVKPGKLDVPANFT PTAPVYDPEVPVNTDQQPPEYNNPQTAAFCDLVGIENKFVLTDEERQKRCVTNLLRNI MRAADYQFKA ANIA_02458 MSSDAMSDYSHDDEHDPQTDELRETALVTLEALISSCSSQMQSY LPNTINSALRFLKYDPNVADMGEDEEMSGTQDDGSEDDVTEEPDLEDDDFEDFEEEGG YSDIDDMSWKVRRCAAKLLYAVISTYGRGRALDDTSLYQQIAPAIVARFNKEREESVK LELVSTMDALVRKTAEGSMIMTSSGFLESVGSGSKISRKRRRQDSDASMIDFEPSMGT SSAAGTPLAAPSSPQSGPQSELANALPVIVRSLVTMWKQASIHLKQAIIILLKSLALV RYGGLADHLQQIEDPIADVLKSSLSGAPSASIGISASAGTLQIETLSLISAISETHAS DALLPFLIALIPGVIVAVNDKNYKVSSEALAAVEQIVKALTPPRVTTASQDLIFQLEK LYDVSHSRITDTSADLEVRQRAIHVLGVLLARTSDEQGSAFLSFEKRSKGLVTLVDRL KNETTRLSAVRAIDDVAVLCSRKDDVDSNWVREVTAELGAQLRKSDRVLRSASLETLR SLSMNPNTRAHYDGETMKNLEECLIPLISVEDVHLLAPSLIIIAKLVPGNAQLLVNDG LVSAICSIVRTSLAGTVLKALLLLVKVIGEEGSGLTLMQNLLQDVGVNGDTSVVGRSI GTLLVHGGSNVGVRMEDFLSELQKTQDPQRQCLALAILGESALRLGASCSLTPNVFIP HFNSKSEKVRLASATALGNAAAGNVKAYLPTILGGLEKSDPQSYLLLHSVKELLQHPE MVRRDVAPSALKLWQALLVVSKEEDNRAMGAECVGRLALLDPPAYIPQFQEYLANGDA GIRSIVVSAFRFTLSDSRDVFNDVLRPLIVPLLVNMLSDRDLGNHRLALTTLNSAIHN KLALILPHLGELLPAVLGDTQIKPELIREVQMGPFKHKVDDGLELRKSAYETVYAALD TSFSLSHITELYSRILAGIDDEQDIRTICNLMTSKLITLAPEETQRHLDALSERYTAI LNFKPKENAVKQEIEKAQEASTGVLKITRELSKAFPNAETMGDHHKWKAYMEMVRAQF GTQLSNLESEF ANIA_02459 MSNQPWLDSLSDDWVSLPGTPTSPAPPRSPINSHSRRSSVQITP SRIPVPARRSIEPSPSSDTKKKIPRPCHHIRREPPTPKTPRTPKTPSKPRSPYPNISN PKPNPTPGRKKQSVMDGKSPLRSVSNASSQVGQQSTVQIRPKKETVEQGTPEWRKRLV NGDIPASEQRDLFAPIGLESVFKPPSPGSPLAGSTPIPRMKQPEDGWNFSTNSAAEKK GKSPGRALKSSRGSVRTTSGKSVSGQGSRTMERHGKQTTKPRTGESQQQKKQSAHLSE HMTENHGDQTDTAQMRSASGLEDLRNEDITPITFSRTNTVDGEGTAEIIKSALKQVTT KLEKLQLTPAGRPNSRASDSVLYDQQNDIAAEAAAEEDLLDVTSHSLPQDLSMGTLDY RGRSAFTSLQGDSYAEERSFHNDRLSPTGFPSHRLTPFIRPSTRVRSSPPFYNKTNPQ TDPLSLPRPSSAHVATSNNLGGMPSTGSPLKLFANHDTFTNNKLLRRMSQFEETLGEQ SDGDEPVSPSEEARRKGENRNFLHPGERHGRRQDHSRSRNSQTPRINRFGEGQLDNFD FSDTSPYDTNFLHTDSPEPNVAPPGNLLAAEGKVQRGRSYRQTSEYSEASDGTNLLGR RDIRLKLKTTLSSPVKDPTPKRRRMGRQFHGSMLGDATIEDSEASDDLSLLQRSLVQH GLRYDENVEGPFSESTNRPRTPTPHQTGSFERKQSSPNRRTHQAESDIHGISPPTLTQ NVPNVIGRSGKDEVRKGSITTQDFLNEATKVMNLIRSQGTAKSGLSRVDETDTEEHDG NESYEEESTLEEFSRPPSREGIDLRRLREPKEPNPRILSHLKKFQEHDDLEFGVSGSV TSLHLGGDDSGRGDADRHRKRKLPTPPATQEDDLYDFLRLNTETSKNFSARSVQTGSS QGSHAKGVLSSNIVSHLIPEQVNGFTYDRLRHLWVKEDERKSPSSQQYKDRDEDPFKD IPDLSVDEMEEVMRTENASSPRKTRDSSHARATAPHRPNTNKADGKGSEPVEEDAPGA PSVNLSSAQSGTSQLTASNPTSGTRETSWGTEVPKSTASSDVEHEIKLHEGRLSKPPH LLKNQNHQPRVVTISFSSPLVSQIEYSDDDSPSKLRRIALTSQAHVAAAENSALQSFE HEQGFSRRSISKIDEQNEDTVEDQSLVPRTTDDLEPRSGEANLEESFALARENGLDTS YSFHLSPLPDFTVNQIDQPSQLDVSYVAQRTHPTSLRQIHGTFALATEDLVRNITEAE PYEPFWEHLRRLILRRKGLITLHKLADFCPRLEELDVSENDIGQLGGVPSTLRNLKIQ NNCLSNLTPWGHLGNLQYLDISGNELENLDAVGGLIHLRELIADNNNIRNVEGVFGLN GLLTLKLRNNRLAFIDFKTAEMTRLGELDLSHNELMFVGNIQHLSALSQLDLSSNRLE TVSTPSPLTKLRALRVSDNRLRSLNVGLFPALTLLYADQNCLSTILGLDQSRVLEVLS VREQEIPDGESLDLDLGLLKDIRKVFLSSNKLSPQTLSPSAPLLSLQLLDVATCSLKA LPMDFATKFPNVRVLNLNFNSLEGVNELLGMNCLSRLTVAGNSISRLRRICQVLSRIG RTSKSNTCTLQKVDIRHNPLTVRFYPPALTGSGKPQPQKLISNEGRRSGHSHGLDLDL PLMEQLNREGQLLQVNGEDGEDTAHADPEIDDPYTLPPADLLLDQKHLAHLDQATRLK RRVFELMLYAGTGGAIKVLDGLDFRPVLEPGSDMNQAWARLERLGVLRKKAITG ANIA_02460 MPPAPRRPISPLALETCAPLDTDTDTCSLAGSDDELDPGQLLAQ RRRIERLGEAYLKGTPLFILSASLRGPLDKNWVNPWKKYRRNDNGPTEPQVIPETNSR KRRHHQSPPVATHSKPTDTRRASSHAETPRKAVPRIDSRDRTIRLEPPASGSRSPRFI QSNSTDTRWLKKDKVSTRFQTIDPPTSPTASISIRQPKGGVSTGSPSVSGAGTASFDS ARPLRIQRPKPSAHHKSSPTSRSETYSALSDGRAPEANSRNDDSQSGNGGGSVYVVSS SSQLPKFEYRLKQRRESYAKVKNPPLPQRTEDKVGEAAAVEDGAEQPPRKSPLELHPE PTARRKAGDSLTWTNTPNTIENPSAELLDEAQASQTKISTTSENNLPSAQHAPGNPPP PDNLTSLYSIAVSKATSNRTEDQTNDQHYSTQAAVMMAQKSFQNDLRSPRDTSQTSAK KRRASQSSSKQSPNPVNITPFHKLNDPGLDTVDGVGNRENGVPLISTQYIIDAATPFT FSTEKKAGFRTLSSGMDKSKTKKRRTTSFAVSSPSGAPSDHLTSDEEGAKNAMQDQPA GTGASPSGSQRSAFPMTLTGTTPPTAQEARNAESFDLSQAIAEAGSWLQQSFEINKDI THCRTTALPQSYSANPTH ANIA_02461 MALTSWKAFNFFDVSPVKLPEDSASLFNSETSCLCSGSANLFLG TTDGFVHTISSSFKVVQSFKASDNGSITHIKQLEGTSLLVTIAEDLLNEPVLKVWALD NPEKKTGIPRCLSTTPVQNARRLFPVSAFAALGDLSQVAVGFGNGSVAIIRGDLIHDR GARQRIVFESEEPITGLEVQSGPVTTLYISTTNRILALVISGRGQGQPARVLEDTGCG LGCMTLDRESGDVLVAREDAIYTYGPHGRGQSYAFESPKSSINIFKDYVTLVCPPRGG TPGSTGLPTLGVGRDELFSSATFTLLDTDLKFIAHSESLVSSVRQIFKEWGNLYLLTT DGKIYRYREKSLQQRLEILYQRNLYILAINLAQKIGVDAYQQNTIYRRYGDYLYQKGD YDTAMQQYLRAIDNTEPSQVIRKYLDTQRIHNLIEYLEELHDHDRASVDHTTLLLNCY AKLKDTKKLDAFIKAPGELKFDLETAIAMCRQGGYYEQAAYLATKYGENDMVVDILVE DSKKYAEALEYIWRLEPVLSKAYSNLMKYARVLLSNCPSTTTELFIEYYGGDYKPRTQ QVEPLPEPQAQNGNPLQSLAAFLPLPLLKTGLSSTATEPVETQAPEVQEERAPEYQIP KPRTAFSAFVSHPQEFITFLEALINKEDFFFSNEDRVDLYTTLFEMYLNSAGRQKDTA EKEKWQNKAKKLIEGKDIPISTSNVLLLSDLSEFQEGSTLVREQAGLRSDIFRSFTAA KDTQGAIRALKKYGPEEPQLYVDALTYFTSSPKILEEAGDELDAVLKRISEDGLMSPL QVIQALSNNAVVTMGRVKKYLSDNIERERKEISSNRRLISSYSTETEAKMQELEQLGS KPVVFQARRYSDDDEEPFEEPPRERTNRVSVVNDKMQHDADIQAQDVSHDSHIRVDFD LFLQSQNDQQTNLSASQQRREERWIPSMGHSGSSGNMTTEIGLAQQRLFDDDQQAQSP PLQTITYEPEQVPDDNYAIAAPDNNPEAHELQNITTQGQSSRTDTHGVDISDWSQTAS YNIFDSSSHTSRNLLNGADFDCDSNITTRTEAMQNFEFRRWTTMQGTTSSPHDTEPFS SIISPRIPRAKSDNATLNSAQLSSVSVDELSLPVTMEAPNIEMKGCKKKQAVVANDDD DELSLPQFHEESPTKPEKRKPGRPPKRAKVDDTVSKGPITKTADISPEENQGPAVPGA PGGITVHVAPPQSIIDDFMHDNQSEQPAAPALEQSLQTTATLTKEPKKKKLKRGKTTS VTLTKTYESDIEDDVIWVEQRPATPIDEENKPSNPTRTSGTKVAEQTSAPKKRGRKRK KMSEQQDQRTPAPLEVDEQDAQTTTSNEADNTPRLSDTHNESGVLVVLETKKTTDAQT SDIMEPITKDQAPLDLHPPTSPAKPSEHAQPAQQAPETPRKRTDLKAPSVKGPGKHSP ISSTSKVPYRVGLSKKAKIAPLLKIIKR ANIA_10309 MHVPYLLIASSLNFMPSVFGRNIIDLPSDYETVQVASHLQGPGT IQNLLERDLGGARCGAGYGRCSNGNCCSTAGYCGNTTAHCRSPDCQIDYGHCDAHATP GGPSTEAIPRPKLGKVAYGPTAIRSCTTPGTVALTYDDGPNQYTRDLLDLLDKYDAKV TFFVTGNNNGKGQIDSPEVPWAPLIQRMVLSGHQVASHTWSHQDLNKISQVQRRTQLL WNEVALRNILGYFPTYMRPPYSSCTTDTGCLSDMGDLGYHVILYDIDTEDYSHDSPDT IQRSKDIFDENLAREKSFYKSWLVIAHDVHEQTVHNLTEHMLQKLMVDGYRAVTVGEC LGDPVQNWYRRDDQVKVPGNPKPKPPLEDISTDGKCGGKVSCIGSDFGMCCGGKGYCG NTTEYCGEGCQPNAGYCESDFLDSSQQTGPGIVGSDPLGDSINKDKKFFKSNASSRFG RTSLTLASIFLFALALL ANIA_10306 MGDRHTIQQNLNGLLSKLNDPDPDMRYMSLNDLYGILSNPCSSY LAHDQASATRLAEGLLKALDDQHGDVQNQALKCLGPLVARLPLEGLRTLLERLSNLTT SQTIDTSVPNTALRVIVTALPRPQPNQAPSPDANMAYSAVSEVLIPRLIGPGPHKRRG SVTKGMLEKDPAKGFSSDAIDVLIQVASCFGALLQESELTALEKAVMSIIDNDTAGTV VTKRALAAISALVVYFSDEQFGILVSELVERFNSPQLSTVHRRHLIAAVGCLARTVPA KFGPHLSTLAPFIFSAVGEDNLEVSHVLHYLCTSASNVYSSRNKPKIYHQYRQLPKEK LITGM ANIA_02463 MASLSAVSGWPTYLPDWSNLNVLHRNTLPPRAHFYSYPNEEAAL TFNRDEGLFQSLNGTWKFHYDASPFEAPIWNTANTTEWDDIIVPGVWQMQGYGRPQYT NIHYPIPVTPPNVSYMNPTGSYWREFDVPADWDGQQIRLRYEGVDSAFHVWVNGEEVG YSQGSRNPSEFDITGYLSSEGTNTLATRVYQWSDGTYLEDQDQWWLSGIFRDVYLVPF PSSAITDFFIQPEVDDGFASGTLKVNVTIQGEHGNLSVKVLSPGGNVVDEWTGSSSTI YSKDIKGDDFLLWSAETPNLYTVLIEFNGRTISQKVGFRRVEMSGSNFLVNGQPIIIY GVNRHEHNYTSGRTVPYESMRADLIRMKQSNINAIRTAHYPQHPSFYDVADELGFYVI TEADLECHGFRDIAGSEENAAAWTSDNPEWTHAYLDRAEQLVERYKNHPSVIMWSLGN ECQYGQNQAAMYKWIKERDPSRLVHYEQDHNAETADIYSQMYSSPDTMLEHMANHTDK PLILCEFAHAMGNGPGGLKEYIELFRSHPLSQGGLVWEFNNHGLLKKEGDLEYYAYGG DFGDEPNDADFVMDGLTLSDHTPMPSLLEYAKIIQPVSVNLTDDSSSMVITNHYAFVD LSGLDVSWHIVQDGETTEAQELDLPPVPAGESRTVDLPLDPSSLSKETWLTIEFKLKE DKAWAVRGHVVAWDQLYFPGSSASTSSSKRSTPISRQTSGGLEVKQNQTSLRIITGTS IFGFNLIQGNVTWEANGASLFQRGPELSFIRAMTQNDEGQSGNEAEWDDAWVGTMHTQ VRDVTWRSSDTEAIVHFKVRVAPQVLEWGVEADLIYTISTEDSVPTLHIHATGEFVGT NTPSVVPRIGLQTILPSSFNFVRWLGRGPGENYKDSKQACRIGEYSATVEELFTHYDY PQENGNREDLRWLQISDPGTGVTLDARRADASTNQTAVEVFSFTASQYMPIDLNNAKH PFDLKPLDMTILWLDYDNHGLGSASVGPQPFEQYRCKTEPFDFAFELSLLS ANIA_02464 MARTRKSSNLGSDIRGDTSAPAMSTMNEVSPIDPKHPSWENVAP LAEKSSKSHSKRRRSRSFLRRFKDTCLKHTWVLPLLILIVLLAGYAVNPTPSNPLHYA IFLSYPEPPKTPGGPVMYGKGPKDIAFVSFYMVVLSFTREFLMQRMIRPFAVYCGIRG KGKTARFMEQVYTAIYFAIFGPYGLYVMSRTNIWYFNTTAMFEGFPHREHEGLFKAYY LLQASYWAQQAIVLLLQLEKPRKDFRELVGHHIITLALIALSYRFHFTYLGLAVYITH DVSDFFLATSKTLNYLDAYITAPYFGVFVCVWIYLRHFLNLKFLWAVLTEFRTVGPFE LNWETQQYKCWISQYITFALLASLQAVNAFWLFLILRILKNYLFSNIKKDERSDEEDE EEEEIEQSTNSTAALATGTEPASLTARSVNVEKRTPHVLVNGQPVKR ANIA_02465 MSTDYEKRLSLDDMALKTGDHIETIGKAAAVDATVAANVDDIPI SLYVWMVALSASIAGMLFGYDTGIISAVLVYIKDALGGRYLTSSEKELITSLCSGGAF FGSIFAGNTADRWGRKTALYLGCVLFVVGAVLQAAAYTIAQMAVGRVIVGFGVGSAAM IVPLYVAEIAPSKARGRLVGLNNVSITGGQVIAYAIGAAFASVPHGWRVMVGLGGLPP IVLACLLPFCPESPRHLVYNGRMEEARAVLRKLYRGATDVQIESVLASILAGCEEARA ISGNEGGWAKIVRLHTVPSNFRALLCACGLMVLSQISGFNTLMYYSSTIFSLVGFTSP TAVGLVVAGTNLIMTFANMILVDRLGRRRLLLSTVWGMSAGLIAVSVAFSFIHVDVNA TEVTPQSVTTPAIVVLIFIIWFVVFYGVSVGNTAWMSADFFPLEVRAMGTMWMTCSSW ASNVIVSSTFLSMTNAMTMAGTFGFYAGICGVSYVLIYFFYPEVSGLILEEIKEVFEH GFGVSTYIPTPTMRSRLRVWLQIGRPISGLIDRGVAAFDGGYRKFQPESARCIRAKPS ISSKCRPFEVFVYYGYSQLPSVHASQNPDIQNSDVRKIWERRIQLGLLGLQRVTTRIE RKDKLMLARLQAVPRLFLEARSKAACPTLGTTSPLTSKAAHVPITRRDYKIILATRQS AEDNGDRHDEKMPWRCERRKRSRFRSVTTRDESNERQITVYFLDYLLYLTTLLQESCW KIVHPAYGLDLKHFDDEAADPRTERHAVFSTGLRVSDDYTTKKWNIGLGSLQ ANIA_11342 MRISQCGSPAAAAAFSNSSRYGCFSVSSVPGWERLAPLSRPDNS GYTPVQVPTWHELTHPDIDTPRIPYRSMRATTSPSYHVLRTMEGE ANIA_02466 MAIGNIYVIAAIAVIGGGLFGFDISSMSAIINTDAYLCYFQQGP LKTNDKGEQVCSGPTADVQGGITAAMPGGSWLGALVSGFLSDIFGRKTTIQIGSVIWC IGSIIVCAAQNIPMLIVGRIINGFSVGICSAQVPVYISELAPPTKRGRLVGLQQWAIT WGIMIMFYISYGTSYIDGTAAFRIPWGLQMIPAVLLFFGMMVLPESPRWLARKDRWEE CLAVLTLVHGHGDPNAPFVVREYEEIKAMCEFERNNADVSYLELIKPNMINRTHVGVF TQIWSQLTGMNVMMYYITYVFAMAGLQGNNLLVSSSIQYVINVVMTIPAILWVDRWGR RPTLLLGAAGMLAFLFANGGLMSSYGHPAPPGGLDGIEQQSWIVRGPPSKAVIACTYL FVAVYAISWGPVSWVYPPELFPLRVRGKANALCTSSNWAFNFALAYFVPPAFVNIQWK VYIIFGVFCTAMFLHVFFMFPETAGKTLEDVEGIFTNPAGIKYIGTPAWKTKNERGRA AMLEQTGFDVDEEKRAEAVAVHEESQPK ANIA_02467 MAEETQVLSIQDRIRALKQAQTGTSEAAGSPLSGSQPTAFALRP APTPSQSNGVSSPPPPYSIRPPPSVGGESLVSNVSQRVSTSDGIATPPPTSRQQQKKP PPLPARKSSSQLAPSLPPRRPSAASIDSVTSDASRSTSTSTGRSTGSTRATTPGSVVK APPWGVGELPPLPPKRTSTNTSTQSVVSPSRPKPTTSGSNGRLPPPRPTLPPRRSSGQ GVLTVSTESSVQPRSVPTLPQRRDKSPSPPADETSGRTQGRRVPPPPPSGAALDKIRG AGLGGLNKKTSGTTTEPAGAPPPVPLGSRPDLSAIQVTKPRIAVSNEAPPAAAAACLK CRDFSAPDAHAARYPRQSLPTHDLGWLARELTTPFISATDKARAIFTWLHHNIDYDTV SFYNNNVKPATPDSTLASGLAVCEGYAKLFATLATHAGLEAKVVSGHGKGYGYAAPAP GAPLPPYSPSGHAWNVVRIDNGQWKLIDPCWGAGHVQGPDMPYVRSFTPAMFTDTNDE FGLKHFPKDRSQFYRDDGRPEITWEEYILGNPNSPLGAAQPMVFGDAKKHSIGDRSFR PAAARISIREPGPMRFQFNLICEHWSLERHSRAKPGLFLLMIHGLDGRQDDRLPLTHW RGSGSGGGGDVWYVDILDPRTLGAPGQKVQLAVLTKFGDLEDARGVTVEEYQRQVGRV GMAWAYIAEWELVV ANIA_02468 MALWKDQTSQIQNEVDEASPGSNHDYDHDPLTAPLKRKLHSRHL QMIAIGGIIGPGLLVGSGKAFSEGGPAGVLISFSLVGIIVYFVMQALGEMATAIPVTG SFTEYAQRFVDDSLAFGLGWAYWYLWVTVLANEYNAVSLVIMYWTDAVPQWAWILIFW ILFLGLANFGVRAYGEMEFWLSLIKVLALIVFFILAICISTGGIGGDVIGFKYWHNPG AFADSINGVARTFVVAGTLYAGTEMVGITAGESSNPQKAVPIAIRQVFWRILVFYIGT MFFIGILMPYTEPQLLNSSSYGANSPLTIALSSAGILPAAHLINALIVVSVISAGIGS LYVASRTILFMARNGKAPKILGRTNAAGVPWVAIIFSNIFTCIVFLTLGESAGKVYNA LITLSGVATFLVWTSICIAHIRFRAALTAQGQSPSTLPFRAALYPYGTYFALVLNIFM IFFQGYTAFLNPFSSDDFVINYILLPVFVLFVVGWKVWHKTKIVRLEDMDIWTGRRER APEVEESSRWKGKGWREKVWRGVVG ANIA_10310 MYMRIRICAQCCAQSSAITGLPLLAPLLFSRPLCRFITLTPLVL TLSQPQVSCPVFCPTPSSLPMYAVAPVMGISRHVGDHLPLNQATVR ANIA_10311 MKFTGLFTLALATTALATPAKRQSSPADIIDTISSKVDALGSAV SSYSGGDPSDVQSASDNLVSTIRSAVEEVNAGPDLSNSDALALTSPIQDLTDDVEGVI DQLISKKDQFVEAGAGGDVK ANIA_02469 MDNAKIVLITGANTGIGYQVVRALYSADKPYSVIVAARSLSKAQ DAIRAIQAEFPASSNKLTPLVIDVESDESIEKAYEEVQAAFEKLDALVNNAGAQFDPL IASKKLTPREAWNKSWDVNVTGAQVLTLTFVPLLLKSADPRLLFVTSGTSILSRNEGI ALPVDRSPEAGWPKSKTAIDVASYRSAKTGLNMMMREWERTLKNDGVKVFAISPGFLA TGLGGLGAEALRKFGAGEPDAAGPLFRDVIEGRRDADAGRIVKKDGVQEW ANIA_02470 MAATQAANLVEKAVGHSGTATVTTDISNYNNEHGVETGEKIRAT VWQGKNSVGIVEMPKPRVVDAGDVIVRVTGSTVCGSDLHLYHGVIPQLQKGDVLGHEC CGVIESVGPESTKYKPGQRVVVSFPIACGTCKRCKAQLYSQCENTNENTITNAMYGKR TAGIFGYSHFTGGFAGGQAEYLRVPYGDVNLLPIPDDVPDEKALFLSDVISTSWHCVV DTGVNKGDIVAVWGAGPIGQMAVDFAFYHGAERVILIDGGDGAWRLEYVKSKLPKVEV IDFTNLPKGESVSSHLRKITDHNLDVCLECVGGEYAKGWIHFFEQMVGAETDTSEIVN EMITAVRPFGRVGLTGVYAGYTNHFNIGSLMQTGVRLIGNGQAPVQKYWGDLMNLIQK GDIDPLRMVSHRFRLEDIDKVYSLFNERNGGVQKVYLETRHSAPAAKEGPQLTKLE ANIA_02471 MPRYSNGQMVEYKPVGGRDSNTSKSTGTIKSVLTEPGRQADRNV DASEDSPRYEIENANTGKITTVYEDNILGEA ANIA_02472 MDRSNTVELHAAGAQSAQGARPDQEDEYIILTPGGSPNEIGDSI AFFAVFDGHGTGIVSNHAKEHIPLLLFESDEFRSGNYERAMQAAIDKEDELLLQGFRE GQNFFATSGSTASLALVDMKNGVLVVGNIGDSHILMAERDPENGQVKSIERLTTSHKP ESADEKARIEKAGGHVHSHHDISRIGSLNMSRALGDLQYKTPLISAAAAPKTEGQKFA CAPDPQNVSSQDGAQAGTGRDDFITVEMSFRRIDLHKEKQYLLALTTDGVTNALDDGK IMNGIAEMFNTGSKADEVARKVVDQAASTEYADNATCVTVFLNGTEARLA ANIA_02473 MRLSYRIGAAALLVTLVFLVGRLFNFGSQVPRPRTPWVFNVGSR PDPTELASWKSRWSTAESGVNPQNYDSTPIIVPNDRIIVMAKLAAEDTNWVSADLTDW RNYIYVVDDPNAPRHTPMNKGREALAYLQYIVEHYDDLPSTMVFIHSHRDGWPGAWHT DTMDYSNVESVRSLQIDFVQRNGYANLRCQETPGCPDEIRPFRDPPRPGKTAEKYYAD AWKALFGNTNVPEVVAAPCCSQFAVSRDQVLKRPLSDYQRYYNWVLTNDLPDDITSRI MEYTWHIIFGQEAVYCPDVFQCYQDVYGDPSFW ANIA_02474 MSSSLEAKIVVLGAQGVGKTSLVQRYVKNSFNASATTSTVGASF VTKRVLDSASDTLVRLQIWDTAGQERFRSISRLYYRGANACLLCYDITDESSFNEMTG WLHELKRNLTSDDEPIVIHVVGTKSDIVADDPGRRRVPFERTIAYIAEQLYPSRASTP PPTAAPSAASGFARTSSATLGMGMGVDSKRSSGFWGQDIGWDCCHEISAKDGEGVEEV FRVIARKLVEQRNRRSMEAGGVLSPGGPFSPLDGGPSSAGPGAGGVEGGGSFRLGMGD KRRSWLMFPPSSAGDDGDEAVEVVQRRGRCC ANIA_02475 MSGIISDVAFNDVFTATKDDATMQALVTAVYELGCLAGAVYALM FGDRMGRRWMILSGAAVMILGVIIQVTSFPGHIPLLQFFIGRVITGIGNGMNTSTIPT YQAECSKTSNRGLLICIEGGIIAIGTAIAYWIDFGSHYGPPDLVWRFPIAFQIIFGII IVVGMWFLPDSPRHLIAKGRIEEGEYVLAALNGCEVHDHETQIQKQLTLDSLRASGAL GKKTSFRDLLTGGPSQHLRRMLVGSSSQVFQQLGGCNAVIYYLPVLLKSNLHQDNDQA LLIGGINMIVYAVFATFSWFFIERIGRRKLFLGGSMVQTTAMIITFACLIPGDEQSSK GAVFGLFLYMAAFGATWLPLPWLYPAELSPVRTRAKANAVSTCNNWLFNFAVVMFTPP MVAAIGWGTYLFFAAWNAFFIPVIWFFYPETANRSLEEIDLIFAKGYHEKISYVRASH ELPKLSDDEIEAEAAKYQYSPETEAEVEKGHVAHPGSTSE ANIA_02476 MSSPFHRRPFPDYLFASPLAAFLYPLHHLLSQLRGPPRLPPPDA RPIRVVCISDTHTLELADVPDGDLLIHAGDLCNDGSAKEIQAAVDWLRKLPHPQKVVI CGNHDSYFDIRSRRFEDRDQSLATVSSSTASLRSVDDFDFPHCIDWGDIHYLQHSSVT VTFPPLPQTPSSSSALLASRPRSLTIYGAPQIPAIVPFGPEHAFAYPAHHDAWSGTIP PNTDILVTHTPPQSHLDLSPVFSTGCPFLLSEAWRIRPALHVFGHVHAAYGREPVYWD EAQKAWERICSSRRPRARKSRFSSLFGLLRDLLDVPGWVDAAQVLAYGVLGVIWAKVW GGENRGGWMVNAACMYRDSGRLGNKPQVVVL ANIA_02477 MGPSTMSPNCRHTVQIKTTLTEHVPFGRYGVLGSTVQQITILRQ AHEGPKLAKLRVQLGRMTTFKVLISFISIAGPLGVGWFFLDSPLSDLLLPKPKLGFDQ IIEMASSALSGSHNNFYADYVIQYNYANTEPTKASEELELLLRKLWEVGLQAEVRPGE DSNLLVFVRASRKKSLRRAIYQSRIRDWLHGVRNSEPEDESSAEPQTESERLRIINHM ITLPHNVGGAGITPKHGHWKNVLAVFPLHDEEKNREYLADWSKKTFLSEEDLDQIRGK FGESVGFYFAFLQSYFKFLLFPAVFGFSCWLLLGSFSIIYTVVNCLWGVIFIEYWKRQ EEDLSCRWQTKGVSVVRSKRREFKPEREVYDQVTGETRGVFPATTRLQRQLLQVPFAL VALVALGAIIATCFAIEIFISEVYTGPLKSYLVFIPTILLSALIPTMSGVLVSIATKL NDYENYETQTAYDTALTQKIFVINFITSYFPILLTAFVYIPFASLIVPHLDVFHLTVR PFVSKEKATTAHENFTINPDRLRKQVIYFTVTAQVVGFAMETIVPFLKQRFAREYKEY KKKKYGRVNSGLVSETQKETQHSYDDHEDEVQFLTRVRKEADLDDYNVTDDLREMCIQ FGYLALFSPVWPLVPVSFLVNNWVELRSDFFKIIVECKRPTPIRVDTIGPWLDTLGFL SWVGSITSAALVYMFNTSTNGPNGEPSAIKGWALLLTIFFAEHLYLIVRYVVQATIAK FEPHNVRREKTEQYMIRKRYLEATLGARSSDEEEEVTAKDESMELSDLTRKSLEDDTR AWSKHNTVAEERFWMRQKGWRESAQVAASIIESQAKPDMKKQQ ANIA_02478 MASFPNNIAISTPCLGQNPAHKLDDKIRAAAQQGFQGLEIVYAD LNGYSRAHSLPILTGAKQIRQLADDLGVVLISLAPLENFEGAKTPLKERLAVAAHWLD LARVLGASYLQIPSQYDADSIGDEAVIVSEMQQLADLASSSSPVVSIAYEPLSWGVWY STWDDALRLTQLVDRDNFGLCLDTFHEITKFWANPFLETGVCPDGAEELAASLRRFVE QAPISKIFYVQLSDAERFNPPFSKSHPWYIEGEPAEFTWSKHARPFPLEREFGGYTPV TDVVRAWIVDSGFKGWVSMEIFDRRMRDEAYAIDTAARRAWKSWEKVQAEFKRQKA ANIA_02479 MSEQPTIRLATAEDVPLILQFIRELADYEKALQEVEATEKSLLA TLSFPDDTPKRGSVYTALITPPATPENPSPKPVGMALFFYNYSTWRAAPGIYLEDLYV QPAARGKGYGFKLLKWLAAKVLEIRGRRLEWSVLTWNEPSIKFYKQVGAKAMDEWLKM MVEGEALERLAEGAL ANIA_02480 MFQRLREAIDSRIAEEQARQRSSQESLARSNSARRPPGRNLSPS RRPSRPRRNTGTPVRGPDPTEFEQEFAIGDDDTSSRSATPRPESTGIPENTPGEGAGQ AEGTASAAPEKDSMPGTETNQPPELPSEVKAKLRRLNKMESRYQELLKAYRAAHSRVL SIEPFEAALRENTPLTSIADPKALTEYFNQISLKGDLVAEELKRVTTERDEFKNKLET AVKSEKAAREELDRLKQTASGEEQSNDSKDATSKPEQSHKEIKQEAEEFFSFDNEIPR LESELKEKQEEVESLKAQTETLKRDLSVARESTEGMVHNLESATRELAELRDFKDKQE AEMKNLKISKQSEIDEIKSKLEASETAVAETNAEVEKLSAELKQKAAEIEQLQAQASK PEDADQQAELAIKLEQVKQEKEANEKRLGVLQGLVDTLRTQLKETQDTMSGLKANMDQ KTEDFEKLKKVIDFLDGNLEDNVKWQESKEKVANGQEVNFEELQQTLAPAYGSEAANE KLETTPQPANATAATGAGKKKSKKKKKGGKAGEDNSKLTETATPETAPAVSQPAESSS ALAELEKKIADLEQQLREKEQAIERLDSRLKGEEDLKEEIESLRDDLLNIGQEHVEAK DKIKELVAEKAALEGTISKLEAEITDLRSNNAAKSADSEKAHNDLKDEYESLKVKFTT IETELSAAQQLATTRFKDITDLRESIQKIQPELKKLRGESAELRSTKDELKSKTAELR TLESKHDDLQAEVKALKTSISDRETEVKTLNQKIKQELDSRLKAEESLTVAQSDLRYI ESRKQEAIEGKQKVLSELSNVQDELKTARARLREYEQQTSQLNKELEGLRDEIQLKTA QHSSAQSLMNSMRDQASELGMQMKEARERCESLEEELADAHRLLSERTREGETMRRLL NDIEGRAEAKARDFKERMEAAIEERDRAEDEASAQGRRRARELEDLKAKLRDLEKALR SAEEDKEELEHSQKDWKRKRDHLEAQAETAVQELHDVQQAMARLREALDESEKNVRDL EKEKAELRRSVEQTNSRLEKIRKSNMLSEDSRFGTNPQSSRSSIDSGSRKGVTSPVPK ARSPSTQRSDTPTGGIDYIYLKNVLLQFLEQKDKNYQKQLIPVLGMLLHFDRTDEQKW MAAITSK ANIA_11343 MVVGVGGDNNGGGGRWLEKLGKPETFALMAGSEVDKEVAATEGM SGRESDACRESRSGRSGEGTDAPLSAILTSKLGRRPR ANIA_02481 MSSLLIVLGLVSTVSWFIRYRFLNMYSRLPPEPQRKEPQLDLFP DVQEGDSKPGLANYLDEFLSAIKVFGYLERPVFHELTRTMQTRKLIAGETLQLEEEKG FCLVVDGLVQIFVKSMRSGKHGLNGEVIEGSSDEDDQARDGKQGYQLLTEVKNGASMS SLFSILSLFTEDIRLRASEGSSSSMSSVQPSPARTTPAPFLDSPGEMLNGSPMVLPRD SEVDSSAINGEAEPLPPVPPLHLGESRASSYHPNGQSTASERVRGNRRKSVHPDIVAR AMVDTTIAIIPASAFRRLTRLYPRATAHIVQVILTRLQRVTFATAHSYLGLTNEVLGI EKQMTKFTTYDLPNDIRGAALDRLKDKFLKEKDRLGSEEVTRGIALHNPYAGRRRRSM SFVRKEAALKAKMPLPKRPNSLINPERPFHGYDTAGVSPGDLLSTIQLSRFGPRHDQF ATTPRLHSPLTEKERSPLRRSSLQRKDSVDEDALFRESILDCIMKGIGLTPSSHNALR KGSHSGELSPKLVSYDSRRQKAVFSNNAFGFIDAYEGSGDGDTESMMSMSVTSAGGTS PIVYLREDLLNDIEIVYFPKGAVLVEQGERHPGLYYVIDGFLDVGVQVNEKGDDLVGA SRPGHAQPDEELFPTLKRTQTATSRGATAAAPINESKRKKPSRKSLYMIKPGGMQGYV GAMASYRSYTDVVAKTDVYVGFLPRASLERLAERYPIALLTLAKRLTGLLPRLLLHID FALEWVQVNAGQVIYHQGDESDAIYITLNGRLRSVHEGKGGKMTVVGEHGQGESVGEL EVMTESTRPATLHAIRDTELAKFPRSLFNSLAQEHTGITIQVSKLIAQRMRDLVENPM TEQGEPGNTGSVKTATSTLNLRTVGILPITTGVPVVEFGNRLLSALQQIGVTDGVTSL NQAAILNHLGRHAFSRMGKLKLSQYLADLEEKYGMVLYIADTNVNSPWTQTCISQADC ILLVGLAESSPNVGEYERFLLGMKTTARKELVLLHADRYCPPGLTRKWLKNRVWINGG HHHIQMAFRLTAEPSHPQTKRLGTVLKQRVQILQAEIQKYTSRRIRQTPIYSAQTPFK GDFHRLARRLCGRAVGLVLGGGGARGIAHVGVIKALEEAGIPVDIVGGTSIGAFIGGL YARDADVVPMYGRAKKFAGRMGSIWRFALDLTYPSVSYTTGHEFNRGIFKTFGDSQIE DFWLEFYCNTTNISRSRAEYHSSGYVWRYVRASMSLAGLLPPICDEGSMLLDGGYIDN LTVAHMKTLGADVIFAIDVGSIDDNTPQGYGDSLSGMWSVINRWNPFSSIPNPPTLSE IQARLAYVSSIDNLERAKNIPGCLYMRPPIDRYGTLEFGNFDEIYQVGYAYGKEYLQK LKSQGSLPLPEENEEKKKLQRTLAPRRASI ANIA_02482 MMNGTESIESQWLAQLAAMRQAIADLNLTKNPANAQLTYGSDLD LDLDENSSSAGTVDDVWDLISFDEESTSEGIYEIDDLLSPPVSEQYDQTWLQQRCQLL ASQQPGVELNEVAQQIIAALATDSGDDELQMSLAEIVGFDDLDFVIELIAHRKEILRS LDAGPGKTQAQTDGLASGQLQTRAEREQALRRQDFEHKNAALLPAQTREGPKYPHVFK THDSRNILALNGKSYGLPLGSRQIDEPKYTEVEVPASKVGTIGQQQKLVPISSMDGLC QGTFKGYKTLNRMQSLLYDVAYKTSENMLICAPTGAGKTDAAMLTILNAVGKNTVPNP AEQPGATEFSVLVDDFKIVYVAPMKALAAEVTEKLGKRLAWLGIKVRELTGDMQLTKR EIVETQIIVTTPEKWDVVTRKSTGDTELVQKVRLLIIDEVHMLHDERGAVIESLVART QRQVESTQSLIRIVGLSATLPNYVDVADFLKVNKMAGLFFFDSSFRPVPLEQHFIGVK GKPGSKQSRDNIDTVTFEKVRDMLERGHQVMVFVHSRKDTVLTARMLKQMAVQDGCEA LFSCHEHENYSNALRDMKHARARELRDLFASGFGTHHAGMSRSDRNLMEPTLAWGVNL PAAAVVIKGTQLYNPQEGKFVDLGILDVLQIFGRAGRPQFQDTGIGFICTTHDKLNHY LSAVTSQQPIESRFSSRLVDNLNAEIALGTVTSISEGVQWLGYSYLFVRMKREPRNYG IDYTELIDDPGLVLRRRQLIIQAALVLQKSQMIIYNEKTEELRAKDVGRIASQYYVLQ TSIEIFNELMRPRSGEADVLRMISMSGEFDNIQSRENESKELTRLREEAVQTEVEGGN DSAQAKTNILLQSYISRAKIEDFALVSDTGYVAQNAARICRALFMIALNRRWGYQCQV LLSMCKSIEKQMWPFDHPFRQFDLPQPILRNLEEKLPTSSVESMRDMETAELGQLVHN HKMGKTLAKLLDNFPTLSVEAEIAPLNRDVLRVRLMLYPEFTWNDRHHGASESYWIWV ENSETSEIYHHEYFILSRKKLYDDHELNFTIPLSDPLPNQIYVRAISDRWLGAETVTP ISFQHLIRPDTESVYTDLLELQPLPISALKNPILEELYGQRFQYFNPMQTQLFHVLYH TAANVLLGSPTGSGKTVAAELAMWWAFRERPGSKVVYIAPMKALVRERVMDWGRRLTA PMGLKLVELTGDNTPDTRTIRDADIIITTPEKWDGISRSWQTRDYVRKVSLVIIDEIH LLGGDRGPILEIIVSRMNYIASQSKGSVRLMGMSTACANASDLANWLGVKEGLYNFRH SVRPVPLEIYIDGFPEQRGFCPLMQSMNRPTFLAIKNHSPEKPVIVFVASRRQTRLTA KDLINFCGMEDNPRRFVRMSEDDLQLNLERVKDDALREALSFGIGLHHAGLVESDRQL AEELFANNKIQILVATSTLAWGVNLPAHLVVVKGTQFFDAKTEGYKDMDLTDVLQMLG RAGRPQFDSSGIARIFTQDSKKAFYKHFLHTGFPVESTLHKVLDNHLGAEVSAGTIAT KQDALDYLTWTFFFRRLHKNPSYYGLEISADQHNSLEAQTLAQDFMIDLVDKSLSELS ESSCIIFDSATGEVDPTPFGKIMSYYYLAHKTIRYLLSHAKPAPSFHDVLSWMCSATE FDELPVRHNEDLINAELSRNLPLAVDPMGDLPMWDPHVKAFLLLQAYMSRIDLPISDY VGDQTSVLDQSIRIIQASIDAMAELGYTAACRTFITLLQCIKSARWPTDHPLSILPGV DPSTNTDKDSKTRLPSSLTSLTSLPHGAITTLPGKLHLPASLHSQFTKATSYLPRLAV SVPLSSERGLSVSLTRKNHPSNPDFRIYAPRFPKPQTEGFFLLVCASKSDGQDGDLLA LKRVSWPTGNNNDHNNSNGKGLNKNKKATNALGHGHAHGSVSTTSTVKFPRDIPVPQR VNVRVISDSYPGMEWVVSGVEVVAEPGGSGNGKRKGIENEMQRQVVPESGVPAKEQF ANIA_02483 MAKGILGKSRSPARAPGISNPSLNYTDALPRNDLCEIQAGVSYS RPIHQVFKEGQHPGMSDKHNRRKKPDSQVPGFDFQLTSSPVEAEALDTHLGVDESMIG IALGSPRLLEQYNTASQPQRIPPPTPPDEERPNSSLQRKPSKWKKIGGLFKAKNAVAH NANKPFYQVQAPNDGPSQGSTHSIDYKPRRRAGTKTAPIENTELWPCLASENETPAHQ QGSKPNPGSFLQVEIPQVEMERYSVMFGGLLNNDRPSLLARRSKTLDNLTIPDKEATK VLGTQKASEPSDQLRKALTAPRNQSQEALPGSNGSTPDICPKTQSHRAQASITSFLSA TSIGSDDEPLLIQKFERIRTYAGMKEPSWGVSHSNKAPVTMTVPSSETSTKAKNLTIR TRELHSSSDSNSVSSTETFSDTPTVTSASSPILSPLSTTKSPPRDKNVATARTISTLP PPRTTSRAGDNAPIPTIEVSVARSVSVSKGKKQVLVPVRKRTPHLNSSNERLVLRRMG IARASRRMRVLKLFKSALPCLFY ANIA_02484 MGAHSAVWQQYVDSSLMGSGQFDKAAILSPDFSGVEASSPGFTI SPQEIQGIGSAFGDSTWAMQNGVTIGGEKFLAIKADDQSVYGKKGKEGVVIVRTPSCI MIGHHTEAVQTTNAAAAIEKVGDYLRGGK ANIA_10313 MFFDRSLYILFLIGLTLIIGLEKTIAFFSRRQKLKGTAAFTLGI LLILFRWPLTGFLIELYGLFILFGDFLVTIGQFAANIPVVGPVIQRVLETLAGGRRNA ELPV ANIA_02485 MAPPSAAESEAHQRLLDRLDIAAVPRPFRSQTWKPSQRRNKNVK QLLSDSSRKEASSIATQVNSGATTPGAAASTDGSQTPAEGNQRTANIAQAAHNLQTLV LEKNARAAYSSGPSVTYTNIESAPSLHPSQQRPYCDITGLPASYTDPKTRLRYHDKEV FGVIRTLGQGVPESYLELRAAHVVLK ANIA_02486 MLYLKQGLCLTSNGHVGLITSLIHLLSSVPAIYDIVSSCQPMDW STTSKALFSDPKKFFKSLQNLPFARGLPPSRISQQPGHASILKKAIVCDGIHESSFKN KGAELKKDLAYIWENEWLHEVKSDDDIHFVFASQIYHWYCQCLLSEEHMDTELEYDSP LQLALDAIRDFQPRQLSDAPRSLTGNISPLEDQYQKEFHRCLFPLLDGHVIMSPEYVI KAGTNGGTIDFLVAQKKWGLELLRVRDRISQHVARFEPCGQYSTMIQNEKMEQYAVLD FTDKLPQKFLPEFRGSLYHVVFSENF ANIA_11344 MENPHEQQQALLLSRIIENVQKLNEAVMEMNQRLQAANIQTMDV ELVAQMFKNYQSNVLFHLEVIATDNLKEPS ANIA_02487 MAITSIQSILPARFSINSSEQGAAKPPPKIFNVYERPFKGYQPP QPDGYEQSRSRPDTSAIVIDNGSHLVKAGWSFDKNPRFILPPVMSRYRDRKLNKACQF IGHDAYVDATTRGQLRYAFDPGTSVVGNWDVMEGVLDYLFIKLGVDGANGGVDRPIVM TEPIANPNYPRKSEKTACEVKLVLTSIVMNEILFECYSAPSVAYGIDSLFSYRYNRGT DGLIVDSSHTSTHVIPVLNSKALLSNCSRLNFGGMHASEYLLKLMRLKYPTFPGKMTE HQMEDLMHNHCYVSKDYDRELSGYLDWTGLEDRDHVIQYPFTEHIVPEKTEEELARIA ERKKESGRRLQEQAAKMRLEKLMKKEQELEYYKDLQRGLQSETKKEKTRILDAEDLKD EAHLERLIRDLERSIKRSRNKDLGNEEQEEASEEMSFPLLDVPDEELDEAGLKEKRHQ RLMKSNVDARQRAKEEKEREQARKDEELRLDREKRENDPEGWVAERRAQRQNLLQRIK ERDRMKADLGNRKSLASQMRMKTLANLAADGPKKRRRGGDDDDFGANDEDWGVYRTVA TGEQSDDDEEEDLSGMLDSVERELLEYDPEFTENHTLAAQSDWTKSLIHVFLRGPWPF DPESQREAHQIHLNVERIRVPEVVFKPSIAGIDQAGIVEIAADIVNQRFSNPEEQARL LRDVFLTGGNTLFQNFDERFRNDFRACLPLEAQLTVRRASDPILDAWKGAAQWASGSG LAKSSISREEYLEKGSEYLKEHDLGNVTSW ANIA_02488 MPRQALARPVMLLIRALQWASAVIVMGITSYFISKGPRGQHIIY QEVISVLSVVFFLPAFLSPFMPNMLSRFVFFIDVVFSYLWLTAFIFAAQDYNWHNCYL NAPPYMNCSRKKANESFIFLAFIFTFFGMLLELYSLWSYRQEHANPVVEKHGHGATGT GNAPHDAPATAVP ANIA_02489 MLGRNFPYFNSVGGFYSRENSRRQPGTGYTSKVRVRDKYHIVGF ISSGTYGRVYKAVGRNGQGGEFAIKKSESRFKPDKEGDIIQYTGLSQSAIREMALCSE LDHANVVQLAEIILEDKCIFMLLNGLLYLHTNWVLHRDLKPANILVTSSGAIRIGDLG LARLFYKPLNSLFSGDKVVVTIWYRAPELLMGSRHYTPAVDLWAVGCIFAELLSLRPI FKGEEAKMDSKKTVPFQRNQMMKIIDIMGLPHRDNWPGIVHMPEYSQLQSLAMSRAPN HISRTSNLGSWYQNCLKNGGYSVNSSVGTPGDDGFDLLSRLLDYDPTSRITAKEALEH PYFKNGGPISANCFEGFEGKYPHRRITHDDNDIRSGSLPGTKRSGLPDDSLMSRAAKR MKE ANIA_02490 MSNEETVASPPVAPTSDGQHTVSRRQSCSSENSQTAKEFIESQM RLEADAREVLPYSFDSCTQHLGPLRQTLYACLTCNPPPPTPDSPYTAAGVCYSCSISC HGEHTLVELFNKRNFVCDCGTTRITSSTPCTLRSDPNTGTKGVRSEKPHPGNRYNRNF QNKFCGCGEDYNAHEEKGTMFQCLGLGTTETGGCGEDWWHPECLIGLPRDWYKNSIRK EKLDNDDSNEQAEDEDEPPLPPQFPAEDDFETFICYKCVEANPWLKQYAGTPGFLPPV FKEGGLQKTVKKEDSPAPKSLHIQSDDQPSDNPNKRKAEDDAEDGDGSGPSSKRPREE NQSQTTAPTSETKSEQEQQEQSQKPKHAFLPQTTPTATFSLFLKEDFRGHFCRCPTCY PNLAPHPQLREEEETYEPPLSEDGDGDRSTGTGSLLDRGEAALSNIDRVRAIEGAMVY NHLREKVKEFLKPFAESGTAVGADDIKAYFEKLRGDEQQIKDAAAAGKASADGGGNED DKDESGDGVGRREQSGV ANIA_02491 MSSPLRQSTSAANRGLGNLNRRKRSREPDDDASSVVPPSSPPPS SPPMLPFDEDEDEPDEEAELDIDDIEEMAEDEDGIDLFGDTFERDYRGGKDDRYRGRY IDDDEQEEIDIGARRELEARLDRRDRELARRRRMPAAFLQDDEDGDIDLTAQPRRRRH AYDEDRDDIEMADDGLEELSLEELVDIKSSNITDWVTQPQVLRSIYREFKAFLTEFTD PTGSSVYGNKIKTLGEVNSASLEVSYTHLSSTKAVLGYFLANEPTEVLKVFDQVALDV TLFHYPQYHDIHNEIHVRITDLPIVYTLRQLRQSHLNCLVRVSGVVTRRTGVFPQLKY VMFICQKCNMTLGPYQQESSSEVKVTMCQNCQSRGPFTVSSEKTVYRNYQKLTLQESP GSVPAGRLPRQREVILLADLIDSAKPGDEIEITGIYRNSYDAQLNNKNGFPVFATIIE ANHVIKSHDQQAGFQLTEEDEREIRALSRDPDIVEKIIRSIAPSIYGHQDVKTAVALS LFGGVRKETQGKMSIRGDINVLLLGDPGTAKSQILKYVEKTAHRAVFATGQGASAVGL TASVRRDPLTSEWTLEGGALVLADRGTCLIDEFDKMNDQDRTSIHEAMEQQTISISKA GIVTTLQARCAVVAAANPIGGRYNSSAPFSENVQLTEPILSRFDILCVVRDLVDPSED ERLASFVIESHHRANPSKPLRDEHGNLINADGERIDEEGYRIDDDGIRLPLRPDEIEA RNAARDNDDEKEGEIPQELLRKYILYAREHCRPKLYQIDQDKVARLFADMRRESLATG AYPITVRHLEAIMRIAEAFCKMRLSEYCSAQDIDRAIAVTVDSFIGSQKVSAKRALSR AFAKYTLSRPKPQSKRRAGIPAPVSFERRPQAAR ANIA_02492 MSAPQQTTLSYYPPGVSLGPQASPSPSRQQFPVNLGSNNPFRTR TLSPSSSITSGGRPERPKSTNPFLDDTEPISPQSAPSNSLIDQQDMTQNTRDLFENLS LNPAPKTNGMRPAPPRPEKPSQNGPLKSRPPRPTRERSEGASDDPFNIFADPPSRPKP SGSGSRSRDRERRPRRNSESSIMERRPKLIDDDDERRRRERRRREREREGRHKDGKSS SRRGNYQLDIIDKLDVTSIYGTGMFHHDGPFDACNPNRNRKGQRAAPMQAFPENSTNM ALGGAGPNNDKIDLDRFHGRMEEGYNDFASTGIDRSKTEGGSFDPTSRIEPIHGAVTM GLGTSTFLDGAPASRAAIRENQNEQNALNGSGGLQRKKSLAQRIRGGINRPNPRVTSP QAAYGSPHASTSPRNEKNPFFQDYDDAWDKKGARINSEEPRAVPETGRVRSSSSPKQT VSSLERRHTDDRSNGVDENKNAGGGGFISRMKSLRKPPQPKRRVTDD ANIA_02493 MKPTSFAGVALFASGAFGQYFQRLGGCPSLGCVFPPDQADFLPG QHFDIRLEVHSPVNGSEARDGVPDTNFKFTVGKKGQEGVPVTEFFDIEDAELENWQFS WYEDLFAQDAETPSVVNVTSKAYRNLALYEPGEYEAILTYYGKEQTVANWLVRDIPKK RRAKNVVLFVGDGMTTNMITAARLIAHKSINGKYQTKLQLDKFPVLGHQMTHSMDSFI TDSANSAAALYGGHKTTVNCLGVYADSSEDPFDDPKFELLPEIFRRQHNGGIGIVSTA FLADATPGAMTSHTRLRSEYDHVISTFLEGITNHTWTEFDGPDVLFGAGAENFLTSED APRDYYKLFTEKGYSLSLNKTSLESVSNEDRALGVFSLSNLPTWLDRNVYQDNLKELA NHPDGSGRDAEDLPGLKDMTVKAIDILDTRHSKEGWFLMAEAASIDKQMHSLDYDRSL GELLELDDTVRATIERLDKLGILEDTLVLVTADHGHGFDVTGSVDTVYLEQQEDDRDK RRAVGVYQNSGLSQYTIGGSNALRYSEGVHFPARWDPRYAIHAGTVAFPDHRENYKVH KDGPRTPAEEGGDGTVYASYKDAVSGFLINGTLALDADQGVHSLTDVPVYARGPCQEL FGGTINSIDIFFNIAECLGLAETKRGKSNGGGGHRNSKQ ANIA_02494 MAQGIIENHLSKRSALLEQEKTSRHDYAFKQSMSPVAKTAAKVL ADIRKKELKSIWSPTTTSNEKRDLKDVTFPGMSFTLSRDRMQKTALWKIVSAMPKGCL LHAHMEAMIDIDWMIEQAIELPGYYISSPVPLCPRTGSAGKWSEPFQFSYRPRLGSGA NARNGSEPEISIWQAGYTPGRLVPVAAAAKMYPGGVEAFKAWAVSRMTINEEEALEHH HGIDDVWDKFRSCFLAIGGLFFTEPIFRRCIPRLLQQLHDDGIKYVELRLAPNPFYRE GSNEAEPDFLYFLQCFQEEVDAYMSSPTGRGFWGAKIIWTAIRSFPDDLIKESMIHCL NSKKAYPSVITGFDFVGQEDAGRPLVDLLPLCKWFQQQCADEQLQIPFFLHAGECLGD GNDTDSNLVDAILLNSRRIGHAFSLYKHPLLIDLVKDKNILIEMCPISHEVLRLTSNI LMHPMPALQARGVAVSLNNDDPAVLGHGKNGLSHDFYQVTAAFENTGLAGLATMAEDS IRWAAFEDETDSEWLQGIDGKGNGLKASRLAEWRTAFDEWCAWVVQEFGAESQI ANIA_02495 MAQPQNDQAQPPPATLTNPRFTLELEFVSSLANPYYLSHLAVNY PSLLGISKSGNENEVNDDNSDPDAEAFAAYLAYLYSYWKTPEYSQFLTHPGATLRALR LLQEEKFRRDIIRPQVIERLAGVDLNGEQSAAEAGEQNTEQNKGDRGNVENQHGKT ANIA_02496 MPMETVRQTCRPKHQILVLKCYPQYQKGVQEVKPNSSELSYLLY YVSTRRSKLPKVSAFLEKRAARDVWRRKIGNVQVTLQILSALIEKVPRDLPIFARSVL TIIETVLRSRDISMVEDSIATFETFCRHQDMAALSAEQDFANQYRDVIQIYAGFAHEE QQHPSKISSLPQTIRWKNAGLRAIKGAVSSEAGLAADGGDLLRIILPVIMENLYNGED SFIESLEHKLHEAERNVPDPASRRRYSAVTVQTVDTAEGDPALAAQNIADVDRKAELD MRLLALRCLDQVIVNGSSRGQIRLTTRLVLDFILRKGQSNNTYLSLDTDENNWATSLI EVVAKWCPVQARFIISSAAMEVLFEIPPKEDTLDEAFTVIYIIDYLLKSSVNMIGLSV IDVLLGLMRYMAMLVSPASAKGTDEQPDSSEKPGYDDGTNLSQKKKDLLVLLQKCIGD LTTHIYYGDQVVDMLRAILTRIKPPHGQDQVSAVIPEQLDGHLSEANPTSFFSTSAKV CALRAIKNILLVANSQRPMTSAGVESRNPVGIHVWEGTHWLLQDPQKEVRYAYVDALL YWLKLETNKNDLKLKDRSTTTALISARRDFSNTSERAAKRATGTHHREKALVVAQSNF LRLLHLTIYDVALQSSTQEKEIRILHLLLASLVENLGINAARFGLPMILKLQDDMTTL ENSNTQAAKVNIGSLVHGYLWVLSETFGLDTHRAGQEIYTEIEKRKSRSIWLDSIGFS LESFESIIKDDRHALSHNTRETDKMTLFKDGVEEFVRRIEESYNRTVPAHDPPTSPGR NLGKPVIGGYLPPANQQLSDLLPPVVREQMLSPWSKQSALEAAEREKAEALSLNGSRT GTLPVRGHAHANGTGSSISTNSPSTAHVATAGLQTSRRMSMPDKTVTPNHNSSRDSPV RVNELRRVLSVQAPDRDRRLSPLRGRLDASNGSIISSSSESMVSGFSNSEFEGDGGSI LLPTRDGQEPLDGDGMETPRPLSNGNNYGYLQTAFRASSSSIPPVPPIPHGISIPGGF PNDSQRSLPTPDRPSTASSRKQSLINGKFGIAAPADDKTLHRQKSRTGVGLVNGAEVP EVAINGKSTGTHSYGLQEVEETSQRRDVQKLLEGVLSSSEPASTLQPRVASNYSGRRS VTGGIGRPPY ANIA_02497 MRPLAYTSLVALPLLTAAFPASPASSEFTPLPLVIWHGLGDDYT RSGLRQVASLAESTNPGTYVHIIHIGDSASSDRQATFLGNVTEQLSTVCAQLAAEPIL STAPAINALGFSQGGQFLRAYVERCNNPPVRNLVTFGSQHNGIFEFQACSWGDFVCRG AEALLRVGRWSNLVQSRFVPAQYFRDPAELGEYLENSNFLADVNNERVLKNATYKKNL STLNRFAMFMFEDDTIVHPKESSWFAEVNTTTGEVTPLRERDIYKEDWLGLRVLDEKG ALDFKTLSGEHMQLAEEDLVEVFEKYFGPVEVDLPPAQFHLVNQEGY ANIA_11345 MSNRVRGAGKTDRTSLNRWLRSLTPEPVFNDDQRDDLPGFKTLS VPSGRVLTIDPDVRAK ANIA_11346 MGSGRVNPEPVSSTTSSPPGPACPVIPFILWLKGHPRQRAELQE RRLKSHGQDVTVSEQTPRCKPITHQTGGSKVEQKEWIQEALLKRRIKVCLP ANIA_02498 MASGAKTDSEHLSLSQTMLHMDHSQSRHIQGHLASRSQDDELLS QDSFSLCSSTAQSDKPWSMNDVDCLDSNSIASSKPDSPAVQMLSFSLSQHALLHSGVG ASDIMYSAGSEFHGLPDVGEQAEMDFSKQDFNPYNSLFDFSAFENDVNGQNGTHPSCT PDHGSPAGDNWNPIVSDSRYNQGSMEHFSGNVFNMPVSPPLTEASNDIAVTSSCSQSG YPAFMSHEDAMLKDITTTPVGTHGINLGDPIFPLTPPLNEQDPNRTIRPSKGARRPAL QVSPTRPQVKQDAEFFPPLPVKEPLRSRSKDGSESRNPRDHPYYSLPPHSDSKYYCPF ATGDKPCNHPPTTQKCAYHKYLDSHLKPYRCRVPSCMDAQLHFSSNACLFRHEREAHG LHGHGDNPHLCLFEGCDRSIPGYGFPRRWNLFDHMRRVHDYASSERPSSPDASPTNQP KKKESTGRKRRVPGVSGAQTMKRTRSTQSQTNPLKAAVQQTSVHNGQNIQAAERNYYN CHRRLLEQLNNITPQDSAMHDKVNASLQELITLGITYRQAQASQAVAQIGNGIPA ANIA_02499 MPLIVLTGYPCSGLSYRAQQLATRLEEIQSQLVANGIIPPSKSK YKIHIVSTHDNVNYPRTVYDTARTEKEARGVAYTKAKRMLGRDSFVILDGMNYIKGYR YQLWCEAKALGTTCCVVCSRFSQFRYEVHVGTPVDQCIAINEARLRKKNASRPDGSAK ESRDENPKPATNPSSSPDSTSSTESKDESDPYPPDLLNNLIFRYEEPSMNSRWDKPLF TVPYTDAEPPIAEIWTALTGIPHPETQEKENTISELAASLTSTTISPSSAASTTTTTP GASRGGLSSRPRVAIKPHQATVAPATADSSALYNMEKRTSAIVQAIRSFTLANPSAKA ALASSKTQQASALDNEDFTRQEEGICIPVPDSSTPVFVPAHIASGSVTDDLAAAGGIL ALPRLQRLRRQWISLNRAYIAGPHAAVKGGDNPKVDRAGPSSRAQKAHEVQINCTPTA SHKKYQKYFHKNQSKNN ANIA_02500 MADDFDTGDMFKDPEGFYPPEKEPTFAEHRMLSGQLFPNWLMVF GTNISMEGNMLWNAGRISSEYIETHAPTLIAGKDVLEIGAAAGVPSIVSAIMGARTTV MTDYPDPDLVDNMRQNADASASMIPTDPPSSLHVTGYKWGSDVEPLKAYLPEESRADG FDVLIMADVVYSHREHGNLVKTMQETLKRQKDAVALVIFTPYEPWLLPQTERFFPLAE QGGFTVTKVFEKLTEKLLFENDPGDERLRRTVFGYELRWKDELR ANIA_02501 MNNPAGATLTFSSNPQPSTSNTLGTPAAMQMQMQAQSQSQPVTS QQPQQVPVHQDTQTSHPAQYPHQIHGNGGPTATAPFLRDFSLVAEAAKRAQIAIVTRD LEGVSL ANIA_02502 MLPSASSNSSQSSFDSPISQYPPQQHAWFPAPVPSMPRRRELPK FPRFYSTPLDQDHPDSTPLNIYGLEEREPSIAERPRRFLRFSRALDDIKEDFTSQPNP RNTAEAIRARRQSTFSSFFFDNNSNPTLSSVGPASASASVFHSSGASVASGAGSISPD SDSASSAGARPFPPPPPIPESSTQRPTLGRRLSRRLSRLSFSQRRASYRAGSASISQP NLIGSSNQL ANIA_02503 MHPRHTPRQVPTDIRHQITLQLQHPMHPGTLDTHLHPTAHRRFH PAHPRNLSLTRLAYARTQVRHLCQTPIHSSTLFLTLPASPPSGGQPLPPRPPSGFQPF GHGAPSNYHFQYSSCTGRRRALLIGINYFGQPNQLQGCINDVTNVSTFLAERYGYRRE DMVILTDDQQNPKSLPTKANILRAMQWLVNGAVANDSLFIHFSGHGGRTPDLDGDEDD GFDDVIYPVDYRVAGHIVDDEMHDIMVRPLQPGVRLTAVFDSCHSGTALDLPYVYSTQ GILKEPNLAKEAASDLFSAITSYGRGDLSGVAQTAIGFFKKAAIGDSARRRTVRTKTS PADVVMFSGSKDSQTSADTFQDGEARGALSWAFIKVLQRHPHLSYVQLLNLIRAELEG KYTQKPQLSCSHPLGKFPCWVPTRRLHI ANIA_02504 MLSQKPTECRRPAWKAIARPTISSVKESPPGNAARSTRFGFPKS GRYSLSYWLQGVQGDPLLNHRTTPDLPTSADIVIIGSGITGTLAAKHCKGAWAEKSIV VLEARKFCSGATGRNAGHCKPDQWRGFSEYEKKFGTEQALKILDNEQQTWLALVEYVR QNNVDCDLWVGDTLDVPTTPDVAAIARRNFEHFQAAGGKVDHIKVTHDPMEAFKISRI KDAQACYSWSASTLCPWKLTAHIMRDNLQKGVNLQTRTKVTRVVKSSKVLGNWIVKSD RGDIECSQAIHATNAYSSALAPSLRGLIYPQPHMCDRIIPPVAFEESEALTNSYGVLL ANGDLFSINPRNTTEGSAFIGGSNPGQPAFERWLEAHPERGIDDSLQGFRPVTEAVRA FAQSQIIGWKAEFNNDSWSGIIAREYIPHFICSKKLIDG ANIA_02505 MTRNLDSIPYDVFYQVASKLDCHDFIHLSRVNRALHELTNSDSI ARNTVRSDLLHTREGKEADRAKAGYRKAIGRLYDVKESFATAQPYSASILAYGSSFLY AGGSLCYIYNDEIRALDVRGASRVEQVLNLPNVLRRAVPECNPETGMAHISLLNYSNW VVAFLVATPEIPGGWILAVDLQRRSTYGKSGRLRLKVVSLLWDSFGNGDSRDHLYAIS TLVDFEEEEVDWTSYYVWICLDPSLKLSKEGIKTHRTWRRQHREGPINDTWSDLSLRE DEATGQLMILECRREWRDGGSENCRTYYVQPLPAPADVDKQQPPADYYLKTPLPDEPL TKTLDPSSKPNYEPPRKRLRRHYHSEYDGGVEPKPRRDFILAKTKFRSYNISASSYID LVNDPLPRSVGGGGLVPRDRIRVRVVSRKRKCPIDEEGLEEKPGLLFKRELSEPDGRL VENSEERFETRGIHLWPPDDAPQELNHLLCPSKRTGQVHAYADDRSIVYSVNQDDRNS TDQAIVLINFDPTLRLPGLKRLNLGRQSDTPEKAEAVGMERPQASDIGQQDRASMHTP IAGKRASQTLPAVREERAMYLEIARGFWLR ANIA_02506 MRTPENIAAFMYQTAVDISTCRVSCRLASGLAPPNSLYGLMLWM CGSQMGMLIIERFNLRQYAPTMEKTKRFLYRIPCKEMLQTFVARSERPIVFAILQFYY LDCYIGGEVLHALDNTHPEYEIAALIRNTEKASKVVAAYPRVKVISGNLDDTSVIEEE AKKSDIVIRAFVLCFTRLAVILTSKLDAASNKHIASVEAIARALKDRRDGASVLGGPE IDSNAYGELSDRVYDDLGGIQAVRDLIRIYPDRRAVDNFILGLAGSGPRTAIIFPPII FGTGSGPVNQRSIQVPSIAKNALQEKQAVYVGQGLSRWGAIHIADLAQLFVKLVEKAV NGGNDDFWDENGLYFAESGEEAFKNIAGLVAEEIYKLGYTDKPCSVRSITPQECDSLF FAHGAVVLGTNARGRALRARKLLGWEPVKGTLGDYIAETVAAEARTL ANIA_02507 MSVNQDPNGQSGPGLDLAPVAFDAATPDLLAAIGAGTSFAPDTF PAVYPVAPAPPLPQRKQNISEGFANPRGDNLCYRNAALLALLHTPAFRTWAMGTHLRY NPLYPHHPTCKGPTIPLDEVLSPNENKSGCLLCNIYTLFTWYHSGNRDKDCYIYKQVE GTSVTVKGFHNWAMDALWRRYRKTRDGNWATSGSQQDVFELLERLIKALHRLVQHLPA HPIAGPDMGQPMGLFVSRIQDLEQCKNCPRYRNLGAADYYMYVGLPLPPRTTFVPKFQ DMINWAWNQDIISDNFCECGDLEKRAVRKRRLELAPEVLMFQIERTVQNQKSLRAFKL EDEFEVLSSVLPEGQASIKYNVKAVIFHQGPSLTAGHYTVGVREIGTGRWAFLDDDKP PVTYNNLWKLVSSKQQHDPKAFQVYAVIAEKDKGEENAPPSMPVSERNVASLLPLHRF ELDIQIQARHVELGWLSGRARVPLQPGQLPESRNLAIEKMRLEQELSETRRELYELKR LRDCRRGSGLPADQHY ANIA_02508 MSSVAPFALKQASRAYARRLTAAQHALPWRALATPSYRTFVSET KAGNAQVSVDTAIKQEQKDFLKQTGVQPQNAELPASGITGDTAMSPAAGILKQATIMD QGTRPIYLDAQATTPTDPRVLDAMLPYLTGIYGNPHSRTHAYGWESEKAVEQARGYVA NLIGADAKEIIFTSGATESNNMSIKGVARFFGRSGKKKHIITSQTEHKCVLDSCRHLQ DEGFDVTYLPVQSNGLIKLEDLEAAMRPDTALVSIMAVNNEIGVVQPLEEIGKLCRSK KIFFHTDAAQAVGKIPIDVNKMNIDLLSVSSHKIYGPKGIGACYVRRRPRVRLEPIIS GGGQERGLRSGTLAPHLVVGFGEACRVAAQDMEYDSKYISRLSKRLTDGLLAMEHTSL NGDPERRYPGCVNVSFAYVEGESLLMALKDIALSSGSACTSASLEPSYVLRALGSSDE SAHSSIRFGIGRFTTEAEIDYVLKAVQERVHFLRELSPLWELVQEGIDLNTIEWSGH ANIA_02509 MLPPIPIPAEYGISPDTGFLPSEPPLEHLPDPYYAKWEWIVANI QALLLSRRMRRVVDNMPILSTSYLQAEPEWRRAYSILGFILHGYVWGGSTPAERIPPQ LTVPLFEVCDHLDLPPVATYAGLVLWNFKPIFSDEPMDDLDNLACINTITGTLDEQWF YLVSVAIEARGGPAISLVLQAIAAARVGNTAVVIEYLQALAEMIDEIGAVLERMYEHN DPYVFYNKIRPYLAGSKNMADAGLPNGLLYDDGKKPEYRQYGGGSNAQSSLIQFLDIA LGIEHRPTGETRPSSSENGGVAAGPRHGFIQEMRSYMPGPHRKFLEHMGAVANIREYV EARRSNKPLSLAYDACLSMLQSMRTKHIQMVSRYIITPSQKAREKPSRPASLNLATAR HSEKPDGSKLRGTGGTALIPFLKQARNETGEPMIDSWARRLLTTGSVEPSWASLSKLG EQPDGDLKVVGLAGTWTAADSEGGICHCLISCNPGQNFRSYPVPAEGTGLTFEKAMRV IRPTSPYSGADIMYKDPLVCLPYITDPSRPLPLRHNIPGPRPISEASLPVWTPGRSRD CLQFLFQVSSQITREPQTSGFKFGTFAQLTLPTRQTPDAP ANIA_02510 MQMPNHLIIVCCHAIYLGGPSKGRDEEEWLIERFQHGETPTFTE HVKAGIRLLAGDEGALLVFSGGATKRPATELAEGESYLNLARDNEFFGYASSIDSSRV AVEMHATDSYQNVLFSILRFRLHTGRYPDQVTVVTHEFKRERFMSCHFPAVGLDKPGS GNVIGINPPEEVTPLKELLDGEEKRGLGLWKRDTYGVGAELKEKRMKRGWVDGLEKGL WVGVGLEPVVEELVHWNGGSTGNELFPNIMELPWCRNMKY ANIA_02511 MSTSKDKSKDKVHKLSIKGSSKLVAEFFEYSINSILFQRGVYPP EDFTTVKKYGLNMLVTADDQVKAYIKKIMSQLNKWMLGGKISKLVVVITDKETGEHVE RWQFDVQIFGKQKSQSTRKSGDKENDTPGDVGQPETVEKTEKQIQEEIQAIFRQITAS VTFLPVLDGDCTFNVLVYADADSDVPVEWGDSDAKDIKNPEKVQLRSFSTNNHRVETM VSYRLAD ANIA_10315 MRGCLQLARWLSAAPNWPASSLLKAPGSSFATRLFTTTSSYKAK GPSKVPMTDLEARISAIPIERYRNFCIVAHVDHGKSTLSDRLLELTGTIKPGMNKQVL DKLDVERERGITVKAQTCTMIYNHKGEDYLLHLVDTPGHVDFRAEVSRSYASCGGALL LVDASQGIQAQTVANFYLAFSQGLELIPVINKVDLPSAEPERALEQLEQSFELDTEDA VLVSAKTGLNVEQLLPTVVEKIPAPIGDCKRPLRMLLVDSWYDSYKGVICLVRVFDGE VRAGQQVVSFATGIKYYVGEVGIQYPLETPQTVLRAGQVGYIFFNPGMKRSKEAKIGD TFTTVGSEKAVQPLPGFEEPKAMVFVAAYPVDADHFEHLEDSINQLVLNDRSITVQKE SSEALGAGFRLGFLGTLHCSVFEDRLRQEHGASIIITPPSVPVKVVWKDGKEDIITNP NRFPDEDDVRMKVAELQEPYVMATLTFPDEYLGTVIELCEANRGEQKSLEYFTPTQVI LKYELPLAQLVDDFFGKLKGGTKGYASLDYEESGWKPSNIVKLQLLVNKAPVDAVARL VHYSQTDRLGRQWVTKFKEHVDRQLFEIIIQAAVGKKIVARETVKPYRKDVLAKLHAS DVSRRRKLLEKQKEGRKRLRAVGNVVIEHKAFQAFLAK ANIA_10316 MQPLEGVQADLGKAQVIDRVPKVIKELKFGVLSNDDIVSQGVVE VSDRKFFNLENDRSIVPNGPLDARMGISNKTSTCQTCGGALQVCNGHFGHVRLVLPAF HVGYFKRVISILQEICKECSHILLPEAERRAFLREMRRPRLDNLRRMQIAKRVNDRCR KTRTCENCGAVNGVVKKTGTSSLKITHDKFRAFNASTSAKKIPPPSKIVFDRSLEEAR SSNPEVEKHHKKAQDDLNALRVLNLFKRISDSDCELLGLDPKEARPEMFLWQFIPAPP VCIRPSVGQDASSTEDDLTAKLGDIVQSNINLKNALLKGAPVQTIMECWDYMQLQIAV YINSDVPGLNKADLGKPIRGFVQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRVD EVAVPELVAKNMTYPEVVNRYNKEKLQQCVRNGQKKWPGANYIIKKGNPLKTMLKYGN LKFIADQLQEGDIVERHIEDGDIVLFNRQPSLHKLSILSHFAKVRPHRTFRLNECVCN PYNADFDGDEMNLHVPQTEEARAEAMELMGVKNNLATPKNGEPIIGAIQDFISAAYLL SSKDNFFDRRSFTQICLYMLGPQTRFDLPPPAVFKPQMLWTGKQVFNILMRPNKDDPV LVNLDAACKQFKPPKGNQPRDLDPNDSWLVVRNSEVMCGVMDKSTVGSGKKDNVFYIM LRDFGPAAAAEGMNRLSKLSARWFSNMGFSIGITDVYPSDRLVQSKNDLVEAAYAQCD EVIAKYKAGTLEKYPGCDELQTMENQLSGILSKVRQQAGDECIAQLSKYNSPLIMATS GSKGSSINVSQMVALVGQQIIGGQRVQDGFQDRTLPHFPKNARQPPSKGFVRNSFFSG LLPYEFIFHAMSGREGLVDTAVKTAETGYMSRRLMKSLEDLSTGYDDTVRNSSQGIVQ FQYGDDKLDPVDMEGKAKPVHFDRTFIHAETTTYKNEERSLSPAEIMEVCEEMLSKER AKLARYDLMGAELDYMDRSDHGVDQFESARDFLDSIQQYVQTKADKLISRGGDSDPSD ERTIKGLNHTGKLTERTLRTFIAECLMKYKKAQVEPGHAVGAVGAQSIGEPGTQMTLK TFHFAGVAGMSITQGVPRIKEIINASKEISTPVISCELVEKRNIVAARMAKGRIEKTF LRDIIHYVRETWTGKEAYLTVKINWDTISALELDLTVGKIRQAIENHRRFKSEDLKLR STRSHIHIHIDLDPASKEKLSKTEIAATSSDPFLRLKALKRLLPDIQVLGHPQANRAI IRTDDKSDTNTLLVEGYGLRQCMNTVGIDGLRTRSNNVMETREVLGIEAARSTIIQEI SEVMKDMDIDPRHMQLLADVMTYKGEVLGITRFGLAKMRDSVLQLASFEKTADHLFDA GGAGRTDLIEGVSECIIMGKTVSLGTGAMEVVRKMNFFEGQIGPKKTIFEDAWNDVHG ERKTKKRKM ANIA_02513 MPPTNRPPPFSTILSSASLPSYSSTDELTPIYQGAEAHLYKTTF LSPSQPAALKIRPSKPYRHPILDRRLTRARILQEARCLQKLVKEGVSVPALLGVDWEP SAGDGSSWLVMEWIEGEPVRVILEEWEAYLKGIEREKRLGLGEGVQGSEEEKVRGLMR RIGRAVGGLHRAGVIHGDLTTSNLMLRPLGSADTTETIEERDQSPSMAGEVVMIDFGL AMQSSQDEDRAVDLYVLERAFGSSHPRTERFFEEVLVGYRESYKGAVSALKRLEDVRM RGRKRSMIG ANIA_02514 MKLVLSTSNIMTSGGPSVIRQPSTFKSNVELINSLRANFQAAQQ SIPSEPSTADSAAWTKQEDDTLYIPAVDFSQRGLAEERSQYDITVKLFYLPGIPVSRR CAHTKQAIDLVLKELHVDSIDLLIVSFPGIHFDAEDDSEEEESISVDGGSSFEHEDDF DSLVQTWKCLESLHEQGKILQLGVAEFGSERLARFLEHTRVKPAVNQINLKDCCVVPK SLILYAKEQKIDLLTHNDCNDILPKGTIRELLGPGERGAGILAPTPELDGKDGGLVKG DIETQWVVKYTAVVKDRGVVENKGYFALADVGSCVEA ANIA_02515 MAALQSLRSTYRMNSGHEIPVLGYGVDSAIMYGNEKACGRAIAA SGIDRSQIFFTTKIPPDSMGYNATKRAIDSSLRTAEQEYFDLILLHAPYGGKEARRGS WKALVEAQRAGKTKSIGVSNYGIHHLDELEAYIQSSGDDGVRESKIDVGQYELHPWLD RSEIVEWLQKRNIIVQAYSPLAHGTRMREPLLKKLGYKYGKSPAQIMIRWGLQKGFVP LPKSVTPSRIQENTEVFDFELDEEDMKSLHTGEYSPTDWDPTLDYD ANIA_02516 MSLKGFQKSIVRAPQTFKARFNIGDNTKDPVYTDAERRFQELEK ETKKLHDESKKYFDAVNGMLDHQIEFSKAMTELYKPISGRASDPSTYTIEGNPEGIRA CEEYEVIVQDLKEALAPELEMIDSRVVSPAQQLLEVIKAIRKVAVKRDHKKLDYDRHR NTLKKLQDKKDKSLKDEKALYKAEGDVEQATQEYNLYNDLLKDELPKLFALEAEFIRP LFQSFYYMQLNVFYTLHEKMQGINIGYFDLTRDIEEAYEEKRGDVKERAEELTIVHFK TTGGRRPGSKLTGKDKLAAEHKGRFGSRPSDPDVVENPPPPYSPGASGSALAAAAAKK PAPPPPKPKPSQFRAPVETVTALYDYEAQAHGDLSFSAGDVIEIVQRTDNQNEWWTGR VDGREGQFPANYVQLN ANIA_02517 MVPPTPQDLGISSQYRQVPQYAQGQVTFDSRAVAAPDNAHYQSY SFSPNFYTPQHIPIPDAFPQTHSPQPSQQSSRPASYQPQQLHQNSLSQYTITPGFPDD PTHPSLSFPNEFTQPQRAPEQTINPQFISTPQPMNQSSSLNNQNQFVYVNPAEDPKMF SYFPDNVHLQPILPQPEVNNSLASDHISTVGSPAINGIKSVPAIAPAKPAPSKTKQAG IKKQPVTKKAPAKKADGKGSASSSSDSYESDSDLEIQEPEEPSPLPPTRPAEPEAAAQ YDVLQAVWSPRNRRPKVDKVKAALVAFKDVVKNLRDSWKETAQALKAAENKGESSDTA QLRKQVAHQRRLMEVAVIATLEKGHPMIVEKYVIPLLLGFAYLAYCRHGHRSQKRIER ISHVISLIWLPSCLKESYRGRVSLTLR ANIA_02518 MSLRDRQVASIQKILNLNHDPRPAEDATHEASAQGLISTPILNE DGDPIWKILVFDNLGRDVISSVLRVNDLRAWGVTIHLYPIPDVPVVYLVEPTASNIQL IANDLQRGLYSPAYVNFLSSIPRPLLEDFASQIATTGTAEHIAQVYDQYLNFIVAEPD LFSLGLGNDAYWRINSAKTSDADLDEIVDKIVSGLFSVSVTMGAIPIIRCPKGGAAEL IATKLDRKLRDHILNSKDNLFSANKKSTPGVPSSRPVLIIVDRNVDLVPMLSHSWTYQ SLVQDVLQMRLNRITVETPVDESNPAAGTTKKAYDLGSNDFFWKRNAGAPFPHVAEDI DAELTRYKEDATEITRKTGASSIEDLQADTSASAQHLKAAITLLPELRERKSILDMHM NIATALLKGIKDRQLDNFFELEENITKQSKAQILELISDPTKGTNPTDKLRIFLIWFL STETELSRADISQFEEALTRTGVQDISPIAYVKQVRELTRMTMMTTATAAPQQQSSDL FRGFSSLSNRLTDRITSAP ANIA_02520 MATHNQISDQCQWSYPEVFTTQAVEEPTAEPASYHLHSTLTIMA SNFDPWNQTITFRLEDGTPFDISVDYLDGILQYSIRACVNYAAQLGASVILFVILVLL TRAEKRASCLFWLNSLALLLNFARLLCDVLFFTGNFVRIYTLISADESRVTASDLATS IVGAIMTALLLTTIEISLVLQVQVVCSNLRRIYRRALLCVSAVVATATIAIRYSLLAV NIRAILEFSDPTTYNWLESLATVALTISICYFCVIFVTKLGFAIRLRRKLGLSELGPM KVVFIMGCQTLVIPGKRTLSSLIPPVIVSITHYVSDVPELQTNVLTIVALSLPLSSIW AGTTIDKPVTHSNVRNLWQILSFSGYRPKQSTYIATTTTATTNAKQCTHCYSESRLLT EKESGRNNDTSSKSSSQYGIAVEHDISVRSARRESFDV ANIA_02521 MASVPQRTLNWLYSVLIRDHYDPKQTYQDPNRTYYDVANVLAKF PTLAPETAVYTYENGFSALLLQLSGTLPVTFRGTVYKFPIALWIPNTYPREPPIVYVK PTQDMVVRVGQHVTLEGRVYHHYLAHWGGAWERSSLLDLLSILQDVFAKEPPVKYKQQ HPVPQQAQPVQTPPPRPPLPPELSNTFSYTPSPQLSSLRPPQPASRTPPPPPPKPGQL SSPTGLQQQIAPAQHNTPPPLPPLPPKEQSSRQHISPAQASISNNKPEILTVYLIIFL GAHILRHNSSHSSATPPQFQGPTHAAPHPAYHRQPPPAQVHLQLPHHGPTQAAPTSQP PAPRPKPETEDLLTSPFELELPSFTPTGPAPPIPPNPEKDALLQAVSKALTETLHANN AHSESAAHSLASQSHSLHATIATLQAEISSLKNLNSTLESNTSILTQSLHRADAVIAD AQSRVSTAQSSSSSDSSSSSGLPPIDDILVAPTVVGKQLYDLVAEERGIQQALYALQA ALVKGVIGVDTWSRHTRGLAREAFLKRALIRKIGKARENSPVICLDKFTLDYGTVYN ANIA_02522 MSPHNLDPLSPHALFARAECKQCPQESPSCDCPSGTKCVMTAQS CTECATIQCVKTSTSSSSDSEDSSSGGGGTNAGAIAGGVIGGLAAVGIIVFLIWWFVI RKKRNQQRAEESEKASSYAARAPASSAAQSRKSTHSIASTVLTRASNVIQIAYIPGVT NRSPPDTPLVPPVPPLPGASADQHFFMPGDLRDSSWSDMSDRRSMANTLRSSVATTIY RNDAIVSPMPAQQVTRTRAAVVSIHNGPNTPSSSQHLSPDTPAVPAITPSQLAKAGVT DANNNSSIVARTVTAKPVMVKTIGKKNNTAKSPVQPIAEQPESNAEPSAPSQEPAKAP SISSNDGNDAPAAANNRTSTPAETPISARRSQAPTVIEDSPAIVQSPFSDQTPTEVET DRRNSAFLEGASISSKRHSGAPPPRVESPFADSNEL ANIA_02523 MAYHGSGPQSPGEHTYDDGHQLRDLSHSNTSYEEEASHGLLSSQ QSPFAGPFDDPHQQRGLTASPVQRPTSGYSLTESYAPDAAYHDPYSANQSVYSGHSEN PAAAFGVPGRVASPYARSETSSTEAWRQRQAGAAGGGNGLRRYATRKVKLVQGSVLSV DYPVPSAIQNAIQAKYRNDLEGGSEEFTHMRYTAATCDPNEFTLHNGYNLRPAMYNRH TELLIAITYYNEDKTLTARTLHGVMQNIRDIVNLKKSEFWNKGGPAWQKIVVCLVFDG IDPCDKDTLDVLATVGIYQDGVMKRDVDGKETVAHIFEYTTQLSVTPNQQLIRPTDDG PSTLPPVQMMFCLKQKNSKKINSHRWLFNAFGRILNPEVCILLDAGTKPGPKSLLYLW EAFYNDKDLGGACGEIHAMLGKGWKKLLNPLVAAQNFEYKISNILDKPLESSFGYVSV LPGAFSAYRFRAIMGRPLEQYFHGDHTLSKQLGKKGIEGMNIFKKNMFLAEDRILCFE LVAKAGSKWHLSYVKASKGETDVPEGAPEFISQRRRWLNGSFAAGIYSLMHFGRMYKS GHNIVRMFFLHLQMLYNWFSTFLTWFSLASYWLTTSVIMDLVGTPSSSNGYTAFPFGK TATPIINTLVKYIYLAFLLLQFILALGNRPKGSKLSYLASFVAFGIIQLYVVVDALYL VVRAFTGGAPMDFNTDDGIGAFLSSFFGSSGAGIIIIALAATFGLYFVASFMYLDPWH MFTSFPAYMAVQSSYINILNVYAFSNWHDVSWGTKGSDKADALPSAKTTGGKGEEAVI EEIDKPQADIDSQFEATVKRALTPYVPPEEKEEKSLDDSYKSFRTRLVTLWLFSNGLL AVCITSEGLDKFGFTNTSTERTSRFFQALLWSNAVVALIRFIGATWFLGKTGLLCCFA RR ANIA_10324 MLKIWSMKQQQQQAENAEGAAGKKKKKVTAAQLRVQRDLQELTL GSTMKMAFPNPDDILNFTLTIEPDEGMYKGGSFIFTFAVNQNFPHDPPKVKCTQKIYH PNIDLEGNVCLNILREDWKPVLNLNAVIVGMQFLFLEPNASDPLNKEAAEDLRQNRDL FKRNVRNSMAGGNVRGVTFDRVLR ANIA_11347 MATAIAPITGMLRRGLVLDLSTAFGFGTTFGYLWWYGYHLPRVR ARDEYYNRLEQERAAGQA ANIA_10317 MAPSSPAVKRSLSSFSEQQTVPDTKRIKRHYHHHHRLREPVTPC LPEPAIADDAYVDRAMGRVIGQSLRNCGFDIADPLAVESFRDAAEEYLLRLASYVRTS MLSSRRLQPIPHDFEYALKRHHLPVGSLIPHLQPTPKVEPTPTLLPSPPPEEDDFKIL PSLEPELSGEDDRARSTYIPKHFPEFPSKHTYRHTPVYTERERDPRKIRERAADDGRH GEEALRKLARAAFKDNQAGSGGRAKKRWGGKTESFESMFDKTVKGLTKKVTNNEAAGP TGSSNAMEIDSGITPVTERKPAVFKGLTSIELPPIINCERGFWRRTAAPGRQRPEENP SAKHNPELSRVESWVST ANIA_10322 MSASFGEKLLQEVHEESLEELLHDLKVLHREHSGTTKTRLGVSP IDELLELFGTYSQPLHGLPGTREDIVADPDGDTVAGENHEPAHLEVPVHPAFPSRPYT ILEISSTCSAAGKSQVLYYLAALAVLPSRFNGIPLNGSNSAVVFIDTDGRFDAERLRT VARGIVLDKLENTSDADTENHGAVEAMTFASLQHIHVFRPQSSLALLATLQSLDAYLL DLSRHASGNRALRAIMIDSATAFLWQDKLQDEIARTEDIGRSAAEIERERLQREKFYL ADIYADLVTSLKQLQSTFDCDVIYTATSFGGRSTENPSMPYGSYNPLDTALQTPSFRS PLPSPWGLFPTLRLVLQRKVLRPFPPGATVQEAERDAPMRQKVAMRGEFLGFVNGWGR EDWPRRRLEELKRRGAQFTFNVGQNSVTLN ANIA_02525 MAPQLPTPNSIQEAYTLITPYIHRTPLLTNRTLNAIASARQSPD ALRGTPFEGSTPAHPKINFFFKCENFQRIGAFKARGAFHALLRLIEREGEENALALAS STLGIPAYIVMPKISTPSKIAGTQSHGAEVIFSGSTSVEREAVVADVQARTGAILVPP YDDYHIICGQGTTGLEMHAQYLDAVREKPELSVHESESPSAREGLDAVITPIGGGGLN SGVATFFSDKTTKVFGAEPSFEGADDCRRGLDAGQRVESVKTLTIADGLRTPVGVLNW EVISDKSKVAGVFSVTEEQIKAAMRLVLERMKVVVEPSAVVGLAVCLYNEEFRRLVER EAPAGWDVGIVFSGGNTTVEAIGKLFG ANIA_02526 MASRGLPRALRVARVAAPRSVVSAALPRPSLAKIAANAVPRVAA PVVPVRGVKTISFADSQETVYERADWPREKLQEYFKNDTLALIGYGSQGHGQGLNLRD QGLNVIVGVRKDGASWKEAIQDGWVPGKNLFEVTEAVQKGTIVMNLLSDAAQSETWPT LKPLITKGKTLYFSHGFSPVFKEKTKVDVPSDVDVILVAPKGSGRTVRTLFREGRGIN SSIAVFQDVTGNAKEKAIAMGVAVGSGYLYETTFEKEVYSDLYGERGCLMGGIHGMFL AQYEVLRERGHSPSEAFNETVEEATQSLYPLIGANGMDWMYAACSTTARRGAIDWSSR FKDNLKPLFNELYDSVRDGTETQRSLDYNSQKDYREKYEKEMQEIRDLEIWRAGKAVR SLRPENQK ANIA_02527 MNFLSALGNLPTRQALLLLDFQNDFVRPNGALHVPNTADIIEII PPLANAFRRTGYVVWGRSYHESRQPLLNADGQDLVVISRAEDSVKKRTADFELKGPLH EEAFLSTETPQCCLPQSAGAQFPAPLLAAIDSESDILIEKSEYSALSPALVLSLRTRF VTEIYLCGSLSNASVYATALDAVRHGFSLFLIEDCLGYRSFSRHEETMRRMADVLGAN GITSQELLEQLDREETDQIARSAPRLARPLPPAGIEGDLEDLDVKLNTTNTKEDSPEP PLRRRRLEDILAEMSDDEHGHLRELAELSRGQVRSYRVERDGQSHGPESVDNSARNTR RKRPDQSESRSSRTERHRVRRLKPATNVCRPGDVIGEGDSRIVYELDLPENAFERIRD EVAWQKMYHMSGQVPRLVAVQGKRSSDGSIPIYRHPADESPPLEPFSPTVDQVRVLVE RILGHPLNHVLIQLYRDGQDRISEHSDKTLDIVRGSSICNVSLGAQRVMILRQKGSTN EEGTARVTQRIPMPHESLFVLGERTNAAWVHGIKADKRQESEKSVEERAYGGQRISLT FRHIGTFLDPIIDTIWGQGAVAKCQGEARPVIHANAEETERLVRAFGEENRSIDFDWD AAYGEGFDVVNFVTATSTKLVLGEDEVVNLRVQLGLGENSIRYDTTPHQTLQAGSEAK LPLYIDSDGTKVAGDQAILRYLAQRAPDPERQGVEVLRGGDKLSDIDGLLAKWRESYN SSEPDFDTLTPWEQVLTGQHYLGGNTLAIDDCAFWPVLREFVQKTGPLSDKAYPNLAQ YYTRVGKRGIVRAVLEEMK ANIA_02528 MKSIALTSLSLLPSALAQTIYLAGDSTMASSTPGWGDYIADSVS VEISNQAIGGRSARSYTREGRFQAIADVLQAGDYVVIEFGHNDGGSLSNDNGRTDCPG DGDETCETVYNGVAETVLTFPAYIENAALLFLEKGANVLISSQTPNNPWESGTFSYTP NRFVGYAELAAQRAGVDYVDHGAYTASIFEALGADTVNSFYPNDHTHTNAEGSSVVAD AFLKAVVCSGVALNDVLTRTDFDGECL ANIA_02529 MASNYSPKYFNINFPQEYVAHVEINRPNQLNAFFEAMWLELGQL FAQLSTDPAVRAIVISGAGTKAFTAGLDVKAASQGLLSSDSKASDPARKAVHLRREVG SFQDCVSSIEKCEKPVIVAMHGFSLGLAIDLSSAADVRFCAKDTRFAVKEVDIGLAAD VGTLSRLPKIVGNYGWVKDVALSARLFGAEEALSVGFVSRVFETKEEAVKGAIELAAL IASKSPVAVQGTKELLNWSRDHTVQDGLRYTAVWNSAALQTSDVQSALLSGIQKRKPT FEKL ANIA_02530 MSLFRTIPTPGDFAPLFRLLDDYDNHRSARGHASVQSFAPRFDV RESNEAYHLDGELPGIPQSNIDIEFTDPQTLVIKGRSEREYHSSSDDNKNDQADTENQ ARGESSEVAKTGEKQVSTKKAANKSRYWVSERSVGEFQRTFTFPTRVNQDDVKASLKD GILSLVVPKAVPPTAKKITIQ ANIA_02531 MTARHDFEAEPVSEEAAHDEQTPLLERDSESHEYDDDDDGAIRD RDGSECFRGHNLVQFGVYAPKLSVFLLGRAVSGTGAGGLMVTGIILTLDLVNKKRRGV FIGIVNFGMTIGVSLGAVLAGLIVPKLGWRVIFWVQAPTGLVLGLILFFAIPSHPEVG SGKTKSASLVQRLKNIDYAGGLTLAVSIFLLLFSLASPKIPVTPIILSFVVFAAFWII ESRFAAEPIVPTEVLKARSVSLTCLAALLAMTARWAVLFYTPVYAMVVRGWSPASAGL ILTPTNIGFGFGGLLVGWLHIRHGESYYCLSTQTSHTAVYIIAMFVDGFAIGASMNYT FAHILYLTKPEVHYIVTALVGMSRGFAGSFGSAMGGGFFQRELKAGLEDGFARHGLSG EEGLIHKLLGSPALVGSLTGAEKEVAMQSYEYAIKMLLLGGFGIMIVASIAQAGTGRT APAPSLSEEPSALGVDNSNRD ANIA_02532 MAPQPHPLAILSEDEINLARDIVIAQHPNTVIDFREIYLQEPPK GQLLEFLALEHAGRLSPTTPRPPRLALCQYDVIGADRVPSYEESLVDVVASKCVKHTV VGKQHHAALTLSEFDVLVERCFASPLFKEALAEFDLPPGFEVVIEPWPYGGLDLAEPN RRFFQGLCFAQDATKKNPDANFYSYPLPLIPVMDAHTQEIIRVDRPATGGKGDGLREQ TFKRDIIGHCKGSDYVPELLPEGTRKDLKPLNVVQPEGPSFRITDESLVEWQKWRFRV AFNPREGATIHDVWYDGRSVMHRLSISEMTVPYADPRPPYHRKQAFDFGDGGGGNMAN NLSIGCDCLGVIKYFDAIITGADGTAKKLPNAICLHEQDNGIGWKHSNWRTGRAVVTR HRELVVQFIITLANYEYVFAYKFDQSAGITVEARATGILNVVNIDAGKVSDYGNVVSG GVLAQNHQHIFCVRIDPAIDGAKNSVQIEESHPVPMNEATNPNGNFYKVDTKTVERAC YFDAAPDLNRTVKMINPHKINPISQKPIGYKFIPLATQKLLADPNSTQAKRAQFAQHH VWVTQHRDGELYAGGRYTLQSQSEVDGVSDAVKRGDVVVDTDVVVWSTFGITHNPRIE DWPVMPVEIFQLMIKPADFFTANPSLDVPSLKNEASRTVGKSECCRTAQL ANIA_02533 MIPSLHNVLRFLGSGLLVLGANAASFSNPLKDPNGSDPYMVYVD GYYYLTTTTWSDVQLTRATTLEGLKTGESKVVWSDSESSRCCHMWAPEFHQIDGTWYL YYTAGTSGDSVDNQHVHVLQGGSSPWDSYTYLAQITPEWGIDATVLTVNDQNYLIWSC IANSIQSNCIATLNTPSTIGETHILSQPLEDWETVGAPVNEGPAPLYHDGKIWVAYSA SYCWTANYSLALLAYDGSGDPLDQASWTKSDGPVFVSADGNYGTGHNGFFTSPDGTEI WNIYHATAIAEGACDGNRYTAAQRVDWNEDGTPNLGVALALGTVLEGPSGEPA ANIA_02534 MYLPTLAASASLLVGVAHGYASPGACSGACNIHDPALIRRESDG KYFRFSTGNKISYASASSIEGPWTAIGSVLPGGSSIDLDGNDDLWAPDVQLVNGVYYV LYSVSTFGSQNSAIGLATSDTMDLNTWTDHGSTGIRSDSSKPYNAIDGNLFQDDSGTW YMNFGSFWNDIYQAQMKSPPTAVASSSYQIAYQPAGEHAVEGAYLYKYGNYYYLFFSE GKCCGYDSSRPATGEEYKIKVCRSTTATGNFVDANGVSCTSGGGTIVLESHDNVYGPG GQGVFTDPTLGPVLYYHYVDTTIGYADSQKLFGWNVLDFSSGWPVVCPMILTQRPPSR RSFSIPWLQRGQKKRENRFARCSGRGNGDVTVLMLFAPATPALFVD ANIA_02535 MNNFAQFGSHLSDQHQGQQTGASGGRTGSAGQTDYLDKGLNDAE QKYGGKYYDAEKVKPVNKKIGDKVKGKFHDMTGHNLPGAH ANIA_10318 MRFLVPLALLGATATTVVAETPQDLIQNSIPACMQSCFTDAIEK LTGCDLSDTDCVCKAGIPDSETISTSRDSLTSCVKDSNCTASEAQQIADLDVNSLMSQ SSDVCSGAVTVSANVVLAAGAMAFAFLF ANIA_10323 MAKMTNQSDSFTVIPVLDYSLSMSSKSAFLADLRYALVNVGFFY LVNAPIKPQIRQDLVKKCRAIFDLPLEKKLEIEMVNSKHFLGYSRLGAEITARKQDYR EQFDFATELPAPAPDEPLYRNIRGPNQWPDENAIPGFRQSVEAYLAELSPVAENFQVL IAEALDLPPNALKQFFDKPVQQKMKLIKYPPPPSDAESQGVGPHKDSEFLTFLLQATP HHGLEVQNKSGVWISAPPIEGSLVVNIGRALEAITGGVCTATTHRVSLAPSNYVDAEG TPLGPRFSIPVFQGMSLDLSAEDISLEFPDHIKMLAGDEKARSDAEATFNKIFRGRTG EGTLIHRIISHQDVGRRWYPELLARALGEYEKQGRGLNSSQ ANIA_02537 MMGKSVWVFAALFPAVLAADIYVSPDGSDDAAGTIDAPLQSIQL AVDQATAGSTIYLRGGTYTPTSNIQITKSGTASAPYVLRAYEGESVIIDGEELPGTPA DLDASLDNADRGILHIQDAEYWEFYDLELINGPYGVYARDASNNHYERITTRNNYETG FQLQGESSNNVVLYLDSYGNRDPRKNGESADGFACKEGSGEGNILRGARLWNNVDDGL DLWYAVNPVHPRISANTSREFKSAVTIEDTIAWGNGFNRWDFTPFEGDGNGFKLGGGD DTDIGPADHIITNCIAFSNAKDGFTDNSQPGNFVLTRNTAWDNTAVGFKFGTAVATLT GNIAASNGEAPTSLSDEQISDGNSWDGDEDWDDGSFVSVDVSLVQGERNADGTIEPSG FLLPADGEEIGATTDWSA ANIA_02538 MSSFSLDDYLDDLSDYGFDYSDFGLDDEDFDYSDYLNDDDFDYS DYGLDDEDFDFDYSDYLPSSTSSASYPTSTSYDWLSGGDSGDDGDITTPSSSDYDMNL DGTPPNGEGSTNGLPSSLGGAFGSDFSNQQVSDSCVSEVAFKTTAPKVDLAFDVIFLV LFIALAVFTVIRLFKIKRNGGGMVNWVLFPISLFFTILYLFLDTITLILSECVMMRGD KYWDAQTAVQWFSRLAIFLLIVIIMLPICRKLQQGGGIFATLTLVLHSLWIALTGIFL IVSLALTTRVRVGDRDGFKLEKASNGVTMAYSVFLFIAALLALVNMGIALVKKANLRK GLTLITIPVLALSTLILTLILMGGFADNVYGSKNRSAKYFQQSGDAQTFLARLFYAVS FVSALFVAGANTANDDAAQSAPSMTQTPKPAEYYQPQPQPVTTAPVPAPAQH ANIA_02539 MSLSSYRLLTFDVYGTLVDWESGIIAALQPSLQKNPANNPTRSQ ILHAYHVLEKDQQSKTPSMLYHEVLATIHAPLCRDLDLPPPTEQESKAFGESIGFWPA FPDTVAALRRLKKKYKLVVLSNVDKESFAKTNAGSLEGFEFDLVLTAQDIGSYKPDLR NFEYMLSAVKKKFGVEKDQVLQTAQSQFHDHQPAKKVGIKSVWIVRPGAIMGNVEENV FDWKFETLGEMADAVESEAAALSVIMKYGSKQQGSELDTQRRWEELSGELGNALLIVS TETDPGWTGIRPGIGNIILGE ANIA_09518 MSLSSYRLLTFDVYGTLVDWESGIIAALQPSLQKNPANNPTRSQ ILHAYHVLEKDQQSKTPSMLYHEVLATIHAPLCRDLDLPPPTEQESKAFGESIGFWPA FPDTVAALRRLKKKYKLVVLSNVDKESFAKTNAGSLEGFEFDLVLTAQDIGSYKPDLR NFEYMLSAVKKKFGVEKDQVLQTAQSQFHDHQPAKKVGIKSVWIVRPGAIMGNVEENV FDWKFETLGEMADAVESEAAQSLDT ANIA_02540 MALNTVPEEILALILQNCSSFSELHALILTSKTLYTVWRNNQRT ILWHVGQSAIPGFSDALIAVRATDLAKASVLRGELPPTPFPIKTLSGDDVKPTLSETQ RVLSFACIARYLETRTRSAKDKRKDFLPHRWYFDSLAWSQQIWDLWREGYHRAVYRYF TAGAVLCRAYYEPLVCEKRPAGFLSSLLSILEGKLPRSANSRDSFPGWFNEEEKRYIS KIPLYDSQRYEEWEEAFKPLEMIFLQESRKHSIPFSSDREKRPAPHSPEAENSDRSLC RTFGTQSKNLHSLDNTHHQTLFAHLLHFLYLVDGDIRYFISLPGDTPAESLDDPIAHS VPGVFLFGSFTLMDINIRRKAEGSCVAYANTVLPKLTSEIILSTPNPHAKTYLGLPNM HNYLKKIWDISGIPNCYDQNPVRKTLPLVSFFVEYMLRRFFGLRFSSRMFDATLEVRC AWCAFHQFGGVFTGSTPGQERYVGRDLLESVEDERPVVVFDEYAWYY ANIA_02541 MPLSAAIKSSLSVSVRADAKGLVGFANTGYNGITVLKQTYWTSF YMKGEYSGTVLLRLTGTDSGTVYGSRNLSVKSTGGKWTQYETTFEANESYVAENEWQL LFDAATAKGHPLHFGLVQLFPPTYKNRTNGLRNDIARPIADLKPKFLRFPGGNNIQAD RPGDWHYPNTDALGLDEYLWWCEDMDMVPVLSVWDGKSYGGIVSGEELQPYLDDIKDE LEVTLPLTLLSHPLRAYLTPNTNEQYLLGPPSTPFGALRARNGHPDPWPIQYIEIGNE DDYSGGCDTYPDRLVQIYDTIHASYPNLTLIANNMDENCLPEIPLPGLWHDYHYYRSA DDLVAMFNYWDNHDRGDRVLVGEYGCRNDSNPDGVFWSFMQGSCAEAVHMIGLERNSD VVMMAAYAPLLQHFGYTQWSVCTPSASLAYLGIHPADRGEEQPTLFGFESRPNSLTLS TSYYVNRMFSTNQGSTVHKVHSTAGFGPLYWVATSNETAYQVKLANYGAANQTVNIRV PGVGRGVLEMISGPKDASNLPGDIKIVPVSRNIRAGKEGYTVHMPPWGVAVLVVVFK ANIA_02542 MFFKQLAVLSFATSALAAHGVRNPGTNSLNFNRIDKRFTFPIPE SQGSQTFEATYTISGNETFDGGMKTYGRGVDCSGQAEGGDSDAVFIVKDGGTLKNAII GADQIEGVHCEGSCTIENVWWEAVCEDALSLKTGDGPFTVIGGGAQNADDKVIQHNGG GTVSISGFTAYNFGKLYRSCGNCDEMYERHVTLESVTAVSGSASLVGINSNYGDTATI KSDTCVTDVDTVCTEYEGTDNNDEEPQEISTGPSNACQYTDPLPSC ANIA_02543 MRLMKTLVQAAAAAYFSSFLCEGASAQDPRPMENLGRGVVAVRA STDSVLVTWRLLGLDNSDIGFNVYRAVGSGEAEKLNDEVLGADTGTNFLDTTADPADD NTYFVRPVLDREEGDASGSFTLPGDNEVEPLIRIPIRESGQIKYVWVGDLTGDGEYDF VLDRTNTQQSIEAYTRNGTFLWEISLGPNSENQNNIEPGSTAISVGNWDGVTVYDFDG DGLADVAVRLANGVVFGDGEEFSEGTSDDEQWVGIVDGQTGALKGSSKLPTDFIEDGP LAARFGVGYLDGKRPHLVAFMKNRQDGGDFNRVIGAWTFDGTDLIEEWISLGDALVGA DGHNTRILDVNGDGKDDVVEIGFVLNGEDGSLLYSMPEPIVHGDRYYIGKFDPEREGL QGYGIQQDNEELLMEYYYDAADGSFLWTHYGSEVGDVGRGLAADIDPTYAGYEVWSFQ GIYNAATNETTTSDTSLAPWPQMSIWWDNDTLTELYNDGKLEKWDWENPTDSRSLPRI LTIGNYGAQNPNNYNPAFLGDIMGDWREEIITVNGDHSELIIFTTDQYTDVRLYTLAH NPAYRNSMTLKGYMQSHSIDYFLGHDMETPASPNIHCTRY ANIA_02544 MALSGDARTKGVTLPPAPARWSTQLCIRNPAATTTITMPILPHL TVNTYNLTVVILVAIGTISTAYGLAVIGSTVGQPNFYTFFDLEADTTDPDYKHTTNMI GALNGVNSAGAFMGCILQAWSSDAYGRKTTIRLGAAVLIVGGALCAGAVHMAMFLVGR FVAGLGAGILACSVPIYQAEVSTAETRGAMVCVTGVMYAVGYSLAGWLGYACWFMEAT SPAAQFAWRFPLAFQVLFPLCVLVGAPFIPESPRWLLAKGKGVEALSVLQKLHSTNGH SNGDNTKAREEFSLMEKQLELDQSRIVKSRWPALALFSTPANRKRSLIATILMIGNQF LGTFVLTNYGVLIYASLGLTGSIPLLLNACWTSFTLIGNTWTALYIDRFGRRRFLLAG ATGCTLCMVFLCALTASFLNTDNTPGLRAAVFFIFFYIFWWCFFVDATQFAYIAEIFP NHLRSQGVALGLGWFYLSSEVTLVAAPVGLDRVGWRFYLVLIVPSVLYVGCIYFLFPE TKGRTLEEMGRVFGEEHIYRQEAESDTVTEKGVETQRIEDVSTV ANIA_02545 MEQSTFATAGSPNRTVTNNEVVEKDIREICAEVLRRPVGKIKLD KSFIAQGGDSLLAIKLMARCGEAGYTITINDMLQATSIRELCQSVKLAEGSSAPGKLS SGPVLDGPAQPAEIRTKPLTEAQKLYASTKAWDAKVFKLEGGIAESTLYAALKLLVSH HPILRANFTTLENNDLGLTYNDTIDAFAHRRIEIPTISTQAEQGYLTVGWEADGKRED GLFAATVFVQKDRDHGTCLARYLRLDFHRAIIDVSSWDILQRDLDHAVLGKPLARGYP ETSFDSWASTHNLSDFTFRRSTPVESTNDERNTNERQHNRHQNDGKIELADVVILETD AAGLAKLEDESIHSVLRTQPEDFIVAALHIALKGVTRSEETLSFGIISNGRHSGGPKL SATVGCFDNIIRRSVERAEEDGGLGFLRKVKDTRMGFFNVSRISGVEDRSRYVLLHMG QLGRTTAPIADTLHELFHQDDFSILPHGCLAFVEPFLEQQQLKLRLRSRSAELGREKL GQLAGLFRAALKELIAECEMSEVQGTLSDFPFLKLTYSELDNLVSSRLKAVTGDPFRD VEAVFPCGPRQEAFLVAQAVYPDLYQCSFVIKLSSEDLNVELDCGRLRDAWVRLVARH PALRTVFIESPNRQGHFDQVVMKQGISSITFLENEGEEAARRLACRRPVTFKSYGQTH QVTFCRISPSSVYLRLDMSHAVVDGLSALVLMRDLFQLYSKQKLASRVMAYQDFVNYQ SRLPMQESMTYWSNYLAGAQPSHFPLYGDQLSREDLRTVRSNIQLGSDVLGEFCAWAL VLRSYTGLEDVCFSYATSGRDVPLKGINNTVGAFLNAVVCRIKLPPTATVPQALVKAR NDFVESLSHQYYLALDDAQSGDFARFKSNTLMSCQRKAATELAGSGLAFELVDAANPN EYDMSINIQVGHEGLEVMIDYWNSRIGQRTVESVAQSFRQALLNIVKEEDAVLGEIDI STTQEINQLREWAKEIPPKADYRIHDKVYEQRLRRPDAWAVQGWDGDLTYQQLDDTAN QLASYLIRLGVQPETKIPICFEKSKWAVISQLAILKAGGCVVPLGTTQPASRTRLILK DLQATIILTSGKFASRFMDLVTHTVVIDEAFMAELPPSEMVPCLATVDNAAFIIYTSG STGVPKGVVLPHASLCTSLEHMGARFKLSPDTRTVQFSAYTFDISIQDIYTTWHYGGC LIILSEEDRISNLAPEMVKYQVNCAGLTSTVAGTIFPQDVPTLKKLVLLGEAVKQAVV DQWIGHVEVYNAYGPSECSMQASINRLTPGCNALNIGWAFAGALWVVDPNDYNRLVPI GAPGELLIEGPLQARGYLNSPEKTAAAFVVDAAWMIKNGFGSGRRLYRTGDLVQQNPD GSITYIGRRDTQIKVRGQRVEVGEIEHHLLQQDAVLDAAIIYPKQGPCKDRLVGLLTL RDFFCGKRPGQDIIPIPSGKLSHTKSQLAAASEELSNHVPEHMVPKIWIPLESMMPQN DSSKLDRKKLGVWLEAIDTAFLEALTKSSDAGSESREPETLLERQVQQAWADVLRLPP TQIPIEHKSFLSVGGDSITAMHVVSWLRVRGITVAVRDVLESKSVAQLAQTAEVKDEK TEGHQSLLSPMQKWYFESIADPELPLKSSGAHRYNSNICLVPRKLFEYSELAQAVGAL VDRHPILRSRFQRHNVAGWQQSLHSDGDQPFALRHYTVSTSEEAEALIVEAQGSLDLE QGPVFFVEYIQVEDARNTDLLFMTAHRLVVDEVSWDIIRRDISVLLEGQQFPTSNPLS FQTWIKLQAQRSQNLEGIVFRSDLPPADFKYWGLNDGNTYEDETVEEVIFNSHDTNAY FRDANRALRTERVEILLAALLKSFQKTFPNRRLPAVFEINDGRNVGDSGLDFSNTVGN FECMTPIHIFLDEPHNGLDIVRQTKDARRSAFGRVLSDGQQAFTDRWVEVLFQYSEGL ASETIFETVDLTGPGTSPVGKSTRRGCVFNVNVIAYPDKLRIRFKFNRNMKYQEKIRD WADSYANAISALGTELSGASPTLTVTDFPLLHLTSESLRVLQDEILPDAGLNCSDVED IYPCSPIQQGILISQVKSPSEYYIQQSFEIIPTTSSGKLDHTRLLAAWQVLINRHPML RTRFVRSASGSSERLFDQVVLKSCKAEAEHVECTDDDLFRNLAVKATLDERHIDKRIG HKLTIYSTSSNRTFGNIIISHALVDASSLMIIQAELAQAYDGKLAPDTIGAAYSEYIS HLQKIPADQALDYWAKRLADAEPCYLRGMTEDGMQPATADDSTPRRPMQTVSIDINCI EKLHSFTETYGVTIANVFQLVWAMVLAQYTGSPNVSFGYLSSGRDVPVKDVETMVGPL INMMVTHIKLDMEASAQNTLKQIQENFFESFNYQRAPLVEIWHALQLQGRSLFNTALS YRHIVSAEKHQLSLALEQITGEDPTEYDVTVSVFASPEKISASLQYSPDFLSYDSANR LLGCVRQGIQSLVTNGDTHVGQLNTVTPKDILQVRAWNDKIPAVDGYCLIHDLFNEQR LLRPNATAVCAWDGDLTYQQLDEMSNALAHHLVTLGIGPEVMVALCLDKSKFAIIAQL SVLKAAGVVVSINPKHPTQRLELVLKDINAKVMLTSHQYSSQFRNLVPHILHMDETLF SALSSQPQPPSTNVTPNNAAFIIYTSGSTGMPKGVILTHLSLCSSFRAHGKIYEMSPS TRSLQFAAYTFDASISDIWGTMSHGGCVCVISEEERMNNLQGVIEAYGATHAQVTPTV ASLLDIANIKCLTTLILGGEAVREAMIEEHAKAAGRVKVLNGYGPSECSIYTTCSAAL VQKKQALNIGRPLVGSVWVIANGESICPIGAVGELWVEGPLLARGYHNDPKKTKAAFV TNPKWAKAIRLEGHRFYNTGDLVRQSPNGDLIYQARKDSQVKVRGQRVEIGEIEYRVK KLLPAVKSLVASLITPGGNSPNIMISVAMELSDDFLQRHLLSAPFHEIFLPNAPHLRD AFSQLHASLLEVLPSYMVPRLFVPVVHLPQTTSSKLDRRTIKQMLENLPGDVLFQYSL STSLSVAPSTFMEKKLQSLWATVLNVDQDHVGVQDHFLHCGGDSFTAMRLVSLANAKD IPISVADVFRYPKLQEMAAHLEAQMGRRRDVQDIPRFGLWKEAQQTEASVSERELLRV AKLCDVAVHDIEDVYPCTPLQEGLMAITTQQPGSYIGRWVFRIQEAVDTNAFKRAWSS LTQKAPILRTRIAPSQSGGLQVVVRESVAWGGDLNLKQYLDKDLQQSFGYGQPLVRQA LIYSGNKRYFVLTAHHSVYDGYSLRKLFDAVALLYDGKELAPTPAFSRFISYIGQQDL KAAKSFWQSQIKRKVGAPFPSLHKLSYRPQPTQKLSSSVEIRPVGGPNTLASSLRAAW ALAISAYGGNDVLFGVALSGRSAPVPGILDMAAPTITTVPVHVHINPEQTINQYLTMV HKQSVDMIPFEHTGLQNIRRFVGQIDLPHLFAVQPAQERESSVHGKLLAYEHGHVPEL NLDGYALTVECVTSDISDIPVTIEAHFDERMISASQTNDLLSRFSHIVTQLVTNGRDQ TQLKYLDLLSKDEARRLFQFNQGIPPVKQALVHELVSQHVSTNPYAPAVCAWDGDLTR EELDRLANKLALYLTTLGVIPETMVALCFEKSKWALVANLAVLKAGGAVVPIRADPIQ RVQNILQQTGITTILASEGFASALEGLVPNVITIGDDLIQSLPSPVTQPISTVTPSNA AFVIFTSGSTGNPKGVVVEHGAMSTSMQAHGKKFGMNSETRAFNFAHFTFDISLHDII STLQFGGCVCMPSERERVNNMADAMNRMGVNYSFLPPRVIHTIKPSDVPGLKTLVVGG EAVQPEYLEPWLNGVRVFNAYGPAECSIAATCNEVANKADVPNIGRAIAGGLWVVDEN NYNRLLPLGAVGELLIEGPLLARGYLNDPIKTANAFICNPAWISRYSEHDHCSQRRER RMYRTGDLVRQMEDGSLIYVGRRDGQVKIRGQRVEIGEIEHHVTEHPSVVENVIVYPH CGPAQLQLVGILTLHGFISSDADEGIQTTPLDQLPHALQQASSVRDHLHSCIPEYMVP NSWISLAAMPHNSSDKIDRRRLTQWLETMEVEHFKILTQSYTEGTTTPSTSEEKNIQA VWADVLHASIGKVPMSRPFLAVGGDSVTAMQVVSKCRSQYSIYVTVRDVLQCESISQL AKKAVIKTTSPNTDTQLSTSSIDQAPAATSAPTAFDINASDLSKLETDVLPRTGVENL SAIESIYYCSPIQQGILMSQIKDHTTYQVRQAGEIRAADSSPVDMNRLLRAWQLVVQR HAILRTFFVPSPSGRELFYQVVLKRYTPTIPVLQSCSSDDFLAQFEGLERPEYAPGQP PYQLTLAQASTGQVYAQVDVNHVLMDASSMDLILNDLILAYDNMLPDSPAPSYGIYVS FLQQTFAFDSLNYWTNHLAGAEPSCLPASSNLDSGKRSLRTVSLEVDNIKPLQDFRDT HGVTIANITQLAWATVLSRYLGSRDVSFGYISNGRDAPIDGIHEMCGPMINLMVSRVQ LAHPGTTVAEAAKQVQHNFLDAFNHQRTSLSDIQHALHLSERGLFNTTMIYKPKPMMD THEKRSLVIESLAGEDPTEYDVQVKIVSDDKSLSLDLEYATTFIDEPSARRLLGSFGR ALSSIAANPDANIDEIDVIPTGDVEVLHIWNSTVGETVPGSIHDKIHEQALSQPGAQA VCGWDGELTYAELTGMSDRLAHHLRNLGVREEVMVGLCFDKSMWTIVSMIAVLKSGGV IVPLGVQMPVQRLQHILNEITAPVVLTMDKHASKLRDITSANVLTIDGGFIATLPNPC HPPSESSLTSESAAVVIYTSGSTGTPKGVVLTHGTICTSIESHGPKLQMGPNTRALQY SAYVFDLSLLDILSTLRFGGCVCVVSEEDRVDTNSLTTKMEAMAVNFAVLTPTVASLI DPRTVPTLSTLVLAGEVVPHSAVETWASHVTLFNGYGPAESTILATTNGPIIEKEQAS SVGTALAGAIWVVDTQDHNRLVPLGVVGELLISGPLVARGYLNDTERTSQSFITDPAF VSKYGFHSWAGKRIYKTGDLVRQDPTDGSIMFVGRADGQIKIRGQRVEVGEIEYWLRQ HFDTQTVAVDVIGASTGDVALVAAIELRKDRSSNECVFLDVNHQLRESFLQLQAALLK ALPSYMVPSKYIPIKNMPNTASGKLDRRALRTLIGGLKEEQLAQYSLADGGNVALSTE TERRLARVWVAALNTSKEFGANAHFFRVGGDSVTAMRLVALARTAQPPILLSVSDVFK HPVLSDMANTIANSESTENCQYDNDVPPFLLLPYPQHERQARLQEIASQCKVEVDVIE DAYPCTPLQQGLMAITAQHPQAYISRWVFRLEDTIDESRFCQAWRTLVELNPILRTRI IQDPKAGGMQVVLQQQITWNNVLSELPSYIAEDSAKPMGFGDPLVRLAVVTSRQARFF VWTAHHSTYDGWTARKLMEAAFALYSNNPAPSFHPFTRFVQYLQSNSAEETRDYWKSQ LEGGIGPSFPGSPKNGSPRKLRIQSCRIPANNSNDFTLSTLLRATWALILSQETGSQI VGFPTALSGRTAPVDGILDVLGPTITTVPIRVSVDPAQSLSAYLASIQQQATEMLPFE HAGLHNISRMTSLPLNFQHLFVVQPAVDRLDQANSGFQGLTPVPFETYGFHNYPLVIE CSTNMTDTDSAVDLQLQFDPAVLSVEKATTILERFTHVFGQLQSAANEATCEVLVSDV IFMTPEDLGRIQKWNHFDERMTMADGCIHDLVHHQLLSCPDAQAVHAFDGHLTYRELH RLATRLAYHLEGLGVGPQVPVATIFEKTKWVVVTYLAVLKAGGTIVPVNHQHPKQRMQ ALVQSIGTRVILTSQDPGRLQGLVTGPVLKVDQDFFTQLPDSDNPHPVVQATDSAFII FTSGSTGTSKAVVLQHGAIVSSMVQGHGSLYASPDTRAIQFSALNFDISIAEIFTTLS FGGCVCVISEDDRVSRLAEAMEEAAVNFAILTPTVASLLKPEQVPSLRRLLLVGEALR PEVAEPWSSSHVELHNAYGPAESSILTTFSQRIRDPVQAPNIGFPLAHSNLFVVDPSN YHNLLPVGMVGELLIEGPLLAREYLGDAKKTAEAFVTDPAWLQQYDLGPVSGRRFYRT GDLVQQKLDGSFIYIGRRDTQVKIHGQRVEIGEIEFWVKNKLPDVREVVAGLFKPIYE EDEPLLAVAMEVPSSSVESSGLLSLSDELREAFGELRRNLLTVVPSYMVPQLYLPFAK LPLTDSGKLNRRATWEMIHSCGSWSQYFLVDDIKAEPATVTERLLQSLWATVLKVPAS SIGAKDDFFRSGGDSISAMRLVASAREDAHISLKVADVFRHPILSDMATLIDRKTTVT KPAYCPFSTMTDDYAIRDSIKPLLSVPSEIIDVAPTTDLQSLSIATSLRPSRDLMAYV SIDGIGSPNFARWRASCLEVVKKHDILRTAYVVYKNQLLQVVLRDYAPAVTHYQTDQS IEEFTKEFIAHDMHRPPQLGYPFLEFAIICSPVANRHRVLFRLSHAEYDAISLSYFVN SLREIYQRQSTTEYVGFPQYISSLANQDTWSSREYWRSLLKGCTMPAISSSSQPRRLP SRQVYHDSRRVSFKTLPAGITLSTIVRSAWALTLGQHVGNPDVLFGEVVSGRNGDPIA ERAAGCCANLVPVRATIHPAWTTHDLLRSVQQQLVSRLPHESLGFRDLMRNCTDMPVG TVFTSLLNHLDQASEWTLDLDDGKYNVSVAKTEGAGDVSDVSVTSTASTDYVEIAMAY LEDGVTVEVAEKLLSQLCETVDAFMNGALDAELPTVDCVSELNAQGVNEAPKFEGDLV DASLVAFELQKRGHEVTVDEVVDRGLSLSGV ANIA_02546 MFAPLKWNTLQMLVVQLVSCQSMPATALACCINSYLIPRVKEKF LPSLQIPAQYMYICAFAKEIGYKVRSGEALAALLAGLVLVTAEGVGEGRLLLDSDGEE RSVGVDTPDGGCDESWNGLQSVVKLWAHGKAVEAAGNTGAPKVSAGGTQPGGSNWLSG ICGI ANIA_02547 MSPASRSRVEIADSESDSERLSSSPWSILSDNDSNTSDERSTRA GPGSLEPIAVIGIGCRLSGSATDVSGLWDMLKSGRSGWTPGPGTRFNMKAFQDPTGTR SGTTNATGGHFIREDISKFDATFFGINPVEAQAMDPQQRLMLEVAYEAFENAGITMDA LWGSNTGVYVGQWASDYHEIATRDIERPPLYLVTGTGPAITSNRVSYVFNLRGPSFTV DTGCSSSLVALHQAVLSLRNRETTQCFVGGVNLLLDPQRFHYQSRLKMFSKDGRSFPF DARANGYGRGEGVTGVVLKPLSVALRDGDPVRAVIRNSVLNQDGRTPGISVPSAVAQK EAIIRAYRQAKLDLYADYVEAHGTGTKVGDPIETSAIAAALTQRRSPSRPLPIGSIKG NIGHTESAAGLAGLIKSVLMLENGMIPPQVNYETTNPDIHLEEWNLRIPTKLERQTLR RISLNSFGYGGTNAHVIIDAAHEAISAFGRLSLSRHLQLSYHSEKPRVFMVSGASEKA CQRVCARLARYLVVNHRNSINPDALLARLAHTLAKQSIHAYRVIFVASELDELIKQLI TASHSTITRREKFGQHRIALIFSGQGAQYAEMGRDLLKSYPSFVRSLERARQQLSRLG CTWDLLSELCRPKADSRVNEPAFSQPMCTAIQLALVDLLNEFGVSPSAVLGHSSGEIG AAYAAGALSFRDAISVSYYRGKLASELLAENQSPGAMIAVGAPPDIAEQHINKLGTDV GRMRIACFNSPSSVTVSGDVAAIDRIKEVLDTEGLFNRKLITHGAAYHSHQMKLIEDK YIAALKGLKAKPVSSSIRMFSSVTSKELDESTVLDGGYWAQNLVSPVLFSQALRTMCE QDYNGLPIDTLIEVGPHSQLSGPVNQILKTIPGPHGQASYTNTLKRGDDAETALLRCL GFLAIKNGSVRLCDLNKDSKDSDIQPLADLPPYSFDHDRSFWHETRLSRDYRHREHLP HELLGTLSADVNKLEPRWRRFVSLKETPWLRNHIIQGLITFPAAGYITMAIQAIRQHM HTANPASTIQFIRLRDVSFGKGLVLPDENAEVEISLSLRPQARTARESSGIWNEFRIF TVTPDQKWTEHCRGLVQAEVDSVEGFRSIFTPADISRIDSECTHGTIPQKFYAVGKRN GLDWQHPFNNLHQIRSSKHSCVATARVPEYEMPSGGMEDLLHPAVLDSALFHGLSTVI YLEDGRSSAYVPTFIKQLWVANRHVAPGSYLTCSTIRRNEPLVFDLHTKDEINQMAVV AQGIRVTSLGGDVAAGVSKREACHTQTLVPYVDAWTTEHRDQVCRATIELGSLMETNR ALDAITIHFAQNAIREISLNDIQETHLQRYFQWMGTLADETYDNILLENKPEDLGVIG EAIAILGPHLVDILKGKTSALSLLTKNNLLSRVYTEWCSSRLYPQMSAYCHELGRFNP QLKVLEIGAGTGSATLPILKALNDCSGRFIQRYDFTDISPGFFEPAKERLGDLANVVE FRVLDAGRNAQEQGFEEGAYDLIVACNVIHATPRIDETLRNIRPLLKPGGKFMLMEIS RYTLYFNIVFGLFEGWWLGYDEGRTRSPLLTDSEWCQRLEKAGFAHIEKAFVDYPHEN GGSLSVFISTAPFPRRNESLPIHLLTDSNASNATEEQAQEIQQACQTSVALLPITHPC QHGGVAILLPEIAKLLCAEPDVNVWNSFKNWILKSRAVLLVSNCTMADSSHAETGLWA GFARTMRLEYPNLRQVVLDIQTPNVPVMSKLKEVLPIILNSSSFDLDCLSSEVENEFT EKDGQLFVSRYAYRPDISRDVDLTSRQAASEPVPFVSTGRILTAELGVPGLLETIRWK DDIECPPLGPDDVRFELRGASINFKDVLIAAGQLEGITEMRNDCSGVVVEVGENMKHR FKPGDRVCALYSRSYTNYPLVHGDCCQVIPDSLSFAEGASLPIVWATVYYGLVDKGSL SKGEKILIHSAAGAVGQAAIMLAQHLGAEVFATVGSEAKRDLLHAKYGVPYDHIFSSR TTAFYGEIMKSTGGYGVDVVLNSLSGEMFRESCNLMASFGRFVEIGRKDLMDDALMPM EFLLRNITFSYVDLTAIIEQRKPLARRLLHDIADLAASGSIRPVTLTTMPISDIEIAF RQIQAGKHTGKIVLTVEENQEVPAVPSMPKQARLHEDASYIVVGGLGGLGRWLTTWLA DHGAKHIVALSRSGAKDADSRTFISNIRGRGVNLIAPPCDVVCADAVVALAQELKRSE LPPVRGVINSAMVLRDTLFDNMTEDDWRTALASKVRGSQNLHTTFKSLDFFVMMSSIV AVRGNYGQSNYSAACSFQDTFVRHMVQQGEPAFSINIGPIRDVGYVSENPEVAEALRR NGLGSIGVSDVLIVLNHAILNARGANPSTCVASIGLIASDDESENGRDFLMTDRRFSQ LVKHNGSKQKSAGEALDAITLLSAATQLDEAVHIVTNAILNQLSKLIVTPVEMLSPAQ SLDSYGVDSLVAVELRNWIGAYLHANVQLMVIRGTGSISQLAAIVAKESRVVKL ANIA_02548 MEETNVKLSVPLSEDVIKLSALDQQIMRFYAKAVFIFERDSSKT SIDIVHHLKQGLAVTLSEIPDLAATIAPVPNSHRKDLELRIGPNSGVPFKVVDQTKQE SWVYGTYPDLAAKHFPTSDIPHDILFIPQPQPSADGLPAAFLQVNIIDGGVIIAISWH HSVCDARGISILIDAWARHTATSLANGKPDLPATPAEGSRDRWRLDHGLREVTIDQLP EYTIDSSAREDPSGSYLLDRENPVTVPYSVSTWYFSASSLKALRDALAQVENDESTQF TKVEAVSALVWKHMSIARQLDRSNPDGSSLFTTRLDFRARTKPPFPDTFIGNINEPTA RVRLPIAEICRASTPESLTTLAEAVRAATENTTEQSMRTLIGLVNDAPAVTDVAWKYN YFPGPDLGVTDISNIDAMKKNWGAGLGTPTCVRSYSRETGLLYLFPQDDDGGFEIQVQ CEVEAVERLKADETFTRYCEFKRASAYNA ANIA_02549 MVFSSPSWVPRIPCEIPDSIPVGQFALEGNTSLPPQCGGRPPFV CAITGKSYSTKVLVDRVEFLSRSLAQELGWSPNEGEPEDKVVGIYSWNTLDFFALCWA VHRLNGICLPLHPFSIVPEVVAHMKRAKCRVIFTCQSLVANTLEAARELSIPGDKIYT TALPEAYLQNPEPIDQFKSVDQLIAEGEKLQRLPPLQWEKGRAKIQVAYYCATSGTSG KQKLAKITHYNFIANVMQVCMHESYAKNGRNEIAFGAIPLTHGYGLNIGHIMVYRGDT YVICPRFDMQLMLKTIERFRVERLYVVPPILAALAANPFLLDLHDLSSVQATVTGAAA LDRSIAAKLNKLRPTWKINHAYGLTETGVVATLTSPHDVWHGSSGSLLPSFEIRLVKP DGTDAEGLDEPGEVHFNSPSCFLGYVGDDESNKNTFDEKGWLKSGDIGVFRKSPNGHA HLFILERIKDMIKVKGEQVLPRDIESVLLSHPAVIDAAVIGVPDELSGERAKAYIVRS KTVMEDLDEDDLADEIDEFVQGKLHESHWLHDRIVFLEKLPKSESGKVLKKDLKAMN ANIA_02550 MATGMSPIIRNKMIASIHSTHTYLKPPKHPQLHDIGHAAVSDIS GEIILPIVLLVPDDGVSLAISVKSQLLQRLPERLLQGNIDDRPPNLRAIFAVSSSRLC WHYFHAAVLEGRGLAAKQNRPRHYKDVEHVLMTMDVQSENEYY ANIA_10325 MSPLECVEPAIQRSSIADSPRDRLEQSHREPSEAFSNQEEAEAG SYSGESEPSGVRHVGPCHLGLALNGLLERHTEGPWEYLPGGHHPVHLGDRIGDYKQFK VIHKLGSGGFGIVWLCSWVGTDPTIYVAIKILKAEWSGEDCREQENIRWLQGIADRDP DVEEWCLLPWQEFTLDGPNGTHQCFVYEVAASGLGNISHVVDDVDVFLRKLTRQAAEA MSVLHRHNICHGDFRPSNILLRVNGLDGKSEREVIDILGEPEGAAVVVYKNAPPNAHP PRYILNPVSWRSTSSTQVCCHQIRIADFGESFIAGNPPPHGSGIPFQYAAPEVALDEK AGKESDIFALAATMYEIRFGNRLFRIQEDGVEAYVHFMVKYCGRLPEPWWSRWKETWK KVTEPANEDELQDESTVKLWHIRKAVCEPIGHRVSTPESWAYPHKLLGRNGVIGCDEL IPVKEKIYLADLLYRMTALDPRERITIDEVLEHRWFRYEAENAEPARHDKFEERKDDL SRTENSDPDASGNQAIVDELPQAVNPEKPQKVADYNLPQLKTNHTGIHNNSPTAEAET AEGFAIQPALVETDKSMNIDRPKQSEPAEHKTLPSTGASELQLPDEQKAEVLSLNIPL TPAAAAIRLPESGKPQYAAAVTLQPVEDAKLIYIPTNLSPVHEVSESTSSAAESFRSV KAIKSIPTPTDSAQTEQDSTARSAVVDGPQQVDEKPLLKDKQRRRQSRLRSVSVWLRS VGRAARALFA ANIA_10319 MESSLRDSSPMAEDSHEHAVLLPRELPDTDRDEYDGFSRQRRHL GLLSTTFLITNRMIGTAIFSTPSAIAASVGSAGAALALWVVGLILAYCGLFIWIELGS LMPRSGGEKVYLEAAYPNPPMLVTTVFAVHIIFLGFTGIGSVVVAENILLALNGSATD WVKRGLAIAVLAIIATIHIRFSALGVKIMILILFLIIVAGLRALRGDLPQIPDPASSL KSPFTGSSSSVSRYTNALFKILATYQGWSNAAYVLDEVKDPRKVLKIAGVVGVGLVGI LYTLTNISYFIVATPDEISKTGVTVVALLIGKVFGDTMLWLTATLAALSSFGNLMTAS FTMSRVVREFAREGIVPYSRFFAATTSSGSPSAAFLLVFLSSAVMILFIPFGEVYNFL LDVSQYAIALVNVLVVIALFIIRRKQPHRRTFRAWTPVIYLFLASQVFLLVTPFIPAA LDTGRALPPWLYSVVALLVFFGSGVYWFMSWEVLPRRGQFVWTARESVLADGSSVVLW DKVKIN ANIA_10320 MENPIFVFIPGAWHTPDTFDRLRSLMADQGLESIAIANHSLSTQ HSNSAHPISGLHLDIKHTKAALQKLVNHGREVVVVMHSYGGAVGASAMEGLGYAQRLK AGLRGGVIMAVWMTAFVARKGQSMLDLLGGNWESWMRFKNDDGYVYTSNESTVFYSDL TLEEQQKCIALLKPQPMASFTEPALYEPWHDIPCMYLFCDKDLGIPLAAQKSFAEKLG TPVTFHIDASHSPFLSQPGKVIEGIRLALKAGREQSGFAN ANIA_10326 MKFTTGFFTLALAYSAFAEPIPKAKRALSDYTGVFDAIGAQVAT VSSTVASYVAGSTSGSAVQDASTELVTIINDGAASVAGFDSLTSLEALQLVAPIQDLT GDISDLIDAVIAAEPNFVADGLDGDVLTSLQDQKAAAEGLRDAITPKVPAALEDIANE LSEGIVAEIDRGIAAYSD ANIA_02553 MQTLTTETRVAKRRRRRIPLSCESCRVRKLKCNREKPCQNCTAR GEESACIFQRSTHGALSSSSIEQNGHSMRERIDRLEEMVKRLISCNQSDPSTVAPSSN GFSSGSSALRGVDARSSTSISALKAAWDEPPNESVVSHTLTNSVDGTSLLFGHVQRVD ILEILSSLPPRNEMSKLIQWFFDRKNFPISVPPIIHEPTFIREYNTHLNNPSQSNVIW IGLLFSILGIITLAMQLGEQGYQKEAEERFHLYRLRTSQCLLLGDIAKCLPYTVETLR FNATAELNRKDDNSRGLWIMTGVIVRAAVNMGYHRDPEHTPAFSTIEGEYRRRIWLSV KSMDEVASFLSGFPRMTPAINSDAKEPLNVHDWELGEDIKSLPPPRPLSEPTAASYLI IKGRVFHALGEVIDFINGPCPDDYTKVIEIDQLLTKVWSDVPIHMRVDANDAKYSSPR TPADYSKLQLACMYHHGICTLHRRFIRKRATYQPGDISRSRCLTSSLALLEYQRLLQP SWYAYSRTRQMLAPAAMTIALELAIRRRESGEFSAVESDTLIQALEVSVSLWKNASDS GDETSRVYQILDDMLRSYQAGGEQGATKPETYHSSDRGGSCGYDYGLFDESGIDWAWW DEWAKDSGLWEDQAILF ANIA_02554 MSEYPQYYSNDLQLPHIEKATLRRTLQVLSSAVCNGVNVIESTA PASNEYDGRGVYTGDLAQQKRVLEDNASSLPDFLSLARARIPTRGPDIPLRIGGLSPL ASRSPIAAVVLRILHKCATGRVETISAHDIECLDNAVHLALSHGSTAFYHGHDLGADE ILFGRAGLLWALLNIRAAATDLPHAQMEVFQPIISQIPEIVRSIIDAGREGAAKYKRS HGKVDALPLMWPWKPGYYGVGWAHGLCGIIPVLLACNLDELDDCLPEIGGTISALCRI CIAHNGHLPTKIPLRSSSRQSLLVQMCHGAPAILGLLGCALKHTELVLEHWEPNWNRA VCLATDRVWEQGLLSKGGGLCHGIAGNAWPLLLLHDVFEDSSAVLDRARLNYTTRKSD LAATEGLLSGDFFLSRAIAMMLYARETPPYNASLETASNNYRMPDNPFSLFEGLSGTV CAWAEASIVVKARLRKLEVGDEDIDQDPMFRRCRQKQLGFPCLGGNGATGVL ANIA_02555 MGVGNVLYAAAVAVSLCTDYVAASSPHGRFGQRARDSVNLAKRA SHLHNATASGKLPKSDFRFLTDKTSPFLVDHLPDVHFDVGELYSGLIPIEKGNDSRAL FFAFQPKLGDPVDEVTIWLNGGPGCSSLEGFFQENGRFLWLPGTYQPVENPYSWVNLT NVLWVDQPVGTGFSVGKPTAISQEETAEDFVKFFKNFQQTFGIKNFKIYVTGESYAGR YVPYVSAAMLDQNDTEYYDLAGALVYDPCIGQHDVVQEQIPAVPFVQANANLFNFNSS FMAQIEEMHQTCGYADFIDQYLVFPPSGVQPPKYFNYSSEADLACDVFDLINNEAFAA NTCFDIYEVNLMCPLQWDVLAFPTELTYQPDGAPTVYFDRPDVKRAMHAPQSVEWSIC SNHAVFMGGDAGPESEGDISANPIEHVLPQVIEATNRVLIGNGDYDMIIITNGTLLSI QNMTWNGKLGFQERPSEPIYLGADLPDLEWAEVRAENGLDVNQPQGTMGVQHYERGLM WAETYQSGHMTPEYQPRVAYRHLEWLLGRVEKL ANIA_02556 MALLTTLGLTLGLTSTPPGLTVPNLGPAALAFNFIFAYGLLSSR TLKQYYGIDHNVSPREDIAKYGDAAVQSGKISKKTLDIIKRNESAHANAVENYALLVG AVAMATVAGVQRVSINRAVVLYTLARTACRTSEECAGGWAIYAA ANIA_02557 MATKVLGQVPSLFPHVKPDWWKEAYNYVYLWADGDCVEDPAITE AECRVLLQIPRVRNLLVYPRLATSSPLRVLDLCCGQGRHTINLAKRLSSVQFTGVDHS EYLISLAQKRAQEIQDAEDRSCNIQFQVGDARQIPAGDGEYDLVVLLGNSFGHGSYED DLQMLRETYRVLKPGGVFVLDCVDGGWMRENSTSSGWEWLDPDTHFISDQEARGKQLV VLRERELSADGRRLANREIVIDLQGPAVHQDLFYAVQLYDMHEMEALLRRAGLCKQSY ESSPITAPNSNSSSQRAGDLGMMEHRQLLVAVKPDPLDPQDADTYIHPSAVQDYDPQK GRLVRVTAPVPAGTVIMADAPYALVPAVPPASNDALICSNLRCRWRIPRDSSTCIRCP NNCFQDVIWCDDHCKATGQAHHDFECAWLKEHGNQIRQEEGEDELAMLWVIMRMLAGR RIESDLIRRSTIGEDSSPYLWSDRFKRGFQAMKDMRGNQEAWPDERIARWKDLIQIYL HDRLSGVANDEELLSLICKEESNSFGLYHGATGPPDSLQGQQRGPQYGLACYPRATLC NHSCVPNLKHGPDEQSRMVLTATRDIAAGEECCISYFDLTVHVDLNARRKRTRELFTF SCTCERCLREEAKA ANIA_11348 MAMTPKDHGKRNRPYLTVLPLAEDLGLEVDISCDRDDPECVKDG VDNFDGDGNVLICWEHDALTDIIEELGDDDAPEYPEEDRPLSVDAPDEIGK ANIA_02558 MAAVEIKTPVVTQTALPIHVHDPAQVPASSPAVSIETNSKSTTR PAFQIEEHPIDQVRPIKVGVIGGGLSGITAGILLPVKLPGLDLRIYDKNADLGGTWFE NTYPGVRCDIPAHVYQSNFEPNTKWTEEFAQGHEIREYWQGVARKYNVYQYVRAQHRV ERAEWFGDKGKWKVTVRDLKEDKGQLYEEELDILLNAIGHFNAWKLPDYPGINTFKGP LFHSSNWDHSVDLKGKRVALIGNGASGLQVLPSIQPIAAHVDHYARNRTWIADSFGSV GVRRLEPNIIPQEQIDSFNDPDTYLKYRKSIEQGYFSRFGAIFRNSPININLRETWTK LMLSRIKDKPELADKIIPDFPPNCRRPTPGPGYLEALTKNNVEYIQTHISHFTETGIV TKDGVERSVDVVICSTGANVDHCPPFSIIANGLDLKTAWRHDGHFGFPYNYLGVATPG FPNLLWIGGPNATGHGGSVPNSIENQIVYIAKIIRKFRSQGIKSFAPSKAATDDFIEY SDNFFPRTVWTENCSSWYNGGRPGARIHGLFPASAASLNYIRRDPRWEDFEYEYTNPS GNRFAWIGNGWTRREMEEAEDLTPHLKKPQDIDLRTYLETWVDV ANIA_02559 MTTITTLTATLDGKRPAVYEYEKITIEQRENVSSRAPIQPQTQT HPPQTQPAKTPSLTQRALLVHAAQQPYALVTDHAVPAILHKDEILIKVIAIGLNPVDW KGPAYNFGLPSLPWVNGRDLAGVVVETSDQTEGSRLRKGDLVLVPSTDYRDIRKAAFQ EYAIATHYNAAKIPSPTHTHAAASVGVAFVASVLALGVSLGVDFGGIQRCPGPNLPYV LSKVEEESIPLDIRDECFASRNTIERPRRGDWIAIWGASTTTGLITIQLARLAGLKTV AVADIARHGKKLHAAGADILVDRHNTSRAVEIIRGVTGGKLRYAIDIVGKETATLLQS TLDPSISENRSHAHLLGLTGLPPGSDRDERIRYHTVPIKLFHSSPEVGESMVSWLERL IESGTLELPEIIRAEGGLEGVNEALEMLKRGDASGKRIVMSLD ANIA_02560 MYPLAPDIRSGFDSSVTHERRRELAPIVSTSIAMEAETQPLLQA PYECRAESDIEPSTGRDGLPTATPGKYLSWTSAYILVVSRVIGSGIFATPGSIVKSSG SIGLSLLLWGAGTVLAACGMVISMEYGCMLPRSGGDKVYLEYTYPKPRYLASTLVAVQ AVLLGFTASNCIIFAKYTVFAFGGAPTELTHKLFATGLLTLITIVHGRFRQTGIWIQN VLGWLKIFLISSISLTGIWVILLRPSGIESGAGAASAAMDQGLMNWDTLWEGSNWSWN LLSTSLFKVLYSYAGLNNVNNVLGEVRDPIRTLKTVCPAALLTSAALYLLANLSYFLV VPLNEIKQSGELVAALLFDRLFGPRVGGTLFPFAIAVSAAGNVMVVTFALARVNQEIA RQGFLPWGDLLSSSKPFGTPLWGLIVHYIPSILVITLPPQGDVYNFILDVEGYPGTIF GLAITVGMLILRYREPYLTRPFKAWLPAVWLRIVVCLALLVSPFIPPPGHKGDVEFFY ATYAVVGTGVLAFGVIYWYVWTVLLPRWGGYKLEEEEKVLDDGTAVTRLVKA ANIA_02561 MASASVLPSQAQAQAPAPLTTPSIQTQSSQPHHVQTTLNFFKDH EDGTGPTPNYVGDVESYRKQPTETVPVTITDVSGHELDYTLDGNGFQFYYHSSAEKDF VDDEQIKHVYYPETEQLLKDATGASKVFIFDHTIRRQANDSRAGTVQLRGPVQRVHID QSYIASKNRVTYHLPDEAERLLKGRYQIINVWRPIRTILKDPLAVADAHTVPDSDLVP IKLIYPDREGETYGVRPNRDTKWYYRYGQTPDLVTLIKCFDSKTDGRARRVPHSAFVN PETVNELPRESIEVRALVFHENDTELIYNLVMNVLMGDKKLGPNSDHKPQTSRVFFTS I ANIA_02562 MTDEEVATSDSITTPRKYPVKWYRSTYFNALILGLCNFFAPGIW GAMNSLGGGGASKPYLVNTANALTFCLMVLSCFFGSVIVKFIGIKWTLIVGTMGYAPY AAGIYTQVRYDSDWLTLFGAALCGISAGLFWMAESAIALSYPEPQNQGRFLGFWLSFR VGGQIVGGAINLGVNVHRNTAGSVSYVVYYIFIALQAFGPFVGLLLTSPGKVERTDGV PVKLRIANNVWFEIKAMTKLLLSKKFVLIIPLIWQATFGEAVMFTYNSLWFSVRARAL GSFVSGIMAIVSGNLLGAFLDSKISLKLRSRVGFIIVLGLQGAWWLWGTIVVTDFHKT NPVFDWSDSGDSYGHRDVELRGNRYFIVGNLAKDEEEVVRIASLLRGMESASQAVSYG LSSISIMASVGSIYLNFGLWALALFPAWLIIREIGVSLGDKKVERETRTAREVSGAGA H ANIA_02563 MIDYTELLIPTLKALAGRDDCMVIGALGIRGAKLEGVEITINAK IVDFLLYDAVLKYADVFVSNAGYGGLMHSVMNGVLMVLAGTGQDKAEVAMRGEWAGIA VNLRTKTPTVEALQKAVGRVLSETDFKVRCTQIQRENEQLNCLVQLEKLIDGKA ANIA_02564 MTSHTFIERQETMLGPSASTLSRRARSSLKCTSNALWDVLNDIW HPQSNPHGYVTLGVADNTLMQQQLLFRANSSFEMSGQHLALNDTITGSPRLKAAIADI LSRYLHPSKLLRPSHILATNGVASAIEHCSWALCDPGDGILVGRPYFRGFSRDICLRP AARLVQVSFEGVDPLGISAVSIYEEALINSSKQGCAIRAIMICNPHNPLGRCYSQSFL IEIMKLCQRFGVHLISDEIYALSVWREGQDGAVSMNKFTSVLSIDHDGLIDPSLVHVL WGVSKDFGANGMRLGAVISQGNSDMLESIRGVAQYSSVSGLADCFTTNILEDERFVNQ FIAENNKALAATYEYVVAFMDRHGIPYARGSNAGFFVWCDLLTPYLKLQPASSFDGSE KAKAIKNRELLDKLSRFKVHLGVGDDFGSEQKGWFRITFSQSQEQLDEGLARIIDALR Y ANIA_02565 MSSVNLGQMGDGSGAQVATMQFIQQFYTLLDGESPEAAQEWSDM FADDAEFVTPVQTLGGRQAIRAQREEFWTQFPGLKHQPVRVYVSPFSPLDVVVINSYE FTGKDGQHVLSHTAAEFRLVESKDNRLVVQRLEIFLDPTVLGWK ANIA_02566 MTRVETVDLATTTAAYYAPATITSANSQVIHVAGQAEMTKDETV PADYESQVHLALLNLRKILILSGASVKDILKLTLYIVNYNSASRVHTRHLKRFLAGHR PAITLVPVARLAAPAWLFEVDAVAVRPASAVPRSLTGTANMGKLDVQCCVRASTSRVI SRVYALAKRFGVEILEQNTTGLCVLQDVDGDCSTFEYGELPKVFVLSSSSERYRPDPR YGRSRLPLSIQFVLETQSGIPMTFEAYLWSRSAGKETLATSAVWIRAMLGQDLRDISA LWFIAYCKAGGGLLQMRSDRKGGGQHLRIRQGMQMISKSCPQDDGLLPQAPRAEAAVG RVLQLRLLHKAMMIFRTPFWVEKGYCGLIQSFASPASVIRHTSSLPDKKYILTCFMAS DLGRAWAALPTDGRKAALIKQIGKLFDAKTEAAGHLVDLVIYEWVNDPYSGWGCPCIA LTPGVMDAVGETIREPAGNLHFAGTEAAVRWRGYMEGAIESGERAAAEVIQKLNAASA RL ANIA_02567 MAEHPSITLSALLSAGGVAGYLKTRSRPSLIAGLGLGVSYAFSG YLLKQNKDYGAELALANSVVLLGAGASRTIKTKAKAPVPIGLATAGLLASLYYAKKVK EFRYGV ANIA_02568 MLTHLLPINTFRGHDDHVNDPIFGGDATLHVGVPAAWGFLMFCA AWTILVVVFHFVESGLESRPIIGYVGIVAEAVTVLAWLAGFIAVAVNVLTTDACSSGL NSCAELKAATAFGAFEWLLFMVTATPAVLSFFNNQKRVTSS ANIA_02569 MRLHAPILSLLAAAASTSAAGVTGSAEGFAKGVTGGGSATPVYP STTAELVSYLGDSSARVIVLTKTFDFTGTEGTTTETGCAPWGTASACQVAINKNDWCT NYQPNAPSVSVTYDNAGVLGITVKSNKSLVGEGSSGVIKGKGLRIVSGASNVIIQNIA ITDLNPKYVWGGDAITLDNADMVWIDHVTTARIGRQHLVLGTSASNRVTVSNSYFNGV TSYSATCDGYHYWGIYLTGSNDMVTLKGNYIYHMSGRSPKVGGNTLLHAVNNYWYDSS GHAFEIDSGGYVLAEGNVFQNIPTVIEGTVGGQLFTSPDSSTNAICSTYLGHTCQVNG FGSSGTFKQADTAFLVNFQGKNIASASAYTVAQSSVPSNAGQGKL ANIA_02570 MDLNTPPFGLEHGLESANIALRMTAAAFLCLALFNSLELVLLVC WTFHQRRGLYFWSLLISSIGIIPYVVGTILHNFDLVPLAVSLPIGHVGFICIVPVQSL ILYSRLHLVFYHEKILRIMLFVIITVSIVLIVPNSVSMYGSAFTTSPSWNYSYNVTER LQVTGFAIQELLLSLFYVYSAVLLLRISPEGKSRVKRIMYELLWINTLTIVLDIAVVC LKVFVYSVKVKLELAVLGRLVALTNTTQEKRRNTVRRASFIGPTYDLSDFTNTNDAPI GTDTDRGPVAVGIEEVERPSTGSITEPTPGNADRRSANALAQRIHWDSHV ANIA_02571 MSYTPSTSTSKSPSTSTSAATSAATSAATSANVSRVTSLEHHDG AHAMSDLSKKSHFAKLKKRLAAVKKEWGPGLAAKECWDDVYNFPSGRWAGQGLDPRSL I ANIA_02572 MGALRWLSLAAAASSALALTPEQLISAPRRSEAIPNPSGNIAVF SSSQYSFDTHESSSAWNLLDLRSGKITPLTNDSNVSEIVWLNDSTLLYINGTNAQIPG GSELWVSGLSNFPSGYKAASLPASFSGLKAVTTKSGDIKFVAYAQSYRNGTAYNEELA ETYLSSARIYDSIYVRHWDTYLTTTFNAVFSGTLKKTQHARYASAGSLKNLVAPIPNA ESPYPPFGGSSDYDISADGKWVAYKSKAPDVPQANHTTAYIYLVPHDGSETPVPINGP GSAPEGIEGDSNNPVFSPDSKSLAYLQMKDPTYESDRRVIYIYDLASKKITPLATEWD RSPDSLKWTDKSTIVAGSEDEGSGNLFLIPVKKATGDFIPEKLTNGKYASAFYLASGN TLVVTGSTLYSSWYVDLVSLNPKRGTIKNLVSAHEIDPELSGLGPEDISDIWFAGNWT DIHAWVIYPEGFDKSKTYPLAFLIHGGPQGAWYNSWSSRWNPKVFADQGYVVVAPNPT GSTGYGDELTDAIQNNWGGAPYEDLVKAWEYVRDNLDYVDTDRGVAAGASYGGFMVNW IQGSDLGREFKALVTHDGTFVADAKISTEELWFMEREFNGTFWDVRDNYRRFDPSAPE RILRFATPHLIIHNDLDYRLPVAEGLSLFNVLQERGVPSRFLNFPDENHWVTSPENSL VWHQQVLGWLNKYSGIAEDNEDAVTLEDTVVPVVNINPPA ANIA_02573 MEQLNVGGPLSRWWSHTTTTYSPTTIEFFGSAAVLFIGFWVPSL IFTWMDTLGPKHIPWRKIQAPARQPQYAAVKKGLVNSLQNQLLTSVLHLAYLGMLHFF TSGAKTTIYNVDSALPSPTRMARDIVISIVLQDIIFYHSHRGLHHPKIYRHIHKKHHE FTTPIALAALYAHPVEYFLSNILPVALPPALLGAHVVTFWFMLTWALLLAIIAHCGYE LPPIYGWNMEVHDMHHELFVGNFGTIGFCDVLYGTRLTDSKATRVARDRLKEDTTEDT ITAKNISSSAFNPLQRNGLGLEQGDWQGFFSHHTRHH ANIA_11349 MPNIIEGLGLKASPRTPMRFDVVGFTPHRSLEAAGDIMPEILVV GWLAANEELARSPDTIEHTGRHHRAFTHE ANIA_02574 MRLTPAAAAASLLSLSAPELTVATAAESYSADQCCAALFSSSIG DKVVFPGNAAYRDSVTSYWAVNVQLEPTCIVQPQSADDVSVAVQTLAGAGGNSRCKFA VRSGGHMTWAGSNNIETGVTIDLSLMNSTIYDKEAKVATILPGSRWEAVYKTLEEYNV VVPGGRTGPVGVGGFLLGGGNSFHAARVGLACDNVINYEVVLASGRIVNANNNTNVEL FKALKGGSNNFGIVTKYELKAIDNAHLWGGINVFDNSTTNQQIDALVKFIDNIENDPY ASWIGLWQYNSTTRKTLISSPWDYTKPVAHPAAFDDFSKIPRISSSNRFATLYNLTSE LQQAAGYRWTHPANGNSDIFLTSTYLNSAAVLHKTIEILNKKIEAAVPVAQGKDWSIM VIIQPWPKIYWQRNQNNGVGNVLGLDRFDENMLQVLYDYSWDNAADDELFQRLCREAM AELDEYAKSIGKYNEYIYLNYADVSQNPLRGYGDENVEFIRQVAERYDPDGVFQSQVP GGFKVSEA ANIA_11350 MSMELQQLMIAAGDSQIRCSVSVQPRTARGYPAEQTTFQPGRPL RQSGRA ANIA_02575 MAGTQDTYFPGAVPVPEGETYDPDFSHPWLYTESRAIVIAGLIF STLSLMLRVYTKAALLRKFGWDDTLRHCNAGPLSLSHCSLVQDGYAHGGIGLHIWNIT PQMLLDFQKGVFAAGIVYVPSLALAKASLIILYYRIVGQQQLYRYALYVIAAVVVGYS VAITFALIFACRPIERAWNMALPGTCVDQNSLYIATAITNTVTDVALILVAIPVVWGL NMPVIQKIGLFFMFVVGCANVEANFIIICACLPFMRHFLRRYAPKLIGEGSSAGRYFK SYNGGASTTRSWRRRPEHTVLQDEIELAEHGSVPDNESGVRIMKEVQWNVTEERREGS PAPDEMHFQEERVKHVLPGI ANIA_02576 MAETKGKKQIILNAFVMNTPAHMAPGQWRHPRNKTADYTKLSFW TDLAQLLDDAGFHAMFIADTLGPYDVYKGPANVVPALASGAQYPVNDPMYLVPAMAST TKNLIFGVTASLTYEQPYSLARRLSTVDHLSGGRVAWNIVTSYLDSAARNHGLDEQIP HDERYNRAHEYMDVLYKLWEGSFRDDAVVKDRESGVYIAPDAVRQIHHVGKYFKVPGP HFCEPSPQRTPFLFQAGVSEAGNGFGGKHGEAIFVAGQTPEGVRSTVESIRRVAASEG RDPNHIKVIVGITLIVAATDEEAYAKRDEYLKYADHEGVLALFGGWTGIDLSKYEDDA DFRFADETKVASIVKRWASTVPGTDNLPWTKRRIVEFLSVGGIQTKIIGSGKTVADEL ERWVEISGVDGFNLAHITNPGSFEDIIEFLLPELRTRGLFRETVAKEGATARETYLGV GQVRLPEDHPGTTQHGEFNPKLIRCTMAYPT ANIA_02577 MSGPGAGHEFPAKEVSWLKRDVLLFANSIGATSKELHFLFELHP NFAVFPTYPIILPFKLTDQEVTDFYARSKSSPIPGVPSFDPKRVVDGQRKITVYKQLP TTSAGRKFELRNKVVGVYDKGKASVVETEQTIVDKESGEVYASVVGSAFYVGQGGWGG PKGPATPNYPPPKDRRPDAVREIQTTTETAQLYRLNGDYNPLHATPEPGQKMGFGGII IHGLYSWNAAAHIVLEAFGNSDPANFREFQARFASPVKPGDKLITELWRTGEVIEGFE EIRGMKVSYTLGTAPGLGLSAGDHPHYCVKPAILLQPTKG ANIA_02578 MKLTAPSLLALAGVVSATLHLDLNLGGSHPHVHASNSKLGAENP HAWIAAGDGDARSPCPMMNTLANHGYIHRDGRNITREGLANGLVDSINFSQELAESMF DNVLAMDPTATNFDLDSLNAHNVGEHDASLSRADAYFGNNHVFNPNVFAQTRAYWTHP TVTAAMLANSKIARQLHSKAFNPAYTYTEKTEQTSYTETGFPILAFGDIEAGTVNRDF VEYWFENERLPSEVGWTVREEPVTPEQLAAVTQMIAEAVKLVTGEKKQ ANIA_10321 MEEKAEPREHDLPRSEVTESSPNTSSSRPQSPDLKQHPLLRALL YTPPACRYTPSAPPNFNLWLNGLFAFAGTFTVANLYYAQPLLDMLASYFGVSQERASL IPTCSQAGYAAGLIFICPAGDMVRRRPFVLLLTFITATMWIGLCVTESYGVFLALNLL CGMTTVTPQIMLPLVGDLAPPARRATALSIVSSGLVLGLLFARLLSGIIAESSSWRNV YWLSLGLQYLIFFLLWLFMPDYPSTNTDISYFRILGSIAVLFTKHPVLVQATLMGFLT SATFTSFWTTLTFLLSGKPYNYDTLTIGLFSIAGLSPMIFNPIYSRLVIDKYVTQLSI TISLLTSMTGIAIGAYTGAFTVAGPILHAALLDFGNQATMIANRAAIYAVAPKARNRV NTGYMVGAFVGQLMGTAVGNRVYAEHGWMIAQTVSLVFAAVALGIGLARGPREKAWVG WTGGVYFRRVHEDPSSSGSPASDGSGESGRTEGQARS ANIA_10327 MGQIQLPPSPPVVAAEPSTLDELPSYDEVTRPIEPYHDSESDDL LSPPPDAYEIPGQQTYQSIRPTQRFSGSVTLDPSYSSDPRMLESLIESQTRLPPRPCL SIHGSHRETRRSGNETKNENVTDFDFRIDLTRTILRWGRNEASGPSVRWSYTTVVSDH DGQKAYRGGRIRSRAGNAKPGRIALGDAVEGERLMELESQEDYPGIRGWCERFCSDPA PVKSFTYRRTLHGFDANPMRTSLTSHIRSTGYQGHIVINPVIANGFVTVYSPHWINTL RNNAYVYWICIVLQLWLITWPIIWFMERRYEVVRSEWFSSKTVADASTPGGSRKCYAA AGMDEAKAAELWAPVVREAAWQRRAGGCILGDAEIETLRRQGIERREQLGEGRDLLRR GQNLLGAMGIRSIGGVNVTGAWGGDSSSASSSRFSIRMG ANIA_02580 MLRTYGRSLDILSSAMWRSDPAEPLLRDMLRFIADVIPRFYGPF ASDMIRKAIIEFISACAGLVLFNLYIKFFETEYAGRITLALMAPGFPYFLRRKTGMAE SYAFFAFPESLFPKSMFIPVYLPVIPGLVRYNNFANDLLSYCKESILGGERFNYTVSY ARTNDHTPMESLRETASSLNACVANTRATLADVGSKDLHGAVTKLFSGYAVYHYSAAR YRLSELEIPELEAAKLKLLNSSDGLDFGQSLDLEISKIQTLDIRPAGSNRETPAVSYA M ANIA_02581 MAQEREFYKYCQLPQRPGLLDRPPVSPRTEQNVELLPQSSQDTT LTALAQLGALRLNCQRCMISLFDRRTQYILTEATRTLSLQDDRVHLDGDALWLGSSVI PKEDGICHLVCQESSLNASQCESDPACGLVVPDLTKDDRFNKRQYVVKAPHLRFFAGV PIRSRRGIAIGAFSVSDGKTRPSGLTSLELRFMTDTAAAIMNHLEMVRSHEQNRRGAN MIAGLGNFVEGGIFPSSSLRRRSRLNVDNETAAKDDSPRNSVLVSRRIHARSEQSTAN DRAQREKSPIPSVPESKESNELQPLCEPSTPKRKRENEVTLTSGTREIFGRAARILQE SLDVQGVVFFDASVKSYAGLVRNSDDRPSDAESSSGSSEAPEASSSDSDNPATGHSTT DTDDFSTSDVLGYSLEDPEQPMGAMRETFLRSLLRNYPQGAIFNVDENGSISSSEGSD SSSGTSVSREIVYRRHEAPKVQRRWKQQKRHRLSLKEENKWLLKIFPSARCITLFPLW DSRRNRWFSGLFLWTKSPRSFSLGGELAYLYAFSNSIMAEIHRLDIELANRAHATLVG SISHELRSPLHGILGSTELLGDSDLTPAQTALVNTVEHCGRSLLDIINNMLDFAKINQ FARKSRSSRFKSSSPRRRQHRFLMPAKGNGVVNLISDVQLDAVLEEVAGSVFAGHCFS SKNRTLALASVPTLDREGELVMASPTERPLSVFNESLTVIYDVAPDFQWLFETQAGAW RRVLMNLFGNALKYTQSGYILVSLKSSTPATAKGRRLSRGESADTATVTLTVKDTGQG IDKEYLQSNVFKPFSQENPLSPGSGLGLSIVHQTVVSLGGSIDIVSTKGTGTEVKVRV DLPRTPKSEATNGDQNQHHKAVTETRELVRGKSIGLVGFGTPAEHNEEALTLLRSSLQ RMYQDYFGMEVGFASPGNPGSFDIYVVRQTYLDVADEIFRNVGTVHGKRPAVLVICST PQIAQKLSSTASQRRSPAVYEFISQPCGPCRTADALRGCVNRRETESPRPSPPLTSET IDSYGHVTVIETANSGASGMPYTGCATNGYRAANGIPQDTPQVHTNQRANGLTGLKIE TLKSPASPIALSPKTLALQNGKTVSTGVEVQLSPTSAVTTITTSAVEEGDGAVTEISA TLEASHIVPSVLLVDDNSVNLQLLVAVMKKAKFSYFTACNGLEALEVYKAHAKEIHVI LMDISMPVMDGLESTREIRLFEKTQRESPAFKPATIIALSGLGSASVRQEAFNSGLDL FLSKPVRFQELTTQLGELMR ANIA_02582 MLSLPLILAAGSLSTLIAGAPVPSNPLSGHRHAERHSHALSHAR SLDKRAYNVLGGNGKVAAGWPDHDNWWPDFDEMFEANRDTMRQSCTQWNVPNNSDDEI DNISSAIKEVSESSGVDPRFILAIVIQESNGCVRAPTTNYGVTNPGLMQSHNGQGSCN NGEVLTPCPAEQIKQMIKDGTEGTSDGDGLKQCLEQAGGVGDAANFYAAARIYNSGSI PASGNLGQGIATHCYSSDVANRLTGWSTGPSTCEDNVIGSLDKAVTSIFRFTSSLVGG SDSDSSDSDDSDSGSTESSTSTTTAAPTATEAPTSTTEAPTTTEAPATTEAPSTVTST STTTSTSTSTNTVTYTLNGSPTDTPAATSSASTAPAAPTASSGSSSAPIYPYANSSCT QYYTVMDGDYCLKVEEAIGVSLSQLRELNSGLKEDCTNLWLGYQYCIKA ANIA_02583 MAPSINEIPISDSPSACRVGINGFGRIGRNVLRVALARNDIQIV AINHTCTSVQDLIYLIRYDSSMGKLSPDIPIHAISETQISVNGRPIALTSERDLKKLN WAALGAEYVVECTGKFTKRELAQDHITYGGAKRVVISAPSSDSPTYVYGVNADEYRAN EETRVISCASCTTNCVTPVLKVLQREFGIAQGFLTTVHAATKSQTVLDGYSKKNRRLG RSVFDNIIPTTTGAAKAIASVLPELTGKVTGASIRVPTPNVSLIDLTVSTETPTSLAE ILAAFRRAAKNELAGVLSVSDEVLVSSDYLGDSHSAIVDAAACSELNPTFFKIMAWYD NEWGYSSRLLDLTRHIAHNE ANIA_02584 MSDRVTTIFGARGKLLHSLQVLLIGAPAFVIFGYNQAGLGPLAT LNSWVATFPDIDTVHTTGVEKSENSTKKGAVIAAFQLGALIGALSTTFFSDRFGRRMS IFIGAILTIIGQVLQVASYSLPQFVVGRIILGIGTGQFNVAVPVWQSESSAAKNRGQH VIIDGMFMCLGYALCNWIDFGLSRIEESTTQWRVPLAIALLPSLMILFSVFLFPESPR WLVQANRIELAERSLSALKGDEASPEEIRAEIAGIQTSLELTSHAKASLMEIFSKDDQ DKLLYRFILCMMLQFFQQMCGGNLISVYASTIFEENLGMSESLSKILASCALTWKFLC CFISFWAIDRLGRRICFIVSGSGMACCMMAMAITNSMGEDNKGASIASAVFIFLFNCF YPIGFLGGNFLYASEVAPARLRVAMSAFSAANHWLWNFVVVMVTPVALDTIGYKYYVM YTVLSACIPISVYFFYPETMNRNLELINQVFRDASSPWEIVSMARKLPQGEVAEAQLA AIHKKDGTELEMKEEA ANIA_02585 MGGKVGGLSFGYDQGVISVTLVMEQFLGRFVEVAEGHPGSGFWK GLMTAMLELGAFVGALNQGWLADKISRRYSIVVAVAIFNVGSVLQTAAVDYAMLTVGR TIGGIGIGMLSMVAPLYISEVSPPECRGALLVLEEFCIVLGIVIAYWITYGTRFMAGE WAWRLPFLLQIVPSFVLLGGVIVLPFSPRWLASKGRNDEALQSLSQLRRLPTTDHRIQ QELLDIKIDVRFHQELNAEKHPTLQGSGTTKSLLRELAGWADCFKSGCWRRTQVAVLV MFFQQFVGINALIYYSPTLFKTMGLDYDMQLLMSGIINVTQLVGVCTSLWTMDALGRR PLLLWGAAIMGISHVIIAALVGIYSDNWPAHRTRGWTSVAFLFVYMLAFGATWGSVGW ALPAEVFSSFLRAKGVALATCGTWLFNFIIGLITPPLIQDTGYGAYVFFAVFCILAFI WTFFCVPETSGRTLEQMDAVFKDNSISAERARRQAIENELMGEHHGVTA ANIA_02586 MAFSNDAANGTENTENRYSSFAGVSRGNHVKFHVAGCAYFWAVS EALLKAKRSIWIMGWWVSPEVYLRRPPSENEEYRLDRMLQAAACRGVMVNVVVFKEVA VAMCLDSHYTKRTLEALHPRISVFRYPDHIPGEGVRPSQIGSYSARGDAGIQEIGDEA LQGLFEAAGLRSLFWAHHEKLVIVDQQLAFIGGIDLSFGRWDLIQHPIADSHPCNARQ IVFPGQDYNNARVKDYEDLKHWEKTGLDRSTTPRMGWEDISVSMTGPAVVDICQHFVD RWNYIWDVKYSRALPGMSSYAPLSQPSPFVAPCNDNAGSMDCQIVRSIGRWSNGIPTE NSLYNAYLDIIAKSEHFVYLEQQFFISSTGDEVEAVWNRVAEAFVERILRAARERKRY KVIVVLPALPAFPGDIHAQFAGELPRALMKLQFDSINRSGLSLLERVKKAGVNPDDYI RFFNLRSYDRLRPVTSNWVDDLIHPLGLHANIETGAPKAPDAITDVQTPGKDAQLSPG LWDTVSSCYMLDGPDIRNVLWPDGACFAEMEGFVQEQVYVHSKLLIADDRVVLCGSAN LNDRSLKGSRDSEIAVVIEDRTPLPSTMHDQPFEASKFAATFRRYLFRKHLGLLFPQN MRRPDGHFMPAPVPLDYDYGSPEDLLVADPLSDSFLAFWNQVARRNTLAFRKVFDPIP DDKEKTWEDYDRVAERARRGHVALDHFSSSKEAILGVKDELSTIRGTLVEMPMDFLMN SNIQPDDAVFNMYTRSGYT ANIA_02587 MDVRDQLPSVNPIPDCARSCLTAAAAEASCSLTEAICVCYDQTV AKAMGTCVAQACSVSDIFSVKRYSDTICGAKPRAQTQALVVVSTMFLVIMIVCVLMRT VARVLNRNYGLDDLAISLSVGIAVAIAAIVYPTSNLGLGTDIWYLERPKIDHLLYLFV VTTYLYIPCLAVIKISMLLLYLRIFPNRNLRIATFIMLAIVSMWGVAYTLVIIWICSP RSFAWLGWDGEHTGTCVNSMVVQVSHAILNIVFDVIVLGMPLPVLLRLDMSKTKKAGV CVMFLTGFIVTALSIVRVVTTYNFLKSRNQTRDFIPFCIWNILEIDLGIICSCLPGMR ALLKIIIPGCGSTNEASDYDYSSPQEVPGNSRNLHNKSFHLSVDGSGRVRSSTRRERN AFVPLPDLPPVRSRLISHLPENWMAERSRSS ANIA_02588 MGSNATSTEQRGEEILHVFSKAFGDLLAADPAAFQVKFRKMAAS AFAFYRGTACLFYHDLEAQTQNDVFLDEQSSRIWIHGDLHAENFGTYMDSHGRLIFNV NDFDEAYVGPFTWDVKRFAASIALIGYAKALSDQQISRLVRTYAVAYRERILTLAGND TQEVRRFTLDTAKGPLLDALRNARMQTRVALLDSQTEIHDYERQFTLGGGAIKLDDVT RDKVIAAFHKYLQTLPHVKPESSAYRVKDVVGRRGVGIGSAGLPSYNILLEGKSEAIE NDVIIFMKQSIPAAVSAHVSNAAAANYFLHEGHRTVISQRALQACADPWLGWTEIDGV GQLVAEVSPYAVDLDWSEINEVTEMAAVVADLGRATAMMHAAGDDDKVHSALVPFSPE QAIDAAITAAGGDEVFANALVDFAHEYGAQARSDHQIFVDLFRNGQIPGLKVDDEE ANIA_02589 MAMKEMGVGRDLSGECREAISLSGTAHCGDLVVETDTDSSTGQP FSEVVLQASSSSLAGLQERRSTGEHSFDSRQTSPNISASTLDSSPCVPVSKGIDCCLT GTTSSGYFW ANIA_02590 MDKDSNEIPLLSVQEILSHNTPNDCWVVIQGEVWDLTAFANEHP GGPSVILKYAGRDATDAFLGIHAPTIIRENLSSGHFKGRLDTSTITPGWTQITQKAQP TGQPRQPKPPLASLINSYDFEKAAAVSASEKAYTFYSTADTDCWTRDANESMLKRIWF RPRVMRDVASVDTSTSMLGIQMSIPLFICPAGVGSLINPDAEKALARAAESTGIVEII STNSAHPLADIVEQAPGYPFLFQLYLNKQRQKSKELLLKAESLGCRAIFLTVDSAGRG KRESDERLKSDEMLRDPVTGKLMKAGAGLTRIMGSFIDQGMTWKDLAWIRSVTKLPII LKGITSAEDAKIAMQYKVDGILLSNHGGRNLDYSPPTILLLLELHKNCPEIFDKMEIY VDGGFRRGADIIKALCLGAKAVGMGRSFLYALNYGTEGVEHLIQLLKAEMEAVMKLIG IKDLSEVYPGLVNTADVDHLVPSGPNHPYIKWRMRSNL ANIA_02591 MTPSTVIETEEFPLRQPRGPAHDVQDADDVEQTVGVTDPRHTDS QRTKLSVLIGSGILQLPIWGFTMSYGVFQEYYTNNWTFEGDRSITGVIGTTANGVMYL SMPLLFALFTRRWAHRRQLAALCGTAIACLSFLLSAYSQTIGHLLATQGITSALGCAL IYSPTTLSLGEWYSTSNRAIAYGIVLSCKNIVGTTCPFMIRALIDAYGYRRTLQAWTG IVAGTSIVAVSLIPTHPSTAGIHRTRGRKIPWTFLKHRSIYFYSSAIIFQSSGYGIPQ TYLSSYAKDIALLSQTSGTLLLAVFNTPGIISSTFFGWLSDNKRIRLSAQTVSAIPPI ASALATLLFWGLTTQGNIGLLVLFSITFGFFSGGYSATWGGMLKQMEGESAERNEAID PGMLYGLLNGVRGVGYASGGFASVGLLKAGTLPASQRFGYGTSPWRLGGSVEVESKTT LAVWARIGRETEEVEERERQRKGGRIPRMPHSR ANIA_02592 MATSEPEPVHFFDLYSDLPGPAKSWSPNTLGVRAVLNFKGIPYT QSWISYPDIKPLITGLGLPPNREGIPYTLPAIIHRSSVTSNPNGALMDSLPIVLHLDK TFPSPSVFPSGDASYALVLAVQKVCKLLRPVISKLVIPRVVEHLDDRGQKYFNETRSA WFGKPLAQVHPTDQASLDELWKLAETEAAVLIHMLKGRDGKKGLFFEGEKAGYADLLF ASQLAFINRFNPELFKKFLQLGDGEFKTLYEACLPWLEGQGEDREWPVPSCSS ANIA_02593 MPLKVLVVGAGLGGLGAAIALNRAGHDVLVFEQSRFLHEVGAAI HVAPNASRILQSWEVDMEALQAVECIAIKVWDAQGNFISTTAHLNLSDAWLLTHRVDL HNALRAAAAKEVNGRRVQIRLASRVATVDAEAGAVTFEDGSVYTADLVIGADGMHSRT VRAVIGTDQNKQSTGQSCFRCLIPVCKLRNNSLTSPLLDKIGLDATIVFTSPDRRLVM YPCRAGSLLNLAGIHPSDAMARTEYSSWLDSGSLEDMLATYRDFCPELQELCRQAEDV KLWSLASRQPPPTFVKGKLALLGDTAHPTLPHQGQGGAQSIEDGAALGAIFAPDTTPV QVPKLLAVYNKTRYDHSITVMIMSQKPNERRAEMLDELRRYVPDAQIPPDMIAFTWSS DPAAKARRLLATHLPEHTGSRL ANIA_02594 MANSGVAADELPTVETQNQISGYTLLDRNGKEILFREVYSHPDR TLVVFVRHFFCGSCQEYLQRLSTTVTPEVLSGLPGSTSIAIVGCGDPSLIEDYAAHTS CPYPMYCDPSRKLYETLGMVTTWEIGPQPGYISKGLPRLVVDGIWQGLKHIWDGKILK AGAAEQQGGEFLFERSNDGEKRISWCHRMQGSWGHTEIPALVDIIHGRKADELTTATE ANIA_02595 MIIPLCIVNLGGYTWSATLEPGVTLLDLTSLSGCSLAASALFDL VFDDGIRPLYIGHHLAMLLATHGTTALIMTLPINNKMRMLGLFQAYKVGLTWALYMRA QYSAPTLPDQLCDFLLRHDPGGDYNGLSPAHKMGPAPDGRGCYHVFVPDTVHDNKVED CGQAVRGVQQPQDRNEEAPPRKEMGGGKT ANIA_02596 MLLELDVSLFSMAALVGTLVCIVVKLFETSPVPKDIPWATEEAE RPPPRRRALHRRQSHQFHPGGYSKNQQPFVMPSVNEGDEVVLPREHSNLVLFAKESEY SFKAHISDFFQLKYTSWPLAFAEKYDFFVKLVSKDLTETLKSDAIAEALANEARACLS DIWGEDEDNWVEVPLYSAMENHDTSLLNAMTNCSNAIVFGANVIKAFPSFLHGIVGPI VGLVNRWQERVFHTRMKPLIEARIKTQREAVDKAALQENLKAQGSLLDMLINAGLRSK WPVEVETMWLAYRIFMINFPGVHTSGISATSALLDILSFPSEEGLIDMLQAEIQNIAA SSCGKWTAAELEQASLLDSAIKESLRFNGINALSPTRMVVAPEGTTLPNGLFLPYGTK IGIPQYAVHRDSDLYPNPDQYNPYRFYTPGASPAQLRESSMTNTSESYVVFGHGRRQC PGRWIFAHIFKLLIAEMLLKYEIKPFPTRPKIHRWGRFQLPPLTTKLTVRRRKDTA ANIA_02597 MPVQRRLRKSPLECAQCAEGQMECSKARPQCDECKSQHLTCRYR DQKPAPYRTKAAAAAESPVYSSPSPTIYHRQSNASSTSTSSQSTESNTSTESNTSSES RNDECAEAPEKPESCHVQGSGLGDVRLWDNWRSSTERSLIHNKSKDQSWQVLIRRESD RYPALRHSTLALSAIQLAYTSEAGSDKRKAYLQEADAHYSQAVAAVTPVAEKPSGSRC NAAFSTASILLMYELASASLAEGGDKSSPRKDDEQGTSSPSLHKLLDMFNTVRGLSSS SEVIDKVERGELKALFTQTDPYHQLPSTYTLTILAMRNLNNASAKKDPSHETSIYDDA ISKLDRSLEMLSKGGEPTMIALRWLFRLPSRYLDLVREQQPLALTIFAHYCAVLHHLR DRWWMGDWGCRLVKEISQLLGPERLGSILWASDIVGIQT ANIA_02598 MAETAVQPPLSQAVGYIVVVLIGAIIALVMMLVTKVLKKTTGED NKKTEMFMTANRTVRTGLTASAVISSWLWSTQLLGSSFTGYDYGVSGPFWFAAGCSPM IVFFALVGISCKRKIPEAHTSLEVVRIRYGHIAHAVFMVLCLVNNIFASANMLLGASA VISAITGMHIIAATFLLPVGVTVYTFVGGIKATFLTDYFHTTIILIIACYFSVKAFQS DQIGSVGNLYELLKSAGQRHPVSGNQDGTYLTMTSKDGILFGILHTCSNFGLVIMDTS YFIKAFSASPKAVVPGYAIGGAMYFSIPWALGTVMSSVALGLENQPNFPTYPRRMTSS EVSGGLVLPYAAMTIAGKGGAAAVLLMIFMAVTSTLSAQVIAVSSILSFDVYREYFKR SATDRDVIRASHLGVIFFAAFCAGFSTMLHYVGVDLGWTLYMLGVVTCPGIFPMVFTV LWRRQSKAAAILSPILGLATGLAVWLTTAGHFGGEVTVATTGQVLPCVYGTVASAFSP ILYSVLITLIRPQDYDWSDFKKEKLSLEKLESDLTTVHHETRDSTAGSIEDGGVNSAA VKAQELKRWGRIAAFWAVATFLGHWVLWPLPMYGSHYVFGKGFYIAWVVVAIIWLWAT MFVETLYPIVDGGYQQILQVYRGLRGRQPLPAVAKPGGESADGSISDGAQGEQVKA ANIA_02599 MNKPEGTRIDATRAQVIPARQTAMMTDMKKRPILPRVILPQQKL VKAMEGSRKLSFQGTTSEPYNRALMGWASFLQRRHVTLNASSEILSDILRREWNWNGL VISDWGATNTVGPSLKAGMDLEMPGPPLKRTEEAVKEAIKNGEVSVEQAEGSARRLLH LLQRAERFEDASDVAEALPLRPLNPPKKLAIVGPNAKRTVADGGGSAYIKAPYWASVL ESLKAEFGPRGTDCPSCGVQGIGPSKLFVDGKELASESGKRQPDGELFCTYGSDPTNC PCFRFTCIQTTTLAASQTALRIFENSEIKAGEACVAELEIDAYSLGVFDALVNRWAID AVAEFDIVVSTQTLAMRLWLAKLGFQKKSLWCILYKRLFSKDPLFFPLAVN ANIA_02600 MGDAYAFCNAYMPAGKMTWLVCIRRCDQAIGQPSLLLLCTNKAV EKENHQSASRYTSLVPESLYNPQSVPPPAPYRAYLNNGYTDDLCMGIEQRPQTKQKAL IVRTGRITAIM ANIA_02601 MSSDNTEKQTSALEVEAQPTSKGEPVYDSDEKVDYDRTGAINAE RVEFDMTVLEAVKAYPAASWWAFVMSCTIIMESYCVFLMGQFIATQKFADDFGVYSER DQAYIIEASWQSAFQCSGPIGAFIGVFLAGPITSWIGYRWATIGALMFLNAFIFIFYF GNSNGMFFASQILEGIPWGIFIANAPAYCSEIVPMRLRAPATQMLQMFWAIGSIIVGG ITYHYQSKQDSSAYRMPIALQWMFPTPLAILLFIAPESPWWLVRKGRLAEAEKSVRRL GRASANENPADAVAMMRRTIELEKSEKKPSLIELWKGTDRYRTLIVCGVYASQNLTGN LIANQAVYFFKQAGMASNTAFALGLITSALQWIMVMLSWILTTYLGRRTIYVYGQFIN CAFLIALGIAASIGDPKTTAASNAQASLGLIVSVLFCLGPAPASWVIIGETSSVRLRP LTTGIGRGAYYVVNIPCIFLSSYMLNTDKWDLGGKSGYVWAGTAFICTAMAWVWVPEM KDRSYREIDILFRRRVPARKWKQTVVDIRDDE ANIA_02602 MFLIRAFRILKDAILSTLPLHTRLRLLLLQPISLITYTIEWYVS RQFPYTSEIQIPLKRRAGSVRAVVYTPKSSPSLPPRKKRPIHLNIHGGAFLGGLPEGN ARFCSELAEKTGAVVISSSYRYAPRHVFPAAHEDVQDVASFLLENAEKIWNADSELFT VSGFSVGGNLALAVAQSVAGTPHAVKGSVGFCPVPLFDAYAGPNRRQNMKNPMLHPIL ADIQSLPQNMFFIIAGADILLQESQGMVQKLEEEARAINLAMGLPEEPKVPNGRSICV RNIKFEGQLHGWLECYANIIKTLLALSMITKAGMPKHFLTKGLIKALSRALIRSAEVL ALRPDLRPPAVADKRQRDTRHRDAAEQRAGPGNSQSAKHRFSE ANIA_02603 MYEPVDEGQIYRNESHERLGEEEAKRLCEHRTEEESCEAHYAAE PGRTAPTEAILTDEAAHRGRQHGSFRMFMLKIQVAGPRVGPSKRSANSAPAIVMGDSG KIPAQKWHSMTVWTFVAAVTPREKMAKPRIPEKMTPRRPFCSETWAQKTASLPWRFLV RSPDDYQAQACPPYQHGNGLCRKNGFGMGFVCRGGFRKRRMVAGIFGNVGISKTPWKL RTLNPMPTAINLPITVDVMAIVGCRIRTWRPSASIPAVYISQKVSLMTGARGPGGPQG VVLGLDHVVRQHPQRGDQQRVLCGDRHIGSSYLTNTRTLWMAAYTVIAVAGAVIIRQV DNSLIWARYSGYCLLSAYTVNFPLLLSMPRMLQGSPKKRPSTHYVSPVPVLSEKGDSN QDRRLSPTVQGTLSVPSSSEDESPSYPSGFALC ANIA_02604 MAELVGVIASALTFGTVVAQLTASINSLKDFCEQIRDLPSDIGW LVQDIEVLGLVLGDIEADLVREPIKSGLNNNKHVQQCHQFCVEAAAKLETTYRALMQD IQSGARIPQYYAMTKLVMRRGRIEKHKSRLQDVIRLLLLSQQCYTRNYPTAGVGVGRK PSSSRCKAFVWRASLPHWVTTKALEISGLKFPDGWQWIFRTYNTIPLDSDVVDLTMYG DIQGLRRLFASKQASPFDRIEGNGYTLLHYAVPGPNGHKVLEFLLNEGADVSIAGGSR KPVTPLSYLVWSGSVGGGQGWTLLPSLQVLLRRSEELYETPEETINGFLSEFHGTAEE FRFCQQRCCPNFYQMPQWTRVAVAATAASGVWDAYHMPETIRTILGETELGAELLGQC GTETWHKSG ANIA_02605 MTRRVALRMNLSWWLHRQPARMRQGDQYDDRVANEFVHEYVKSI TAAIIILPTTLVYFLLMLDGSIISVAIPAIITEFDSLLDIAGKTYIDIVTGFCSDDYS PDKGATQAIADAVTIAITLKLAKKEQAPLALRTMEKMRYELCKIGQMMGINTRNVWLR TDWEGVARNKQVLAQPQPDRLFTHDSQAYAYEEFETAATAVLTGNSTSRGMCRTKGQI RAPQT ANIA_02606 MSSDFKVHANDNAPIAEQQDVTNAVSPDTENVDKFKNEVEGSEK STDGPCMETAVKIMQILQSYSVNCKDNGTLWEDLETFLPTITACIEKDEPVRMALPAF PFKSPNSQQKSMGILPDLGEELALNHLNGLCLNIAQVYEHGAEVHIISDGLVYNDLLG VPDEVVWEYGEALRQIAVDHKLQHLRFIRVVDILEHDQCPTDDPKSAKEFYLTHAPCF RRELILRFGDPTFDPRAAIKSDMDVCSTYRGYIRFLSKDLAHRFTSHSKSAKEAAITP IARAMISRGQVFASAIRNQRGSYVRLSIHPSKNSRKLSVPLIPNGQTAGIGHTPWHSS IAVGADGSYCATHADQVRNTHDLIFKDGRPYCFRERSELFDWGSDGLEVTFEHLYPCG LIIRPVVTDDQDPPSIRLIPMRKVRKLSANLSPVILRGFGDTHMEEVFIETATKLGRI TTWSENIIVKVRDSGRQDKQNNNVKSNEAMPMHFDGMFRFKHEIDPVTGEEVRVQDIP RYQFFTCQAAAAEDDGFTLFASSRLFMRYLPEPWTVERLEKITWSMENDGFWDAKVYN LPLVIKHPDTGLPSLRWHQPWGSKDTKFSTCDVTIDNDDVRLVALVDKLTYDYRVCKR FSWQVGDALVSDNISMLHTRTAFESGCNRELWRIHCD ANIA_02607 MHPTLLYTCTFFITVTALLWRYFRVPSTIPSNIPRIPIWVNFYA WYHDLSVIELYDAFYRKIMEEHGAVVVWFTGAWCILVTKPEYLVEIFRNEDTYPKVGV NVRGKGSLMGIFAGENIINSSKPTWATLTKVMKPGFLKSFDAQAIHAKAKKVPERLLQ AQSEVGKGKGVPATQWMEKYAQDVMGLCLFNFDLQALDEPRVPYAPLLGQIIPTIFSR WAFYFPTLDIPGRYFLSRKATLDNIAKFDSLLNEIVESTLNADAEKQPKVVSHMLKRA LDNGQLTPELYRYNLRMSFMFGHDTTAIFMGLTMYVLGNNTVLQDRLRTEVLQSTGKT IHQLPYLTSLLYEVLRLYPPVTEVLNHTVSRPTALGGKVTIHPGTWLGWNAYGVHTNP AIWGPDALEVRPERWGDTVKDIQASFRLQSTKGNYIPFSLHARKCLGQSLVLTEVKLL MFEMVRQLKWVVDPTYKLNLGGVTFTMLLGLRLIVEELELDSRDTVADEKSQMHT ANIA_11352 MDHQALCSKFIINGNCNGLGCTSAVIINPNFGHFALYQALAYLT SLFEPVGDFPAPVSIEPYLDLSPSA ANIA_02608 MSTGSVGAYDVIVVGGGNAALVSALSAYQAGARVAVFEAAPETE HGGNSRFSSGIFRIPHGGLSEVQTLLDGSVLDDVAHCRMASYTPEQYRGDIERTSKGH CDRAQVSVMLQHAFKTLKWMKDELGVKWQLPLGKFFDREKIIRAQGVVDMPPWDTVMA VGEGNGLMKALWDAVRQRPGIDSFHESPVSGLIADGDTVRGVTVRRRDTTLHVYGQVI LACGGFEASPRLRRQFLGEGWDLVALRGSRFNTGTMMEQAMAVGAAAIGNFGGCHATP HDLNAPKILNPNDADRMSRYSFPYSVMVNAEGRRFMDEGENVFSLTYAKTGAAIVKQP HATAFQVFDQKTLHLLEPRYSVASPVEADTLEELATKLGIDANVFTAIIKEYNQATPK DATERFHAFTCDGVSTGTALDIPKSNWALPIDKPPFVAYGVTCGITFTYGGLRVDNGA RVLNEEGLVMPGLWAVGEIAGGFFAFNYPGGSGLTKGAVFGKLAGQNAAQRAKGIKLA ANIA_02609 MPNSCDVGIGRSREIDKSMDINNLIVRISQLADSLGNDYTLTPH QEKHLRAACAKLSSRMKERTLELEFPDGPAVKIAVDMGLFDFVCGSEKDEFTAAEIAE HTGADPVLVERLMRPLILARLFSPTSNNTYKAHQSAHDLAPGAYVRDMLIFTQEVAGP ILLKLPEFLEKQKYKNPGRPDASAFQYAHQTEDTFYRWLQQRPTLYSAFCGMMKCTGE FQARWPDLFPVRERFEPFRGCNAERPLRVVDVAGGTGYTLQRLLNKIPDLQAELTLQD LPEVLENGPVQADVRIRRMPHNFFEPQPVIGADVYVLTRVLHNWPDIECREILGHTVA AMNHDSILLIGDKVFPTGAAELTPTDIMADMSMMMLFGGMERTIAQLRELLSSVGLDI VRTWRSNGPVDDHEGLLEARLTDQPKL ANIA_02610 MTLIESSPAQFTLVACSLGVAFLVLIVVYSYFFHPLARVPGPWT GAVFPFWSISTLYRRRLNPDLAELHKIYGPVVRVGPNQLSFATIEAQKMIYNAKPTNN GSDEHFGRDGTLQDVLLSMILGAPNIGSLSNRAEHKKMRKRLLPGFTSNTIFEQEPLL RLHVDQLLHRLAQDAGLINLTSHFSRFLWDLIGDFSFGEPLVPEKHELRTDKLKSMVE IYQGCFPLLEAINHVVPEINAVIRLAFRLVPPATLRAVLPTATLRGCVDRQDGRSDFL THIMGDKSRDPTELELSYDEVHSNATVLMIAGYKTTETSLSALFYRILSTPGVLKNLQ SELFSNFQSIDGITGRKLLSLPYLNGCIYESLRLAPPVAGKFASRRSPGAVIQGFYVP PGTEVFTETYTMQRSPLYWYAPDEYHPERWLKQSEGSPYAQDVHEAFKPFSSGPRACL GREMALQTLRLTTALLAYRFDMEMVNEDQFVWEQDTESRMVYSQYQIKAVVQEHLT ANIA_02611 MSMENIWRYSQPIDPETVRQTPSFTTLPVRVNTRQDVANAATTR ALREWDAALQDGMAARALVSFSDLGNLGAFAYPEAEPEKLHILTYLTDMGMLHDDGYE AMDMDTARTEHRELGLLFDPNKPHQPSFNTRAPRIKKLVSQILLEATAIDRALAMYMF DMYNKGWLAVAGEAKEWHFNSVEEYQAYRRNDFGATALWAMTEFAVGIRLSDADRKLI KTVMEPIEKAVIWTNDYWSFDREYYEASVNGSRLVNLVEVIRRCENLSIDDAKARARE LLIDTEQTYIRNKEELYANNPSMSLELKRWVEVVGAIVGGTHYWASSAPRHRTWAHEE RNMTKSADELMLEIPEEHASSEESTTPDCFSVSSSSSQQTRETDPSDYESSSNQPLDV PKADNGPGSKQFSQPQRGGIEQNCEKQMESPQLFRGLLITFVGCLPKGFDCSPKRRGQ PATHVLFGHSQAINSANYMFIQAVRATRSFHNPEAMDILLEELENLYLGQSWDLQWKY NLTCPSIDDYTNMIDNKTGGMFRLLLRLLQNEAGPGGGYGCDQAPGLSLLLDRLTTQF GRFFQIRDDYMNLRNSSYAQQKGCCEDLDEGKFSYPIVYCAAKYPDFKSPMTENISIK YAQPGLFSTIPDFWQMYGKRV ANIA_02612 MGEISPRGGDFDIDYILENASSLEKISLLAGHDFWHTAPLPRFN VPSVRVSDGPNGVRGTKFFDGVRAACLPCGTGLAATWDQSLLFDAGVLIGQECLAKGA HCWLGPTVCIQRSPLGGRGFESFAEDPYATGKLAAAYIRGAQSTGVISTIKHFAANDQ EHERISVNAVMSERALREVHLLPFQIAIADAAPGAVMTCYNKINGQHVSESKEMLDGL LRKEWGWKGLIMSDWFGTYSTAEALNAGLDLEMPGPTRLRGPLLELAISSRKVSRSTL DERARTVLEFVKRANKAEVSTVESTRDFPEDRRLNRKLAADSIVLLKNESGLLPLNLK ALKSAALIGPNMKTAAFCGGGSASLQPYYSISPYQGIMNQLPPGVEIIYETGASSYVF IPELEASEVRTPEGQPGLRMRFYREPPSVKERRVVEETILQESSWQLMGFSNPQLDRL FYADIEAELIAPATGPFEFGLAVYGSGSLFIDDQLIIDNTTVQRGGNFFFGKGTREEK ATVDLVKGQLYKIRVEFASGPSSKLMKPGVVNFGGGAGRLGMVQAIDPELAIARAVEA AKRADVTILGVGLTRDHESEGFDRSHMDLPPAVASLVTAVLDVAPDAILMTQSGTPFN MLPWADNVKTHLHAWFGGNELGNGIADVLFGVVNPSGKLPLSFPRRIEDTPTYLNFGS ERGQVTYGEGIYVGYRYYEKVLRDVLYPFGHGLSYTSFAYSDFAVDTASATLNVRNSG DVAGAEVVQLYIAADATTSSIARPVKELKGFAKVTLQPGETCSVSIPFDRFTTAFWDQ EAHVWTCEKGQYRVMVGSSSQNILLEGVLEIKETTTWSGL ANIA_02613 MEGKASNSESTTPGQSISQLPQQGISLTPTLAEVPKAECSEKGT ATEGPLTTDDDDSEMVKWDGESDPELPVNWQPSKKWQNVVMVSALTFVTPFASSMFAP AINQVMAEMGTSNRDIGSFAVSIYLLGYAFGPLVLGPCSELYGRLIVYHTGALLFILC NVACALSVSMPMLIIFRFLTGLIGAAPLTIGPGTVADCFRQEERGRAMAVWTMPVLLG PCLGPAVGAYVSRSLGWRWNFWLLIIVRETHPPTLLKRKAARLRKSTGNPNIRPVNHT TVSRSQLITTSIVRPIRMLFLSPVVFGLSLLTAVAYGTLYLLFTTVTDVFASRYGIAT NVGLIYLGCGCGQFAGLLILGLVSDAIVKRAAQGGEMKPEYRLPPTIFGGSMIPIGLL IYGWTAEYRVFWFVPVMGTFFIGLGMITVFTPVGTYLIDAFPMYAASATAANTVFRSV GGALLPLAGPRMYSTLGQGWGNTLLAGISLLMMGMIFLSLQYGERLRTHPKYQIKL ANIA_02614 MGFPAFLRSGSDREVYSPGLLADIQGVAKQREALVSHEASAAAQ FLSRDSLAIRLRGAMMNGLQTLPQWRNTFGKPTGALLGFMNAVYPIAKVAGLFPATWI GDRYGRKKVLYIGFALLPIGAALQGASQNTPMFIVARFLIGFATSFLAQPSPILVTEL AYPTHRARATALYNTCFYLGAVLAAWSTFGTFRLQSSWSWRIPSLLQQAIPAFQSAFI FWVPESPRWLMANKKEEEARRILTKYQAGGDENSPLVEFELSEISQALEMEKASEHSP SYVELVRTGPNRHRTALAFLIAFFTQWNGCSVLSYYLTLVLNTIGITEPAQQTLINGM LQIFNWIVAVCGGALLVDRVGRRSLFLIGTSGMLLSYIAWTALNAQFAKTHDQRLGTA VLVFIFIYYFFYDVSWTPLPIAYTAEIFPYTLRGRGMTTNFVGTYLGLVSGQFLNPIA MEDMGWRYYIVFCAILFVMVVAIYLWVPETKGRTLEEIAEIFDGPRSHLTAGAADENR TRLSTKTAEVELREDIATRG ANIA_02615 MSPIGEQRRHINPTILPVPAPPQHDSSAVWSCHEFSPTSAPFMA SQDLAAHQGAGSNVSVVRSRGASACENCRSRKTKCDNRRPSCGFCLKRRVPCVYQDDN NAGLITGADILQAVNRVANLIEAQQRDQRRQQQLLLQGMPCASCGSRSTPAWGPTSQS WSPSACSQPDNANNLGPGHPWPRETVRPQGVESVLGWQILALNLPPSRCLFAQPVAEA DQPSSLPDMSYSQLSRLESKYIEVLHTKNPILDLNELHRMVLHVAENGPDWSTRTCLV ALVCAIAVLSEAYPGTITRSAAQPTPSLDPDDESGADVKLSLQFWNIALKRLGYAMRE NSVEAVQTLVLAGIWYMHRMEPLEAWKHFNLAGAAWNTLRLTRFPVVDLIDNTDTTPN ELTILQALYFTIWKSDCELRLELPVPGPPLINSTEFPLAFPQPPRLGSQPSTPDASES ERSWYYYLTEIAARHLLNRLVQMNSECADTPTERQVTRLVSHAEMMQAQISDWYTSLP SIFHFAIPDGYDADFPSDPMIFVLRHRYFTLRELVARPFVRLLVDGLLDGMDPLIRVR ARSFASECMQFCMLKLSQTVAYRHQGNWYLLRSMTTSSLILAAVHLAQCRLREGEAAG ATPPSENLMPPEAWISRVRDAVESAQPFFEETSGGASNMKQMILAALGAAQQRSAWCG ANIA_09237 MQAVHLRLYLLLQKEDTLARTYHPQTDRSTERINRVSPFYLSHR YNLSLFSLTEEVEQLAEEPAKSPIQKGEAIVQKVKEALDWA ANIA_11204 MCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLTTTILLSPFF FSDSFLGGTSLLCLQLPERKSQPAYATIALGLLEI ANIA_11203 MDSSLCNNADDHSRTAYQLSLLKLGFSGDEAKDVSLAPVFTGPV LYTQPNLVPSQYGLLAGVLELPVVFHYDTFIGDQGGSPCEAAFLATSPKCPSEGSLCP QQISEIFRFKIRVHPLQINQQDLNTKRMLDLMTAGQGSSSGPLYLHTVQRVLREMRLL QQVSGGRFDYQDFKKRIFDSDLLPSQQQPLRQRLDILESFMPSQQIIASTSKKGKKAV NDAGTSWSPKVFLEQDTNVGRVVALDEAHKYMKDSAEAQIFTETLLSSVRLQRHLATR IVISTQEPTISADLLSLCSVTIVHRFSSPAWLRALQHHVAAAALGVESNNKTFSEKEE SQGQAHMSSKLSFLDRIVRLRVGEALLFAPSAVFRVTFEGSGTPAVSKLGGGYMKIKT GCGISSWVAANRKVCLRQTVGRKPKK ANIA_09239 MKQGNSLTLVPKQIGPPRLDPYGRLLARFYESLFFLTSLGRTQG EHTPEPPVLDIHQECRRRFLKNLSYICDFRKGGQACTAIAVEDRVDCYRFWVASNMNV NKAVAFIREILAMLHDRHLDASNNESMIEASLIQRCVEFAAKRIDSEGRFLRIMANRC ILMLEDEESEAGMTFFLSNLLERALSCSRNITLCRFLYDQRHSAAMKELSARAISDKG RPGRAEEDSCFSSARHHIGRLIHHIRAPIELAQDSRHLMYLTDAYTVCPVSPCSAVSC PVSDMHTNLQGILNWMFMADDEDRVAVGDGLVYINKTRPIFDTFLAEYNGRDRQVHG ANIA_09240 MDPRLFADSQAGDWMSLGVNLEPPLCADLISWNINPTPPIEPHR ALFPTSEESNPFWWTGLLFDHQQQFMQISELAIAQSSALGELNRCGLEPGPDGLYHCP ESGCRSKKSYSQKWMLRKHLREHIKPVLCSLCPHKAGTQRSMRRHVEANHKEWAKQYW EVVSWSCDSLFTPRNCQIHSPKAVKIHGASSDPRVES ANIA_09241 MTSCPLCLKCFSLTVRLLPPTKRPTILVLDGGGIRGIITLGFLK ALESQVVGVGGLREAFDLNVGTSAGLDSWLNVGRLEGGLILSDVVVCGESVDEARARF ITLARRIFRTRPLVHTLVGQSWDFLRSWLTDSRHDSEVLDDALQEAFSTLRRLFDTTP PLLSGIRVALTASQVDEDGSLCLFSNYRGVGRIHAQSAYKRQSSCFLLLLLPWIALKI YIADNETVHDAAWPRYGMNSVFCLASRPFIDAKQTHRYFTTKHLPNIGTFQDGGISAN CPLRPLFARARSSGPDAHGQTSSLASGRTGYAHEQPANNAKTRRGFWSGGFVERAIHT FLQSPAVNSRRGWQDALDIIPENLRPDVFRLDREVAGDLPELDDSSALNGLFIIQPVV LHGRE ANIA_09242 MPIIFPPLAVLPQLTLHPSVNADHLDVAKIVNDWLFSFTEKLSS GQQSNISSLFLEKESWFRDFVSFSWDFASHNGAAAICEYLAGSTSGFAEPKADQPGAL RPQLVEIGGLQFVQAGFSFRNTFGTGRGVLRLANVEPEEWKAWTVFTVLERLNGQDEL EATRAEKAEAQAGASGYGTEPSSVQSDDGGLQVLVVGAGQCGLALAAHLQNLGLNYLV VDKFSRPGDSWRARYDTVRLHTPIYTDHYPFLKYPASWPRYLDRAHVTKWMEHYEEIM GLNVRHSTLASNFRYNETSRVWTVDLQSKDCIQTVHAKHVVLATGLLGAIPNRPTFPG EASFKGQILHTSAHKSAALMPEALKKKITIIGSGTSAHDIAQDFVNHGAENVTMVQRG AMYVVSRDSMERIQLPLWNTPGVSLEDADLLSHSLPIAVARTLSVGESQMMSAKDKDM LDALEKAGMAVKRGDGDSLLDYQLIKGGHFYADQGACQMIIDGRIKVRQCEQGVQGYY EDGVILANGTKIESEVVILATGFELSTKLIERLMGEDVMNKVARICTLHNSQERIGVW KPTGVPGFWYMTGSFIWSRQFSGILALQIAAIERGMNTEYYESR ANIA_11646 MPRPSINLEPYKDEISTLYKSGKSPPTIAMLLGNQYDIQPQKTQ FFMLESKFFYIKLASQRTRSYMFFSLKAGIFSLEH ANIA_09243 MRTEPLDVLLAALIYPMRAHSIVDLSNSIGWFTTIFPIFVPTEP GGRALDTVRQVKNQRKQLSANGLSYFTSKYHNHNGAQAFADHMPVETLFNYLGLYQGL ERADGGFQRVPFNEGDVGLAVRRYALFEINVYVVNGSAHITVAFNQKMNHRERIEAWL ALYTDELVELSQQLAKADYTLTRSDYPLLPIAYPRLDKFQSEELPQLGYPIDAIEDIY PCSPLQECVLLSQARLDGAGAYLYHAILPLDSAGGDPSRLQFAWQQVGDRHSILRTVF LRRITDQPFDQMVLGSHTARTLLLEEAVSGMDAVDTAEALDFWASHLRGVQSCHFPNS AAVDDALSGLQKALRDIEVKVPDTARVRRGPVHQCARLRCESESVGTQDDHEQLKELQ EEHLKILRIQRLDVDNIKVGDVALRYVEGEDPTGYNITVNVADNDHGFTVHLGYLASR LSPEHAANISDALSAAISSIVSDPQSTTSFEMERDLPPTVNECIHVLVERSAAAAPDA PAVASWDGEFSYAELNRHANQLARVLAEMGFSRKNLILICFEKSSCAAVAMLGILKAG AGFVPLDPALPPERISAIIAQTGSSLALVSASTSKRIVNLVSRTLVVWVASNMWLGSD DMVIGSASPRNIAYTIFTSGSTGVAKGVVIEHSAVPVRRLYICACILEIFTTLVYGGC ICIPSEEERMSDIAGSISRLQANTTFLTPSVVRILWPNQVPSLTTIILGGEALDAENI ATWVGARNDICLINGYGPTETCVFCVMHTFTSKTQRHDVLGRTVSSRSWIGRPENHNH LAPVGSIGELLVEGGTVARGYVGDEEKSSQSFLSNHEAASRITRGERQADPRFHKTSD LVRYNTDGTISYIGRKDRQIKLRGQRIELSEIEHQNRDSFHPTRRLRRRSCFHTGRRS RPFSLLLYVRPTRMMNRGGSLPNRPLRSQASSVN ANIA_09244 MAVSVEMGQPLKTACRFPVLRYKEDDAFEKLTHERTNIQVLSKG RVQDLLSQEETSLQGIFQTIWAITLKIFTGDESICAASLRRRGTAWQYYLFSAEIGDE MPFSQLSGAIHGENWRIGPLNLEHELPCDSGLCMLEENESVEDEILKHASRIAPCDSH MAPAYASIVAATISHIFSQVQTEPCLTLGEMHLLHPEVEEDLRSWSSIPPPSVDDCVG PMFERKVRERPLDTAVNTSELTLSYRDLDLLSVRLAVNLQAKGVKPESIIVLCFPKSA WAVVAMMAVIRAGGAILFLNPSHPTVRHQEIIGQVDADLILTAPEYGPLWEWFTGEVL LVNRSTSKPKGCVVEHRQFLTGALAQQKVSKMTHADRVLQLASFTFDVSILEIFTPLI SGACVCIPNDQERARGPAACIQQFSITWAFLTPSLVSLMSPDMVPTLRFLFLGGEAVQ KENIQVWASHVRLANGYGPTECSIAATANPQLSPTTSPANIGYPLGGCCWIVSIDDHN RLMPIGAPGELVIQGPIVARGYLNEPEKTQAVFLETMRWMESEPTSTSRLYKTGDIAR FNADGSLHFIGRKDSQVKLRGLQNELGEIEHRIVAHPLVEQAVVVLAQQGPCKGKLTA VVSLKNLRKAIDSVELVDEESFEAATDELKAVAEALSQQLPGYMQPTVWVPVGCIPLT SPGKQNRVIANRWVAALSSDMFHLLTGRQERAGEERVQPSTAKQRQLQSLCSAVLGLD SQEEIWLSKSFIQNGGNSIQAMQLLDHLRRRGLGVKIEDIFTSPTLIELALHLTESEE TPLPHEESAPVALDKKRISELGFDFEQVEDLYPISPVQRGILLTQQQSPEQYQLRITC RVIPVPGEQVDRSRLHMAWQQVAARHPALRTIFVKSAAEDGLYDQLVYKPAEAAFLLW ERQDEDAVWKALKDFTRQDNPDQPPVTSIISTTVDGKTFCTVDISRALIDGVSILIMF RDLSRAYAGLLEIGEVVRYSPYIRYVQTLPAGMSIDYWGSYLEAASPCHFPVLNDDIQ GENKLHALTVDLKDAKGIHAFCARHNVTPAIVFQMAWALVLKAYTGQDDICFGYLTAG RDVPVLNISDAVGVFDPEHPLNRREEMIRQCKAGVAVVGEGKEALFQGLVEHVVILTQ DLIDDLLVSEVSNIQAAHVDTDQSFLALGGDSITTMQVSSGSRSRGLQLAVKHILRSK IIRGAATQASHKTKCICMGTSTSQRFSLLSAGELEEEIDALVMKLGYSGADAVEDAYP CSPMQEDILISQATAPEMYKFFAVCSLRARNSADPVNIDRVQLAWRRLVARHPALRTF FVEGLSQDGLYTQIVLIDHEPQIQHAADLDSLFKYPEDHPLDYRDGAPPHRLTISQGG DGVVFINLEISHTLIDGSSMAIILGDLVKAYDDDLPTGPLYRHYIAAIQARSTEKTLA FWTAYLQDVKPVFFPALLEEHTAIAASGERKLQFVNVHVGPATVLDLQSFTKKNEVTL ANIFQAVWALALRVYTRGSDVVFGYLSSGRDVDGLDMDMDSAVGAFITMLPCRVQVDD DCTLLDLTRKLYEDYVNSLPHQQTSLAQVQHALELSGERLFNSVLSLQQPMVEAADRH SIEIEYLGGSDPTEYDLGISITAGETDIDVAINYWSTFMSKEQAQMLASTFSTILSRL VEEPTSDIRDIDFLGDKHMQYILDVTHQGQVPEAMSDCIHTKFQKRAIMHPDALAIDS CDATMTYRELDQLSTRLATYLISLGVRLEDAVPLCFDKSSWAVVSMLAVLKAGGAYVS MSPSHPVQHLANIIVQTKARIVLAGSTTYGDMIRLFVDRTIVVDSSLLASLLPLPDGL VLPHVSPANAAMINFTSGSTGEPKGIVVLHKGICTMMEYHDLRIGPASRTLQFSAYTF DTSNGEIFITLCRGGCLCIPSEHDRMNDLPGLINRLAVTHALLTPSVALFLSPESVPM LQMLGFVGEAVPAELVRRWHKKVVLINSYGPAECSIMASLAVLHEDVAAANIGRGNGC LLWVTDPENSERLVPVGCVGELLIEGPLVTRGYLDAELTARSFIMPPRWRGETVIPGT KLYKTRDLVRYADDGSLVYMGRKDSQVKLNGQRVEMGAIEMTINNDALVKQCVLCLPK VGPCKKKLVAVVVLEETASKDITAEIGPLTNLEEKERATDLVEQIKDRLASTLPRYMI PSVWLVTPNLPFTPSRKVDRPMISRWVDTMDHETYTQALAEFPLELADTSFF ANIA_09245 MALTGACYDQLYCSSVLLAWGVLSPVSKVPRTAVGKDHQLAYGQ FSLEGAIEMAWLMGYIRHFGGTIDGTPYSGWVDSKTKKPVADVDIKTVYEKRILTHSG IQLVQPDQFEDPRFLYEIILQEDLKPLHVRLLKGARLYIPRAMNSNRTVAGLIPSGWD PRTYGDPEDVVTQVDPVTLYTLVSTVEALLSSGITDPYELYQYIHVSEDVLQETFINT TGAWVNMLLLSAAGPLRTPVGACATAIESLELGYETNATGKAQFCLVGSCDVLIPETS REFANMKATVNTEEELARGRPPTRCLVRQPAPMGLPIRGIVAFVNTSSDKAGRSVPAP AREMALQNLDVEVSAVAAQDPTVNVDAYVHERRQQLLTDFTREESEARFKIGDNFWCN DPHIAPLSGALATWGLTINDLTAASFHDTSTVLNDKNESSVIQTQLRSLGHSKGAAGA WMLNGALQMLESGLVQGNRNADNIAYIQRPIRVGDLQAVCLTSFGFGKKGRRLSWFTR GICSLLWLKKTSRLTRIGVMSVRRSRYVFL ANIA_09246 MGSATPSRLQKFPATAPADEIYAAFKEDGCVIIEGFVPPDQMAR FSQEIQPAMEKIQVQVTNDGNSNDRVKRFSKLVTTSPTFRHEILENDLMHELLQRVFS KPGEGMGYHFNDTMVIEVQPGAPAQRLHRDQELYPWWNSMGPDAPECLVNFFCAVTPF TVENGATRLVPGSNRWPELTLINATDCPQYGKIDSVPAIMQPGDCYMMSGKVIHGAGH NATLSDQRRALAFSTIRRELRPVQAFPLWIPMQIATELSPRTQAMFGFRSSTQHCDVD TVHFWGNDGKDIGEHLGLISSA ANIA_09247 MTPISVILVTDNKLTRPKTMSTTREKLLRTTSRFVSTFGSFDIE EILSIRTPTCLYHQCCPSFNKNVVTNEETRANFPQFIATFKRFDFSIIEPDHTLVDEA ARRVMIRAKASAESIVGAYENEYIFILKMTDDCRFIEEIYEFYDTIRLKDLQYRLEAK HISYGDAAPFKTRDTQL ANIA_09248 MAMGNPELRTHFFRSSHEPGIPNSLRFPNGFLVTCGLPWLLLLF SVTIILFHPLRKKSDLPLINPGKGRIGILRGYRSRKTFTTELPRLVADGLSKASAFRI AAPDGVNIVLAPSYAHEIAEHPDLNPGPIAGDEFNSHINGFEVFAQLGTSDVISESVR TRLTRQLTKLTPLLTSETPLLLQSQWKDAPDWVEVSPHETALFILSRLSSLVFVGDDL GRNPDWIRILTSYNTEAFAAAEELNLWPQILRPLIARLKPSCRQLRRYIRDARALLVP VLEQRRHAQSQGDRREYNDAIEWLDETSRSTGQPYDPILSQMLLAIGSFHTSSDLLGQ VLLDLCMRPDWKVLVRELRKEIISSLQGEGWDKIALNNLKLMDSVLKESQRLKPASTV TMGRYASREITLSDGTIIPKGSTVFIANVAMRDSNIYPDPDDFVPDRFTTRREKGDSS AYLVSASPEHLGFGLGRHACPGRFFAANELKIVLSHMLMKYDIKLPDNGAVAPSKSGI FLATNPDARICVRRRKEEIVI ANIA_09249 MVMTNILCQILSDDLPELPPCREPSKGMIVGNLGMTSNTMTTEG PFAKLDVESVLSFMSPSCTLRSFPSSLGKPALQTKEESKADFQGLKDFFYNFQLRVKD GAEPVIDEPARKVVLHIEGKGDSLVGRFETEYVYILQINEEGTMVEDFFQFADSATRD AWGKKIEAHFSARN ANIA_09250 MPPSPDFEAFSLTPIDQANGQVFFFYNISYRVRNEASALQTIHN AIDVLLEKVPFLNGEIAFPAPVPDANNVLIVRPPRAKSEDQVPLVQVKRHSNCALPVK KLEQSPFNVPSSLPLNGLFNPLAAYPTPNRPTPVIRFQINLVNDGLILTLAFNHAVFD ALGAAVIVKLLAESCRNQDKIGMDGRLGIPSTQARVRSPLLALSSRLKKNNRARVDAP SPSEFSENISHRPVAAPPPLKDECFVFCAEKLQQLRIACTSILEKLHENQKSVTGQGD VRFLSINDVLTALICESIAQARHAAKHPYRDEKLHAKPSVSECLMAVDLRKFVEPPLP HDYMGNAAIPLRFEVSSQRHASPDFHRAVREVPTGLNTSFFLAIAKTAYTIRAKLARF GESYIDSLSSFLNAHEEQKAVNILPACAIVSSLRHIKTYELQFGAELGEIQAFETGIP WVNGSCIILPLCANSSDVAGSAPWNVRITLDESTMYCFKNEPALRWALLEQGKSKVLG PCDCKADV ANIA_09251 MIIFNISALGPPKPMLQFVGRELVETSRATMLRLPIDSAMHPFS TLPNHELGLYIMWMMSAVFVIFKLLAPAKCDIPTVNGRRRFEIGQYQARRRFSVDGRG IILNGLQMARVFRVVSQKGPKIILGPEYANEVKSHPACNADVFIAKEFHAHVSGFEVL RPQQVMKDAIRLRLTRSIGALMKPISAETALILESQWGNSNCWHELDLNFTIASLVSR VSAVMFVGEELGRDQKWLSIVTNYSSDMFVADLDLCKWPEALRPIATYFLPSCGKLRR HIREAALMLYPILSEGYSAHQNKQNFLDWLEEIAGDRKYNPVLAQLSLAAAAIDTTSD LIIKTLTDICRFRDSQKLQEDLREEMVRVLRADGWEKSAMYNLKLLDSVLKETQRVKP VVVFGMGRYVTEQITLHDGTVISKGETINVVNTRIWDPAVYPNPLEWDPYRFVRRRDS GDHAAHLVSPTPDHMGFGLGKHSCPGRFFAATKIKIILCHILLKYDVKIPDEEISTVI SSGNFLFPDSTLRISVRRRQDNLTIWD ANIA_09252 MSIRERLLATVSKYIAAYNEFDPSAMKTVRTPTCLTHGVAPTCK FTQSMEEHTRHMMLSRGVFRSVNASIVDDNITVVDEVSRQVVVKVKIRCETTVGPYEN EAMFIMAMNEEGALVDEIFQFLDTARFRQFQGRLEEAQ ANIA_09253 MLQETISLTPLGQPLIAGFVVVSAVLYLLYNTQQWRPNNLPLLN DGGPFDFLQVTAVNRFRRDARRLIKSGFDSYKNVFAMRTDVGVELFASPEYADQFRNH PSLKVFPFTAKMHHGHLPGFELCRSQPVEDRILIESVRTQLAQSLGKLIQPLASDIGE AISDRWPSESGWQEIVLGSVVERTIAQGTSSVYCLDEAWPEFVVKMEMALGMASAALS AWPVMLRRIVAKFLPECLELYRIMKSGRELMSRDMRRRTALQASTGEEPLNFFEWFKE ASHGEEYDELILNLRIAFASMHGLCDHLVKILLRLSEDPQLVSDLRKEVIQVYETHGW SKTALYHLKLMDSAFKEVQRVDPILFVGRVAVADVTLKDGLIIQKGQSIRISGHTMWD EDKYPDAAHFDPYRFYRLRQAPGQENTAQFTSPTSDHLGFGYGGRACPGRFFAAAVLK ISLCHVLMKYDIKPANGETGQHVWEFAAAINANMTAKVLVRRRQPEIQI ANIA_09254 MTIIPKRSYNVLTTCKAVFRDVGDYWLTTGNLRTTKPQSPAQGF HRDTLLYPVLQYQPATSPSLIVTLLVSMTDATVANGATRVILSSQNGRLLNTIGGPGR ASRAKRWGHAGNPSAAAARWWEAYESGTEYKTNATNFLHKMLASCS ANIA_11214 MNTTRHRLLATASRFVETLESLDVDAMLAIRSSTCLHHMCCPSF RNYSITNDQTREAFPQWKATITKYKFGVLDDSQILVDEQARKVMIHAETAAETTVGDY NNEYVFILRMAEDCNTVDEIWEFYDTIRLQDLRHRLEASHVPIGVDAPAPFTTTASPA AL ANIA_11205 MTGTRILELFGPAPEPPSELGRYRILSPTAGIRVSPLQLGALSI GDAWSTDLGSMDKDSAMELLDAYAAAGGNFIDTANAYQNEQSEMWIGEWMASRGNRDK MVIATKFGTDYRAHELGKGLAVNYSGNHKRSLHMSVRDSLQKLRTSWIDILYLHTWDY TTSIPELMDSLHHLVQRGDVLYLGICNTPAWVVSAANTYAQQQGKTQFSVYQGRWNPL RRELERDILPMARHFGMAVTVYDALGSGKFQSRDMLARRKDQGEGLRAIYGGQQTALE EAMSKALGVVAAQHGIESVTAVALAYLLAKAPYVFPIIGGRKIQHLHDNIEALSLRLS QEEIEYLESVGDFDPGFPYDMAGVDPADTGIATPIVAQAAPMAFVQRSKAIGYAESSK GSQMFG ANIA_09256 MKQSTVALLGVGMLGGAAALPAGQLANGPQDAASMHNLQARQVA GTNEQGIVPPVTDYVFGSPPVIPYPTWGMFAPGTEFNADAFAAAFLEVGGLPSSTSTT VSEASVTPAASPIPAVATAGTSISTPAASAATSAVSRATPA ANIA_11647 MPSVLQALGTRGPGHVPHHCARFGVGRGQEDLIQTRQREAVQDI VLLSSGSFASPRVPSYPDITKYKRRLFHTARLGLPVHRRAIRDFKAHWVG ANIA_09257 MSQLTISKIIEEPFSALSLSEMLKILAALGWSTNYLAMVYRTQA DKLPAIAVLPLCCDIAWEFTYAWIYPQASGHWQGVVRVWFFLHTAVLAATLRYAPNDW AGTPLGESRGRLVLLYAAVIAAFAAGQLCLALEMGGALGFHWGGALCQFLSSSAAVGQ LLTRGHTRGASLLIWGARAISTAGGDRALIGCVVSGAVPIDQKA ANIA_11217 MQSSLLGRMTGVTGSRSKRHTKFRLRRLQQPPTESTTRLASPDD AQPIEETKSQEHLEQSATQGLSEASGDNNAGGAHPTPAPNEGDAPTVAELQHGSNDRV DDGTSGSGTVSGWKLAAVLIGLSLAVFCMSLDSTVLSTAIPKITAEFNSLHDMAWYVS AYNLTISSFSLVYGKIYSLYRVKWVYLTTLFLFEAGSLVCGAAPSSLALIIGRAIAGV GGAGVFLGSMLIVHEIMPLHQVPLFIALISGLYGIASVVGPLLGGVFTDYVSWRWCFY INLPIGGLTAFIIVLFVRPRVREKATVKKTPWAQLLDLDPLGIILILPAVMCMLLVLQ WGGAKYAWSNWRIIVLLVMAGSLALTFTAVQLWQGERATIPPRIVRNRSVWGAIAFSV CIYGSFTVFTYYLPIWFQAIKSVSATRSGVMNLPMILGVVVCSMLSGWGVSRVGYYVP FMYMAPVVGSIGAGLLTTLHVFSGPAAWITYQLLLGVGIGSGMALPLVAVQTALPTDD VSTGSAIITFTQSLSGALFNFVAQSVFQSRLVHNLNAALPGVDTARVADVSPREIRNA IEPEYLPQTLEAYSLAITQVFYAGTALCTLALFGVLPIKCLSVKGSRIPPMAHA ANIA_11206 MSDTPTRKTDLRVIIVGGSVAGLTLAHCLANANIDHIVLEKRAE ISPQEGAFLGIWPNGGRIFDQLGVYADLEKCTVPIHKMRVRFPDGVSFSSELPRQVQE RFGYPIISLDRQKVLEILYNRYPAKSNIHVNKKVTEIRQTEREAQVLTADGAVYKGDL VVGADGIHSAVRAEMWRQAKDLVGRRDRQDVNHEIAAFTVEYACVFGISSPISGLESG EHVNSYSNGLCVITFHGKDGRVFWFILIKLQKRFIYPFTPRFSASDAAKICAEYANVP VWGDICVRDLWGNKTSVSMTALEEGLLETWRFKRVVLLGDSIHKMTPNIGQGANTAAE DAGVLASLLQRLSTSDSSATSCTIDAVLQEYASLRYERVKSTYQRAYFGARLHTRDDA LKAFVGRYIFPRFRQQVLERTSQAIAGAPQVDFLPTPKRTGPGWSDYAGSPEVGAPTL PWLVISLPVLASMLCYLVYSSVFVTPIFP ANIA_09259 MAVISELKRHHPKTGLLRYLPTGVVPYGELVRIHRALGYYLNTS PYVVGIAYTAATAETKLPLDLLLDRLLLLTLWSLILRSAGCAWNDLVDVDIDRQISRT QSRPLPRGAISLSAATIFTACLFVLGCSLLLFLPRECLFDAGIKVFFALLYPFGKRFT DHPQLILINIAWAIPMAMHSLGMEPSSQILSMLCMCVFFSAVIVMIDLVYSRQDTEED LKVGVKSMAVRYRNCVETMAYSLFAISSLALLFGGVLGGLRVPFVLFSVGGHIVGFWR FLRASLQAGPAGVESRAKSSCLIASVFWVLGLGIEYAEMVKEKDNPTDKKKHPH ANIA_09260 MTRLVFFPVFALILSLLSITVIGAAVPNTRYTANYSNIDTEDEW LHSTTKRDDAVKVPLRILPLGASITWGLLSSSGNGYRKPLRDKLRFEGWEVNMVGSKD NGSMKDNDVEAHSGDVITKVEEAAKNSLAYKPNIVLINAGTNDCDFNVEPDKAGERMS NLIETLISAPDMDNTLIVLSTLIPSGSTSVEANRASVNAQFRALVPVMRDSLNISIVL ADTDPPAPTAGNNWIKYPDDYNDNKHPNDYGYSKLAAIWYNAIYDAAKNGLIATPADL NTTTPGTCDKEYGSGVYAGGFTQQGSGEDDGIYRHDSEYNGAVFSIRAGRGAADPYSD DDELSFFFGRLYTIEYDDLIIFQKDSDGLDDYVCIALDGTTYASINNGDGDSGSNKPP SFTDIGLWKSPEEHDQKHVRLADIDGDGRADYCVLADNGDVTCWRNGWIEDKPAYWQP LGKRFTGKGMGDIRGVRFEDINGDGRDDWMWVDDDGAATTYTNSRSCIKGQAGDGLNV VWRQGFYKDANSGPTHPGLSGIFGSSGLRDQVYFARVYGEVADFGELGRQDYVFIEKN KGAGGAKIKADGNRYCNMKGHENGRMDYVWIHSTGYMRLYPNKGLVEVPSDGSSFWGA NEIIFDPTREQIGTKLDRRDLHLADWDGDGACDIIWTDPNNQNRVQVWRNKVKETGSI EWEYNSNAVDQLYCPEHRGLGYFDRPVHFADVSGYGKADYLCVEKDGRTWGWVNGDDG WEYIDQFKYSEQKDRANIHWADVNGDGKADLIWTDKFSGDGSVWYNLGRRDINGSRYE WGPLGPRYRGAVEGSCTYFPDLDGDGRADMHSIWNSINNTAQTWYNKCATKDHTGDDG PITDPNLPVPPVT ANIA_09261 MSKESSPMPADGVNEAEDDTHVLRAEQIPEESDSMNITTSRDYV SVLSRATPAATCCWFGIFESAMIVVLPGRRNNSEMVMETNFRMLLQLAAVEYPVLVNG GLVLMSYSTALIPVKLVDTETILWHLETASNASQLKTTELRGIKGNWLKTTDLEDLQK KKALLGWRPEAVTLLGTGLLNTVQWSAAKAKHSTWTWKGANLQFLASSTAPLQLGAQL GLI ANIA_09262 MHTSEEIKAAMEKWCRDAFAFVDPGSGHDLNSHLAQLDAHLSTL LVCNFQTYKQDMGEAAIVDNACALLGRLPSNPPELPYQYDGRKAQDAQEKDKQELWPA AYFVENSKETAEDPEESNNWGRRYDWASLQVLSRPNTNTIRLVLFKVMDRADPQGCPS GYSETLGSLLDIVTGLLGESSTEEEAKAWFVLQAFLWAAWQHTIMIHLWYDAKRQMYN YKFYNHNDIVAHQIPAVMPPREIIERSRPNYMCKWAFELLRSDLSCVTQDFRKFFEIY EEHFGGRGPRCNLLPPGHEANIAQPRRICDGKAPGNCERFESESVQIQGAHDFGCPGP GPDSPCHFLVWDEASYLNTRGARAVSIEDTDDTHIRYTPVTRDTMAVSHVWSHGQGGR PETGFNSCLHRRYVTLARFLGCTSYWMDTPCVPTDRTLRSECLGQINANFESSKVTLL VDRDIMEIDIHPRTLAAEEAILAALVVCDWNVRAWTLLEGMRGRVRLHLLCKNNHVIS LADVLNDVLSNSCLSLVSLCLAVQHYIPIPEPPGGIDLDPVSIEQATCLLNHRHATKD RDVPMIWALVAGSPKVIKASEEFWLSKVGKFLNTGNLISSAPRIPGRRGIGWAPARPN LLPPNATTEEKRYPAYDGQNSVPGKITAEGFEAEWLAVILRRRGMGLPAWMFSIYRFP RESEWDEYYHVYNKGGSDRIDLKMRQRIGALIAPLFQRFRYVAFLLPLLRYKGTNGAV IPPQPFQYQGEAEGPVMVVVGSNNQKEWEWQFLYEWKEEQLPEFWQTQLLLV ANIA_09263 MKAISVLFLLPFAHYGLAKIRSSPSLMDPATSPAGARALLEKRD TCESGSQCIIGSCCGYDSCAYNCCGIGMDGEPVGCGFAQSCDYASQSVFIGCCDQLQI GYCTGTATEITMSTIFGEVSPTDEPSSTSLEPTQTTTTSDEPTETSTSSQTSFPIATS TSSSSEEGIETLTDSVTSTSTASVSTNSASPTAETDTMSVPTPTIPETTETDAAVVLN PGILLDYYLYQTRFSVTCANGATPRLPTGLSTSFSVSTELAGAEAIRLWLTPDVYGRP HLKPYSCTKMSPR ANIA_11650 MAERDPSRVHSSQTPMGDAVPTDQFPVRRPSIGDEVTRSRSMST GSEDSNNTSGSVSSPGPTQPGRHWLHWPRYSHSKQSAQRSRFENNDVIFLG ANIA_11651 MSEFKARGGKMITWHGLADEAIPLGGMVEYYKQVLEMDAGAT ANIA_09264 MVSFCIQNVFIFLDTALFADTWTSSLYSLSALLIGAATALECSP ASIRSPTFRNGRFQSLDSGDYSTGLEPIYLAYAVAQGFVSAFTDGGVPSDAGATTTIA TDLSATNDMAEIGKQVTAYYNRPAEYTYFSGCSGGGREGHAMAQQFSDAFDGILALSP AITIESLIPASYWPTQITNENQIYPSPCEIDAFVSAAVKHCD ANIA_09265 MQHHSAMDYQEVCKLTALERIGPKGWLRYVFPFQLQDNYDLNEV ARVVQAGYDALVKRIPVVGCEAVPDPESRQAGVLKFQRQEDQDSAGIVVKDLRNSFPS SYAELKAKAFPLAAFDAETLCRRSVWPVAGERLPISLVQANFIQGGLLLTWCIFHMAG DGKSFYLWTKIWAEECRKAQGLDIIEPFHLSDAVWKDRERVTQPSGKNPGKPEDHPEY TLLPFTPPGAPPKMTSPSHRGQVFYFSKESLAALKAEASPANATQPSDQPWVSTNDAL SALVWRTVMAVQSPLETLEGDPVSIFNIAIDGRARTSPKVHPDTLGCFLEYVSVSAPI RKMLSELNIADLAVLIRKSLLRADENFTDDVVTLVDKLEDVDRLVPTAFLDVPGFNCV QTSWTGFELYGLDWGSLLGHTIQAVRSPHVGVINGGQVVLPVLPDGGMEVIVGVEESC LDRLLKDPLLTRFGIASCQPSWGIRCYLTANCARNHVKSDEGVPARASSDRLTFVAAH KLAAAK ANIA_09266 MVSGAITLSYPIMVWVTMSTACRPLSYFWTQFSGTKGECLDINT FFLAAGIINMLNDIIILAIPFPRIIKLQMTLRKKIAICGIMAVGIFVCIASIVRIHYL SVFMNALDITWLMGPVFIWSTIEPSVAIVCACLPHLAPLARIAHHSILSSYNSHSHSR QGPSSNKSRTFRSGSGVGQNNSYRGGSILHRMIKGTDDDEIGLTSYVTAGQHGAFADT ASQIRVKSTFVQASNKAE ANIA_11652 MVSLVPSKIAKSLEEQAMGHQEQRRQQLAAIKRADNATDDEAAR DAVARVQAPRLKAIMRGSCAVLKSIYTMRRQKSLVSISPTLCM ANIA_09267 MKLPAVTLALVAAALSAPTPIKRDDLAAVNKRTFGLLASLAGHG GLSGHAGLAGDASASDEDCAEGSAGGSAGLSGSAGLGGHAGLSGWGGLSGSGSSSGHA GLGGWSGLSGSGDLSGGLEGSGSASGSAGIGGSGSAGGSAGIGGSGSAEGSAEGSAEG SAEGSTGGSASGSGSIGGSGSAGGSAGIGGSGSAEGSTEGSGSIEGSGSAGGSAEGSS EGSIGGSVGGSGSIEGSDSAGSSGESGYGSDCDDSDVSSTTGDEESDSGDASDTGEGD ASAEGSGSADASGDGEGSADVDGDGSASASGDGEGSADVNGDGSASASGDGEGSVSVD GDSGSASASASGHGSASVTGSGSASASGSGSASANIN ANIA_09268 MVSFVAHLLRGLGNCARSFEESGLTARSIRSRAQLAKQYRARHL LCTRDTDDVSKTDHKCSEDYTLSTSESGDLRYHQRAVSRRASSCLKSTIGEMKIIVCV LNPQGETDQAGVPVVLDLQFVDVSTCQPIEGIYAETWNCNATGVYSGAQGNGNGNSED DSILQETFLRRVSKTGEEGVVTFNTLFPGHYAGRATHYHVLAHLDAALLENNTLTGGS VPHIGQLFFDQDLITAVEVTSPYSSNTVEITKNTEDSPGEYREYSSRFFVSTAQQGCC SRHS ANIA_11218 MNQLATKLGLSSELQFYDVYSLNEPEQLAHIPRPAFALLVVIPL TPAWDESRKAEDADKEPYTGSGTDEPVIWYKQTIGHACGLIGLLHSLFNGPAVDLSSL TPLLVVLYCLSTKAFSFFHPSAFCIAQIAADTPVIIFRISIVAIVLYFMVGLTISASA LFAYGLILFAGTMCMTAMFRAIGAAFDSFDAASRKCTHDSSGFSGLIH ANIA_11207 MARALDANGAFKVFIIGRREGVLQETAASAVNGSIIPVTADVTS KESLQAAYDTVASQTDHIDLLVANSGIAGPITNTEIQPDCYPTLSEFRDQFWSIPMEE FTNVSHVNVTGAFYTILAFLPLLEAQNKKRPAPIPGTVSSPKPQVIITGSIAGFTRLA LPGFPYNLSKAAVTHMVKMLATTFSQYDMRVNGITPGLYRTDMSLPFYKSQGVRGNGT EDGSFPRSMVPVTRSGSEEDMAGIILWMAGAAGGYLNGNIVVSDGGSVSVVPSTY ANIA_11222 MARRAHTKSRTGCRTCKTRRIRCDETWPSCKRCTSTGRRCDGPS NAPARPVRVSFAETYTPESLGKMRPFQRLSDISGEETRYVQFFIWSISQGEPRLHKGS SVSDWRPLMIRAMHCEPAVRQCVVALSALIQERVAHSSLELHGFGTPPRSKGYVFALE KYGRALLSLQGLLENSSDVAAVEAALLCGIVCIWFEILMRDFLAGLSHLERCLRIILR PSSSKEGNINPEIRRAYMKMDMQATLYIGMRAPAASIKRPPPIPYVFETLHDAETSLM HEHAQILHFGRRPTKRYRYHEPGHIPLELIARTHTFQHRLEVWKSTFTYSYSCQKALG SSPRVSAAASLLLIQYYVARIMASTCLYAEETLYDQFLAQFRRIIALAKACTEHFETA FCSRSSSIGVPVSMGVIYPLYFVATKCRNSQVRQEAIEVLSTIPYPDGVWEGRILEVI AQRAKVVEEFGLNADQLVPEFKRIHVLGLAVHPESRKVCVEFRRRPNGMDGEWEEWEE WISY ANIA_11208 MPTPTQVSAPAPTITAPCTPETCPIDWALIRYFPNLAGNTLYLA LFALMLLAQVYQGLRFRTWSYLGCMACGTLLEVIGYGGRLILHNNPFNFSAFLQYLIC LTIGPAFITAAIYLSFGRVIIIYGEKGSRLRAKSYSKVFVACDLLCLVLQAAGGAVTA TAGRNQDGLRRIGINVMISGLAAQVVCLGTFMVLAGDYARRLRVLRTGNYAGPDSAAP ADLIGGGWIWKGFLWGLGVATLLIFIRSIFRVAELNGGFGSELANDEVAFMILEGAMM VIACGWMSLFHPGLCLRRGDWKDPSSWALTERIHAPLAGVDE ANIA_11209 MSTTAVELASQGQEPQSSVQTFEPIAENAVIQAKQRWNDPPINK WRLLATFVSFAVVGASDGVYGALVPYLRDDYKLSTTVVSLIFMTPFAGYTIATLIVNK IHMTLGQRGIAIIGPLCHIIPFVIMAIRPPWPVMLAVYVIVGLGNGLIDAAWNSWIAD MVNANTMMGFLGAFYGLGATLSPTIATQMIKSGLHWNYFYYTLLGGSVLELTTSAVLF WGENAASFREKTRRSASSSGGNRTTEAMKSRVTWMIAFWLFIYMGAEVSVGGWVVDFM VQARNGQPFESGLIPTGFWAGVTIGRLLLGWVNDWLGMLCTLRERIAISIYLVISIAL ELIFWLVPKFVVSAVAVSLLGFFTGPLFPAAIVVAAKLLPKHLHTPGIGLASALAGGG AAILPFVAGALSGARGVQSLQPFILALLITLTIVWVLLPQNKHHAHAV ANIA_11223 MTRPLCASGIYCGLETVHGASPSPVPIPVSERVRKTDCCTKPCT RRRRHVAASRSWACLYYAVVAVGCQHCDGGSYEARTGSAWAYFEQAMNYSQDLHFPVS LTLVQALVALVSTLSLPQGVQSTKNSAIAEQGLLESETDPLGAFLSINSGIWPQAVDD SRGSCFGPKFQTKPIYINIRERIIPDILGFLLHGKISCFVAGRVPTLQDAHISCSLPN TSEWSFDDYGWLISFLKYSRLVSKIQSRLFNTTSMLQSCTVCRTTVEALQAELEKWRD SIPRRFRPAEPLRPRMLGEPRALSIAIQIPLLLPIRVLLRPAQTLPNNPTLHLRPRWT GDFRRLRCLPSLANTNTNETVHSQAASVMVAMPTALARIKTPSSHPLTGRCTICLSGG RLEGIV ANIA_09272 MTPATARLFPKAPISKATRGEPLDRSYDNAAYRLPDNAAAGAAS SPGEATGQTGPAVNADARPNPPGPESTIEAAKLQGTFNEVPAPVQPPSVPLDAQAEPR EGQVPQIDMATSIATATTSISFSTSSTTSSSTLSLTSSLTSTTTISLTSSTSTTRATL HTTSLPMTTHSSLPTPSGSHPTSSESQSSARMTPGSQAGIVVSILTLAFVLIALINWR LHRRKRALQTAILGEKYRPPPNKQNSIYKFASNLYTSSTLTLVNVAEMFKHQSRDSQG SISGRSSSIYSRQPTPFPTLSQADLLGSYRGGLWRNRVYDAASRMYFALSSVANMAMD KVKSVPQKQKSAAIRRSRESYEYGFSEDYLHIPPPEPAALRGVVSRLHSNSSSALRSI TRKFNPPRPPTDTASPTWCSTNSPSPCLEEYDRNTPSQQFQGLCEDADIQDLVKVRSV SSGMVAMSNPADISVDSLAGRLSGEKERQPQHSPEESLPTQDPSKNNLSKPQLKLGQS AIQREISPVKLSTVQMFRVEMAFVPRNDGHMEVSEGQLVRLEQKFDDGWAWCTVVETG MQGLIPRACLSTWPLKEHRPYTPSSICSDRGPGSTTSLSPTDSQSVRFYQRHSPGTSK SGLGSKPPSVK ANIA_09273 MKYLAMVSLLACAVRAAPSAEGTVGQAILDKALTAEGTPYAWGG GSCDGPSDDQPPYDYGDVGYDCSGLVCWAVCQVTGRDLFTEGLRVTSSMYCASEETLG YNDSDDRMWNAPNDRVNKVQENSISGFGETPCPYVIRFT ANIA_09274 MPNLHSLPLGTRPENAIRNNGPDNLVLERAKLRELAEGWPCYRD ACEWENFESIFHPDAVVYTTWSGRVGYKDFIAGSKAGMDNGAFIMHRCHGATTDITAD ATRAVTKLKATITQRFVIDGIEVDAEADCRFCFFFEKADVDGKGPRWGARFVRHWYEK DKLLPVVPGRFPKIDVDKLNSYPEGYKCLVYCQELTMGVKVLQDMPGHRRHAGTLSGE KHDLLYRLAKDWLDGKEIDV ANIA_09275 MDLHSKLQAAWYRLINTYRPGTIELTGSIATQTVGFILPATLYL LIDTLFPEFSKRHKIQSAGRQPTRPQILHCVKVTLFNHVWVVAIHAMLVYWAGLDHAI MNLDPIVPPWREFIVDFVFALLAREILFYYIHRTLHHPRIYAYIHKMHHKYTTPVAFA GEYAHPVEHVIANILPITLPLYLKGAHYLSIIAFVSFELWEAAADHSGYDFLKLPPAE LHDLHHEKFRVHYGTIGLMDWIHGTDVVGWDRPETDKRDEQ ANIA_11653 MADIQPGSECDFVSVDVQTASDIIIWVHGLGDPDMSVLRNCQLL DLNRLLKRCWEDQDSDSTAIETDSGLLRARQSLPSSVAAGYPDGGYQADLSLL ANIA_09276 MKRQALTLIPLLGAAAAQSGPYGQCGGNDWSGATTCVSGHSDQF VHDADDDDQRYNKNNDNDDNMLTNDADVDLVLDHIASSGHKILRIWGFNDVNTEPSTG QVWFQKHQGGVSTINTGQYGLQRLDAVVSSAEKRGIKLIINFVNNWDDYGGMSAYLNA YGGSTKTDWYTSATIQAAYRTYIKAVIDRFIDSPAIFAWELANEPRCNGCDTSILYDW IADTSAYIKSLDPLHMVCIGDEGFGLDEGSDGSYPFSYNEGLDFAANLAIDTIDFGTF HLYPGSWGVSYDWGNLWAITHGAACATAGKPCLFEEYGAPSDHCAIEVPWQTTAVSST GIAGDLFWQWGDTLSTGQTHNDGNTIYYGSDEYTCMVTEHMERIAAR ANIA_11654 MDIASVSKTATTISVAHSFHSERCITIFIASTAATTIILRAAIG ALQSKASLYGRQLRLIAFCFRSLGSSISQCYAGEHDLSHYDSHNPPLLPTD ANIA_09277 MSQTSVRLAVIPARAPWRLVASSQFHTRSSPLLFRLSFPQVSNN PAFRRRRAAYGAVGTVLAVSGFAWTAQTGNVEVHEDPCDRRALTTVPMTKLISGWIAF AFCSSPTWVDASETLYNIVSQIPILSSIANAFIMRTFFNQFLGGETTSECIPKIEALR REEVGTLLGYNIEAELDGSDKRRELIHAQTEHVLSSIETQGRLARKFWPDSTTTSGDN RCWVRIKVTGLVPSPVALYHGSNAILSERSARGLDKDVPYPGLPHDGDWEAALSGVST KDREELLALRAIMEKIATKARENNVRIVIDAEQTWYQPLIDSLTDELMQKYNTLDGPA TCIGSFQAYLRRYPQLLDQQIRRAEEKGYKLLFKQVRGAYMVTEAARWKREGRQGLGP VWPTVEETHASYNYGIQRTISTIAEQIKNNEHLKIGAVFATHNSISIDLAIKLMEQNG MAKRIDDGKRLVLSNEIAGSIAFAQLYGNGTFLTLECSL ANIA_09278 MELHRHPEPGNADGLSYGPGSAERDLLKSALAEMESVVTDIPSI INGERIYTGRKGKQANPWNNHGQPLAEYYEVDSNTVTEKAIPGALEARKVWANMPFRD RAAIYKRAALLVETPKYRWKLMAAAMIGQGKTCGQAEGDCITEVIDTLNFHVYFCAQL YQQQPPKQFASSSSKLDYRPLEGFVLAVSPFNFTALGAHIALTPAILGNVVLWKPSPM AVLSNYILYQIMEEAGLPKGVVQFLPVADPTTVVDPALSSRDFAGLHYTGSSVVLRSL CARIGVNTHIYRNLPRVVGESGGKNFHLVHNSCKDDVDWLASAAVRSAYEFQGQKCSA LSRFYVPRSLWDQGDLKKALLREAAKMTHGDDVKDLHHPLGPLVSQEAFQRFQQFVDR AQSDGHELVYGGKTDGSRGFFVQPAIFMASDGDSDSELMTTELFGPLFAVQTYDDSSP TGFEKVCELIDKTSEYGLAGSVFSRDRMAIRVADEKLRDSVGMFCINDKSTGAIIGAH PFGGARSSGTNDKANSVNVLLRFSSIRCVKDTYVTGSATLGACHVPE ANIA_09279 MGIDIDLTVAVIGCGTLGTAIAAGILDPKDKSGINIKRLIATVG TEPSKQRVRDALSTHESRLTVLTHGGNVRAIQEADVVLLAVKPFKRAEVFCAPGFKEA IRNKLVLSIMAGITTKEFARLAIGDGAAPGILQVVRAMPNMAAKIREAMTLYTPSPGT SPENLSIASWIFGQVGESHQIPEASFDICAVLVGCAGSLLLLAVDGLLDAAVAEGVRR SEVQNLVVNSAIGMLKLVPAGDHPSVLREKIASPGGCSIQALLELERLGARSAFTRAI MAAAEKSKAMSSS ANIA_09280 MAAITPENESKIAHDADAAMSTEIGQVLHDAAGNVDQLQRRLSN RHIQLIAIGGSIGTGLFINIGMGLARGGPASLLIGIIIHCCFMALVNNCIAEMTVLFP VSGGFIRMADKWVDSALGFMAGWNFFLYEAILIPFEITALSIVLQYWRDDIPSAAVTA VTIAMYGVLNLLPVGLYGETEFWLSSGKVVLVFILFGFTFFTMVGVNPQRDAYGFRYW VSPGPLAEWHTGGDLGRFEGLLNVTWVGTFIVVGPEYLSMAAAETRHPRVYVKSAYKA VYFRFGLFFIGSALAAGIVVPYNDPVLQSLARGEQSSSSAAASPYVVAMKHLGISVLP DIVNALIFTSILSAGNTYTFCAMRSLYGMALEGRAPGFLRKCTKGGIPIYCLGVTTLL SCLAFLQESRSSHVVLQWFVNLVTAGCIISFIVICITYLRFFRACKVQGVDRKNFPYY AYLQPYGAWLGLFWTVFVVLGYGYSSFTPWNVGTFFSYYSMVIFAVAAFSGWKLTMRS KLVPSSEVDLVWERPTVDAYEAVTTERPVGFWTDMKDMTRAAGSSRTNALTYWQWIRY ATIATVTSAFENALVPIAAWNIHRLRQTSGPANPKTMQPLALHFHAQTSYL ANIA_09281 MSVNHVQPEQYFLPPTPHVPNSRLPVLVYRNVLEDTSPRNIVNT IEPNGWIKGGQWKTYKVPHFHTHCHECYGIIRGGSTYLLGVGPNDPKVDEEGSPYGMK LTVQKGDVFVLPNIDIDDGKGILEATGHRFDMNYGFKPPEETSALAKQSESVAIPLLD PLYGLDGPLPRLWREAARSRARL ANIA_09282 MSTFTETPFLPLSPTVYDAKALARTAPSTADSISVESAHDQEAQ PDLGERQYNYFSPFISERAVDEPRPLKVIYIGAGISGILAAIKFRQAVPNLDLTIYEK NSELGGTWFENKYPGCACGKAGTIPGNVFKKAHKSSDVPSHAYQLSFESWTRWSHFFS GADEILEYWKRVAHKYDVRKHIRFQSRCIGARWNDSIGKWFVQIADEADPEGRTFEDS ADVLITGTGLLNEWKWPAIPGLHSFNGKLLHSAAWDESYQIEGKQVAVIGAGSSGIQI VPALLDKVQGMDHYVRGKTWISNQHGGERLSARTAGKGGNFAYTEDEKQVWAKNTAAY IQYRKELELEMQTLYAKSQRGSSLQHSARMKYTADMQHRLREKPELLKELLPDYPPLC KRLTPGPGYLEALTSPKVNVITNPIVSVDEAGITTSCGTHRPVDVIVCATGFQIGGGF PVIGRDGVNLRDKYRQRAETYLGLATDGFPNFFQSLGPNSFQGAGNLLIMMEQIHSYL AQLISRMAYDNIGLVEPKRAQVERFTNYCDTYFDRTVYSADCVSWYKSSPPGASLEDR KKGRVTTLWPGSSLHCLKALEKVRWEDYKLQPYDGNEFGWFGNGWTVAERQEQVDAAT LTPYLDTTDFIDDVEHTK ANIA_11818 MGSASTNPEKRRVVNACSRCRQHKIKCTGDCPCSNCRQRKVRCK FEGEETKVHITKKHFSSLKRRTLELEEENRALQQQLAALTTTSTPVAKRSTPSAASSG AGLAYNNESHGNVEDDNSSTMVNPLSCGPPKYITDSAGRPRHTSNWSLTIRLLHLTHQ ALYKCPFPSAAHHVDTMTYSLQWNGLRSAIVANIRGLPSLDHALFLINATKFRTGQMF HLFDEDRFMSQLHQFYEAPDRNLYTENVWFIHFLAIMALGKAFIGEKSCGTTPPGAEY FTRAFMMLPDYCFLWKDPCAAAEVLCSMALSPTKKTSDDARMSGGRFTFLNVRWTVYV LERQMAVLMGVPSAINDSDITASLPIDPDSTVRTMNMAIHVKLSRAFSQVVNASASKT GRCGIGASRVLSCARSGVAEWHITGVRLLEPAIPSGDFRLYPPLF ANIA_09284 MPGRLEGHIALISGSSQGFGRGIFETFLREGALVLGLDLQAIDG QVEGFPENRAYQITANVAEEETWKRALETSIARFGKAPSIVVHNAGWSYPNKSGLDVT VEEFDRLFNVNVRSIYLASKVLVPEMKKNGPGSTIVISSENAIRPGATQTWYNATKAG VSSATKSMALEFARDQLRFNTICPTSGNTPLLNKFAGISDGPVPPEIIKAKCEAIPIG RLVEPSDVANVALFLAEPASSIISGVEILVDGARCV ANIA_09285 METVKNAVNYVSESVQGAGATASKETNKNVAKDSDASLTSRATA AKDAVVDKKDEKSHDAKADVHKEAAKN ANIA_09286 MRSFLLLTALLGVAAVAEDGLAAWLRYAPIPHAKSYHKNLPSVI VPLNATAGRPIDTAAYELVDGIKGIFGKRVTLKNETRDDPNLPAVTVGTVEAYAEAGG DVSSVPELIDDGYYLSVAGPSVLILGQNERGALYGTFQYLERLAQGKVSDTSFASNPS APIRWVNQWDNLQDGGTHGSVERGYGGDSIFFWDGRVRDDLTRASQYARLLASIGLNA VIVNNVNANETILTQENMDGVARIADAFRPYGIQLGLSLNFASPQSLGGLDTFDPFDE RVISWWGEITDELYERIPDMAGYLVKANSEGQPGPFTYNRTLADGANLFARALQPHGG IVLFRAFVYDHENLNETLDWKADRANAAVEFFDGLDPQFEDNVVIQIKNGPIDFQVRE PVSPLFAHLSQTASAVELQVTQEYLGQQCHLVYLAPMWKEVLDFDLRVDGKDSVVSDI VSGRRFNNTLGGYAGVVNVGLNTTWLGSHLAMSNLYAYGRLAWDPSADSVELLQEWIK MTFSHDQEVVDVITKMSMESWPAYENYSGNLGIQTLTDILLGHYGPNPASQDGNPWGQ WTRADADSIGMDRTVWNGTGNAGQYPEEVYQMYENIDTTPDNLLLWFHHVPYTQRLKS GKTVIQHFYDAHYRGSATAQTFVSLWKTIKGKIDKERYEHVLFRLVYQAGHALVWRDS ITNFYYNKSGIPDEAGRVGNHPYRIEAEDMELDGYEPYLVSPFEAASGSHCIVTSNNS TEGRASTPLKVKNGKYDIAVNYFDQAIGNSTWRLFLDDDLVGEWKGDLEYILGRAPSP YIDGQTAARITFKHVHIKSRSTLSIVGIPDGMEPAPIDYVSILPEGVID ANIA_09287 MSCNGVGIMRFTKLVAACALWIATAAGKPIYWQDSFHRHWLATW TAMPQEVESANLPSSPFGGADADFQFRNATLRQTVRVSVGAERVRFQFSNRFGLTELP ITAASVALPEGGNAGVGEIDTSTIQSLTFNGDKSITIPPQETVYSDPIDFDVPPLTNL AISIYSAEGQAKANITGHPGSRTTSWMETGDRVDASSITEASLVHWYFISAVEAWTPR YTSGLVILGDSITDGRGSDDNKNNRRWPDALAERLQRSNLGHIAVNNEAAGGNAILAG GLGPPLLDRYHRDALGQKGVKYVMIFEGVNDIGVSDPDTEMQNQLFNSLVDAYLRIIR DCKNAGLTTIGATITPFGGSQYADPSRERTRLRINEWILKHSPFDHTVDFSSFIGDGD QLRAEFDSGDHLHPNVAAYKELARRLDLKIFWY ANIA_09288 MAPTITALQYYGAKNVRLQQVQPRPCHADEVRIQIAYCGICGSD IHEYLGGPVFSPPPGKKNPYTGAELPVTLGHEISGTIVELGSSVPASDPNLKLGMRVA VNPAMNDRHHGVEKCTACQLGLPNICKRYTSYGFSAAGGGLASEIVVKHYACIPLPDS ISLKVGALLEPLAVAWHCIRISGFQRDQTVLILGAGPIGLAILMILRVWGVKTVVISE VAASRKRMARELGADLVLDPTELGSSKDGLDPVVVATQKAMKADGADVTFDCTGLQST LDTAIAATRPGGTVFNVAIHEKPLMVNLNELTLGERRLTGGICYTDVDFQELIAALEA GKIQAERLITSIVDLDDVIDKALMELIHNKAAHVKILVKPNHVRSVRL ANIA_09289 MDVEHAANACETADKNQNASSASENRSVYLGDSDLLSGEKLDAV LTAKMALVNDAIDEIGFTPHQWKLFCLNGFGYAVDSLILLLQSIISTQATLEFQPSFD TGLTIAVYVGMLVGALFWGFSADVIGRRFAFNVSLFISAVFTVVAGASPNWIVLGLFT CLSAFGAGGNLVLDTAVFLEYLPSQHSWLVTLMAAWWGVGQLIAGLFAWALMPNYSCA DAATCTYDNNQGWRYVWYTSGALVFVLSILRVTVVRLEETPKFLVAEGKDEKVVAVLQ RIAAKYQRPCGLTVEQLTGLGETRTRTSPGTRRSLQVISLPELGYHLRGLYATRKMGL STTLVWFSWLLIGLAYPLFNVFLPKYLESRGAQFGEDSPYITWRNYAITNACGIFGPI LAGFMCRLRWCWGRRGTMIIGALVTMVFFFSYTAVRTADQNVAFTCIINFCLNIYYGT LYAYTPEVLPSAHRGTGNGIAIGLNRIMGIVSAVVGREADTSTSVPLYICAALYIVMA IVAGLFPFEPLGRRSS ANIA_09290 MPSHGSVILQSTLLKTAANLTVQGAKIWTNNGSAIQCIDWLSVA EFAVCGVIQAEIGWHWHNFLDDAFSTSQPEPANDYEKQIRRERKEFWLHIFIKLMLDQ TAGSFMMNTVFIICTTAARVSSLNTLGVELDRRIWPLILDAWKIWPAASLANFLWVPV DWRVLVSSCVGFAWNIFLSIWTLARQEA ANIA_09291 MTPKTDAKALPIVKNQLREIWAEVLQDGPENFLDEDVFFDVGGD SVRSQKLIIAAEKRGIRLTMEQNFLNASLEEMAGVAKVVPVKLQKQDADDAPKAFALL QDLGYGTLQDILDTVSSQCRLSTDQIADVYSCSPMQESLVAQLDGVANLYVRQLVFRF AQSTPLDVFKQAWERTVQANPVLRTRICVAPGERGYLQAVVEEAPSWSASEISLSRFL EKDAVDAMNPGVPFFRYALVADESHQYFVWTAHHALCDGASIPEILAEVAMRCQGQCD AILPRDPFRGFIESMFMPDPERQQQQRLFWRHSLEDLNLTPFPPPPRSNTLVNPAATV ERLLHFGQQQMPLGLTRALLLRAAWAILLSHYTGTQDIIFGAINSGRTTDVPGASRMT GPTINLVPIVLRVEAQQPVGGSSLTDFESLLVVQSMEFADAIGPATQYLGLEYVDALG KKEHHPYPLIATCTILTDSTVRLTLQYDEQLLSARQAGNLSHQFEAVVKQLTDAASQA LLDSISPLSEHDLAQIHEWNKLTPSPEETCLHHLFAWQVSVQPNAPAGCLVRGDIPIC FGNLLVLPLFRTLGARGDFHWHFTQSPVNGGRKEQTLNEPHIVESNFLQRKMSSLLAT TTPAKEVGFSFKQGVNWSDYLDYRPVYPPPFFELIYSYRAGELGSSWSEAHDIGAGCS SVSAGLAAKFPSWNHTAVAIREIGRELKVGGTLAVTHYTVPRIVGNRKAQNVWKAIWE EYSKRATGPLLDHAVSIVNTALDCLESPQHEWGRVNAQGSIESYQLDSRGKESRVKKS EEVVWVEGDDDWADEQDVTWFKGYLATWVPVVPGNEIQHLWNDPEMALGGGKTRALRS YNSNYTIGAIDRPVYYAMSDYTEDRAPNKAVESSDSLTNYNPIPENEKQGTVPEPEAP PRDIDGWKWWLSVFCIWSSIFFYALDNTVVADIQPVIIESLGELEKLTWLSVAFLLGA TATNLIWGKIYGHFNVKWTYLFNVAVFEIGSAICGAAPSMNVMIVGRALCGVAGAGLY VGVMTLLAMTTTLSERPLYVGGTGLTWGIGIVLGPVVGGGFSQSSVGWRWAFYINLLI GAVCAPVYLFLLPTKDPRPGVSLKERSRELDYVGAILQMGALTTFVLAISWGGVTYPW NSGQVIGCFVASGVLFIILGLQQVFLVLTSIDRRIIPVEFFGSRTVLILFSSTAAAGA AAFVPIYMLPLFFQFTRGDGPLDAGVRLLPFIILMVVTILTNGALLSKLGYYMPWYLM GGLLVVAGGALMYTVDLATSTSRIYGYTVLMGVGVGAFIQASFAVAQAVVEPEGVPAA VGFITLAQFAGITIVMAIANAIFLNECLSEIPKILPNVSRSDIEAAIQGTSDLLDTLS SETHTQVLNAIVSGISKAYALEIAAGALVAVLSLLMKRERLFGVSAAVAAA ANIA_09292 MPLTPQQRRKSRNKEIPLNLIQTWQCPGSEGPRPVIRRPITACD ACRAAKVKCTGLQNACDRCTGRGLPCKYTTPSTSSCSTASSASPPNTSSSTSSNHRSP ATAATSISGSNPSDNMSMEWALDTANPRTLDEPPRHEFSATVDWSKEMSDQLVDWSTL DFSQNTIDLNSLGTGGMDVFTPTAQPTLLTGQNSTSTVNPAYMSVPGASRSSPPLQGT CQCRANLMAQVPEVKDAMQRKPHPELDRIFKVTGNVLYACRDLVGCPTCQINYADLVC VMAVLQQTEACFEHIAKEGLSTSAIRVSVGDYEVPIGNESKLGHILVMDLVAQANCLL KLLRKRSLTLVQTQPAAHSRLAQLNMEYLQEVVKTFGQTLHTIADSFDQAGDVNSDD ANIA_09293 MDDEPDTYPAPYGRACSNCSTAKCKCIFPRAGGRCQRCQRLDKE CRQPPSHKRQSTRQSARSKAARLEEKLENLVELLRAGVQPPAVNPITNALSTPDSSFD VLRDNATQHTVLPPIPTTLTPDTNVSEPTSRSPAAISTPAEPTSLQAEECLATFRSQL LPYFPCIHISPDMTAQRLCERRPFTWLCIMSVTSKSASQRRALNDRIKATVAQEMVHN SASTDIDILLGLLIYLGWSNQQVHNMANLHVFSQLVHAAVYELGIHNPFAKPKMMALC VYMEEKENAPTPGQSLEERRAVLASFLITSIISTFVQKTDSLRWTPFMADCLRQVEEE RECINDEILVQQVRLQQITDNISMTTGLASTSDSIQVPPAFYLRSMHNELQSIQPRVA EQPQAHKILLLHHHYTTLTLHESALTNSPITTTTLDFQQLEHHYACLEAAKSWFELFL SIPPVEYIGFPFSIFAQMVHNLVVLYQLSIFENPSWDVATVRKTVDVLAVLETVIRNM DVVAAAAGLEGEPESDVFSVVAKMYKSVQVGWEVNLAPALFNGDFPFSPSFEQHVD ANIA_09294 MSKPSSIQSHIEEVEKPAVHHNEALSQFTPQERKRLIRRIDLRL VVTLGCLYCISLLDRTNLGAASVAGITSLVFFITYTIFQIPATVIIRKVGPRIFLSAI VLFWGAVMIGFGFVPSWPAMAGLRVVLGALEAGFYPGCVYLLSTWYPRYELQKRNAAF YLIGSTASGFGGILAYGLMQMSGIGGLEGWRWIFIIEGILTCVLGLGSYLILVDFPEQ SPNSWHFLNESEATYIIASIENDRSDVYAEPFTLKGYLRNTKDSKVWLYAILYMLTTT NTYSIAYFLPIILQDSMGFSVVKAQCLVAPPYVAAAIVMFIQGVVADKWRIRGPIVAI NAAMGLLGLGLLGYLDNPAPRYFGVFLATIGGNANCPALVSWQSNNIRGQWKRALTSA TLIGGGSIGGILGTTVFRAQDAPNYRPGLLTTMLANAIMILIVELDGLIIYDSSSFA ANIA_09295 MAGLQLNVDWKSNRRAIAYCLVAAIGALCYGYDTIYYTGIQGMT WFAKDYGEQDPDGSYSLGTAFLSLSASIIYVGELVGALAAAPINEAFGRRAVFFSASL CIIAGAIVQACSFGSHPVFYVGRVLIGLGVGQFTATCLIYIGEVAPSAIRGPALMCFQ FMQSISQLVGACVNQGTQSIQSAQSYRIPMCLLVVLPGTMLLCLIFTPESPVWYMTKD KRDAAIKALRKINRSNRDYDPTMDIQTIENQVQLEREQAKDATWASLITDPVERRKLF YACGAMFVQQINGIQFWYTYGVVFAQSIGVADPFTINTIIYVLQIVTVAISVLLGNKI NRRTNLIVCSIGILVSLITVGGLGTTRSPDGSFSRGVGIGIVVLAYINIIFYNFSIGT LSYSIASEMSVGRNRNKITSCAMGVFFVTVWLMVFTSPYIYYDGNLGPMIGFVYGGTT LFLLAYSWFCVGETAGRSNADIERLFQDRVPARQWATYVIPDDGLSAGGQKKGTDEEQ IEMA ANIA_09296 MPLATLREPAIPLLCGLLALYVLRVIMTYAKLMRFRGPAWTGIS NWPHSIAMLRGSCHEFYAQANEKYGTRTWQPCLPILTVLNRARPNRPSRPSSAHHLVS GGLDARQQQAWLQALRLTPADSVFGAKYSGRENTDLEFSVDKQLQNLLDLIRAKYVSS CEQAVPMNLAKKVQYFTLDVISSVGLGKAFGMLEADRDVDQYLQSSDEGLAIGNAALA LGFSNINQAPFIGKFFAPSPKDNNGFGRLMTTCFRFVDERAASATDKRSDMLASFIRH GLSGEELRTEALEQILAGSDTTAGAIRGTLLHLMTNPRVYVKLQREIDDAVHRGLAPS AGQGLITAAQAKQLPYLQAVIREALRVWPPVSNIFPRDVPKDGDTVVVNGQSIFLPGG VCIGYSAYAMHRSEKIYGKDAKAFRPERWLLEPNPTKLALMVRTNDLIFGHGKFQCLG KPVAQVEIGKMIFELLRNFDLALLNPTHPWDARNNLGLFTISNMWVQVTERTAGLL ANIA_09297 MTNTHDFSRDPNNVQAWLVGSGIASLTAAVHLIREAKVPGPNVH LIDTHKGTGGGMSMQGTEDSGYFLPYECTPHFHGSCVERLLALIPSPENSEKSILQAV HDREAGGRSTVAANVTRTYDYGYIYPFEASTNRHGNLAEGLFVAGGIGGSSKDPRKHH TLPARFVTKGLSGPEVSHHKGVQIGITQRMALVGFLLEHESAIDSKSIKDIFDAAFFE TEFWMLWSTTFGLHTWHSAVEFQRHLRKYLGDLRSLDRIREQQRTDYNLVESVVQPLT AFLKQQGVDFRFHQQVSDLKAYPEGGPTTISEIEVMTEAGNQELITLDPQDILIVTLG STTSGAAMGSDTTPPEGLTSNWEEVLDGDWKLWEKLAQKSSKFGNPMNFLPRIPESTV ETFTTTFTGPGFANIYERLTQEKPGTGAFLSLSGSNWGVTISVPHQPVFSTQSENVTI MLGYALNPGVEGNFVKREMWQCTGQEILKEVLFHLGCCSEDSTEATTILAEARTIPCG LPLGTAPLLTRSCNDRPPVIPRWTTNIGCVGQFVEIPADSTLDIEYSVHSAQIAVAEL MGLPLAPAKPLKSLLMEVLNVLI ANIA_09298 MVCKKAGLIQNLGLFDLKKAQTWLPISLLLVGMIYTGNKALQFL SVPVYTIFKNLTIIVIAYGEVFMVGGSVKPLALLSFGLMVLSSVVAAWADIQIATAAT AKASSDSAVATLSALNAGYAWMGTNVVFSASYALGMRRVIKKTNFDNWDVMFYNNLLS VPILLLSSLLVEDWSSENLQRNFPAESRQSLVIGIFYSGVAAIFISYCTAWCVRATSS TTYAMVGALNKLPLAVAGIVFFAAPVTFGSVSAIVLGFISGLVYTWAKSTGA ANIA_09299 MSTPDITLYTDNTPNGHKIPIILEELGLPYKLEQVSIQTGEQKK EWFLKINPNGQIPALTDGKQRVFDSGAILLYLADKYDAEGKVSYKPGTPEYYEQLSWI FWQIGGLGPQGGAALSFSTFAPVRSDYAIEKFLSGYKNLLGVLEYRLEESPYLAGEKY TIADIASFTWAAQGAAALDIDLAEWPHVKAWTDKIVQRGAVQKGLVTPKPPFDLEQFK VILRGQREALMKKENSDKH ANIA_09300 MNGHVEPAALPAHRASTDSETEQPPRFDEQQALSTLDVACLIIN KMIGGGIFVSPRIVAHLTGNKLIALSLWIFGGVYSFCSIYIYLEYGLAWPYNGGEFIY ISKIFPVPPLLFASAFAWVFIASATPTSNSVTFARYINPTKDGQPDVWFTKFFACVIV VGICAVHYRLVNIGIWANDCLAVYKVLFLLVLVLAGFVETCRQGADGGLLGLGDYATT HGSPSPTNVALAILQVLYSYQGWENANYVTSEIKGAGEHKKRRLKRGAFIAISIVMFL YISFNMFVFFIMDFASVTNPRNNVAADYAISVFRTSHTKMASHAIYVNIALAAAGNII GVTFSNARVNRDIAKHRIIPFYKFFSKSSKYGSTQWDNLGTPTGGLILQALVTCITIA SYEPYRTNLTMYTYGHAVVCCALGIGVFFIRKRMNQYETSSTDPADKTYGNHWKYQVM KSSQLRYTATIFFIVVNLFLVVVPFIPSDNPDGTPRDTPSWVQPVIVTALYALGASVS LYIITFVEDLKFRGSRYNVHDPSDLSGFINYNERRWIVRYPGYPEWPWGWKSAFRLLP TLFTPLSWERIKERLFTNGEAEAAKELRRKAQQPLEPAYRLEQYLVLP ANIA_11210 MTRSSRNLEGWSIYPRNLCLSAAEVDAKGYDRRLAQAEKRLFAG ESVDFDESSDTDEDENVAQILDFGSIRQDGSRIAKYTAINSTSELTEIFQKPRCSWVF FLYQYDSWGQLRVSAEFLKKLFSFLRVHPEYLDIVFLFAEKSGPVEQSYSSFFSHCRP LSSENLATESGCSYGENTQYPRPGWIDVLKLQTLDTTSSTWPPTDDPFQRTHSPCAKP GYIMATMLRRSRPNG ANIA_11215 MRELAEKNARETRSMSIVSLISAIFLPAMFLATLFGTNFFDYVE GDLHIASNFWIYIVMALGMSGCTVLLWVAYQQRRKLKSKHMQKDIEACAEKGHRSCHP LALSSRSRLFLSHSFWKSSSCC ANIA_09302 MDVPPHIQIRDRLYLKARDADNDYFPRSRIMSVFTTDDCLRKVY FCDCPLCRQDFRNPDNRRQTFDSQELLGQYATVYALLIYNYRPGLIRHFQRDRRTLDG THFFTEDSLRFLDKEGVQLRSIIQDILNNQYKFHIRVIDRSREPITMHRGEVLPIRQN MEQTGQGSFGQVYGFEFAYDEYRGQGLRDITRFARKIFHRDTAGLDEWFNLLHFDRLQ HPHLMSALAAFWHEDKFSIVFEEADLTLGAYLENGGHLYTHEALWNQVQGLADGLAYL HGRSGSVIAYHGDLKPANILIVRGVMKIADFGLLQVRYRSIANNLEVWSLESDTIASS RRIYGGPDHSNKALMDVWSFAAILSEISVFNLEGIQGLKRYRQRRFDDVQEATPSYKT YNFRHDTQMKASVSQTIDDLQQLVQNSEQQQTRISRFQRRFFNAGFFTLLRQMFSRDY ADCPSSTHVSEELRRIHWQATLPLQEAVQRGNIWDDVSSGAVPNSPQRPNCRLTDCGI LLFDWKEGKSLLVQCVLYDYNDVNIYILQERSEVMRLNQEYPSFDPEYTDRSSNRPSL RATLQQWDGRRYTFEFVELRELLILQAAMTKQYVFNFIPFSGRRRLVLELNRAVVQLW SEKPLREDQILWPATPRVVNPRMHIAVICRNEKKLLLIKGIWAPRLINFADLNCHGTE R ANIA_09303 MSPSGPIDVEIRFLDPPKDGSIPHAVVPASGPPQENYSAVAHKV SLTDLRGHESEYNLDKDAFAVFQNVPSAMTYESWDSDETVKTTYYREVEDLLFKTVPG THKVVIFDHTIRRYNPEADRQPVVFAHVDQTPKAAELRVREHVKDPEEVERLLAGRYR IINVWRPLNGKVESMPLAFATASSVAPEDLSTIERRYPHYTGETMGVKYNPNQKWLYW SGVDNDERILIKCSDTREDVARRVPHSAFVDPRSTESSRPRESIEILRLSRLHFCRAE FCSWRVAELEKQVQPDSIAIYIFALRFLQRQRPIAGTLYQKEEA ANIA_09304 MAFGTIYSYPNNPRVSKIQAVANLNGLTIESGSFKLGVDNKSEE FLSKFPLGKAPAFTSADGVNIFESNAIAQYLAENGPAKEQLLGSTPAERATIQQWVTM AETEVAGHVVTCFLPRVGLVPYNAETDNQALEKLERTLGALERHLAGRTWLATPKQLS LADIAVAASLVWGFTFVIDQEMRAKYPGVVDWFRDVTSSEGVKEAFGETKFIEKREVP K ANIA_09305 MNWFFGRVPAEAAPGDRILPLHFFENSLLVQGNNMAVSLVFDTV LDPSILRSSLEGLVKREGWQRLGGRLRKNASGKIEWHVPSEFSPTRPAISFAHVDHGI PASQHPAASRIPKPSPNPAIVGDPDALESLAWDPGYTPNGINDYLTSDRPVLGLRVNS FTDKTVVTLQWQHVAFDALGMQYVVEGWSAMLWGKGDSIPTPVSPESDPFDVLATGAR PTTEKHILTDMKVGWGGILKWGLGYGVDMLLRAKENRMVCVPESYWRPQMERALQELR EEARSTGADESRVFLTENDIVTAWILRCVIGQAGMSPDRTVAASIAMSLRKAFEGDLI PPSAEHPYVGNAFGWSNVLITAGEVTSKPLSWLARQVRKAINEQGTRAQHESYYEMVR MSGTGLPIVIMGDGGMAQVGFSNWAKAGLFDLDFAPARMDGKGEACRPSYVQENHGPV KPADGFFILGKDGKGNYWTSAYKVKGTWERFEQQMKKDFEVSG ANIA_09306 MDIDLTTTPGIEPPAGHIPQFEGPYNYLQIGTIIAFAVTYFFAT LFISLRYFQAFKLTQKVELDLVTITVSYGIGLAYFVTMLDLFRNGWGKHMWDVSLAQL IELNKALLPNTICYLICPAISKLAILSVLYRINPAFVYRVAVVGTAVFIFTYTLALCI ITGGPCSPLKDGTLQCLENVALSGAVLNISSDLIVISLPIPTIHNLQLQLKQKVTVGC LLALGSGVIVCSIARLPYVIRLRHTPDSTWTQAILGVWSIVEVNLGIICACAMRFKRL IATYLPRLSLWSFRSRSRTRSRSAGLQKTPLDSADKFRPDGSMGKHEYRLHSLQQSTG SGKRSGDGEEGSNLKDISVERSFEVSVVRTGSMERILS ANIA_09307 MQSSMQELYQTPAMMETLQIRVQTFWPISISPTITGSVMADSHP RVLSLSTGKAHTFSKSPASSLTLIANYGVQGDCHAGTTVQHRSRLHIRPALANLRQVH LMPLEILRRISDGLPSDEKTKLLSPGALGQNIMTQGVDLLGLPVGAELQFVTDGDGGG DDGPVLVLTGLRNPCAQINSFCPGLQEQFLVWDAKRDITARLAGVMSTVRVGGEVRAG MGIVVVRPEKHVLLGPVWVDRSYHGRIRESWLWGNSYIG ANIA_09308 MSMPPAALSSLRTALTSSLVFTPGDEGYLDSLRRWSDTGRKEAG IVIQPTETADIQTALKWVQEHQIDLAVKCGGHSVSGTSSSAGGLVIDLSRMNGVSVDI QKKTVTVGGGAVWKDVDEAAAAYGLAAVGGTVNHTGVGGLTLGGGYGWLSGQYGLTID NLVSATVILANGETVIASETENSDLFWALRGAGYNFGVVTSFTFQAHEQPEPVYAGIL AYTPDKVERVVEILNALLLDKPDPRSGAICIFAEPPGAPTPMVNVLVFYNGTQAEGEA RFADLLGLSPIANTVSMIPYSQMNSLQNPMATYGDRKSFKGVFFNPPLSPQFAKTMLE EFTAKVKSDPDLAASALLLEFYDMTKTVSVPRAATAFASRGTTQNGIITLRWSDATKD LEHRAWAREVQERWKDVLEKETDANLDAAGKAGVPQYINYAEPGDAVVGNIYGENLPR LKALKAKYDPTNVFRKMHPITLD ANIA_09309 MDASALPPSTEGSHTASIENPQPPEPPRAFYWDFHHIRLDFPPD GVSQVIVFEYWSWNDDSNTASKPSQVVRRKDEYKQDQIVQRLHEPIPLHEGRLPTSGF QLAVVPCSQQAIPQLGSATLGAINEALGLPNHHHHYSSVRSGAIGLFQMPDEGWLFAR PRAPDFSSITTMLRYTPATNITRGVFYFDPLFPQLYNIIGEFEMCPHPLLLPLLACEL TLDVNVNHLERYQTSLEQMEGATGYGVLNEKQDNFLDHRMLVKQLSKARSGVHLALAT LHSTRWCVEFILKKIDWVDGRLTPETRNRLKNLHTGGHMQGDASRALRERAEFVSSTI EHAVLRGGVKERLEGQHSTLFNLITQNDSLLSTSIAQDSREIAAASKRDSSSMKIIAF LTTFFLPATFVALTSR ANIA_09310 MPLFRRTSMSSSSHSSIDDPNTHTHTHRKSGRLFGHRGSHSSGH SSSSAGVRTGSTRRGYGTSNYGHSSHGSRGHGLFRNKHTEDPSIIAAKERVMHAEEAE RAADQALRASRLAVQEARTNVRELERDAKEEARLAKFKQKEARNISKRAKPLGLRSYG AIQVDRKFWFFQLNQKRIFLRRAGGSLS ANIA_09311 MGQTASVPKPGTQIQVIGAGLPRTGTTSFSAALAILLDSPVYHI NTQITAGHPTEIKAWIHILHAWVNGDRTAVLSLLRSRLTGYAAVTDTPGCQLIPELLD LYPHAKVICTVRDPEAWERSMMQAHGMTVFWFLRILLLPQPGMRHFVDFTWLVRRLWS GRYADGRRLNSVKNVRETLPTRETYARHLAWLRDVVPADRLVMFDVREGWGPLCEVLG KELPPSDVPFPHENDSARIERTARYHIRRALLEYKRPMHC ANIA_09312 MITRDNASNNKIIASELYYTLKGNIAHILNLIVKDILQALKPGS NSLYLHSQHWQSSEFSVFGLITALNKGKNGRIFANS ANIA_09313 MNRILAVTVSALASASERLTVSIPVLLILGLVVRTIYRLYFDPL HHIPGPKLAAITHLYEFYHDVIRGGLFIWEIEKMHREYGPIVRINPREVHIKDSQFYE EIYAPASGGRRDKDRQAVEIFSSPTAMVATVDHDTHRIRRKLLTFFFSRRSIERLEPV VHESLSKFLDSLVAAYKEDTVVDLIDRLQGLTGDVITQYAYGNSYGLQEPQNIGRGIT KVVQEGTTQIHLHRFFPFFERLLRVVPKWFMAQMLPARAAIYDLLNGVREQSIEALKQ RDMSAPPPKKTTMFHALTAPEVPPEERTLQRLQDEGLVLFAAGTETTATILGVALFHI LNNKEVHRKLRNELDQELPTPQAGTTWRQLEKLPYLNATIHEALRCSGLTMRQQRIAP TEVVKYKNYVIPPGTPVSMISHFVNMDPDIFDDPQTFKPERWILAAEKKQNLSRFLVT FGKGNRNCIGMNLAYAELYNTLAAVVRRFDLELYQTTEENVRFVRDMLLPRSTNGPWK VRVKVIGIREE ANIA_09314 MVKSIHESIQITFQPSTDSSHPFSLGYFQANMGSKMDHNSVAIL VDVKRRALFERFAESYHPTYGLGTMSGNIYDTAWVSMVRKPTEEGKSIWAFPATFQAL LQHQLPCGSWGGTNSNLDSIASTLTALLALQKHARELSATESQNELTSRILKAKRWLD AALVRLDDLLATSTLTVGLELRLPTLFDLLEAEGHIFDFERTRLTKLKSKKVSKINFD TIFSGPQSSLLHSLEAMVGKIDFRTLGHHKVLGSMLASPSATAAYLMYNSVWDDEAEE YIRHAISNGAGQGSGLVAAGYPTTVFEWAWIEDEIKVNGLVGFVPKACPDADDTAKAL IAFQLRGRRYSPQALIDQFEREHHFTTYLYETHTSVSTNANVLTALVLLSDDGRYQPQ IEKCIRYLCEAWFHCDRMVKDKWNISPYYPTMLLCEGLMSYIHRWSEGHLAALPDELM NFQLPITLFQALIRTLRTQNSNGSWGSSNSAEETAYAILILKNVASFNFTDEISAELE SAIRKGIQFILSKSQRSQTDDQLWLDKTLFAIPTVSDSYIVAAVQAEATDFVSGDTLN KLVDTSTPTVQKLTSYFARLPSQTETPKWVIQASVIEGILFSCRLKTLDIFSTGKALG DRYIKYAAIFWTLANNARPEYLLSTSVIYSMVELSVGIFQEDEEMEKSLVNLPDAATD AVADYIDKSCHETAFCNNVASHATPHGSDISGYDVETRTQLMTIQHNIRLWLRFALVD NLPANANSHDIYDLKQEVKMAMIAALQQAKAHKFLNSSQTFYAWLHTCAVHDAKSAVV SKSLICKIGHGSNVFRTAKEKYLAERLWRQVSIEGRLWNDLGSIERDRLTANLNSADF LESGPAGDVWEQLVQLADFEHKYALLCLDNLTQLLEASGRHRISLYLQMYYRCCEIYN ETCVNYEFGSKMAK ANIA_09315 MTSETKAILILGGSYGGISTAHYTLKHILPVLPSPDSYQVVIVS SSREVMCRPAAPRALIADSYFDQSKLFVSLEAQFKPYGERFRFIHGRAKWLDHERRVV VVDRLDADTEVELEYHALIIATGSSTPSPLFSLNSAGKPELTAKWKSFRDALPSAKRI VIAGGGPTGIETAGELGEHLNGKTGWFSSRSGSPKVRIVVVTAGSEILPQLRPAIART AEGYLAKFGVEILKNVKVESVIPVGSGKEEQVTAKTEVKLSNGETLQADLYIPAHGTT PNTSFLDGSLKSADGRVSTNPQSLRVDKAGSRVYAVGDVSDYARPAIHILMEAIPVLC ANLKRDLLVEAEVPVPGDDRLFKADETETHLVPIGKSKGVGAAKGYRMPSFLVWLIKG RDYWLSMAGGIWAGKPWAKET ANIA_09316 MVFYLLYKHIKKSRAAKRQSHSIPLRPFDDQHDSNSLTPPNTSA LYEKSISHEVEAEKRRRRVYRLKLVCALFMPYFLATIDLTIVATAVPFIASHFNELSE LNWIVTAFTLTSTALIPSFGQLSDVFGRHVTLQLATFLMLVGSVLGAAAQTWGMLLLG RALQGVGSAGLMSTVMIILADNVTLEENAKNNSIFSFVSGVAYAVGPVIGGYLTDVSI RLLYTRRCAKYAGKLALLLRNLHSFYVVLRDNLKQGTHFRRGSRLSSFLPALATIDIV GTILFIFGVGLIILGTAWGGSTYPWVSAEVLTPLVVGGICFAMFFVYEYFLENGVFGR IFPAQKPMLPYSMFKKIDTLWLTVLQFAAGAAMYSVFYYVGIYFTVVEAYSASKAGVQ LLYYIPGLGGTFNLSKPPLTPISLALTRSYPAGVYLAILLCNTYPAQTFPPLLLGTFA ETIGLGVLIYAIRTERSNILNGMMVLAGAGTGIRLMPASLHASGVWPDRIASALSLLR FALPFGGTLGITIMGSVFNNKLAEGVASIQGYGSSNVSAQDLAHGGTSSLSFINSLPA DAQAKVRTSGRDAIMWAYIAILPIIGISILTTMFMGNVWIGKRKNRTAAVEQGMSEDE TRSGESEVVFVPYLYALAKGINKYKYITRPALESNPTEASGRQETQ ANIA_09317 MAFKQQKPQFVPVKWSLDSNVETNGPCRLLLLRQRRHEQYLHRW TFRQLSATLLRVLGDGEGKLNTSDAQTVFVDSLDGKTRQNMTGSWHPLHTSEYVWTKV AFPNSLLRCLDDNVDMAYRIESRPAFLDHHLTEYANSHPPALKLKYDYTTGKFREKHI LREAVKPFVTEEGAMHKKLKELVTKENVEMLGFLDWEKTSELVNKAIEGGDLLAFRAA ITIAHYIVLGRRRSKQTPVLSRREVISACAFKMKIEYGYGLEPQTSTWRNPTADSAIS HTQTGSDSSSSGPTGTVDRDPLKRATSQPSYSISPSCVSACFNLDRTPEPLVISVLPG VASYKNRRSFSLPLDCQTRITTSLPYVSFHHTTGQVTRPPANTVTMRCTIPSASPRTN SSAALALLSLLACATAQSTVGFGPAFSLGPTQSWIREANTTLVLPKTPGLKDRLALWP GMGTSGGDLIQALAVSFSDPNANCGASEGQWCTWASTLQGEQLGGTDVPANEGDKLTM HYVYNDSTGEYDQTVYINGESVSTLSTSSGQAQGWGTAVECQDDACESTTVAAHQYLD TTIILDSEDTTFKDTLVLTEADSTEFSSSDGGKTWTVETINIHEHTYNL ANIA_09318 MRELTASFPSRTPNASKRRTRARVKSTLNGKTNSAPRGFLVFST RTSEERPQPSTTEFLITVLLTEQTRVFYGDHECQRATFMQLTAPRKAVSSNKELRRGP EDWKERLAHLSLYFIKPFSTVLSRGIRSPYPYSLMRCILLLSVLAAYAAAQIKICPLG GPIFPAAQRPGESAAMKQATHNFTESLHEILYPANASLPTAIDQDLTSFAVQVYSARD SKPLLEYYHTATSARNNILGVNRIDGDTVFRIGSCSKIWTVLLLLMETGEALLHEPVR KYFPEVEHAIEVLDSGLNEIDHVHWEDVTIGDLVSHTAGLERSYGLGDHAATTALMEQ LGFPSLQQKEVLECGRRSPVKTAVRSKADLEFFRGMMSRHPVVPVSSMPIYSNDGFVV LGYLLEMLTGQSYEKLLEERLIERLNLTRSSSNKPTNDQGIIPGLPNITVWDTDLGNI IPTGGIYSSTKDMSVLGRAILNSELLSPTLTRKWLKPRAHTADPAFSVGAPWEIFTLS EPRMIDLYTKSGDLGSYSSMMGLSPEHDVGFTVLAAGQGTHNAVWALGDLISTTVIAA LDAAGKEEAHPRFAGTYTSGDDALTIITDDGPGLKVTEWRSNGKDLMKSMNMLQWGGP YEDIDVRLYPTGLRSPAQCGRSSENLVSFRSVVSHPIPVGAGPMTRTCLTWLTVDGQV YGSVGIDEFVFHVGENGKAVRVSPRGLRTSLDRVRQ ANIA_09319 MPLLRPIYYTIVLLGVLVDAYTGWVNAESSTLGTGTDHFDEDVH CGTTFMLTIVQPQFTYAPAVKQQKGGGNKKAANGRPRALRASKLKLKSDFLFTNKPTA AHILDWDT ANIA_09320 MENYDLLIVADATESMHDYLKALNTSLPQIISISALTGCFSRLG VIAYRDYDSKGLVSFSGWLELSLAKESTKQPDVVTFTKSLKAKQGGDYPEAAKTALAK AYTVMRPEAKTIILLYTDAPPHGTIPITDWSMNCEAEIKALSNPGSYDGFGPSFLDWV SAAKTLASGEKQAQVFALLECDIFPHCGTYYNFLCAMTGGACIRLEDSKPESISKLTI ELLLAWMGVEKPSLDNVDIQLSGCWSTYVSTEGMSNLSSEMDPETERFFPMPYTRDTP VLENIAEVALSTSVLKTLPRKPTPAESPIMRWGTDPRYRDFASKHLMQIITKDICAIA INPVFGALWRAICKDRTYAGRNDLVNAFSKAVGDICDQEEKTAMQRWLDESYNSSVEI QGIIDNVSEADRFPCIFLDPTMRFASDAANGESIEGLSRGELLEISRSCDPRILRRLG RVLTRLTYVEDIVDMPEHITQSRTDQVRLIPLALVTEKYNHQFWKVMLHTLVSGTELT ARAAALLAALSIRMGVTFLAAAAESEMLRYKDSWNNVEVSETWAISCLRLLLDADRTY RKTRTEPEKPAGLLWESDVAFFERLLDFKALEHNLDTPLTARIPWTPEKAVYPIGPLM TCQLCQYPRSVTIMGPGAKCGVCLGQDYTEPDKARIKIGASHGTTSTSDATWVECNVP SCRAQYTVYNVEALRVRPKCHYCRRLAVQKAGDKSLVAPLVECSICTSRMIWPEEYQP ESFDASLFVCPACESGRKTTIELLVSAKALSIENGTSWLAKDSKRPDESPFTNRSVYH TVSTMGTDEFMSRITIFPHREVPLTQRGKVILNTNELITTLKELVASGEAKKVDCTLC FSSFWPSALVPACGRRGCLQRICTTCMASWYGGNASGSIINTAALVCPFCRRLPVPTT LTKYGRGIHAVKDLNRAIENQGMWIYAWCSVCSTAQEFMARDCARGMPPELQDWQCES CLAELQSVDADEDADATRISKIKPCPKCGTMTEKISGCGHITCPVEGCGIDWCYFCGK EVANGIYDHMRKAHGGIYGEEFED ANIA_09321 MSSYEDVVLLYYGDSQACPSLENLLVNPDLSDPCLKKVNGILLL HHTNMNDILIVREKAPGGCSIPRFAQLFHVEPYALALELNFTDAHPYLKRLLALDLLA SLVIVYFSKGVFELTAASVPARGLGGSGTTVGLPHQFESLEFINLGDLKAIASDAPLI RDRAFNAI ANIA_09322 MSRIGVFPAAGGLGSSITKHLQTLVPASQLVLIARKPESLAKEF SAGAAVRRADYDVSSTLEKAFEGIDVLMLISYASFEIKHRVNAHKAAILAAHKSGVKH IFYSSLAFAGDYTDSSVAHVMGAHLATEKFLAELVSTSPRPITYTSIREGLYSESFPI YTNWFDLHNPVDEITIPHDGSGPGVAWVKRDELGEATAKLVAKYATTTNANVAAGFPY VNKIVLLTGPKIYSLNETAEILGRAVGKDVKIREISVDEYAKLDHKGRHTYHGVDLAR EWATAWEAIRRGETAVVTPTLKEILGREPEQYEVTIRGMV ANIA_09323 MSPNVFCPFCGVILAQDPHSSISGPESIPRHRRPWYAEIRGIVS PNSSAENITLTGIGTLHSGGVLIAPADSERSYVDTEVLYPVSIFGRSPVSWGFHNACW QLLYLRLCPLSEKEIARSVFDVLYNTPCREGSVFDFGHDYSGAVRTHKTHGQVEIGHE PVLYMDPCAIPSLDELENSGSMEAVSHFKLGIYEPRVFGSLSTELKHEILAYLSYRDP CNLPQSYWRSRFLLGQEADFMFLSLLEKRHWPRLYLGTRALLNSKSPPIVNRKRIREL IEHIAALVELYVAQPPLHGLPVWPEPGSDDCFVPTGKENSLLRVYSFFSGHSTARQAH ETLQVGCRILHYRSEPIPIADRSRQQIIVSTIQVGERCFISGVRFANKDMASLIGYRH STNETTIYSPDQTNIRTILVAFSSQGLAGISFTFSNSESSPWIGQSHGPEIALGNLSI AETHPCYLVAGLDSFKIVALGVAVVNSEPSPPVPRVHSHLWLPAVPHREGVDFSTLLP PQSTLPFAPLISIDFGAPQGQGLAELTRLIFCMGSGPNPMRGVKAVYANGMSRLFGSE NGAELSFCIDGPHGERLTKIAILHRVDENSHNDELQCGKSSSLCGLQMSTNRGRTATL STMESRLDAKVAIQELKKTKPGHDITGFIAIQVPPREAFLGLGIQCQPSLGPLQASAI MLKELYNPDAQLQQDNKFSIYIGTPSDSNYQTHATLLGVRKISASRGTHWGSRPCTCI SGLKFDYYNGTSAVVGQWLDEFESLQLQPFEHVHSLDIWVTPARLTTSYRFLQQGWIA AVRFGTTLAQGVTFKPPGSDPLSVQHLHNGFGGGPRQELTAISWILNASHDRIRAVNS DESLKHTSLLLPEQYPPFDDVQKLYFENAITGRSPDWLVTAEACYKGDAILGLIFTYS SGARATIGDVVVVPDTSQTMHFSPASEFVGMSVRVRRRNILALQFELKTKRKPESDEQ PTSDAPTEFKTVCFQRDDQPGTDEHCDDHEIRDRRSTWCKNTLSAETFTRKRVSDDVY APPAGTRLVGLYVRCQHFECLGALYEPLNE ANIA_09324 MPQPRSPVTADDLRNLAARYNITIKPGPEEDAYLLLLQSAEALH RIIRDTTDYVHPDLAPVPTRAPRGFRRLSSAENPLNAWSHVSNITAANPINTLLAGRT VVVKDNVSVAGLPTTLGIPAWLHSKAGEYQPSPIDATVVRRLFFAGATLKGTAVCESY SAAPVSFTSATGAVHNPWARGHTAGGSSSGCAALIGQRAVLKESGSAINGPHQGSPTV ELAVGGDQGGSIRVPAAYTGVYGLKPTHGLVPYTGAVSLTPMIDHLGPMATKIEDIAL MLKVMAGYDGLDSRMTPESPMPGQVKDYPSLVADFIKSAKSTTLQKPVMKVGVLREAF DFPGLSAEVRDTVLQNAKAYFSAAGADILEVSVPMHREAPAIWTVAARTSLADYGLAM KPPGYLSYAPDHIDTQWPPTQEMYDYLSAGNPTIMNLIFSTDYLRETFGTSVEFKGHR KVFELRAAYDAVLSDVDVLITPTVPTVAFEFPSSTKGGTEWGDIGERVTAAVGLSYNT CPFNVTGHPAMNVPCGLGGSDGKKLPIGMQVIGKRWDDEAVLKAAALFEMGRDGL ANIA_09325 MAKQISRSGQENPKVAFISGPIDTGPDSIYFRTHYIKPIDVAIA AGHDFVIGPILSGVDADALDYLLDYPIAPSRITIFMTIAEDSAWGNIFRAQGINVFVL EDRQATTQNRDAAMTAATDYDILRWRTEEEAREFYGELYQPGRVTNTERNWRRRKGLS ANIA_11219 MEPYRLTATQSLPLIQDAKLSVENYARSLLSRIESRDPTVQAWA YLNPEQVLEQARQLDRVPIDQRGPLHGVPIGVKDVIYTKDMPTEHNSPLYKDSNVPVD AASIAILRAAGALIFGKTTTTEFASVTIGPKTINPHSLPPNSIRTPGGSSSGSGAAVA DFHVPLALGTQTGGSTIRPGSFNGIYAFKPTWGAVSREGQKVYSLTLDTLGIFARGVG DLKLVAGVLGIKDDQKPRKAESLKGEKFGLVKGPVWGLAGPGTINAMEKARCLLQAHG AIVEDVELPPEFDNMPDWHAKIMAADGRTTFLSEYRMDKNKLQDFLVNQVENINGWTH AQEIAAFDGVATLRPVIDDIANRYSALLTPSVPDEAPEGTLRTGSAAFNGMWTALHTP VVNIPGFQGTNGMPIGLSLVAARFHDEHLLSVAEAVGAVFEAEGGWKSAL ANIA_11211 MALRRFIQCIETDPAPKNHQSLGKGRWSNRDLEPTYPEERTWTW YNLPLFWFSVAFGATGWNVAASLVATGLAWNQAFIACCLGSFIAALGVIGMARPGATY HVGFPILARSTFGLYGSYFFVFIRAVVCIVWYGIQSMYGANLLSVMLRCMFGHKWTEF ANALPASADVTSQQLLCFFLVWLAELPFCFIHPTKIHWLFSLKGVVMPFVTFGLFGWC VAHGAGISSIHLASSTAPSGANLGWAVMDGINVIMGSLSPMLVNQPDLARYCRKPRDA GWPQGAAVFVSKVVVFFLGLASTASIQGKWGTAYWNIWDLLSAILDHAWTPGSRCAVW IVAFSFLVSQFGTNFGGNSIPFGADMTGLFPRFLTIRRGQVLCAILGVCVVPWKLLAS AGAFLSFLGSYNIFMAPLCAIIMTDYVSRNGNIHVASLYNGTKSGLYWFWSGINYLAV FAWMAGVALGLPGLVGEYQPEAVNAAAKNMFKMGWILTFVAAGFVYAVLFRIGGLRKK VYLEGYGVNNKGWEYLAKEERDWVLDGEREVVEEVVVSEGPKGVHEMEKGDKISV ANIA_09327 MPEAYASHPDYGYARDFKGYGESGLKITWPNNAKIAVSFVINYE EGGERSVLEGDGVSESNLRENPTGPQSINERNYNAESEYEYGSRAGWWRLFRLFQKHG MKFTLYAVARALEHNPDVAKRCVEEGHDIASHAYRWVNYHDFSIEKEKDYIRKAVTSL KSLTGYAPKGWYYGRPSPHSRTLVPQVYEEMGEELLWASDTYADDVPYWIDLPTERDL PADRAKGCLMIPYSYDCNDFKFHVPGSGFRDPDGFLTHLKNAFDVLYEEGEEGSPKMM TIGLHCRIIGRPGRFKALKEFVEYIAAKEGVWVATRTEIAEVFRETFPYKKGVLAEAT IYE ANIA_09328 MGNDKGATAHVTIFSLPRMTLDSSDNLQSGLLSASLILNDEFTG IWPSPDIGLTPPETPIFANGSRSTPFDDSSAACLDGTPGTVFPGPGRELPNLTEYKVL QPHGRRGMGVGILKKWFDQHCDKPYPSKEEKTELASRSGLTLTQISTWFANTRRRRKN KSPCPNPLLTSTRHSRRPLEGELSLMSPMERWRNSPPEAEGASLDAIMGAVVSSDYPA HQDPYIRYSEHSTPSDARSAASSNASGSAVSNNSVSSTHSIGSHSSAGSFYRFYSAEA PRRRRRRKRATVYPKRAAKTQEPRPYQCTFCTDTFRTKYDWTRHEKTLHLSLESYTCC SSGPTYTDTAGSQRCCFCDHLQPTDTHIESHYYSRCQEKPVVLRTFYRKDHLVQHLRL VHGVSQFLPGMEGWKMQVDNINSRCGLCDTTFVSWSARNEHIGQHFRNGALMKDWKGS RGLDPSVAFAVENAMPPYLIGIEAVTPDPFSASRLPNQSYPGDSMPTTWPQLQSGAKR SPFNHFTVDLTNFVREAQFLNQPITDTVLQTAGRRILFDDEDTWNQTPADNPEWLQMF KRAVCLECEGEDNLSSGRPPLSVEDFDFFPSSAEPWAVWNIFDANLRTGESTDAMELG ISLPWRDSGTGAASRNSCTEDKRTEPESLPELTSASLVELQQCYRH ANIA_09329 MAALPFALVCLLAVLVTTSLAILEKQIVTFHPQAGAVPIHNAAI LYDTQDPVAVEIATESLANDIENIREEDLVALLYHYWADVPPTWHSTIYALPKTTKQG PPSVKYRGLFINDEAPALTSWWSKKHSVDHYPLDAEFYRHVFDLILRLKGNFIWPAMW ASHHEPMQRATNEWNSSETGEWDWTVNKAKVTRFMREGVRRAAKNETYFTLGMRGASD SAISAPDPIALLEEVFETQRDMLTEEYGNLSDVKQVWTTYKEVATYYAGGLNPPEDVT LVFTDDNWGNIMRLPNAEEAARPGGIGLYYHFEYVGRPKSYKWQNTNNLAKVYKELSQ ALERGADRIWVFNVADIKPMELPFAFAMDLVWSSSRFDFSTIPRYLKAFVLRELGCKD NEAEEIASILLQHSELVGRRKYEGVTSATYSFYNYHEVERVLQEWEDLGDRVTKALAF MFSASQAAFTHLVQYPVQAGLIYHRIVLGQTINQQFAIQRRTQQIQLQSKSSQRLKQT QISEKSFMPCLAANGMGLWTNQSWSNGNGRRGCTLLGTLFRTCHLCSRDRTSFITWVT WEYTLRDREVPLCSPPILPTMDPYGPAFYTVDLFHRGNHRKPISFNLSIPYEWINISL VSGKLSQENPEERLTVSIDWPKVPESFNETVLIDIHYDTQPHFENLHIPILNRPALPA GFHGFPATNGYVSIEAAHSQRAISGSDTVSFKALPHLGTRTKSGAVALRPYEAARVST STAQNTRVEYDVFLLGSSKNLTATLFLTQNSDTDPSLPMQYSLAIDSSEASFTRLLQE PETPGDLPDIWTDQVEDEVWTVQVQLGPVSAGKHTLAWRVNSPEVYLEKVVLDTAGAV RKSYLGPPER ANIA_09330 MSSHPSTIRPPLDPELAVAQKSFPRTPLRESLSARRKAAILTWE SISGGREALISHSEVDIPGPAGTLRTSVLRSTKAEHQAQPASKTVGIVHFHGGGHVTA DRFVGLNTLFDIIEKLGAVVVSAEYRLAPEHPQPAQVEDSYAALRWAHSHASELGFNP DKLVTCGGSAGGNLTAGVSLLARDRAGPKLLGQMLFYPWVDDATTSHSIEQFGDVAPW TKDDNAYGLDLALGKNREYASIYSLPARAAETQQGLSGLPPTYLDVGEADVFRDQDME FAGNLWKAGVQTELHVWPGAWHAFDTFAPEASVSKRAFKARLEWLEKLLEATDAGISA ANIA_09331 MSTTNVRPSTASHFLHNSESSLENTTKLLIEEEPPSNTEWAILC DHFTSTCELEINSGFNEDLNDKHMPVQWPLERLVLSAKIQYLSRQSDIQLTNMSQLGK EWMAKKNNASCLTENSPREPKAKPITSGGSHLLSKEPSTLSGLYANFPPNPTNRHFRG HVLLCESDLWKEWALFGSNLIIYQASIAFLYAICTSIRRDAGGHLEELVDWTELKNSY SPFQCSVR ANIA_09332 MSRKIVTVVGATGQQGQAVIAAFANNPQYQIRGLTRNPSSAAAQ ALSGRGIDVVRADINDIDAITAAFKGSRIIFAVTDFWALYQQQGYEKAKELERQQGIN MVKAAAAVPTLEHYIWSTLGKTKEEYPVYHFEGKFEVEQTIRADYPDLHAKTTFLLVC FYANNLQIASLRPYWIETAGKYVQFTTYDPETVIPFIGDVMNLTPFIEAIVKSPAEKV RNGAMVIASIAQWTARKWVEEWARARGKMVQLVRIPRDDYDALWPWPRWAEEFALMMD YFQFVPVQEWVEPGVRVLTAEDLGVRPVQTMEEWARAYELPDPSLSTI ANIA_09333 MSFTEEIRTAAARRNEIRNILRANYVGPERAMQASLNEFRHWTP HDVASLFRDFPPLPERRLYPVGPEARYPPPGRRRWAELAAKIATLAVVDTIAVAASPL ALATMDAEKRKKTASELLDLNVNMVSSSLFVAPPLSLMEQAGRVGQALCVNRWGQFRM LNSGYAAISHVWAETMGLEYNDEKVDQDERGLLKTHFNKIMGKALQCGYEWVWLDLLA IPKKSDPSTSDARLTEIKTLIINSLDAVYRNADAVIVLDSLTLHLPSADPLVTAGVLV CGMWLTRIWTYQEIKLARKALIVTATHVVEFQDILSTLESQAGQDGDRFYELHRTFAR LQSVYGPGINLADIALSCTNRHTKNEVDYARGFYALLGLKWQASWTYQDGIKHIYNSR PQEAAMIASMHGPRGLPNPFTWAPKYLAQLQGKSWKPYYFKCESFGLVGKWYSASVLK LVRACLYEQPGRDDKLAFELLIGNSTGDTEPVVVVTWSKDYTTDLSKWTELISDCKSR LLCADEIVVGESFPTVLLGVCEGDIEPDYGLGAGAMGYVLASGVLVSGNMHSVPKTWL LKTTSLETSSVMLSAGAVVLTDWGIPIVLNHLIEIRYPLPFEKPKLENFKFLPQELET GPTHLANSYQSPKSPSHRFSPIELPRQRMANTSQYLSFLEAGAVGGVGDHFTQLQPPI LQKAPSRIQETEADNGIGDEKYPDGGLSAWLVVLGSWCAMVPSMGLLNTFGVLHAWTS KHQLLEYSESKIGWVFGTYAFFLYFGAAQIEYYQILLSFSILGGLSSCCLFTPAISAI GHWFDVRRGLATGIACTAGGLGGVFFSLIVLYVGPVLGFPWAMRIIGIISAVLCGLAC LLLRTRLPPNIKAGMAVDFRALRDKGYGLTTLAIWLVEFAAFIPYAYIVSYGLYARIP TDIAYLLCVFLNVGAIPGRALPGIVADKLGRFNVMSLTAIACGICTLALWYCSGTNEG AIVAYAVLYGFWSGAAISLTPVCISQVCKMEDYGKRNGTTFTLVSIGTLTGIPMAGAI QESQNGGFKGLIIFAGVLYLSAAAVFVLARGVVGGWGMKVRF ANIA_09334 MWDYKSRRILILLDNIYNFDKTGFAMGLCEYQKPIYELKSAYNQ VEGMADNQDPGHSLSQQSETVFEQQD ANIA_11220 MEGVVTMTEARTQSNVSVSDFSLVTDNNPEKIYAQFAELRSRCP VARTADNGGFYLLTRYEDIKNAASNTEIFISSVKAVIPSDPRGIRRPPLNTDPPAHTP YRTALDRTLRPKRLRRLEPVLREHAEREFAKLVAKGGGDICGDFAAIFAAWVETTWLN LEDGTAPMLANTAAAWVNAWRRQDAKETSEQSEKLYLIARELFADRRVSPRDPEQDPA SSLLQERDTNGQPLKDELLIGALRQSLVVGMVAPPLLFGNICNHLSRDKELQNRLRSN PSLIPAAIEEFVRLYVPYRGFCRTPSRDVELCGRTIPAKSPITMTYAAANRDPSIFSQ PDEFILHRPNITSHLGFGRGRHRCAGMPLARMALQIGLVVILQQSSDFEVNGPLQYAG MPEMGISSCPLRITPRDSVEPLR ANIA_11212 MNGPPYRCTFCGRCFSRQDVLNRHLRVHSDPASSDAAEASGHTE TRAQGTQQTLIGPTDWPLASDHDASSVQFPDSRYLPLCESPMGDTRPIPADLAPGLLW PDSEGLLENIMSVDPSVWQQPLALAPSTLGLEDAPEEFAGNRNHQSSCGNTIADDGRH AVQSLSSLLSDTVSTVTAPASLTGLTSRFLDGSLHMFFEKIIPMFPIFHRPTFVFRDC APPLLLNAIALGALFLGSADAVAKAEVLWRLSHTAVATSWHTMITWRRTSDSCAGVEL VQTVLLSQIYAAMSKNRTFRTTSQVFHGLGIHWASHCGMYDAANMVTLPMPTDSQSVK CKAWYRWIAQETMLRTLLGLYIVDGVVSQFSGNPTFARHTANPLALPSCDAVFNASSA DDWIHLMQKYPATPIRFCDIYNDLFQETQASSSRPLLYDVSLFHHKVVLEGIRCLVTE MNGTNPPPVGVPSRQDLMSALRELRQHILNSKRLTPTDRLTALLQWHSICLDTVVSTA RGTRRMCHLFGIGQSIFGGSQREEGQINPTRWAQSAAGRATLLHAINIQTIAAQLPLG MAYDINIPGAVFAAATTYSSFTLAGVSKIVYPAAIDWDTVLTTFPLDVRRPTGSESTM QCTLNFVTGYLDPTEMPRDKYVVRDLSYDLTAMRTLLRGLSLQWGVAVEMEGVVSAYI ERCEL ANIA_09336 MEIVTDVQEKPSLAEAEDAKKRVITEVSHYEALSEEEKALDRRV NLKLDFILLMILAIGFIRQLLGIDKTNVGFVATSTFIEDANLHPNDISNSLSLFSATY VPLQPISAMIGRYIGPKWWVCTLMIVWGSICIAHVGVNSTSTFLALRLLLGVGESGFT PTAYYYMSLFYPKFSLGFRMGLFSGMYSVAGAFAGLLAYGLLHLETSVQHGWQTVFLF EGALTVFVAILGFIVLPVSPGKAWFLTPKERAHAIKRMERDYAQAEEAAEGESKITVR DVLDVLKDWKKLLIVVFNILSVLPVTAFTTFLPLIVEGMGYAGVKATLMAVPPFIVGT AGLIAIVYSSDHLKERSLHTVFGMCLGLIGCVVMATSYNEKLRYGFAHVCLAGVFAGG PLVAVWLASNTPNKACRSVILGINGWSNLAGVIAGQLFKSKYAPGYKYPLVVTMVLIA VGVGGFLAMRGVYMWENRRRRAIVQTWEGEDFVEEQNRTDRRGDRKVTWVYAY ANIA_09337 MSEPTRKCMATELGFHRNELVQFQTIFTVGNGIALLPFMYLFPW VPMHYLVPALDLL ANIA_11656 MTSQFNDIAYHSVPPQALVTTDAGTTGSSQTPSDGCSLIDVASD SESRDLALFPRDLFLSL ANIA_09338 MSHLQYFSYPGVGERNRQKFKYSQAVRIGDRIECAGQGGWNRET GEFYKETNAQIDQAFANVEANLKHAGGEGWSQVFRVNSYHVPINNEALEAMVRNFRKY MPNHEPIWTCVGSPATLGSVRVITTVTPSGSPQLLKGLHTSNGHSFRFGVYEHIVHSM TAPKINVTGIPTQARGGNKGTPTPHEVYISAC ANIA_09339 MRALGLVGLVGVANAVCPYMTGELGRRDTNPDATEATEEFLSEY YLDDTDSYLTTDVGGPIEDQQSLKAGARGSTLLEDFIFRQKIQRFDHERVPERAVHAR GAGAHGVFTSYGDFSNITAASFLSAEGKETPVFVRFSTVAGSRGSSDLARDVHGFATR FYTDEGNFDIVGNNIPVFFIQDAIQFPDLIHAVKPKGDREIPQAATAHDAAWDFFSQQ PSTLHTLLWAMAGHGIPRSFRHVDGFGVHTFRLVTEDGSTKLVKFHWKTLQGLASMVW EEAQQISGKNPDYMRQDLFESIEAGRYPEWELNVQIMDEEDQLRFGFDLFDPTKIVPE EYVPLTPLGKMTLNRNPRNYFAETEQVMFQPGHVVRGVDFTEDPLLQQGRLFSYLDTQ LNRNGGPNFEQLPINQPRVAIHNNNRDGAGQMFIPLNPDAYSPNTLKGSTLKQANQTA GRGFFTAPDRTANGNLVRAKSSTFDDAWSQPRLFWNSLLPAEKQFVVNAIRFENANVK SDVVKNNVIVQLNRISNDLATRVAKAIGVDAPEPDNTYYHDNTTSNIGAFGHRLQSLA GLKIAVLASVDAEESFSAATALKAELSNDNLDVIVVAERFSNGVNQTYSASDAIQFDA VVVAPGAEKLFGAKSAANSSSTLYPAGRPLEILVDAFRFGKPVAALGSGSTAFDNAGI NTAVEGVYVADAVDESFANNLEEGLTVFKFLDRFALDSDE ANIA_09340 MFPGVTWDEDQWVLTTSSLDQGHYQSRGSVANGYIGISVSSVGP FFELDLPVAGDVINGWPLYSRRQSFATISGFFDIQAETNGSNFPWMNQYGGESVISGV PHWSGLILDLGDDDYLDSTVDNVTLSDFKSSYDFKAGVLSWSYTWTPAGDKGSYAITY RLFANKLNVNQAVVDMEITPSQDGHATIVNVLDGYSAVRTDFVESQEDDGAIYSAVRP WGIPDVSAYFYANITGSKHVDLSSRRLIHGKPYVSANESSIAQAADVNFVANEKVRIT KFVGAASTDAFPDPQATAKRAVSEALDAGYQRSLRSHVQEWASIMHEDSVDRYVNPTT GKLPDDDNIINSAIIAVANTYYLLQNTVGKNAIRAAQDAPLNVNSFSVGGLVSDSYAG LVFWDADVWMQPGLVASHPEAAQAVTNYRTKLYPQAKKNIETTYTGSKNATYIDPSAA IYPWTSGRFGNCTGTGACWDYQYHLNGDIGLSLIYQWVVSGDTNTFREKHFPIYDSVA ALYGSIVERNGSYWTLTNMTDPDEYANHIDAGGFTMPMISETLEYANQFRQQFGLEPN ETWTEISENVLVLRENGVTLEYTTMNGTAAVKQADIVLVTYPLVYDNYTAETALTDLD YYANRQSADGPAMTWAIFSIAAGAVSPSGCSAYTYHQYSYAPYARAPFFQLSEQMLDN ASINGGTHPAYPFLTGHGGANQVVLFGYLGLRLLPDDAIHIEPNLPPQIPYVKYRTFY WRGWPISAQSNYTHTVLQRSQSAPLDTADRRFANTSIPVFVGLADNATLHHLPPHGPL TVRNREIGTINTIEDNLIQCSPVSSTDAFEQGQFPISVVDGATSTRWQPSSSNASAVT VNLGSTTGRSVQTVASGFHFDWAAAPPVNASVIFHDTPLSDPVAALSSPGPHVRIVAN LTNIEQSGPYDPEATDLNEIKIPVGNTTRIELAQEVPVGRYATLVISGNQALAQADGE DHVGATVAEWAILGPKSGSPRRRIQPVPLL ANIA_11221 MHSKILWLRSPTILSNQLRRSSSSSTTHHGFQQRSATVKKSPLA VLPTKSLLYSVIFTSIMSSPLLVPCLGLLKYVIESKSSLIHPSRNPLMRYFLRKTIYN HFCAGENEAEVRRSVQKMKSLGYKGVTLGYARESVVQIDVKDPATSEAAKQEAIDSAV DEWKEGNLRTLRMIGVGDCMNVKFTGAGPVAVEALKRGDQIPPPRMHAAILEICATAA AQGSRLWIDAEQQIFQQTIDNWTIDLMRQFNRQGKVVVFNTIQAYLKASTENVSRHLS LAQAEGWSLGIKLVRGAYIAHDYRSRIHDTKAGTDANYNHIVQSLLTRQYPTGDSVAP HHFPKTQLFVASHNAQSVRQAYSLARYRLQNRLPTIPIDIVQLQGMADELSCEILAYN SSDSSGKGEKAALPGGFKCLAWGSLEECLHFLLRRAIENQSAMERTRDTAIALRREAW RRIGWSA ANIA_09341 MILPFFTIVLLLSSLPFILCREPLFNKNGRFTNHFTRRATTPYR YCRGKCKQPKQPDIPRLAKRQPKPKGPIPTRESAKIKPKLSKRQFVYPADNEAMEEYL IDRVDNYVTNNALTVYDYGLNPGNSAQFEVLGDEPVEIVLDGLCGCTALLVASRRAVY FAHFFENISFLDSDYANNAAARERFEDIVIRFLDTGDDLMGEVYDDDGDLILREYVAL TDNLHFFTPDTNPSIIIVSPVDELEDAVEQGVEVNGIVEYGEGLLYDDYIERLKEYLA EILPGIEPTVFSYEALDNNDPLLDGWRGKGLYQYDPEAEVNPTRRGARFFYQAEDIED PEDEEGEGYPLGRLYHDYWDPLLFGDGEDRHLEMADYPVQRPDLLVCGGESYWKPKVR VIAVYFEAYADADDGNSTIASRTASFALYLKVFEHCPVERIATSNHSTHALRTSCVPF WRVTQRSPVAKTAIFRRSTGICSVSLSHTTYMLTIHRCVNSTLTQILPNESPGQSPDQ SGKVPVLPTHDLDFVMEGTTYIISPTPTTIMNGNGEPLTLAPALVVIGTKTVWTGPAS LSTSIVIDDLTITVLPHTTQDASSTGEPSAGTLTSPVPSTSPTPAPTDAGATGGGPGP STGGGASTFPSPTSGFPSSSSAVHSPVESSTVITWAATEGSSSIEITFTPTTLKQFTS LATLSTITTDVDGDTTTFVIGPGGIAWDPVPTATTTSLPGFPPLPFPTAPPAPVPPSS STLPPVTSSSSPFPTVFPWPVPPITTVTSTIDDASGPGPITTIITGTTNPDGAVVPIT SLSTDEAVSSAQALLSELGDVSAAIVAFSSGTADTAKATVALEKVEDAQNDTNDFGSG LSISGPGSGLWSIFGDTVSGISSALGGLLSTISGIIGGGGASGSSSLTGPLNDLTSLS DALSADINEAVSGTTNPAITPLPSSTSFGTSTGTEPSSCEATQLTNCAVLCATDTNPV TTTCFSTTCTVETACGGSPATSTAILRHVCPLDRPNDIGKVSKFGGGARPTTTSPQDA GSITSTTNIITDTTSTSTDTSSETAEPTTPSSTAPPTSTSEPTSTEPPAPPPPEPTVP SSTEPSRTSTSELDPAPTWGGRCSTYEDCPQCSDGYYRCCLSGCHGMLVPGSNTCGCV RDGEVVSPVCGCV ANIA_09342 MENTSVSKPSPGAEVPVETQKESATGMATPSPSTASENDDGSND KETKTPLFASYAVCLGSCLHMLNWRSDSYLANPLVRDRSPWLASLDPRPWMCNGIRNG RSHFHMCTAIPNKSQTLPLMNVIFGKLVGDFNAYFIPDSGVTDLYLIYLFIGKFVLTY VYMLCFRMISLKASSSLRLSYMSSLFSQPVSKLDAISVGSVTNTITSLSNTMQQSVSD RLAMLFQSVALLIAAYAVAFRYSWALTLVVSSAILFVVLAFSVTLPIMISGQRSVDLA DEQHASIASEVFGSIRTVFSLGADGPLSRKYSEWVDEARKRGRRMAFVTGIHLAILFF SMYCSFALAFWFGIKLFREGNIPNVGTVITVFFSVLLVVTIMGGIISPLMAISKAVSA SAAFFSVIDAEKLPSGGFKEPEVSSQSDIVFENVTFAYPSRPSVSVLKGFSAVFQRGK TTAIVGPSGSGKSTIVTLLERWYQLDPSGDGEKMSGEIRINDRNINSLDLKWWRTQIG LVQQEPFMFNDTVYNNVAFGLIGSQWEDASEEVKRGLVEKACKEAFVDEFVQRLPSRY STLIGENGLTLSGGQRQRLTIARGIVSNPPILILDEATSSIDVRGERIVQAALNRVSK DRTTIMIAHRLSTVRNADRIIVLRDGENVEEGSHEELLKREGVYSGLVKAQLLGTFYG ADGFEDSEHNEWAASEEKNEGELNSIPEEAPAKKMGGFMSLSAILYEQRAYWMLYIVI LAAAAGSGSGFALQSYLFAKLIEVFNFTGQRLIDAANFWALMFFVLALAVGACYFILG SCSVIVSHFIASFYRKDYFTSLIRKPVPFFDSASNSSGTLTSNLSSSAKQLQELFSVN GVFPLVSIFVILGCISISFAFGWKLAAVAIFAALPFIATAANLRIRYETQFELMNAKV YAESSAFATEAIKAFRTVSACTMEGFILERYKVLLTEQREKALKKAWLACAVFAFSDS VDFCAMALTFWYGGKLLGSREYDLVQFFVVYIAIIQGGQMAGMMFSSGADLAQAKASA ARIFMARTPSATISTQDPAITPPDARAPSDASLEFCSVSFRYPFRKAPVFADLNLRIE SGQFVAFVGPSGCGKSTLISLIERFYEPTEGSILFGGVPINTLDIVSYRRNLSLVAQD PKLFNATIRENLCLGIDPDNHEQDHDRDLEERMISACTSAEIHPFILSLPDGYNTALG TNASSSASLSGGQKQRLCIARALLRNPRVLLLDEATSSLDSQSEKLVQQAMEKLAESR DLTIIAVAHRLATVQKADVIFVFGEDGTKAGSRVLEKGTHSELLEMRGVYWQMCQAQA LDR ANIA_09343 MSDTASLPSGLPCSSPFYIINPIFLSIAGYNVLELLLWIFNTFD RWRGLYFGSILTSTLSLAAYVIAQSLRTFRTDFSELLAAGYIFAFSYTALLTAHILVL YSRLHLVLHSYRILRGILIMIIVTSVLSVPAQLTVTLTLVSTRQPRLARAEYILERIV FLGATVREFVVCAIYTVQAYRNLHPIAQAKGRAGKRVLVYLMAVQVAALVLDTGFLVQ IYMDLALAANGYCALLYSIKLKMEFGAGLGGRLSDFPGAEGAV ANIA_09344 MGQDSAVGEASSQSTRGDGPLSAVEQEDKLHNEVSKVAKRLSTS SASENGQPQVLNPMPGSNLDPSSPAFDARTWVKEFNRLLESDPESAPPRALGVAFKQL GVFAYGTAAEFQKTTGNVLIEAAVYLARWFRGNRHGRRVDILRNFEGVVEKGEMLLVL GPPGSGCSTLLKSLAGETADLNIAPESYINFRGLDLASVRSSFRGDVLYNDELDTHLA HLTVGETLTFASRARSVRHVPGAFSRRQLDTARRDVMMATFGLNHTIDTRVGDDFVRG VSGGERKRVSIAEASLAGAKFQCWDNSTRGLDSANAINFCSSLRLQADLLDVTSVVTL YQAPQAAYDLFDRVTLIYEGRQIFFGHITEAKGYFEKLGFFAPSRQTIPDFLTSMTSA EERRVRPGFEESAPRSPDEFAERWRLSDERRKLLDELASYEQKHPPEVRMAEYDRSRR AEQAQQQRAKSAYTISYPQQVSLTLWRAYRRLLADPGFTIASLLFNVVMALILGSMFY NLKPDTSSFYYRGGIIYFSLLFNAFASQLEVIPSKLNFSVLTIYAERPVVEKQNRYAF YHQSAQAIASYLMDLPYKIINMFVFNILIYFMANLRREAGAFFFFCLTTLLSTLVQSA VFRTLACITRTSEQAMIPSAILSLGLMIYTGFTTPPGYMPGWSRWMAYINPLWYGFEA LMANEFHERDFPCASMVPTGQGYANLPSASQICSVVGSEVGSALVNGDSYISKSFDYW NVHKWRLVNSKNTIDTEGQCTNGSRSNRNIGILCGFLAIFFPTYVLAAELAKPPKTRG EILVFGRGKGFHRREQPLDAESQEKDRPVVADKTHGSLQSDTIIAGKDVFHWEDLCYD IKVKGGTRRLLDHIDGWVKPGVSTILMGVSGAGKTTLLDVLATRVTTGVVTGQAMVNG KPTDPSFQHKVGYVQQQDLHLSTMTVREALQFSAVLRQSAEIPKSEKLAYVEQVIDTL EMREFADAVIGVPGEGLNVEQRKRLTIGVELAAKPQLLVFFDEPTSGLDSQTSWVISE LIKKLTNSGQAVLCTIHQPSAILFNQFDRLLLIAPGGKTVYFGDLGSGASTLIQYFEK YGTPPCPAGANPAEWMLRVIEPPADGSKGLNWHQIWLDSPEYQAVKAELGHLRNLRTL GSTRGEGLEVENSSQHQEFVASFWTQFSQVLQRTWKHFWRSPTYIWSKIILVVLSSLY LGFSFNVNNSIQGLQNQLWAIFMLLILFINITEQIMPMFLPQRALYEARERPSKIYRW TTYLLSNILIELVWQTLMAVLLYFCWYYPVGFVQNTTSDDQAIRGFAVFLFLWVYLLF TSTMAHFAIFWINLPETAGVLTSLLWMLVGVSMADLPKFWTFMYRVSPATYLVGGIMS NAVANSDVICADREILRMAPIGNLTCNEFLTPYINVAGGLVLNPTSQSTCEYCPLATT NEFLDRFQISYSTRWRDLGLVWVYILVNIVAGMGLYWAFKVPKRRGSKHA ANIA_09345 MPPGLPTTMTETRLFKPIKIGTVQVQHRIGMAPLTRLRANPDRT PTSLMKEYYTQRASVPGTLIITEGTLISTASAGAFPGAPGIWRADQVAAWKEITDAVH KRGSFIYVQLFSMGRAAEKKLAESEGIEVVAPSAIPIPYDEKPDAPIPRAMSVAEIQQ TIVDFVKASKDALTAGFDGVEIHGANGYLLDQFLQDTSNQRDDEYGGSVANRSRLLHQ VLQKVAKAIGADKVGLRLSPWSRFQGMRMDDPIPQFADIIDKASDLNLAYLSLIEARV FGARDYEGSLDGDKETLDFVYERWHGPVLVAGGYELEKARRLVDEQYPKKDIVVLFGR HFISNPDLVYRFKEGVELTKYQRETFYEIRSAVGYVDYPFSKEYSATINLAGSRVGRL SDLRKCGSPGLDFSSSKS ANIA_09346 MVEDFRFISIQVNDHAKDKASQRQARSHAVKRALERKRKQQQLS RANFIIKTFKDRDLDKSGRTETPCTVTSSSLAPVVSHLSGALDPFQTLAVNSSRLQAL LGDYRARQAPEPVFSVAQELAFQSFRSVFRTGFDDPALINAVMLALAYAVTGGNLDRE CLRYQGQAITYIRERMASEDDAASEATIGAILLIAGVAARLGLTYQVELHMGAVQQLL KICQRKSVNLTAGIKRAIFWSAYLLTISSLRRQDLNSTLLAGSRRIVDHTTFAVLLWT RNAFASSDYRLPPGFQSRSHMFGKKFTAVLEDLHALQCMRNVPQQQKADAMVMLHINN HTASVQSRLIALSDLSRLQDCCRLAACLCSVTLCCKVWCELVIPSHISSQLLIQIREA RYADPVIWNGDTNSDLLVWLLYIGGSFAPKGVVRSGYLELLAPYSYFSWPELHDHMRQ FFWSDHAFLAPVRALWREMSQIHFR ANIA_09347 MSSKVWFITGCSSGFGRELAIAAAQNNDTVVATSRDVSKLADLV PLGVIPKTLDIRASDAEIKAVVDDVEASIGPIDILVNNAGYILEGAVEECRIPCSNAE MSSNDEILAQFDVNVFSQIRLLRAVLPSMRARRAGVIANLGSIAGWDGTPAAGFYCAS KAAIAIYTESLRHEVAPLGIDVTCIEPGYFRTNFLTTSGGHKVTARKRINDLHAGTQQ ARDALAAYNLHQPGDPVKGARVIVEALTKAGRCEGRSLPGRLALGLDAIATIGESMKR EQEMMDTWRDIISSTDCADV ANIA_11657 MRFFSTILPIALIAGASALTSEDIRDTEDGYMVITAQCSDDYWT CLTSCPLTAPASFCITWPLTCTIIYC ANIA_09348 MYLARIPLLKSLANTSSQVLIPAAMDQVLPETTAYCDFDRGLLA MSFLCPVNTKQISFPGTILNGGTGILPSYDYVIVGGGVSGLVVANRLTEDPESMVTSF DIDLMPVKLTVQVATVLVIEAGKIDNHSPAIQYPRNVLLAPVNNSWPITSLPDAELND RTTPVQAGLVLGGGGAINGMAFDRGSPGDYDLWGKLIGDDSWSWIGLLPYFKKSETFT PPSEDLQEEFGIGFEPDAHGFAGPVHSSYPPFITTTQKSFIRAVRQAGLPIQLDGSAN AIGGFWSPNSLDPVTRERSYGRTTYHELSNERQNYHVLLEALVTRLTPDLSGVEYVPG YDPTFNVIPQGAERRKVRARKEIIMAAGAIHTPKILQLSGIGSSDVLHGLGVEQVIDL PAVGENFQDHPVLYGSQELTNLSDPTQLLSYTYSNATYDAEQGALYELNRTGRWTASW ENILSFLTAEYLNVSTTSLTQAAQQPADKFLRPGLDPTIVQGYEKVKSATLKSMIEGR ITLTENLIGGIVSLQKPLSRGSVRAASTDPYNMPLVDYRAFTNPLDLAIFTQGIRFNT DVLPTTDAYQELGTVVHFPTPGLSNTELEAVIRSSAAPTFNHASGTCAMLKLEDGGCV DNELRLYGSMGRIRVVDASIFPVIPSAHTQSTVYAVAERAADIIRERH ANIA_11658 MSTRGSLTSGILRSQEEHANFTKQCNNTSCLCWFWEDHYKFSGS LLTNGLPNGDELNGGQNVINYVDVDPSFKGHCCISLL ANIA_09349 MDEWKVDPGPNPTAAAYRLLNERQGNRKGRDWGSRCMKIWQYFD NPAFRIQLFIETLNEGIQREFARAPQAPLISGRCYRTSCSLRKRPISREEPTKPQRGG HQSDVGGPTPATGHQPTYKRKRESSPGLGPSFIFSVFCLKDGTYHGGYYNDKK ANIA_09350 MGSVSEPFNIPSISYDDLVHDDPKYRHPAAEKFAQALSDSGSRA FFNRDLETKIADHRYSGLTTNARFVPFGSEKVREEPHLDETIELNYGVYDLVPTNDWS LPGRELMDISRPLHEECIRIAYSLLDSLSQSLGLLTSLTSIHGPENTFFAPYYYHFPD DMDNNTLRVPPHIDPTTMLFCFQDSLAGLQTADMRDLMGNLSSTAVAKRAAFYPVGSQ PGEFVVLAGHILRKLVGNIKHSVHRVERPLGSEGLHLNFWIVPRLDTRIASGRAGEEE VRAYLNRVFPGHLGGS ANIA_09351 MSTKPTPVPPSLAETIRSRKSQYCRFADTQDFASWATVFSPSVT AQFCDPQGSVVIENGATYSFDNRDQLTIHVVGSGELHFVDGTDEQGISAIWPVIYHAA SHGVSGGWSGTGGGHYYETWGVEDGEWVIKSLKFVRGYWRVQIFDQA ANIA_09352 MTRILLLWLRPRIVQINNMSMKVGWCQPECCDTINAWTSQISYV SHFSSVIPASPSATARSFPSQCLYDANHATRASKAGEGYAYPPVSVSGSQPHSESDNS PTAPAMCDDSFAALPGASGSPGLDFLETSTIPEIFDQIQQFQYPPLRRRSTPTWTPPS QSSLTRFLGTLGEVLPIQGSSQSWQWLIEELKIHPSQFAKQGETIFIHRRLYRELMPR SIRMAFGISSSSCLLSDTNRNTLFKAIDNEVNELLNNTEPATLLDDLARLQALLLYQT IRFFQGTLEQRSTAEQQQSLLTARALRLVSRSQSELTGNAADRRAWVLAECIRRTAIV VYMLYGVSSISREGVCVGLHTLAKLPVSTAATAWNATEELDREGAVDRTISYEDFLAC WLVSTPRRLDPFERLLIVPCQGVDAVEAFDSLALVGSGDLAIT ANIA_09353 MAITELLLPTFKTDPETQSLLQIQVASLFSPFRGMPGFRSFFRG RILAEAGEPVDESGGRGILVIEWDELPSFHAFYPNSRTFQEFLAVARQFVKAPEYPGI I ANIA_09354 MSKVITVVGATGTQGGSVVTALHDLVDRTYIVRAITRNPSSAAA QTLLDKNIEVIEADLHDLSSLISAFKGSYAVFAVTNFFENLPTHGIEGAMELETNAGI NLAKAAAATETLKHYVWSTLPDSKTNSEGRIAVPYYESKNAVDRYIRSVPELLQKTTF VWLGWVCAFGGIGVRNYVTFLGVDPATKVPLLGDEKVNSGLFVKAILDQPEKTLSGKT VSAVLEQRSIGDVVEAFGKAKGVQARCVKIDREVYRVLWPVWGDVLDISHAYLEDAGG KAFSAKDEEVLTKEDLGVDGLAGIEEAFEKVPLLG ANIA_09355 MAESSPQSTFLAKRGASIDIDGVFNVRSFGGYPSSLRPNCFTRD SFIYRSGHLKDITSRGTIQIRDLGISMVIDLTNSGETKALFTGTSSLSQCRVLNLPLV KHGFTVQQLADKYKRYLEEGEKAIAEGYLKLLIEGHQVVRDILFLIRDNPDDVFLVHC AMGKDRTGVVFAVLLSLAGVSDDAIADEYSRSELALEAALPKIAAAIKKAIPTVTDVE ALKRARVVIQTRKEAMLLMLQLMVERFGGMVQYLKNCCGVSEEDIELIQALLTFTAKD TD ANIA_09356 MPTIILGGGIIGSSIAYYLSQQDPSRASQIHIIESSDTLFSSAS GYAAGFLAKDWFEPSLLPLGEYSFALHESLAAEHDGNKKWGYMKGMALSLGSTDAGSG GARGDDWLRSGTSRAETATTKPVVLEEGPEWLTKQKATAIEKISEGGSVAQVDPLRLS HFLLEQAVSRGVKLHQPSRATSLVTDSSRMVTAIKISNHATKTESILPCTNIIIAAGS WTPRVFSSLFPSSTTTFPIYPLAGYSLVIRSPRYTERHEKELNGESHAVFTTHPPSCG FSPEIFSREGSEIYIAGLNSREIPVPERVEDLKERYYDEKEMQKLKDVTVRLMGKLPV GKTESTDEIPNTNDLEILREGLCLRPVAERGVPFVSKVDDSTLGGIKTAQNGGVFVAA GHGPWGISLALGTGSVVADLVRGVTPAVDVRGLGVGDGKVKAKL ANIA_09357 MAKTIIVTGASRGIGLAITKYLLSAPQSHNVVVIARSVEPLQAL KNEYKDQVAILNGDISDFSLATRAVELALKSFGRIDGLVLNHGILGQVGKIATANIEE WKKGYDVNFFSLVSFVQAALPKLRESKGKIVFTSSGAAVSAYRGWGLYGSTKAAMNHL ALSLGEEEPDVTSISIRPGMVDTEMQRELREDHATTLEPQVHSKFTTVHNEGKLLKPE QPGHVMAKLVLDAPKELSGKFLSANGRIRWNDQQLAAFQA ANIA_09358 MSDREFSSNDDLSLPKATVQKIITEILPPSSGQSFSKDARDLLM ECCVEFITLISSEANDISEKEAKKTIACEHVERALRDLGFGDYVPDVLAVAEEHKEQL KSREKKQSKMEQSGLSEEELLRQQQELFRSATEKYHAAPEGTE ANIA_09359 MPPFKDEHILMIAPGSQVTLAQLGLPESFTPARWRFPTRMFPGE KKGEFEPYKIRERRQEVKIANGSTAPGEKEDVDMKDQPPQEERKENTDAPKTEKTDET KAENTNNTENTENTGEEGGEDGENGQIVEEVFYEEDVASEEGAIYPIENGRIVDWPCF FALLTHVYNTLSPPFHTPIMLIAEPAWSLRDREIITQFVFEKFKTPAFCLMDSAIAVC YGYGVGTATVVDVGKNKVDVTAVTDFVVNEHGRGIALEGCGGDYLTDRLLELLGSKGF TRDMCEQLKRSNITELLPAGTPLPGAAATSRQDGQPPGPTTQPGVVENAQTKNPNGST ENNDDDDEGVLDVAAIVSGNTSEFLAAREKEKGNKKGADQGAKPVRLPNSKKEKATFQ YQEFVKLEPEKHAPSGPSRFIRQTRDIEVGVERFFAATPKQDTGDRLSSSILEDIATQ IHHTILAVPDATKRSELWDSLIVVGNGSKVKGFTQALISTITQKFVLSPSGTIFTSEI PSNFSTPLPTGGTNTPAPGFPGQMHHPGGQGVNPLLVAATHSGNPMPPGTPSMDPLSH HRSTGHSQTPTSVRTVKPPEYFPEWKEQTATQQPAQNQPGLNGPGGPASSGSHRGMEE AVFLGAQVASKVVFVIDQGLSKGFMSRVEYNENGPSAIHEYVM ANIA_09360 MPRAEAGSTKALSNKLKAKGLGRLRWYCQACERQMRDENGFKCH VQSESHVRQVLLIGEDPKRYIEDFSRQFIKNFLDLLRTTHGEKKVHINQFYQQVIADK EHIHMNATKWKSLTQFAAHLGREGLCHVEETEKGLFVSYIDRSPEAMRRREAIMKKER QDRGDEEREQRLIQEQVERARAKEKEEEIGPEARNLQRKEGEKVKLNIGFGAKATPPA STEQSRTQSPDEKEKDKDKESSSATPESSATASPAPSQNPQAAPKVSMSLGGGNSKPK NVFASAAKKNPLAGKKATVVAPPKKMSEQERIMKQEMEAMERKRLGGGGMPNSKRPKV S ANIA_09361 MKIFLLGAVLCAAQSVTAALDASLLETYVDSLELISSFNPVEAA YWTGYKHHRRTPFAVSPDGESAYLAYLDSSETGVHVQQVDPNTFEAVGTTVTVSGGQE AGGLVAHNDGFALLTNEAMPSGTTDAPSDNTPVPVLYRYKNGEQTWKTWLGGPEVESS QGYLASPDLNGDLVYSSEAGLYGAYFVVTAYSGSASGHYGDAIQYVNDSGELQTISGA TSTWGCSHNTGIAFEAASEAPFASICAEDQGAIWLNTNGQGMTTVGVKISNENTTNGA SGEPMGGMSGSYSQLVKLDTTSRYIFAWPSRGAIDVTENEWMGEGYTHVLPRNLNRNV AIAIFSDKNTLVGEEATSVIGTEDADSQINWITTGDADHTNVHAAAFGSENALLTWEE ISSPICDEFVAMGCRGTFAGTYFQHVDKTGATVGSAIKSSDVYVAGDMVNIGSKICWP YVNMEWDLDGTVNEWDTSSTTTTEKISFACISLSGSGSSSSGSSASASTSAPGSATSS AITTASATASSTGSVSLSTSVSAETQAVSQTNSEVATQPASKTPETTETTAVPTSIDA VSATELPVSTATGESATVTARPNRKKGKCRAHYRH ANIA_09362 MANYLASIFGTEQDKVNCSFYYKIGACRHGDRCSRKHVKPSYSQ TILMPNMYQNPAYDPKSKMNPSQLQNHFDAFYEDVWCEMCKYGELEELVVCDNNNDHL IGNVYARFKYEEDAQAACDALNSRWYAARPIYCELSPVTDFREACCRLNSGEGCVRGG FCNFIHRKDPSSELDRELRLSTKKWLKERGRDARSVSRSPSPEPTRRRY ANIA_09363 MHPTSTPSNLSLPPMKDLTTENITENVHIVNSQCRDPRLRYLLN RIVSHLHDFCLETRLSTEEWNTGIQFLTEIGQISDDLRHEMILLSDTLGVSSLVDSIN HPRQPPATEGTVLGPFHTHDAADMDHGSVLHSDPDATPLFVLASVKDTRGNPISDVKV DVWEGDSKGFYDVQNPNREGPDGRGVLRSDENGEFYFQAIVPVPYPIPMDGPVGKMLK ALGRHPNRPGHVHFMLDKPGWDILITALYPRGDPYETSDPVFGVKESLVVDLSTVEDE EMAKKYGVKIGTKLLRHDFVLLTEQEARELRKRNAIEAMEKQGRKVVFHDGLPVPADE ANIA_09364 MDRVLLTTFRISWLLMVDLADGANYKLHLILPASHNSKIKHSGR IKPTIPFSATADVVFMGKQQGSVKPVRLAYGGLIRTAYTDAPEIQAAYWIGGNKDSKT TISITYSTKKYVSDMIQFNTTTEEYVVLDAPFTPVERALVYLPVNRMGALLFFGGEVP SVQEGIDAELTSVRLLSSFTCSTTSQNAWDHVHIYDIEYQKWFKQTTYGTETSRTQSC ASVVHDPEASSWQIFVVSGADFESKDIVTDVRGSMRKGHEAGIKAEMEPKAQPLGKLG TDDVFGELE ANIA_09365 MVSFSSLLLACSAVTAFAAPSDQSIAERSLSERSTPSSTGTSGG YYYSFWTDGGGDVTYTNGDGGSYTVEWTNVGNFVGGKGWNPGSSQTISYSGSFNPSGN GYLSVYGWTQNPLIEYYIVESYGDYNPGTAGTHQGTLESDGSTYDIYTATRENAPSIE GTATFTQFWSVRQSKRTSGSVTTQNHFDAWSQLGMTLGTHNYQIVAVEGYQSSGSASI TVS ANIA_09366 MTDDWLRQGKFGLSINPPVIPSSDGAGEVLQIGSSVTCFRPGEK VVTHLTVHQDDNEPATFTDIAAGLGHGAHGTLRKYAVFHESSLVKMPSTLGFREAATL TCSGLTAWNALFGPGPASASTREFTNALEGKYVLVQGSGGVSVAALQFALATGARVIA TTSSDLKAKRLSSLGAHHVINYKTTANWGEVAKSLTPHKRGVDIVVDVGGHSTVSQSL KAVKADGLVALAGLLGASENAQVPSIMDGLTYLCATRGFLLGTREQFRELNALIDEKL IKPVVDDRVFGFAEIREAYEYLEQQRHFSKVVIDID ANIA_09367 MASLPRLVVLAGLFSLTGTQIRVRLMASSVHDLAEPDFSTFSVE SKAQSSFTEISGVNFNLCTGCFLPRGDYYKYQYTRTVSHVGEQVVNRGTTTSARQKMT ASCLLGERPPTLRIRRIISTWERIQRTRPDPVRADRDDRAFWRYDSVLWPLQQHSQRE DLNKIDTYYWRVDVVDGETTYTGHIFIFRLAHLAFPDAEGYGRFARGGRRGKVVKVTS LEDTEDLGSLRYALTIETSPRIVVFDVGGVITTTSPPTVTDDHVTLAGQTAPGKGHQN YPQGTAHGYAATVGGDVASVHHNLIAHAEGRSSLEYGR ANIA_09368 MALSVQEAELPTVAQWKSTPSATFTSRALRASSLTPYALNTSIL PGSQQYHCAGNSMPRAFDQDSPQFVDDGTGQTSEIACYADISIDPAPSYQKFFDEPWF SLTAARRNLFLTTTTLAYNRDSRGHSHILWLHPGKPGLIDNEADVGGLEDFPEVSRSD TWDADNDGIADWWDGSTGGDGYTAI ANIA_09369 MTATTRSPYPFSFTSHSEMTSQSPPNSRGAIPSLQASRLRSLML EAYADRSKILAFPCSYDGLSSRLIEEAGFPMLFLSGFAVSSTHGLPDTGYIAMAEMCD KIQETVRVTSLPIMVDGDTGYGSAMNVKRTVESFAAAGAAGVMIEDQTWPKRCGHTKG KSVVSRGEAYARIQAACDARNEGRDIFILARTDALIHGWDEALARAKEFKGIGADAVF VEALPDRDAMKRCVQELQMPMLANIIEGGMTENLSAKELASLGFAAVAYPWTLVAAKL KAIKDALEGLKRSMLEDAPPPMILGYDEVCEGVGFKKYWLFILVYKGDPLDYSEYRHT ALYFQFASSSRSIMHVIGCPGLFRFAHARGAGIDPANVGILAKVVPVTDIPTKIGEDS ICQTVERTPIRNGRDDLDWNCQNWVGDALARLVDRGWITQEHREDAIDKMADACLEAR DDAI ANIA_09370 MFPGQTIYREIRESDIRMKGPGYTPQHLGIYDFFKQPGGASEIR INPNYSKITFFTFFWFFKILEENTDSIVANCLSSKQPRLKAEARTGIIAAMDEQEKNE HGNGLSRTKTNQSIADTFSRPREIVFVIIVCMSQFITQANVGVCLSPLDIVGGDFGLS EQGGLAWFLAGYSLTVGTFILVFGRCGDLFGYHRMYIIGFLWLALWSLVAGISVYSNY ILFIISRVLQGLGPAMLLPNGLAILGATYAPGKKKDMLFALFGATAPNGAIIGGVFAA LFSQLAWWPWTYWSMCIVSVVCAGIGYLVITPIHHSKPTDNLFVALDIPGAFTGITGL VLVNIAWNQAPAVSWAEPYVIILLILGALFIAAFFVVEFKYAKHPLIPFHAFSTDVSF VLGCVATGWGCFGTWIYYSWRFLLDSRGATPLLASAQFIPPGISGLLASFATGFLMSR IRPPLIMCMSLTAFTLGTILITIAPVHQTYWALTFVSLIIIPWGMDMSFPAATLMLSN AVAREHQGLAASLVTTIVNYSISLSLGFAGTIESQIVHGDSPEERLKGYRSALYFAIG LGGLGLCISVLYTMKSYFWGRRTDEVENREKSVEREYGEEDS ANIA_09371 MIPEALQPRALLAPPRDLASHFRTLIAADSSMENLTFIDRCVLD QIHTEAIPSSVYEVWLSLACRYTPLFTVAALTKPSRGVRLAARHCARRLFLSSHWKER GWDFLGGAQGIKGILDILPLKEARLLLKIIFGRCQAIPDGKVVSVCVEEFLDLVEATD PWLSRSLLPHVSHLYAYCSAERVEDMLRSQSPKCPELLKYTSRFHTPLLRRIAIGKVD MPEEVRRKTLQQCQTALLNSKEPYDPIYYQDVQLTLNLSPGLLFGMDLLTALEREPEI LRSHLSRALSRDIVQFWSISRFGGVKGTHEGLVAQCQKRRRLKDLSAYQIELEDCLIK RVLQVQDESFQNQGKNRRQFSETLNSLIRLVNIRGRKAFLQLLCRHCPTMDFDLTTWP PSQREQELMPCWDLDVLDRLRPDDSESLFGRSLHIYHCEEFLPSFDSRNPELKMPSWE AQCLLWASWESASPERNGFPITLKALGDMKQKSVRAREPAERLRWAISAVKLAARTSS IDIFAEIVEWTSRYIRDALVFPDLMYEIIDRCPLMLSCRAATQGEASVPRSDLEREAQ TGHKIMEGLLKTCLLLLREPWARSSVSRITGRICNMFSAIVSRRMESVRDLARGESFT ECELAEILLDPIIPVLMEYERQGNIKGQTDVRWSGPTGLLLSPCLFPRAPTLLELSFM DRLAKARDEFWQQHRSQHDPDVVKLSPGLPRGLAIQHLVYTSDWLYQVMKHPDHAPFL SSRANEVLFGAADTVMHAMEDKEAHVDGFVDCLGFIVRALLANDNPADRNRDVLRVWE HYSEILKPYPDYLGLFQDWLAAGIRHREHIGEALDTIQPPGPCLAVTPRISAVPSASE IDMIEWDPQDGDYPPSKKPFKDMKETLDKIPCTVLNCRMERGIPSQFPYVYARKPPAQ PTPEYLSIWSPASDSQAKASQGSSHCIQESVVLAALLFLDTHTKDRGILRARFPDVDC PRYPPIRLAESFIASQTRDKLEKALSPPIDALRRSARRVPSQILRNLILSFLDTLEAE PNSPTYSALLFSTFDLIEILLSTDEPQLAVDIMIRVWKDFPGESSFHRKISLVKLGRV LFPEQGRKLMSQFAGYVCDALQAQQGQNQQQPKEKKGFIKVTTAKMLAQALAEADFLS QAGRMEILRKMFSSARHVDIRREIVNALLNLVGSCENPEPYKVFASIVASVAGPNERA ATTEVEWEMAENPERGGPLPYVAPLTERPVLNSAFSAAFWSIPEKLRPEYVENVLLPL LQESSRQHTRWIAAMAARLGLSLSDLNITEDDIGPFIPDLTNKILWRWAEYLPESFLQ QFHRPWALSYLHYESFARIDSALAVTAEAPLKDSNVRDHWENFFASLCGRPALYSLEK LLSPFVNGVSKAPNGLNTALILEEFEFCAELVIRNPVKYNRFFKKYILHPEYTLEPFR ALRESRLKSVSDVKDSADKARIYHDLTDAMARLISVCETVRREGWSAAAYPVTLPSQF EYHVLLLPSPIYNPSASETHSAAEIFTSALVDLIIKYSADPTLLLKLDSFQSVLREIP SADLKACMLRLGCVWRELEKHDPIVICIRVKLALSLLDIMRSDKGFFKRDVDILGMIE EWKKSDVEFVRQIGWEVELL ANIA_09372 MPSLAQSAALSALADLKLPKDVHVSPDGSKVVYALERFSEKNRR SLSSLWIADVGIDHSARQITSGLFRDEKPRWSPDGRFIAFLSDRGGETGVIYMLGIGG FEEAYPLTEGKDARRVQDFEWSVDGRYIAFLSREGGDDEKEVDADEPLVFGEDEENSN QRLRIVDVERRRLRVLTPADQNVALFSWSPSPNTTELAYTVADPSALHSSSSQIDLVS VETGSRRRFISTNSPITSLVWTQRDRLHFIARPAPPYTQPSVYEARIKSKQYGSYFGW TGEAISLHRARHSAIARVRNPTHESAHALGVQSTAWPFSRFFNSEYEITSFDAYRHPE SDDFTLVLARSSPQVANEVWSVIIKDGRSRSLVKLSSHNSAFDGFRSKRISATGPDGW ECDGWLFTPKPVTFTRRLPPTVVLLQSHSTLPSFSIGSHLDVAYLTSAGYAVLCPNIR PSGSGGGGGGINERYADVLMILKKAVSENLVDESRVTISGWSDGGFLSSLAVIRNEFD FRAVVCGGGVPDWSLVDANSNPLWPRLDAEDKDDGYVSGEDQSKGGIEKSTPLLLLHG REDDQVPVSGPLAFWRQRQRWNGPVQMVLYPKEKHVIRDRKHLLDLWTRVLGFYEKQL A ANIA_09373 MLNVSPPEAQTQTNRLKRPHTKSRRGCYNCKNRRIKCSEAKPAC GNCIFKELECVYPPPPRTEPYAVVQNHRTSTSVSLNAERSSSPSASLLSARIKATSFS GDDLRFWHHFLVDARPHLPFGDEGTWISTIPAFAHDCPPLLHSILSLGASHCALITSN SHGRQYHELAIAHRGKALKALSTTLSKGQSCTVLEMDGALATCYTLTFQAHHMADGVI DFAVMVRGCGLVTDWYFEQSRESMIFKLKSQGEMLEMITSWLPGEMQAVTEALTVRAC MQSLEALRLHLDSPAHVSFYHTLKTAYEALLLSQRQAFIALAGIYMSWKEMSNSEFMA FVKPDNHISRALFMHYITIDTFMRPVYFELSHQRGIANTGGHFLIYRWAEEIYTALPR EMKNLVRDLVSYIAFDLLPEVEKHKRQFPQWLHELQGFTEWLGRRLPLDMKEMYNI ANIA_09374 MYIKQQLFQKRETDEEDWSVFFYNPSLAATVLFSILYVIPFIYH IYISYSAQKKTSNKYFRYSYSVPIIIAAFLEIIAYGQRAASTQSTQDIGLFASSQTLI VLAPVLVCASLYVLLGRIIRSTRVTGAGIKEETGEAEKRIEVKVGGIVRVSYLPKILI TLDVAAMLTQGGGSAIASAGEWKGTLEDIGTSVLIGGLALQVATFTVFLSVVFSFHQK ILRHGEEGMGMVLRGVYIGGLFIMVLLSQIRSIFRLIEFALGTESYIMTNEWPLYVLE AVPML ANIA_09375 MSSTVTTETSTAPLSLFPDGLKTSGQHEPDWSLVRPYDEFPKQM TGPTVWDAAEYRDSPERWTYSFTDEENAEISAAADRFIESGTPLTGITRALFPLPVLS ARLDALREDLLNGKGFFRFRNLPVRQWGLHKSAVAYMGLGTYLGYFVSQNGRGHVLGH VKDLGEDPTQTFRVRIYRTNARQFFHTDAGDMVGLLCIAKSLSGGESDIVSTHSVYNK LQCEHPDVVRTLAEPNWYFDRKGEVSEGQLPWYRGAVFWLETGPNPRVYGKFDPNNAT SLARFNSGPDAQIPPLSDKQKYAMEVLERTCKELSLHMILEPGDIQFLHNPHVFHART AYTDYPPGSVDEDGNPRPQRHLMRLWLAVPQSEGGWKIPFADADNKKRGGIQVGDQPP KCPIDAE ANIA_11216 MDNSQQQQQQQSQRRRSKSGCNTCRARKVKCDERPEGCANCERL QLECSGYGRSAVQRSGTRRARRTYRSCTNCRLRRAKCSGERPACMRCRSRHLECRYGD NVEPAWSQRLAGIADPPDGSSPPGEETEGEGLPLTWVDEELSPDLGPSALLHIVCALG AHTTCQQLSPEFILRSGRRWAEKAYEMVLKDLDKISVDNLMASQLLYDYALRVGNFAQ AFMLGGITARMVQALQLNLEYSTDVLCTEPGLSISAREARRRLMWSCFVTDALLGSGV DQLMLIDERDIKIQLPCNERRFVQETACVTRTLDGGVLGFLQPDTIPINPDENMGIMA YFVQHIQVRKQVLRYIKHLDTAISPWLPNSEFAILDKACWKWYDNLPESLQFTTSTIY ARKASSQLGALVLLHCSHYQTLCDLYRLGAPALFKLRSAIDFPPEQSEFLQKLRGDLY NAARTLATIIGEAERHGPYILSDTWLPTITYDSSRIMLYYLTQLIDPREQDSKELVVQ TIPYLESNIRALKAMQPLNAVSERLVRLPQTTKPSLTGN ANIA_09377 MQLTKSLLLLAALTTTTFARHEGHQRRHASIAHEELHRRQAPTT TTTTAATGSSSDASSSSSSSGSFSTAGFGKKTVNKGAGITYQGNVGDPWGSNIIEISE SDAEQYKYVAKISGQNSDPWDIIFFNKYGPAGLMDGWFGHSALKLTLNSGETKYVAFD DDTNGGFAAAPGSDMPLDPGGGYATTWGEFDFGSTGNEGWSGFDVSAITAQAAGMTVQ GMKICEEVSGTCSSITPDAAQVDNAYTKAETDIGGIGGNIQQDRRIVLDVTIDYQG ANIA_09378 MPLVWLITGTSSGFGHEFVTQLLSRGDKVIATARILSRISDLKQ LGPDVVTLELDVTASQRELNDKAAEAIQVFGKVDVLVNNAGFVKFGFLEDLSEDDYIK QFKTNVFGPINVARAFLPHFRSQRSGTIVNIGSMSAWETYPGVGPYSASKAALRYATE ALSQELGPTGIKTLLVEPGQFRTELLGPSNSVFVETKIPEYQDAANASFGAFRSAHSR QRGDPVKGVARIIDVVKGEGEAAGREWPGELVLGQDAIRVIKKKCDGMLRLLSDWEGF SSSTDV ANIA_09379 MRGLNFLIALSSFGNIIAVQVGLSRQIRECGRQGVLPFTRFWVS TRPFGTPLGPYAVVWFMIALMTLAVPAGNAFTFVNDLSMLPKAAFNFAIAVGIYVYPP DGGQYVGDVSFWYATYAVTGVGIACAIYYALWAHFTPNWKGYNLRQELITLEDGAQSN RLRKVPNEEVEERDAVHVAGGAPY ANIA_09380 MFATLALVFTALASNALTTPLPLVRRVPTGQVITQCTTPNTIAL TFDDGPSEYTPQLLDLLSRYSARATFFVLGDAAAQNPGLLQRMRDEGHQVGAHTYDHV SLPSLGYDGIASQMTRLEEVIRPALGVAPAYMRPPYLETNELVLQVMRDLDYRVISAS VDTKDYENQDADAIINTSFQLFLDQLDAGGNIVLAHDIHYWTVASLAERMLQEVNARG LIATTVGDCLGDGEIAWYH ANIA_09381 MPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIVK KGRDRGYRPEVSLRVQLEFVEDRKRSGRPVEITEATQNTVITSVTADRAGREKLSEIL AYEAGISHSSVLCILHSHGFVIAKPSWKPGLTEAACLRRLEFCLAHQHWTLEDWKRVI FTDETGVILGHRRGAIRVWRTVKDSHTRNCVRRRWKACSDFMVWGCFSYNKKGPLHIY KPETAAMRKQADIEIEAMNRELEPLCREEWELATGLSRVHLRPNRGRVPKWNWNEKNE DSAPAHCHRIQQHVYKAEDVQKILDWPGNSPDLNAIEPCWAWMKKRTTSRGAPRDKKT GEAEWRQAWADLPQETIQHWIERLICHIQIVIELEGGNEYKEGREDRDTRSWAGRRIK G ANIA_09382 MVEKALAPGISQPSGLESAHSSEDDQYLPSSTRLSVNANILMLA VFRVALDNTIMAVAITKITDQFHALNDVGCMSEDKSLLWGVSARRPLLTFRLLQVGWR IHRTRDLVLVFLYQLTYRSCYHCRPLDVAQVAPANSSTEELLGAYTSMSDDATVPARI VRQRSIAFASFFGLCIGAPFFMFQAIRGAFTVRSGIDSLPMVLANVIGIILSGALTTG LGYYAPIFVASSIITSPVGSDLFLSTDYVSAADFSKGGVAAQADLTLPDVSVGTAIIM FLQILGGALFTFVAQSLFTTKLTENLAALEISSLDSCWRDWTAGLVIEEQLPAVLDAY NGVLINVFQLALIMGCLSTVGAQGIECRSRKKSDGVASMQD ANIA_09383 MKVPTIAKSALLLATAANAANYTEWLAQSFFSKGVTLSRNYAYA VLYTGVEYAYNKTGDPAYFDYIKAQIDGVVNEDGSLVEPITDTLSLDDIRIGRNFLYL WTVTGDKKYKIAADGLRKQLDFTPRNQDGGFWHRKPTYPNQMWLDGLYMASNFYAQWT NWFQPNNKTAWDDIILQYDLIEAHIRDNETGLLFHGYDASKVAVWADPVTGASPHIWS RAVGWYFVSLVELLDYFPKSHPGYKRTLQRYQSLAKAVKKSQDESGGWWLIQDPPYPS DPRNYIESSASAMFTYALLRGIRKGFIPAKEYKPVALDGYSLLKNEFLSHNANGTLNW EGTVQVGSLSGNGTFEYYISVPVVQNDYKGAGPFMYASYELEAY ANIA_09384 MALLGLLETYRDPLAFVAALSAVFYLLSCLHRRWRRYQFAKENG CEPVVGKIPSYDPFLSLDRLYRLTKLARNRKLLENNVQRFKEFGNTHRAKRITTPMIV TRDPQNIKAILSLKFGDYSMGDRIRIFGPLLGHGIFTSDGEDWARSRHMIRPNFVKEQ VAHLEIFEELMDDLFALIPTDGSTVDLQELFFGFTIDSATEFLCGHNVHSLKKRRSGV IDDEPDFAEAFNYSLDNISKNGRFGPLMFLNRDPKAVESHRICHESMDQFVDKAIRMR ERYNEEKIVDEAAERRYMFLYGLAQQTGDRRRIRDEVLNILLAGRDTTASLLSNMFFL LAKHPSTWAKLQEEVATLEGRAPTYEQLRNMKYLKYCLNETLRLFPVVPVNSRTAIRD TVLPVGGGPDGQSPAFVPKGTMVAYSVYAMHRREDYYGADAEEFRPERWADLRPGWEY LPFNGGPRICVGQQYALTEAAYVTTRLAQRYSILESRDPGPWEEKLTLTLCSYNGTQV SLRH ANIA_09385 MHQTPRGGQEVPVTTRFIPLCLEQVNIVNCPFSYADGTSVGPRG TAALQILSTILHGVLRLTRHPLSYPIIHTSLIVVRLVTRNRFDSSDSQLRIRDLMCSS DFHDFNQWLNTAETTSAYCLAFPRTYRQLMATPTVPRPPSE ANIA_09386 MATYFELSWSILSSIGLFATLVGVWALFISRPSPLTYIPIVVSI SCAIANGACYYAFYATHYPIPNRAVASGFADFFWLIQEAGLSFYSYQILVHTLRGTAR TIFLCMFWFLLVAITSLRMTILVYRILNIVSGVDTVHSGGPYQHQIGYLHVGYFTSIA LVETWSSFFLIRLLHNAYRVSPKISSTRLIFRYLLRTTEIRVASLCFIGITRAVTYSS QVTSQSATTVAGQIDRFAYTMECLFPLVMLIDTMSSRKLNQDNHMESFSTFSPSSTPA RSLLSTQSRINSGHQLRFAADPHFTHHTPSAAHGPRKIRSIAQDQNENIDGRSVDAPG GSLYRTDDFNSQNPQAQQLAISF ANIA_09387 MAYISASHGSLQPVFLIVMSILLFLSVVTVSLRLFCRVFRVHKT GIDDYLIVAATAVTIGMGIMNGFHVAVGTGKFRIQVIVVGAFECHSKPWRAWDPSFPE GCNNLPATYFSTAAITIFTDLVILIMPIPQLMKLNIHPRRKYALVAIFLTGTFASAAS IARLNALYKYTVTEDVSYDAILILLWTQIEVNVAIISASAPSLRPLFNRIFKGSSYDR PRPSNSPYPGYGTYGHGESHYRRTITHGNTHGAIELTSRDDDASPAAGVHGVIRSSSR ARALVNDETSSQEHILDQNTNIVKTVVIEMKSEGRR ANIA_09388 MRLAGVLFSLALLHLASGVPHGSRTTCSNPPVRKEWRQLSAEEK AEYIAAVQCLTTLDPKSGLDGTANRFDDFQAVHSNQTPSIHWVGHFALWHRYFVASYE KSLREECGYTGAQPYWNWSLDASTNLSSTAIFETEIFDPDTGFGGNGAWVEITDPADN PFNLTGRTGGGCVKTGPFTPDKFQLHHSGGGCLKRDFIPWIMNSFAAQSLVDWVQSQP DYTSFARALENIPDFSEPNIHGSGHFGAGGVLGTLGNQYESPGDPLFYLHHGNLDRIL WEWQQQDLPTRLHQVGGPIEPLDYSGKNVTLDFTVNIGALAGNATLEQLLSTNGDVLC YTYDTA ANIA_09389 MVAFIVFFLPLALAADQSVSLSDPSGQRHTLMHKNQFFFFINQT ETLFSGVSAQCNLPLSVPIPECPQELLNLLSGSEFYTVQNETIMDILCREPCPPALDA YRQNVQEACANDPQPRVGYPATYWVDAVSSVQRQMCLKDSATGTYCTQFLEQTLGDAT DPQDLLGGYTTEQLCSECIVALFTHQQSTPYSNYDIEMANAWAEIQARCNLEYPTATP TLETNVTSLGNYAPSGYATAACVGGRTHEVISGDNCIDISKASRVSTGSLITLNSLRM DCTNLLLGQTLCLPPECDDYVVQSGDTCINIAAKFSGISYQQIVAWNPTINPYCTNLL VGHNICVGPPGGIANFTTVPGATATQTDLYATETASRPTPVAEGTTTKCGKYYLVQPG DYCEIIALTQTVSLEMFLAMNPQIDDACSNLLSGFNYCVQPTRNWAATTTSPVIPPPT STPPGTTTECYEWYVIQSGDYCGKVQDQFGITFAQFQAWNPALADDCSNLLLDVAYCV NGAVPSAPAAAAASRRTLDARAEGCVYAEGCKSDRPVRGLSGFVRPRGPVQTQMPEVG GGVAIGWPGVNSPKLRQQMGLGV ANIA_09390 MSKDLQRFNMLLLYCSRFHLCVLAALICLAIVSAQQDYSCSPVR PCKLGCCGKNNVCGMGPSYCASENCTSTCDAKSECDPGWGSQWSQRQSCPLNVCCSKY GFCGTTEEFCGDEKVTKPSCPGGTSASKKVIGYYEGWSTSKKCNGLNPEDLLMGAYTH LNYAFAFIDPESYKIANMQDSDEEYMPRLTALKNYNPSLEVWIAIGGWSMNDPDQPTR RTFSELAASKSHQDVFFESLLSFMDKYGFDGVDIDWEYPVAEERSGAPEDFENYVSFL KNLRAVLGNRGLTITLPASFWYLQHFDIKNMEPILDWFNIMSYDLHGTWDGTNPYLGP YINSHTNLTEIDLAMELLWRNDIDPKKVVMGMGFYGRSFTLSDPACNTPGCGFSAGGN PGKCSASAGSLMFSEIQEIIDAGGAKVTNDEKAGVQMVTWDTNQWVSYDDDETLKAKM EYANELCLGGVMVWAASTDDHKGTAIRALAKAAGRTDLTVPVLAAKANNDPSQCVWGE CGADCPSGLIPVEESSSNKNPLGIELGCNQGTRYFCCPSKSPPTCKWKGSPKFCGLLS KNRCTDDEIEVSASTEGCWTGHKSLCCTKTESTDVLDACKWFGAAPICAASAFFPSLL GPLGGAFSFHSYGCDDDDNRPNELTKAKQGQGGQQSCTYNGGFKSFCCENPTPWKDCK WRAGNTAWVQWENLLFGPIGALFFDFSTDCKTGCEPGETTVATDGWGCRSGTYSYFCC ADPNQPASPDLPDINLCYGPNHLDSLTSDLEGESNLPNVYEEETVFDYGCGTDDLTAL LKGKRGTEDVAFASNLSQLAVLETSPFLLEEHDLVPRGARERIAMALCGPNGQRSSIW VQQYPGASSILLATGRAWTVAKQGLCAAAGITSLSTLASNTDWVTEHVLEKQEFRNAL EYMAAGQTPSGTMLRSGAVPFAQVFGNNGIFQQSWPTVTYPTLTFTHNLVGNINDFFT GLLGRTSDPGLSNRFIENLQVCDRDFNVYKEYMVKGADFISRTMWSNYNPQERVVAAF SSTYKNIATMFKDLTRYAATQGITYDFEDAWKQIMPDYLNWQVERVRDTFETYVDEEI LYWASSLAQNTYAPLVIKEMSDLLQDLKTNMNTLLALPVTQMTS ANIA_09391 MTTNQADFSAYSDASSSYWPPGWNFARFARATPADNATLSDEER AKMQAGFRDVLGEEGVAEMARVVWQEQLRVMRAEKEKKNTAGRAPAAAPPPPEWLKIW RKRYRSPRKNWGFVALWTAAAVSAAERMVDSDCGFEGVEEFQRRVSEIVGIPFEAALE QGQSAEEVENARKAFEIRWDWLDNEEEEKGSREVMAEDMTNTELIERLRARYRSIQES GSISHGQSLPVFLVVSPSAVTSVLSCTREEQPATTSRRWRSKAPFLLAVAAVEEQGIE EDEEADRLVGRSGEKDWFEPVFRVAVEVLVDELWPVFDEQITTLGSMTRFVQRAEVTE DVLAGGDGQGEADEDGLDAIWWSVHTPPHQMRKRRRLFGGA ANIA_09392 MCPGFMLGCWGYYGGRTASRDLDRKRTVRRRPETNQAAEANQAS SVEANAPLLIGEQKNGRGFSFTRSACPSAAYFPLAFSPHPRLSRLAAGTASIVYLRPV PLIPTMDPEKPRAELADDVKQHSLSMTGDADADQKLARRILFKLDTRILPVLALLFLC SFLDRTNVGNAKILGLEDDLDITNHQYDIGLTIFYLFYILSEVPSNLVIKKASPKIWL PALTAVWGIITMCLGFVRNFGSFAAVRALLGIAEGGLLPGMVLYLSSFYRRGDLALRI GLFYTAASLSGAFGGLLARGLAEIGPRGGLEGWRWIMIIEGLLTFLCGCLAYFALPNS IETTPFLTEDERRFARERIQLENPHIQECGPRSRTGELGVVRTADNHRREWLRERCKP NAALDGDTVRRGRRADRRTVFVSFLSDRLKLRGVIMLFSLPIAIAGYAAIGNITSPQA KYGMTFLMATGMYASVPCILVWNSNNSAGHYKRATTSGMQLAIANCGGFVATFIYPNK DKPQFHRGHTVVLSLLVFAWFMILLNVLYCAKINRDKRNGKYSKYEGYSDDRDPKFMM VL ANIA_09393 MAHGTDSPRRLPSLSRKTIDELGLLSLWQSPLDTKLLIAQRFIR LFAYGGSTLILASYLSALDIADDRIGLFMTLTLVGDVAISFLLTLFADAMGRRAVLGL GSALMVGSGVVFALSGNYWWLLTAAVLGVISPSGNEIGPFKAVEESTLAHLTPKEFLR DILLWYSLFGTAGTALGVMACGWAINLLESGCGWEYIPACRAIFLAYAAIGALKFVLS VSLSHKVEADNMTPKSKQQQQQRTDETQPLLENRNETRETQEQGRKSFLSFLGERDLV SLIIRLFILFGLDSFASGLASLSWMTYFFKRKFSLPEGTLGSIFFTTSIIAAISMLLA SSIAKRIGNIKTMVFTHLPSAICLALIPLFDLPLALTLLILRACTQNMDVAPRSAFLA AALPADKRTAIMGAINVVKTSTQSAGPLITGVLADKGVFGASFTLAGVLKCVYDFGIL VSFLGLERERERNEPGGV ANIA_09394 MADTLITAIARRGAENIHSLTAVSNNAGAPGKGGLSTLTQSGQV DRLIISYLGNNKALEKKYLTGNIAIELCPQGTLAERLRAGGAGIPAFYTATGAHTFLQ DGRIPVRVDASGNVLEHGKPRETRVFNNKTYLMETALTGDVAILRAWKVDEAGNCVFR YTTKAFGPIMAKAATLTIVEAENIVPVGSIDPNDVDLPGIFVDRIVPSTAEKQIEIRK LRQSQEDGSTAAGVSPAIIQRNRIAKRAAKELKQGYYVNLGVGIPTLAPSMLPEGVKV WIQSENGILGMVRQERRGNRTDIINAGKETVTLLPGAATFDSTESFGMIRGGHVDVSI LGALQVFKGMGGAMDLISNPDQTKIVVATSHTAKDGLPKIVTECSLPLTGANCVSTIV TELCVFQVDRQKGELTLTELAPGVDVNEVREKTGAPFHVADKLEVME ANIA_09395 MEGPASAGHQRASHSRQAACLNCRKSKIRCDRSQGEISCDKCRH AGLECVVPTHHVGRQKGVKNKRKGLEKALHQIEQAIKRPRTSRPAVEAAQKVLSDLQD LLTQTQLQQARDDSKDLSEDSDQQEPLHFPHGSNSGESLALDDAENPLQLLARASDLQ LSPKDVRNTAMWPLLATSQPATGVSGVVQDNVTAAKSFFVPVRASLDIGPDMDPIDIG LVTLPEAESLFTFFYENLAHTRWGLDPLIHTVEFVRSKSAFLFTSIMATTALFLPSAA AISKRLSRHSKFLANKVMQERFRSVEIVLAFMVNVPWMAPGACLGDDDACSYIAMALT VALDLSLNKIVLPSTSFDSGLARRLAKADCIDARRALQIDGFEEVDPASNWGRRLLRR RERAWIALFVLERGVCLARGRSYTVPSTALTENCDRWHVSDLADFRDGAMNSMAILRR NLVNIRPIFTLSDIIIKTMIECFYTEWYEAWAPEIGEGQCQFGHPSHLTFKSVLIPQA RALPPYVEILVTHTQLSTYGGVINHPTAPIEVKRFFRAAGLSSALNVMRAAIQGEGRL KSMPNNTVIMISFAACSALSLSSMPTDSNSSLAPSVRNLIEETAGVLERIGSTPSHRN GASVLYGRFLRELVRRTPAALDSQPQSDPRISHTEALPSTTLDAFSAISSPVQQSFAP SMFWSEPMQFSAMSDDQIIDAVNRAGTAFGASVPDVPLDDLMSWNWLDIANPEFNL ANIA_09396 MVRKDPIFEARTNVKLHSNRLKKEAARAEATFKSEKAKADKAMK NREFQIARIHASSAVREKRRQVTLKAEAARADVIINELKAAQSTRDTSRTLALASRGL DAASKSVNLETLVSHANNFLARSEDFKIASNAIEDVAQGVSMQEYGAEGEADVDRLME QLADDAGVDLRLALEQDSAPKEDVKEQTKVDADVEDGLGARLRALRAAN ANIA_09397 MKSADRFSPVKMEDAFANSLPTTPSLEVPVLTVSPADTSLQTKN VVAQTKPEEKKPAKKRKSWGQELPVPKTNLPPRKRAKTEDEKEQRRIERVLRNRAAAQ TSRERKRLEMEKLESEKIDMEQQNQFLLQRLAQMEAENNRLSQQVAQLSAEVRGSRHS TPTSSSPASVSPTLTPTLFKQEGDEVPLDRIPFPTPSVTDYSPTLKPSSLAESPDLTQ HPAAMLCDLQCQSAGSKEMKVPSRFSTSEPALSMSLHMTLQLLFLTMTSAAYSTVIHP LSQILHSLKTGSPLTFSTQEIYQHFHLILWLILTPSLSPSKISSKPTAFRIQLLARLL ACNPAMARPLRDATGRALQLAVRERFSTEDRLVPDVVEGRWSWESLLTLASAINLLEK PERRRRTLRGLDSLKRGRRIDSGKRYRVIRSSRSSTSPREALTSRRKGL ANIA_09398 MPKVTSARAAAGTRRHNPLAEDIIGTGHLRTAPSKKIKRKSKAD GDQEDGERFVDAKTSRKILQIGQELADEDAAEQRAARGVVEEPANRAFDFESRFEDED VFSDDEGKFNEDQWDDEEDIEEVEVDPNDLDIFHKFVPRGDEDPIFNPSGPETSGQTT NLADLILEKIAEHEAKQAGNTGPIIQGGGLPEDAVQIPAKAVEVYEKVGMILSRYKSG PLPKPFKILPTVPNWQTLLSITRPESWTANAIYAGTRIFISHKPAIAQEFISTVLLER VREEIYEHKKLNVHTYNSMKKALYKPACFFKGLLFPLVSSGTCTLREAHIVSSVIARV SIPVLHSAAALLRMCDLAAEQSMKSLESTGAVNTFIRVFLEKKYALPYKVIDALVFHF LRFRAADPAEDSMTDGPSKAYKLPVLWHQSLLVFAQRYRNDITEDQREALLDLLLVRG HKDIGPEVRRELLAGRGRGIVAPDPERQHALDAGDDTMDTTL ANIA_09399 MASTHAAGSPAPSSSINSPILHPVNASVSNASLGEGSIRFSPAP PSTFSNQGDGVRSKRNKRDSRKKREAKGLDQESAPPKKKSVAVLNTAIPSSDLGILRP IAVGEPRHSDLFPPQPRQLNFAVRKMSGVIGQSWDFYEVVDKLTNKNGFRYSYAIADT DFPHIKYRQTDVPPYHARFSFEDSPAAILFSKDALAVTTNEPWHTARANVCAREGTYY YEARIISGIMSSSEATTSNGSNALPSRGHVRLGFARREADLDVNVGVDCYGYGIRDVN GEVVNRMRCEYFFPKGESIREGDVIGMLITLPPLSLHRKIVEGTYDPACDNFKPGPAS ATNIIRDRIPFHYKNDFCWQQSNVFPTKQLRDYAFNLKETPAFGPPSPLNAEDPSLRT LPGSSITIYKNGIKMGTPFKELYAFLPPASRLANGTNNLGLGERENADDGMIGYYPAV SCYGGGAVECRFQGPWWFSPPSATENGEPVKGIGERFDEQIVEDVLADIVDEVEAMLV WGSVDGNVVNNAEMDAPGVGAVGGTDVLKGGVGAAYDPATTLSTAPAESNGSGTASIK LADEDACHTGFEDTMSLGVANTPITDVPVPPEPEDTPMTGG ANIA_09400 MSLISNTIWKAQQREELMAAEARTTSGIPGSGRLHPPYASLFLN LCDNRSSGLMSLLLEAERYVANQSSNRMLNAFITPLCRHSGRWHDQAKDADIRGKQGK LRSRLDGRFIAFKDNICTRDFPTTCASKSLDTFTSPFNATVVQQLEDAGAIVAGKTNL DEFGMGSHSIYSSFGHVMNTRRGDDSKFLSAGGSSGGNAVAVATDQCYAALGTDTGGS IRLPAAYTGTVGFKPSYGLLSRWGVIAYANSLDTVGILAKRVSVARDVFDVLNKHDPR DPTSISPSSRSRISSKLNLPQLTSRLTSRPLRIGIPLEYNISELAPSVRQAWCHSLEY LRQQGHTIQPVSLPMTKLALSAYYVLAPAEASSNLAKYDGVRYGTRSDDSTENQSETY LYAKTRGAGFGPEVKRRIMLGAFSLSAQAIDNYFIQAQRIRRLVRHDFDAAFQAEHPL AAEIRNVELRQQAKQTGIDVLISPTAPTPPPTISDITDTSTKRSNLDAYINDVFTVPA SLAGLPAISVPVSGKDNAGNQEGDILAGIQVIGQYGDDELVLKVGELLERR ANIA_09401 MFSRCVVRSATATSPIQTSFLRTRLSSFVGSTTARQCRSPISSP QIDGRRYISAYGYTQAKALVYSKYGEPKDVLRLHTHSISAPNGTQVNLRLIAAPLNPA DVNQIQGVYPSKPPFETKLGTLEPSAIAGNEGAFEVIATGAAVKGLKKGDWVIMKRTG QGTWRTHAQMDESQLIKIEDQTGLSPLQVSTVSVNPVTAYRMIKDFCDWDWLRAGEEW LIQNGANSGVGRAAIQLGREWGIKTINVVRERKTPEETEALKQELKDLGATVVVTETE LLSGDFKNIVKEVTKQGKEPIRLALNCVGGKNATALAKVLAPGSHMVTYGAMSKQPVA LPSGLLIFKDLVFDGFWVSKWGDKNPQLKENTIKDILQLTRAGKFKDIPVEEAKWKWD TDATELATAVQGTLSGYRGGKGLLKFEGDD ANIA_09402 MASYLNPLVILSLVSAVQAVQPSAPDFVAAPLRELKWGQINFLH TTDTHGWLAGHLSEYEYQSLLGDRVEGNGLYDSSDPKGIYTSEIIRQQQIDVLSPGNH ELYKKSTSEAEYRITVPNFRGHYLSSNVDIYDPETGELVELGPRFRKFTTKNQGIRIT AFGFLFDFTRNYNNTVVHPVEEVVKEPWFKHAIQDQAVDLFLVIGHVPVRSKEYDAVF NEIRASHPDTPIQFFGGHLHIRDCHQFDSKAVGLASGRFMETIGFASISGLSTERNKL EPSMVDPIFERSHTGLNESTFPTEHGRNVSRLIQQSRSALKLDEIYGCVPETLWMSRS PHTASNNIYAWLQREVLPSMLDDTSRKGKPAFVIFNTGAIRFDLFKGQFNRDSVYIIS PFTSGFRYLKDIAYAEAEQVLNVLNQQPQIFTGAEGTTGLLLRALAPPEQAARDYGID LARLHRAESILNIPTSQHPMSVGHDSEHEIIPGYTTTDDLGADGDDTVHSMIEFYQIP NCIGALTLDGGSAPPETVDLVYIDFIQPYVSFAADQVGLGVNVSQDSEVYMPSTSFTD LLVQWVKTHWGCSV ANIA_09403 MASLRLFRPAARLLSSRLSATRPTFPQTACTPSILRFRGYATEN GTKEVTVRDALNEALAEELERNQKTFILGEEVAQYNGAYKVTRGLLDRFGPKRVIDTP ITEAGFCGLAVGAALAGLHPICEFMTFNFAMQAIDQIINSAAKTHYMSGGIQPCNITF RGPNGFAAGVAAQHSQDYSAWYGSIPGLKVVAPWSAEDAKGLMKAAIRDPNPVVVLEN ELLYGQAFPMSEAAQKDDFVLPIGKAKIERPGKDLTIVSLSRCVGQSLNAAAELKQKY GVEAEVINLRSVKPLDVETIIQSLKKTGRLMCVESGFPMFGVSSEILALSMEYGFDYL TAPAVRVTGAEVPTPYAVGLETMSFPQEDTIVGQAAKLLRL ANIA_09404 MGPRGFTNPAPKTESARSALSSFTCTLCNKSYSRHPEYEAHIGS YDHQHRKRLQDLKQLSRDPNAAEKARRAEKKADAEAGLRVLDTAKTGSASGTGSSGGF KKGGFKSSFTTVKGPAAPAAPTRKNVLEDDDEGTNGPAAARGDDHARSMGPKIIQEQG ESDTDEEYVTDTAGGGYYDPRKPTDCFPGCVGLAQS ANIA_09405 MASPRATNLPTQDDIDTKSIVSMQELDPSPVDETFPDISSEDYT SKYEPPSGRQNLGWSLGLRGQNWDSWLSALQRYSTYPPIFFAALHFTNTSLIPIATRS VPESDNYLLLTRPIYQSPSLENFILTIPILTHIASGIALRNIRSSRRARLYGAETRDQ RYALSFWPRMSLQARLGYVFAPLLATHVLVNRVVPVMVDGGSSSISLGFVAHGIARSR VFWVTYYHIFVFVGVYHILGGLASLMGWRITTARKTRGSRKGVLEGDLGHTESDQHIR RRKKMWWNFNKIATLGACIWLAGALWIVGNGGEGLGWEAKRWNEIYSQVPIIGNWL ANIA_09406 MASKPEASENTDSAGQLVQYGGKEYRVIKEGRAYILKPPAETAA TQATRRDLKPEDEDQTVFYNPIQQFNRDLTVLAIRIYGEHVIATNKQAHEKRKRRAQE SAVGRNRKRKREEEGNGDGKEQVSRPDSEVKDTTEPGHKTPDAHPQADSPPPFTILDA LSASGLRALRYASEIPFVTRVVANDLSSSAIQSMKLNIAYNGLGNLIQPNTGDARIYM YSRIKPATKSQKKDDFGKFDVIDLDPYGSAAPFIDAAVQGIKDEGLLCITCTDAGVWA SNGYPEKSFALYGGVPMKGMHSHEGGLRLILNSVAIAAGKYGLAIEPLLSLSIDFYAR LFVRIHRSPAQAKFMSGNTMLVYNCDSGCGAWTTQPLTQTKQKLDKKGNPFYHYGLAQ APTANQKCEHCGMKTHLSGPMWAGPLHNPHFIQKILDLLPQVDRDVYQTLDRVEGMLT TALEEDLSLELSSEDETSQLPTSSDEGTPAPSGDPTHIIPRTDPSLRDPHPFYFSLSS ISKVLHTTTVPYYEFCGALRHLGYHCTRSHTKPNTVRTDAPWAVIWEIMREWARQKGP IKEESIKPGTAAAGIMARSREKVKGDPQFANLKKELVAAAETGKDLSDLITRVEAALY RAGSRTVPGEDDGAADSSSTDAQKRLQQEKDSSDGGLDNRPVPPQDYSELKVVFDESL GRQSRRRKRLVRYWLWPI ANIA_09407 MRPEIEQELAHTLLVELLAYQFASPVRWIETQDVILAEKRTERI VEIGPADTLGGMARRTLASKYEAYDAATSVQRQILCYNKDAKEIYYDVDPVEEETESA PEAAAAPPTSAAPAAAVVAAPAPAASAPSAGPAAPVEDAPVTALDIVRTLVAQKLKKA LSDVPLNKAIKDLVGGKSTLQNEILGDLGKEFGSTPEKPEDTPLDELGASMQATFNGQ LGKQSSSLIARLVSSKMPGGFNITAVRKYLETRWGLGPGRQDGVLLLALTMEPASRIG SEPDAKVFLDDVANKYAANSGISLNVPTASGDGGASAGGMLMDPAAIDALTKDQRALF KQQLEIIARYLKMDLRDGQKAFVASQETQKTLQAQLDLWQAEHGDFYASGIEPSFDPL KARVYDSSWNWARQDALSMYYDIIFGRLKVVDREIVSQCIRIMNRSNPLLLEFMQYHI DNCPTERGETYQLAKELGEQLIENCKEVLGVSPVYKDVAVPTGPQTTIDARGNIEYQE VPRASARKLEHYVKQMAEGGPISEYSNRAKVQNDLRSVYKLIRRQHRLSKSSQLQFNA LYKDVVRALSMNENQIMPQENGSTKKPGRNGSVRNGSPRAGKVETIPFLHLKKKNEHG WDYSKKLTGIYLDVLESAARSGLTFQGKNVLMTGAGAGSIGAEVLQGLISGGAKVIVT TSRYSREVTEYYQAMYARYGARGSQLVVVPFNQGSKQDVEALVDYIYDTKKGLGWDLD FIVPFAAIPENGREIDSIDSKSELAHRIMLTNLLRLLGSVKAQKQANGFETRPAQVIL PLSPNHGTFGNDGLYSESKLALETLFNRWYSENWSNYLTICGAVIGWTRGTGLMSGNN MVAEGVEKLGVRTFSQQEMAFNLLGLMAPAIVNLCQLDPVWADLNGGLQFIPDLKDLM TRLRTEIMETSDVRRAVIKETAIENKVVNGEDSEVLYKKVIAEPRANIKFQFPNLPTW DEDIKPLNENLKGMVNLDKVVVVTGFSEVGPWGNSRTRWEMEASGKFSLEGCVEMAWI MGLIRHHNGPIKGKTYSGWVDSKTGEPVDDKDVKAKYEKYILEHSGIRLIEPELFKGY DPKKKQLLQEIVIEEDLEPFEASKETAEEFKREHGEKVEIFEVLESGEYTVRLKKGAT LLIPKALQFDRLVAGQVPTGWDARRYGIPEDIIEQVDPVTLFVLVCTAEAMLSAGVTD PYEFYKYVHLSEVGNCIGSGIGGTHALRGMYKDRYLDKPLQKDILQESFINTMSAWVN MLLLSSTGPIKTPVGACATAVESVDIGYETIVEGKARVCFVGGFDDFQEEGSYEFANM KATSNAEDEFAHGRTPQEMSRPTTTTRAGFMESQGCGMQLIMSAQLALDMGVPIYGII ALTTTATDKIGRSVPAPGQGVLTTARENPGKFPSPLLDIKYRRRQLELRKRQIREWQE SELLYLQEEAEAIKAQNPADFVVEEYLQERAQHINREAIRQEKDAQFSLGNNFWKQDS RIAPLRGALATWGLTVDDIGVASFHGTSTVANDKNESDVICQQMKHLGRKKGNALLGI FQKYLTGHPKGAAGAWMFNGCLQVLDSGLVPGNRNADNVDKVMEKFDYIVYPSRSIQT DGIKAFSVTSFGFGQKGAQVIGIHPKYLYATLDRAQFEAYRAKVETRQKKAYRYFHNG LVNNSIFVAKNKAPYEDELQSKVFLNPDYRVAADKKTSELKYPPKPPVATDAGSESTK AVIESLAKAHATENSKIGVDVESIDSINISNETFIERNFTASEQQYCQNAPSPQSSFA GRWSAKEAVFKSLGVCSKGAGAPLKDIEIENDSNGAPTVKLHGVAAEAAKEAGVKHIS VSISHSDMQAVAVAISQF ANIA_09408 MYGTSTGPQTGINTPRSSQSLRPLILSHGSLEFSFLVPTSLHFH ASQLKDTFTASLPEPTDELAQDDEPSSVAELVARYIGHVAHEVEEGEDDAHGTNQDVL KLALNEFERAFMRGNDVHAVAATLPGITAKKVLVVEAYYAGRAAAGRPTKPYDSALFR AASDEKARIYSVFGGQGNIEEYFDELREVYNTYTSFVDDLISSSAELLQSLSREPDAN KLYPKGLNVMQWLREPDTQPDVDYLVSAPVSLPLIGLVQLAHFAVTCRVLGKEPGEIL ERFSGTTGHSQGIVTAAAIATATTWESFHKAVANALTMLFWIGLRSQQAYPRTSIAPS VLQDSIENGEGTPTPMLSIRDLPRTAVQEHIDMTNQHLPEDRHISISLVNSARNFVVT GPPLSLYGLNLRLRKVKAPTGLDQNRVPFTQRKVRFVNRFLPITAPFHSQYLYSAFDR IMEDLEDVEISPKSLTIPVYGTKTGDDLRAISDANVVPALVRMITHDPVNWEQTTAFP NATHIVDFGPGGISGLGVLTNRNKDGTGVRVILAGSMDGTNAEVGYKPELFDRDEHSV KYAIDWVKEYGPRLVKNATGQTFVDTKMSRLLGIPPIMVAGMTPTTVPWDFVAATMNA GYHIELAGGGYYNAKTMTEAITKIEKAIPPGRGITVNLIYVNPRAMGWQIPLIGKLRA DGVPIEGLTIGAGVPSIEVANEYIETLGIKHIAFKPGSVDAIQQVINIAKANPKFPVI LQWTGGRGGGHHSFEDFHQPILQMYSRIRRHENIILVAGSGFGGAEDTYPYLSGNWSS RFGYPPMPFDGCLFGSRMMTAKEAHTSKNAKQAIVDAPGLDDQDWEKTYKGAAGGVVT VLSEMGEPIHKLATRGVLFWHEMDQKIFKLDKAKRVPELKKQRDYIIKKLNDDFQKVW FGRNSAGETVDLEDMTYAEVVHRMVDLMYVKHEGRWIDDSLKKLTGDFIRRVEERFTT AEGQASLLQNYSELNVPYPAVDNILAAYPEAATQLINAQDVQHFLLLCQRRGQKPVPF VPSLDENFEYWFKKDSLWQSEDLEAVVGQDVGRTCILQGPMAAKFSTVIDEPVGDILN SIHQGHIKSLIKDMYNGDETTIPITEYFGGRLSEAQEDIEMDGLTISEDANKISYRLS SSAADLPEVNRWCRLLAGRSYSWRHALFSADVFVQGHRFQTNPLKRVLAPSTGMYVEI ANPEDAPKTVISVREPYQSGKLVKTVDIKLNEKGQIALTLYEGRTAENGVVPLTFLFT YHPDTGYAPIREVMDSRNDRIKEFYYRIWFGNKDVPFDTPTTATFNGGRETITSQAVA DFVHAVGNTGEAFVERPGKEVFAPMDFAIVAGWKAITKPIFPRTIDGDLLKLVHLSNG FKMVPGAQPLKVGDVLDTTAQINSIINEESGKIVEVCGTIRRDGKPIMHVTSQFLYRG AYTDFENTFQRKDEVPMQVHLASSRDVAILRSKEWFRLDMDDVELLGQTLTFRLQSLI RFKNKNVFSQVQTMGQVLLELPTKEVIQVASVDYEAGTSHGNPVIDYLQRNGTSIEQP VYFENPIPLSGKTPLVLRAPASNETYARVSGDYNPIHVSRVFSSYANLPGTITHGMYT SAAVRSLVETWAAENNIGRVRGFHVSLVDMVLPNDLITVRLQHVGMIAGRKIIKVEAS NKETEDKVLLGEAEVEQPVTAYVFTGQGSQEQGMGMELYATSPVAKEVWDRADRHFIE NYGLSIIDIVKNNPKELTVHFGGPRGKAIRQNYMSMTFETVNADGTIKSEKIFKEIDE TTTSYTYRSPTGLLSATQFTQPALTLMEKASFEDMRSKGLVQRDSSFAGHSLGEYSAL AALADVMPIESLVSVVFYRGLTMQVAVERDEQGRSNYSMCAVNPSRISKTFNEQALQY VVGNISEQTGWLLEIVNYNVANMQYVAAGDLRALDCLTNLLNYLKAQNIDIPALMQSM SLEDVKAHLVNIIHECVKQTEAKPKPINLERGFATIPLKGIDVPFHSTFLRSGVKPFR SFLLKKINKTTIDPSKLVGKYIPNVTARPFEITKEYFEDVYRLTNSPRIAHILANWEK YEEGTEGGSRHGGTTAASS ANIA_09409 METLRSDRLPIASDALTILVNLSGDKEILDKLATDDAFMETLLN KVTNNKEGNADGICMLFANLGKSENIKELLTLKRRTANPVSNSEYAIDQLMDCFVKGA DGALNQHANYDYLSYLFADLSKLEEGRKYFTTRQDYDGVVPVTKLTVFTEHESTVRRR GVASTIKNVAFEIPFHPTLFSEDEANLLPYILLPIMGPEEYSEEDTANMLPDLQLLPP DKKRESDNGIIVTHLETLLLLTTTREGRDKLRAVNVYPVIRECHLRVDDDGVREACDR WVQVIMRDEEDEGNSSAGQNQDDDRKVVELF ANIA_09410 MFITPRTYSASQTLDRNSTQTGASNRLRFSSLTGWHPDPIDVDR AIEMPLIRQAGNVRVGEVVRYTLKYRPAADEIQPPPAALHVKVRNTSAIPLRAAYLHG PYTLYVSCYPYTFDPNVASCAVDTAEIPQFEPYLKAGGTWIAVIPLPECSEPQVPGCR NSPSRQDTTWIIEIISQVVFSSTASVNFEVVVGRNEASLDFLPSIAQSSVLTPADLRD HWPSGSDGKQLLATKGVYSKSVTLLIDDTASLWNTPQLSVSNRHQRSDEILTNESPPK FTSPAAGTLQSEATERHSKPRRKIHLVVLTHGLHSNLGADMLYLKESIDAAVKKNKPS GKSRTPTNESPLKSPFSPESGSVSDDHDCQNEDVIVRGFPGNAARTERGIQYLGKRLA KYILLMTYPDQPYLPRKKSKSRTFSGPVNPCRTSELSDGEPECLDFDEVESHIEGYHA YQITSISFIGHSLGGLVQTYAIAYIQKHSPGFFDNIKPINFIALATPFLGLSNENPVY VRFALDFGLVGRTGQDLGLSWITPKGRSGWKAIIGGKAQLSNSEGNADTRAKPLLRIL PSGPAHDALAKFKRRTIYSNLVNDGIVPLRTSCLLFLDWKGLQRVEKARRDNGIVGTM AEWGWAELTGANSKSPRISSGQHAKSSSKKAARTAGETSSPVLSRGILSSKSSSSSIL SSAGKEPAIQEKPLLANGFMNTDVDGASTQSPSSALTGLFSLFRPKEHGRKQAKILTR SQTIAPSITQDLRQQDGPYFDHDSYDTGFTAPPRTTVFESAGDILMPPLPPVDYIVNP ESRPRTILHDRVYHPKDIPPPIVNRRSVAARTSQVRDTAAGYDIAEDFSNNAGLKVEE KIARAYHRGLSWRKVLVRLEPDAHNNIIVRRMFTNAYGWPVVQHLVDAHFGPSSSDPE YLCEDSPGGPAPAVGIAENVSQNI ANIA_01404 METLGEMPAETLTFGDLYSHCSIDGDKLQCSSARGESRINIPIA DILCILSDGNVSRGYIVVFLKETGSPSTPPELERIYLQTALPTVLSDHLLTEVPDHLN YDCHIIVSTASGTGRAKVLSGILQQLLAWIGLNRFTVHETQSAQTITELCHSLFIPQA EAGIQQTKVLLSGDGGLCDIIDAFHSTPKSIQAIPNIALIPAGTGNAMANSIGLMVLP KNPLMALLRGKPIPLPVFVATFSDGARYVQGDSSRVSRIYGCVVASWGIHASLVADSD TVEYRKFGADRFKMAAKELLFPSDGSEAHKYSGTITLLKRDGQQCLEHELVLEHKEHA YVLATLVSNLEKDFKISPNSAALDGALRIVHFSPMPSQRVMQLLSAAYQDGQHVKDND VMYCEIEGLRIDFHEVDENWRRVCIDGRVVVIEEEGWMSVRKEGRSLVALLWSGR ANIA_01403 MSFSNLVSDLALRDSYEDRNSQISNARSQVTARSYTSTAATSVS IAGDISSQLHSGYSHPLSRSWQAERQLTKEMLIYPLFITDNPDEETPIPSLPNQHRRG LNRLVPFLRPLVQKGLRSVILFGVPLHPSAKDALGTSADDPAGPVVQAIRLLRSRFPE LYIVTDVCICEYTSHGHCGILREDGTLDNAQSVDRISDVALAYASAGAHCVAPSDMND GRVRAIKLKLIEAGLAHRVLLMSYSAKFSGCLYGPFRDAAGSCPSFGDRRCYQLPPGG RGLARRAIQRDIGEGADIIMVKPASSYLDIIRDAKELAKDIPIAAYQVSGEYAMIHAG AKAGVFDLKSMAFESTEGILRAGAGIIVSYFVPEFLDWL ANIA_01402 MTAIATASVMNDQEPEKDSRVDHSPSRFTAVNGREGLAAGSATD GSPSNGDSREPSEAWSRAALDRTARHEEELKETGNTGMRIDEEQLRRSTSHSALISSS RTKRRRSDSEQEDSSQVSYRGPKSPVRSADGIAGHSPQAGTSNGIMSSQSDTESKHTS PQAHAKPDEGENTRRSSTNASWHEYDAQLLNQTQRAPQIDASDAQLAEALQREAQGPD VAQKNWDGISRPVVESSIPNEQASTSFPQDRSQNAVQVAPKRKRVFSNRTKTGCMTCR RRKKKCDEQHPACNNCLRGGFICEGYSSRSTWQKPSTTKTPVPLQSKEGYSDAGAQYL HDSNQHDRQQSLAEPFDPGKMRSMVADDDNRPTTQYNTSPTGTGSSRGSWSKRTWSGA NQTGYIADPLTKSDHREVPSIHELSREGHSKSEYSLVPSIREFSHSGHTKPSVPIFQG GMDQRPAHTTSIDTNTPQAQARMALSIEHQLSGRAVSTEETERDKMLRGELYRPFDVI LVEERERCRAALWRFNNACNPVSGLSAKEQNRLLKEILVPQASINPSSGITSPRPVGS IGQGTVVETPFHCHYGYNIHIGEDVMISENCLLVDDCPVTIGAHTWIGPRVTILTSMA HANMQERKGSQSRYQGRPVTIEEDCYVGAGCTIYPGVRLRRGAYVAPGEVVKSDIVAY GFQGLKPSYM ANIA_01401 MSVSIQELDTTVQAFYEGKGELQKQAQQTLTEFKQNPDAWLIVG NILQESQYPQTKYLALQVLDDVIMTRWKVLPRDQCLGIRNFIVNFIIENSKSEEKLRS ERAFLNKLNLVLVSILKQEWPHNWPTFINEIVSSCHTSLSICENNMAILRLLSEEVFD FSQDQMTSVKARNLKTTMTQEFSSIFQLCSEVLTTANQPSLVKATLETLLRFLNWIPL GYIFETPVINTLLTRFLDVPEFRNVTLKCLTEIGGLQIGHPYNYDERLVHMFTETLTT VSKTIPLSMDLKSTYAKSNSRDQEFVLNLALFLCSFFSAHLNLVEKLPNRDYLTHAHF YLIRISQIDDREVFKICLEYWTRLVQELYEEMQQLPITDINPLVSMGVSGLSNGGAPH PNTLAGYPLRKHKYDEVLSSLRTVMIEKMVRPEEVLIVENEEGEIIREFVKESDTIQL YKTIRECLVYLTHLDVIDTENIMIEKLAKQVDGTEWSWANCNTLCWAIGSISGAMNEE TEKRFLVTVIKDLLGLTEMKRGKDNKAVVASNIMYIVGQYPRFLKAHWKFLKTVVNKL FEFMHETHEGVQDMACDTFIKIANKCRRHFVALQPGENEPFIEEIVRNMRKITCDLSP QQVHTFYEACGYMISAQGQKGLQDRLIENLMSLPNSAWDTIIAQANQDPSVLQDGETI KIIGNIMKTNVAACSSVGTYFYSQLGRIYHDMLNMFRASSQLINDAVARDGDIATKTP KVRGLRTIKREILKLIDTYVQKADDLEMVNANMVPPLLEAVLVDYNRNVPNAREAEVL SVMTTVIQKLHNLMDDKVPLIMESVFECTLEMINKDFHEFPDHRIQFFKLLQAINLYC FPALLKLDATQFKFVIDSCMWASKHDNREVENTGLTMCLELMNNMAEVDVQTSNIFFR QFYIPILQDVFFVLTDSDHKAGFKSQAMLLSRMFYFIESGKIQDPIYSPEQAPIGTSN KDFLQKYVADLLQTAFKNLQEIQIKQFVVGLFAFNDDFNKFKTHLRDFLISLKEFAGD NAELYAEEREQALKDAKAAERDRAMRVGGLLKPSEMDHDDEL ANIA_01400 MSYSHQFASQNSTSSSRVSSLTRVRHDTPGVQVTGTLRLRAEGD AQNAGEEMQARHIRWSEDVVDNEGMGKKSSKGTFNANTRLQDFFGPSGKVVRNQNHRT PNLILIAIAKPRTRMTEEAADTQTIAMILLTSHREEDAPQMAIVMTTRKSSAGSLVPM HTKRCRNIQKADCDIIVGLKLGLTVIQR ANIA_01399 MARLNESTASSEPIEILKRRFVRQNREIARVNSIQSLRIRSLES EVSNLLSENVSLREQIITLTQELERFEAARTLHDGVYDVKARLDSKLVELGNLITELG SLPRRYSRAVRENGEPAPARQSRESGPKEVDDTDPEPNLGFLDGRLPVIMEDKLYPRR TLTAQEVQELRDSDTDGPNCSGFEDSSISPKQRVEYDEAATGGPAYFIDTNTIVEEIE NEHSLPPNLETRRKKKIGPATVNKDQADTRPISLLDSKFTRKCGAKRKFSAEDDESLF ESSPSEDDEFQFSRPAQSPKLFSQNEHASADDGSGELRRPIQSPTLSSQNDHSPVKMK PQSERSIAHVHGERRVLEPKSTNTNILSPAKPSIMKDYNQNHDFGYNEKSEKPLPRQG KGAVDGSKNASPKKSSTRTPVFGNDGNKSGNKQKKSGAIKSNTPSLDGIEDSEIATTA DMPSTRPSRRRGTVSQPESHKTEGISMPP ANIA_01398 MAYASRPTSIFPPGPSTASLRQTNSAISQQQSSALAARIASKKA ELDNLIQLRDMSNDLAGQMQALQAKLETLKDGTEAVACVLANWDNVLRAITMASTKLT MLKQVAEQDPTADEKDNVSTDMPLPATLVRIPTSR ANIA_01397 MAIAEALLFVNNRAVEHPLQFLTAVAFAVPLLYVVINEFIRASA RIPGFKGPRGLPLIGNLAQIRKDAAEQYRIWSKIYGPVYQIQLGNIPVLVVNSAAAAK VLFGQNAQALSSRPEFYTFHKIVSNTAGTTIGTSPYSESLKRRRKGAASALNRPSVES YVHHLDVESKAFVAELFKYGNGGKTPVDPMAMIQRLSLSLALTLNWGVRVASQEEELF DEITEVEDKISKFRSTTGNLQDYIPILRLNPFSSNSHKAKEMRDRRDKYLSSLNRDLD DRMEKGTHKPCIQANVILDKEAKLNSEELTSISLTMLSGGLDTVTTLVAWSISLLAQR PDIQDKAAKAIQEMYSEGQPLCDPADDQKCAYVAALVRECLRYYTVLRLALPRTSIRD ITYDGKVIPKGTVFFLNAWACNMDPEVWSDPDEFRPERWFEQPDAPMFTYGMGYRMCA GSLLANRELYLVFIRTLNSFRIEPTEEKIEWHPLKGNSDPTSLVAIPKKYKVRFDLIS ELIREYDTR ANIA_01396 MASRNTRRILRPLLYGTAAVTTVGAGALYFSIRPRNIPGLEAPA VPPPGYHEGKLVPPSFPKIKSRLEQIEDLKRSSSGDDADVYDLLVIGGGATGSGIALD AATRGLKVAVVERDDFSAGTSSKSTKLVHGGVRYLEKAVWELDYNQYKLVKEALRERK YFLNTAPHLSSWLPIMVPVQKWWQIPYFWAGTKCYDWLAGSEGIESSYFLPKSKAIEA FPMLRKDNVLGAMVYYDGAHNDSRMNVSLAMTAALYGSTVVNHMEVTGLTKDASGRLN GARLRDCIPERDGQGAEEISIRAKGIINATGPFTDSIRKMDDPNTKEIVAPSSGVHVI LPGYYSPSNMGLIDPSTSDGRVIFFLPWQGNTIAGTTDAPTEITRQPQPSEKDIDWIL KEIRGYLAPDINVGRSDVLAAWAGIRPLVRDPKVKSSEALVRNHLITVSSSGLLTCAG GKWTTYRQMAEEAVDEAIKEFNLKPCELHDVPDISGVGGRGLVADNASLDGSCQTHQV RLIGAHGWSKTLFINLIQHYGLETDVARHLTTSYGDRAWQVAALSSPTQTRFPVRGQR ISALYPFIDGEVRFAVRHEYAQTAVDVIARRTRLAFLNAQAALEALPTVIDLMGEELH WDKNRKEVEWKDSVQFLASMGLAPDLLNVTRDQVENGKVQQLLASERGAFTRTEPPAD VLAKTHNPVMGSEAGANK ANIA_10186 MPEGGKSKNKNKARQPDAADVNTSDDNRSGLSGANDVQPDTTDS HTPEETTNGKEIDANSTDHGHADIESDDSRAKSPILEALRSKDRFDALVRDRDSLRAE VTDMRKSLEEIQSKHRTDMQALQSKLDDAESKKEHAESQYRGLLERVNTIKAQLGERL KEDAEEISQARSRIEELEEQNSSTKEEYEAKISELSEENQRMAKELSELRERTNLSQQ NWLREKDDLLEQESYLQSEFEQAKEAMHNWEVLAMEERSIRENLGEKVIDLEEQLTTL KDAYERTSAERDSQAAAVDGLQRALQEIQAARKQELRELVESSDAQLEGLKQSLNEAK SKESEAMKSLQDLQQELERVRPFEKEVREKNLLIGKLRHEAVTLNDHLTKALRFLKKG KPEDNVDRHIVTNHLLHFLALDRSDPKKFQILQLIAALLGWSDEQREQAGLARPGASG ASARLRVPGSPMHRTPSTPSLATEFRDNGAASKESLAELWSNFLEQESQASSHDNSPL TK ANIA_10188 MHTFSKICGTLSAPVKRPAILFHFQRYTMATSAVQKFRPVVVSG PSGTGKSTLLKRLFAEYPDTFGFSVSHTTRAPRPGEQHGREYYFTTKEDFLDLVSKNG FIEHAQFGGNYYGTSVQAVKDIAAKERICILDIEMEGVKQVKKTDLNARFLFLAPPSV DELERRLRSRGTETEESLQKRLTQAKNELEYAKQPGAHDKIIVNDDLESAYTELKDYI VDGGNFGSEA ANIA_01394 MATTSSAPSTVFPRSHVGFDSITSQIERKLLKRGFQFNVMCVGQ TGLGKSTLINTIFASHLIDSKGRLTPNEPVRSTTEIQTVSHIIEENGVRLRLNIVDTP GYGDQVNNDRCWDPIVKYIKDQHSAYLRKELTAQRDRYIQDTRIHCCLFFIQPSGHAL KPIDIVVLKKLSDVVNVVPVIAKADSLTLEERQAFKERIKEEFAFHNLKMYPYDNDEL DDEERAVNARIKDIIPFAVVGSEKTIVVNGQPVRGRQNRWGVINVEDESHCEFVSLRN FLTRTHLQDLIETTSQIHYETFRAKQLLALKESSAAGGHTSGSRPISPSADRELSRNS QRVTMNGY ANIA_01393 MALQLSSARFPRILPRFPKSARFFSMSLSTQPSVLFSAALRPAA FTLNVPGILSDLWEFVLRAVPKKKTSHMKKRHRQMAGKALKDVKNLNTCPSCGQIKRS HVLCQHCVENIKKQWGKTQLA ANIA_01392 MSSFDPSLSTSGMRPPLASATAPSMADSLPSINFGFEELRSRMA QFTAQFDAFIERGRKQVLEERNQFKIGLAELQEDERMRQRDIEILNLKSQTHEQTLQK EAAEAAEMHAAVSSVTLERDSRLTKRDRLKQQIEETQKAINQKLEAQRSHSRYLDAQA RLNVPELEFWQDYLCLRIEGAGREDRLKFVYSHLLEKDWEAEAWFELGTSSRDYEVFH SRPKLDREYLDRELDILNEDRDFGAFLKRMRRLFIEALK ANIA_01391 MDENVPKLLHYGHLGKPVYHPETRSWEFLRTLVRLSHTITAASR ACNTLVSTLLDFGRAVDFDIDSSGRRAVPIVAFASGECGNTISLRTIAHETVELEQVT PTQLRVPTIGDYDHIEWHVGGAPIRQICFSNAPEERATFMAVRFSSTFVFRPLYRRTP VSVPIQRANNDVVLDHQVSRLDPNFLLEISTSHTGGAPHADVKFNPWNPSQLAIVDED GNWGVWELHNQHKRNKDNWVASRVISGTLPWAGIEDQNTGAHARHDGWLAIEWAVNGN HLIVCDRRCSMLYRMDENRAYPCSMELSFNRPSEWILSIRRSTRNPSHVFILTTSQLV WFEVIPASIPVDDDIGLSLSSRLSWRHFRDSDDTTLQLASLTVNADFTEDFYLVLFSK LSHFVLAFYCSEPPEYMRDSATALDPFILHVPTTSVHTEDFEALSIDAHFSTLVFKEI TPTAMDYQHLDCGLSFVKVFAVDSSLRVQESLYSRPSTSSLETEHLHASDVLRVRNLR HAGLQKKVIHSRSGFIVDELDEPVRGVKLVSDLGIGSIAQLADPQFTLDYTHIYTIAI GDQNMLPQDGQITTECSFQSLIQEMVQKIAGHVPFQVPTCQTVLETLRKSPTLDDIDQ NAQDLAAFVSQAESDRSVLGNRARFLIQPYDSFGARSTQQEKPIGASKLNLIAIYDRL VNHWLTDLPSDVPGRARITKEKAIRQLVADIVLSQIISVPQKEAAESTTKKDVTWSAF SSSGLNTLSRLNDEKSSYGALLSSADESVLSPADTAAAQSSTLGDSVLPERQTDQRPT FKVLSSYTRYDKTGPTSRSAERILDHWQPGLDPASYLLASEGSQLAAKNKVSKGKSRK NVSQTLKKISHDSPMPPLVSSPVPAVRGAWGSQPETGQPPIIRFQGSQPTEDVPMTQI ERGVFGSREASRKSGMKAKKKKRAAGF ANIA_01390 MSDQEHHYKFNVSMSCGGCSGAVERVLKKLDGVKSFDVNLDSQT ASVVTDASVPYETVLATIKKTGKTVNAGEADGEAKDV ANIA_01389 MTRVPRRSVSVLARRSPGLIARRKARKHKVILESVTQEKKRLRS VISFEAKAPPGYTFISAGDPFFTTTCKERCRKDGLKVYAVSTTPHLHTHGLSQHVHRI GYHFPSAVVADVCINHGLYLTETGKAVAPHSIRGHEASRKITDSDSQITINTEARDVL KDLFPNIPDNDLNQIIKTAFQKGQRKVGTAVELPLARRAQLAVVAHIRHIYTDYDRLL KTTSFHEARAAVEQPTLAKLVEWRGDDENGKTVLEDVFREVIVISDDDDSDNEGEAQQ VHGRETSVEIISSNPVIEELQMRPIHQGSRTVRDAQVENSEDEAAPGFRFVPEAPKKI RADRRGFSRYQAWDRAINRYRNMASGAGRHPTPYSATGEPSQETLGLGREALSRRPIH PRHSSVAPIAPSNQMAVAKNLSRPPLNTVAEHCFQREPYPNNSAVLTLDEPYEMHPLD RMPERRRDVLAPFIPPFPLERAPKPEPMRHCFHKPDLPNGPVFVSGPKESLERNGNGL RLPPRSQVHLQNRNVNPQDHVLPSIENPLPVEIKRPNSGHIEHLTKRMSGAFTFRSVT PHRQVHHDLPSRTFQEPVGQDHISKRRRLAYHEPTLVEKPLSPNGPPLSTHPGTRYAR PFVQSGPHVRRPFVSPTEARRIAQHEPSIGRDSFSTTARFDREQHTLAHPGSIKAYDG QQSSYNHLVNTQAAYDRSPVQARTASDTRYTAAGSNGYDRNLQPYLSDLPEHRVSHNI KVHDDAEMRTLATTGTWLEPVWRGNHPMLPKPGEENHRTHADGFFRAVGHGDPGTSGY RPARPHYSRHMVQNLSQPVNARLHDVQRWRVPSDNLASMSPRGRPHIDFLGKTPPRQD PAISSQVPGHEDGRRIKTPPSFYQDRRQPKNTVVPSRYDQNKNPILIGT ANIA_10187 MAICKLYLRCQPRNVSFWNEGRAPITVTIAITNQPKEPKDFPPA TDHGNSPKEQASWCRKPGTATFCSSRCRPRAGGQQQITSKRSHALEMEELGSGKVRLF QMTWDEAFESNCPQLFDMWFLQCSVLGVHATFSQ ANIA_10185 MTEKYNSCQGCSGRRLLPIPSPTPSFQAPEGAQTPNRWSGQSFD QPSISSASTAISALQDIFKNLSAEDIKPTFHPRIETQLPVDDADDADAEEFEELCLGC PHYQRNVKLQCFECKKWYTCRFCHDEVEDHNLNRPKTENMLCMLCGHAQPAAQYCKWC GGLAAQYYCVECKLWDNDASKSIYHCNDCGICRIGKGIGKDFFHCKTCSVCLPISIET THKCIERSTQCDCPICGDYMFTSPETVVFMRCGHSIHQRCLSEYSKSSYRCPICSKTI TNMEATFRNLDRAIQSQPMPADFKDIKAIITCNDCGTKSIVKYHWLGLRCDMCESYNT TQLSVLQGNPPGSSEHDLEHESSPISRLRSSSHGMDDSALPPLARSQADPSSAPGAGP HPQFNLSRSTNPSGQFSSYNLTRSRAVSPVISNYFGIPPERQSQRSTSTSFFSSRLSQ NDVNDGEGELTIWGTRFKYRYGFLGRGTDPGDDDEEGEELSDSSESDDKDDEEDEEDD EDEDDEGIDIFGHR ANIA_01387 MNSKQYLSYGSADNTHPTDIFALAVTEKQILSASGSNALQVHST TNPDFPLVQTLEAHKAGCHHVVTDAKGSRAVSVGFGGEVVIWESHEGTWSKTKDVVLA DIWAVALSADGQYLAGTTQDGHVKVWDMNANEEEIRDHETKGSFGTCIDLSPDGRFIA SGHENGSVYIFSTETGRMPFSLSGLVKPVRSVAFSPGGKFLAAAGDSRVIVLYDTTSG EQVASLTGHAAWILSLSWSNTGEYLLSGSFDGKVKVWSIDTRNCVATHSETERAIWSV IWLPKIGKSEGFATAGANRSISFYREATGG ANIA_01386 MLSESSPPSLSLPAHLATRFARKSSVARRSSAASSRRSSISSLS SQHSNATSHGATATDHIAQHLRRASILDSRKARLADRALHAEKVRLRAALTKAATRNL QREGRALAAQQARERLLAEITAKCEEEVRRAKKKAEDNREKKAAEHARLRIEMAEKLA EAEKRRLLYQQTPRRHRTSSSTLEEKRKVTMKRLSEDAAARRIQRAWKAHHAKLVIKE FLALNLTKERIRDMAFEDVGSLLSEERILSTTAQVLRLCGLQDMTSGTLGGRGAVRTF LSSYLIVTHPAEVLSGDGEQEQDLISKARELIAAFEQVTPLLASGCCPPEAICTDIQT LCEEYNVFFSAFHAWKAHDSSVLIEIMLAQFIELELIWQTVKDDQAGGVADDYRQGIR QNQILLLARLKRLAGSDKAMQMVRDALKKAKRQKKRSASKRAIPRSAEVASATTTTEA LTESVASPISESFNNVESAVLQELEKQRTSPHEQFTKILTALPENRALVHELLINKDF KIDEKSYTEPRKRIMEHMCALMRRDIEAGSGTNWIVAMATVIQDRLLRSLRPGNSLHV LISEALDPSLVENQCKAGTFSYDAFFNFMATILPKLCAPYRDPVVNAFAEDTSGDAIE RLARLMGIIDLLSLDHTNFMIHVAAPQLIQEAPGYEQRTFERGLQDGSLGIGKSRRFW RTHRKIVADEMRKRDPEDVHGEPQPPALKVYAHGLVDLVFSNAPVSDDLIPETLELDR QRLNALHSQAFKIVATASILLTAKNLLKRDARAQWKAEADRIMSLDLNDVSSDRIQSI LESTHPMPPTASAQLAATIRRVLPPVVTACAAASSSAGQTLVEVHTEIPAQGAQSSAA AANPATSGVAGSGVSSFADPVARLILSRLRAHVLSRLSALSASERVRAASTASQSLAG AGMPEFVSQVGQLTEELNKVREVDWLCHGTVYERILGESGPLSPTA ANIA_01385 MMTAPNVVQSSPSLALSFLSDLTPPSLERTWLTAPHPTLPLVAT CSSDKTVRVYSLVNFRLLSTISGGHKRSIRTCAWKPNVSGESVLATGSFDATVGIWRR WDDYGEEETLAQGNKNTKNFGAEEDREEDEDDEWRFAVLLDGHDSEVKSVSWSASGML LATCSRDKSIWIWEDLEDGDNNFETVAVMQEHEGDVKCVAWHPAEECLASGSYDDTIR IWREDIDDWGQVACIKGHTGTVWGIDWEDAENVPFPSTSNGVSGQEEEWKTWHALSGP RLVSCSHDQSVRVWRRQPKAQLNTAGASSIPSIIRPSGTDETWEEDVVLPHAHELPIY AVAWSRRTGLLASVGADGRLVVYEERFVSSHTKPQAMNTDEVSPNLGEGVCAPHPSTE WSIVAVVNGAHGIYEINHVAWAKRADRGRDGNKEEEVLITTADDGSIKVWTLTR ANIA_10184 MGLLSFRQPSWRISRSQFYPIWALSQLLLNPIAVLAQSAADYYV ESLPGAPEGPLLKMHAGHIEVDPEHNGHLFFWHYQNRHIANRQRTIIWLNGGPGCSSM DGALMEIGPYRLKDNETLEYNEGSWDEFANLLFVDQPVGTGFSFANTNSYLHELDEMA AQFITFLEKWFAVFPEYERDDIYIAGESYAGQYIPYIAKAIQDRNKDIHEKQSSSARW NLRGLLIGNGWISPAEQYPAYLSFAYEEGLVEEGSKLGKELETLLSICKSKMETGPKI SITDCEAVLNKLLDKTVDSNNQCINMYDIRLRDGSCGTTWPPDLVDVKPYLHTYEVIQ ALNISPEKESGWDECDGNVGAAFRPQKSEPSVKLLPGLLESGIEILLFSGDKDLICNH VGTEQLISNMKWAGGTGFETSPGVWAPRHDWTFEDEPAGYYQYARNLTYVLLYNASHM APFDLPRRTRDMVDRFMHVDIASIGGAPADSRIDGEPLPQTSVGGQPNSTVHQEEEQQ KIKETEWNAYAKSGEAVLVVVIIGVLVWGFLIWRSRRRHNGYSGIAIKSPSRTSIMGR FHNNHSNGADVEAGDFDEAELDDLHSPGLDRENYAVGEDSDDEAHRQDLPRAQESSSK SENNLVT ANIA_10189 MSGRTPVTIVVEHLDPELGTWSALEYGCIARESHAAGSRFLLSS VPKSLQMPDDLAATKGLEVEHRSVEEVFSDRQSKICLLDPSAKVELSPADGDTFEVFL FGGILDRTSELRKKGYEGRRLGPVQMTTDTAVRVTRMVVHDRVPLEEIQYIDNPEIVI NEHERTEMPFRYVKDSKGKPIMPEGMVELIKKDAEKSIEDLF ANIA_01383 MNPHQQNKIDTSKLSPDEQRLLRLYGKMPNKKDLLQNKLKERKY FDSGDYALSKAGKASDVGVTNIGSQHPVPENIPHLTATSPGANAAGNGGSISAQGGQH IPGSISSHPGSVGFQSRSPVKEGSFLHRGRSVDESDNVPHTADNKGSDEAVSPPPATE GVPIRR ANIA_01382 MWLFRILSSALFLTVTVSSIPLAFDVGGKTCGLAFSLSLATFYF LFSVLKLATPKQSWFRSSLIVLISSLQWVIIPILLIWSLNRFSVDTDNSTSWVERTFS GKRAQDSSIQDWLFGRDGLLESVALGNWDKILRWSTPVFQLAEGFCSLLVIQAAGQIT RWLVNRGGRSDSWMIGLLVLSASVISSSVYFLWRVLQFPEISNVDAALIGVTVTCAVI LCAWGIGSGRGNPVESSLLFAYVVLCIYQIFTDYQPSHPVEQPVQSPSQAGDFPPLPP IIMASYTTLMHALSLLPSIIHAAFNMITAVFSAVTPSVLISLTYRILVLYASTRIIPA VREAGARALSQEASLDDSDAAGQFLGFLSYFSPSILISVYTSLLMQHFATTSQAMGGS GQWWSTQGAGGGNLWRWINLAGTLALYAIELWLGAADDLDSGLAGHWKTD ANIA_01381 MDNNLLESLLDLEEDFYKEGFGLGAADGAEAGYTEGSVFAVEKG FEKFIELGKLYGKALVWAQRLEDMKQNAHETLPNPESITELSEEESTLRPSVCKGMAK FPHSSRLAKNLDTLLELVDPASLPMQNTEEAVTDVDERLKGAIIKAKLIQRAFGEREE PSDLHPDAKSGQVSGDGTGSIEDISSLSIRH ANIA_01380 MATEQKILPKKGERNILVTSALPYVNNVPHLGNIVGSVLSADVF ARYHKACGHPTLYICGTDEYGTATETKALEEKVTPEELCAKYNKIHQEVYEWFEIGFD HFGRTPTQKHTEISQAIFKRLYDNGYLAEKTAEQPYCETHGSFLADRYVEGECPRCHY DDARGDQCDKCGHLLDPFDLINPRCKLDGATPVKRDTKHIHLLLDKLQPEIEKYVLPA MEKGEWPKNSRNITENWLREGLKDRGITRDLKWGVPVPLDGFDNKVLYVWFEACIGYP SITANYTPDWELWWRNPEDVQLYQFLGKDNVPFHSVIFPGCQLGTKEKWTMLHHLNTA EYLNYEGGKFSKSRGIGVFGNNAKETGVAPDVWRYYLLKNRPETGDTQFEWRSFVDSN NSELLAKLGNLVNRVVKLVAASYGSTIPDFTVPESFQPTLDEVTGLLRQYVEEMEGVH LRAGVSTAMKIAEAGNGLIQANRLDNSLIANEPERAAAVVGTVLNLIHLLASVFAPYL PATSKSINEQLNAQFALIPSLEDIKDGWKPVSLKPGHKIGKAQYLFSRIDPKKADIWR DQFGGSQADRQKKEEEAAKAAAKKAAKDAAKAKKKEKKAKEAAAAAAATGAGSVESTA KGGVESTGVTTEGKNDEAVEKVTDGVAQVTFPSS ANIA_01379 MSGLFGATANTSTAASNTTGDISKDVALNSPPEDSISDLRFSPA SEHLAVASWDKKVRIYEINEQGQSEGKALFEHEAPVLNCCWSPDGTKVVGAGADKAAR MLDLAANATAPIQVAAHDAPIRCCHMIPNPAGGTPLLVTGSWDKQVKYWDLRQSTPIA SLECQERVYTMDVKDKLLVIGTADRYINIVDLNNPTKFYKTMQSPLKWQTRVVSCFTD ANGFAVGSIEGRCAIQYVEDKDSSSNFSFKCHRETPPNQRDINNIYSVNAISFHPVHG TFSTAGADGTFHFWDKDAKHRLKGYPSVGGTISSTAFNRTGNIFAYAVSYDWSKGYSA NTPQLPNKVMLHPVAQEEVKPRQNARRR ANIA_01378 MSLVDDHTDHTEHNELKKVDSAIGGLSISPKDEKASDKAEKADK KAHRRTSSQAEGVYNIKELEEKRIEITLPIETQKTGWKLNTSPSTIEDKDILKLHLVN PPVKKIDLHFPLGLEVTARNLKGVTIKDALDAIYKQFKKKADDELDKPYLAGFEWDKE ECWTRLIVHQTKQGPPAQSSGKKSKKKAKEEA ANIA_01377 MPLKHRDYALAGLGGFIAWGFAIHWLPVLRYLGYALALGAFLAS SAIFGLVLLTTRNLPSSKFTRPKTLPVAFVSRRHWKNEVQRYGKRAEYHAESLYPESF IVSESLNEILSFITRDFIASWHRSISSNPAFPNEVDKVIRTAVGNLRSRLLAEDIVSL VVSRIFPILTTHLKEFDIAERSVRGRNLTRNVTESEELDLAIAKKYRDGQLHPAAVLS LSDQKAVEQEYLRKVTVGLLPQLFPANVLNSRIVSVLIREILSCAVLFPLVSVASDPD TWNQLIEAYGRTTLQDRKTVRKLRAALDEHASPAPKAKRGHPFPRLSPNDSERAFERF VRTIRRCNNLSDARRFRALIASQLKRESMVEGQDPVYLRRLETGKRVLDQKVAKLSAP NDQTSHVAAAASHFRRKSSSATQEASLVDVMHDASGLSYFMEFMDRQHLMSLVQFWIV VDGFRNPLEDDFGDETSPSSNTWTTADRNDIALISATYLAKPELKVTEESRQVVKAFL SAGKRATPEQYRKARTVILSTQSAILEELQEVYFPKFKQSDLYWKYLASDDVSHGSAA MSSPSSQQSLVGSLDAQERRPLPLLLNRTASQPGPKAKVDLRRAAISSNDVSGLGKLF DGEESTRRSMDSERSAPLFDDDYDTDPLALSSQSLGQDTPNGGPEISERRVIENMEAA LNDIITNVPKNGRIEELSTSDIHQGSPRNSNESSRVEGRGDDKLKPSIASLGLVDGSS RRGVFDDDLFPEQQNYLEDEYEEPEGTAGKYSALEVHEAAPGDLGLTEAIQALTADIE KLGSQEAVVDTLTRKAELTNNTAELRILRKSKMSIQRELHRKEMQRQQYMIQESDNSL YGRSTVRIKSIVVGKEEDGREFAMYVIEVQRNAGEQMPAGSWVVARRYSEFHELHHQL RTRYPSVRQLEFPRRRMVMKLQKEFLQKRRLALEAYLQHLLLLPEVCRSRDLRAFLSQ RAIIPRDETPRDGETKDLVTRIYNSVADGMDDFLGNFGVLDQLSIAGQNLISAATNQQ QPNTSAVSTDSGLTTEDAVTTAEAEAELNAFEDRELEPFIKPICDLFLETFELNKGNN WLRGRAVVVVLHQLLGGTIERKVREGARSLFQDEHLLRYLTLAKDTLWPGGVLRENRV RTASERLKSRTEASLVLATLVPELAGNVVGRANAQAAARRIFATLNNQRLNAHLIFTL LDEIVLVLFGGEKVTGRTRL ANIA_01376 MTTLSDYVDFSQDSFKYAALSIAFNPIFWNVVARAEYRSHFLTR IFGSPYRGCYFLAITIFSLGILRDHIYQQALEDQPYYAPVHQPVLGGALFAVGSVLVL SSMYALGVTGTYLGDYFGILMDAPVTGFPFNVTGSPMYWGSTLNFLGVALYKGKVAGI LLTALVFVLYWFALKWEDPFTAEIYAKRERERAKSKRGGKNQ ANIA_01375 MAAQAALIADTIVGMKRALRNENDFSGPDDPITQPTNRGNKLRG NARFVKEGAMGYIHAEGLYKQKIEHAGYTRYILHHNPVRYDSEGDELDDDDEDSEADA AVAEENPFSEIALEHFLCPLKHPSELPSHPSLSHAYTSKALSHMTQAIEAKLRQERAL LWRARNLHRQLLGDGSWAPCGIFETPEDRLIFEPQIVSTGHSSPLPHYETNGLQVSSG GGLDSLKDSGQNSLSTKETESSQHGGDKLVNTTINAEMKVRLNGATENASYYPDTGHS KEPKFEEVDTAVSDLPQHSETQGGDNINGSRPHNTPGDLDRILETDGMVGKETKENGN TEPYRQNNNDGQNANEDVEMENISSPEPPRRMTTRAQTNAGPPQHDADSRRASPSASS DTLSSLPTPHPLYLVPESVRPDPNFGLPPNEAEDTRRLLWSYVQKQEETVRGLEHMHE SLLRACRMKEDVFEWCKAEGHVGELSDGEDWYDREKWGLAEGEDLKKGADEDDIEPVE ESRSSNKRGRGRRA ANIA_01374 MDDYPRPYIANNLPFILLCGLEADGDRTEPEAEETNYPLLEENG IAIESDLPPLERPVAEEFRDVFFSEAGPRSQDNGIEGTSRAGYKVKSIGRNYRLPPRK ANPPPASPPESPTVSRDHGLSMILHSPISPLTPASPTFPDGIMTPLWASKHQDLVPAA VINFFPFCLDSTMNSLRDNQLKIEINGLKKQWSSSGYRTRFIVVLLSEKAIGDDLGDL DDRVLGIRRATNLDQKSLFILPPDVSSEEMRDFTRSLLALLHPSLMEYYRDLSKHARR KRNRSSIPPPTAPPTSGTSQTLSLQGWNTRYEFKMGIFAEFRQEIDAALKNYESAYET LFGQEVFENIAGWDPKFNEARLFSDALAIRIIRCLLSTGHTSSAVRSWVYHRRRTQDI VNRRGKGTRNYGWEAWEARWSSVMAQLIHQAKIPHLTPLETSQNQVHEHNSIFVPPEK TFLSVDEVLPWERLHHEGYWLYRSAKHTMHRRTLAGQIPAEDRMPPGQSPASHIASKA YLYDTYLAPETHIEAARAQDGGVDHSTLILDTLKAALVEFSKRNQVRMTESLSLEIAE EYMRLGSWIEAYETLRPLWPALTWRRSGWWLLMAKFAWILRECAARAQDSETVLRVDW ELLNFAFPPRPDWNYDIHQSLAEFASKEQKPSIVIKAEDVVSSVTATFIFQKAEGNVG EPLQCQLVLRSCAQKSSVPVRFAELRVAFEGCLRPIRLQSDQNIDADTSTTCLISSPS LRDPSATSDSSVHQSTTSALNALTGIADLTLGPAQTKVYNLACIPRESGESRVASIAL LVSQEDFDLTYAITDLAQQEAFWWQQTTKGPARRRVGKGRDVDRCKIQPKPPKIRLTI PNLKSTYYTNERIVLNLVIDNGEDEAADVVAEARLFGSPDSAVNISWLDQEGSVQAST ESRPNTPAEEEPHSLKRSVGVIESSSQREIPIVISGTESSFEYELEVSAAYNLFSDIQ TPIIATTRLTLPIIRPFEANYEFLPRLNPLPWPDFFTIDDDLTETQPNTEPRGLQQRW CLDTKVVSFAREPLVIENMSIAVLSLSGGAISQVGHEVLVSPETREIHPEDLRHSNFV LDIQKIILGDRRPTSLNLALEIQWRRSGEETDDSGRSDRLTTTKLAIPRFVIPAGEPR VLASAISSRKFPGLIHVEYTLENPSLHFLTFSLTMEASEYFAFSGPKTMVVQLAPVSR QTVRYNLLASKRGLWIQPQLLVVDTYFNKSLRVLPTEDMRSDKKGILIWVDAED ANIA_09464 MQSETRSIQKQKFYQSTVAAMNAEKATAGDTKTSNYLSHTDREQ PAFKSSEEYTYTVGDDEEQPAIPRAPPGPQQQLKDSEPVTEEIPIAGRTKMTVTKEKG GDEIKTDAETGAVSVQEDEDEDEDDEEEEEEEKQQKLEHEEQKVKAELNDMLKRSPTP FVVELDQHPLGPQLQSLLGENTGRRTVPNVLVNGRSIGGGDDIVALDEHDELASRLKS LAGKWLQEVERKGADGAN ANIA_09465 MRYIHSEETLPIPENVKVHIRSRVVTVEGPRGKLTKDLSHIAVT FGRPKKDVISIEMHHGSRKGVATLRTVRTLINNLIIGVTKGFKYKMRYVYAHFPINVN IEKNAETGQYDVEIRNFLGEKYVRRVVCQPGVEIIASPNVKDELQLSGNSLEAVSQSA ADIQQICRVRNKDIRKFLDGLYVSEKGNIVEE ANIA_09466 MDAWIGPNLWKDVLRVHAMKPFHVMIGGGDQIYNDGIRVDGPLK EWTSIANPHKRRTHSFDNNLRAACDDYYFANYVRWYSTEPFKEANGRIPQINIWDDHD IIDGFGSYTDHFMKCSVFRGIGGVAFKYYCLFQHHIAPPKSTYTTDAPQTMQAVNGTA GADPRQLEDTFVLEDQTEDNSWIVGKRPGPYVEEKSRNLYMRLGKRMAFIGVDARTER TRHQVNYPDTYDLIFSRLEQEVAAANGDIKHLIVLLGVPIAYPRLAWLENILSSPIIA PIRLLNKRFGLAGGFFNQFDGQVDLLDDLDDHYTARQHKRERRIFIQRLQDFSKAHSI RVTILGGDVHLAAIGRFYSNPKLGVHSENDPRYMVNIVSSAITNKPPPKAVANLLARR NKIHHLDTDTDETLMDFFDGQPGGVDKSASWNKVTMPSRNYACITEIETPAANGDGAQ QNGVTLPIPKDGHSPLHTGESTAGSAHSAADGVSSASTLHGGLNVAIRVEINPQNRDG AAHGYGFSIPALSYVQTEDDARPRPQSRSRSLHAAAASIRSHSNQREARPRTST ANIA_01373 MLYKLDRGTARESAVAGVESAAPVFKMKKTREELRLARKLLEKN ALTCNDKDEVEVVGSEDEVKFAHEKVKADVTEPEVLDEIEKTEITENGRGTDHIDGIT GFASDSPVLEDDDDETADDDVMDRRRSEPPAYVVSHPWAIVDYHLYLCSAERGQTLET RRNGTYLFDGADCRPLSYEEFAEDRALASQCSPGDQPQLLFGILPIAERHHFADRNPS FGGRHYVLGFDYDCKTLYARHFDWFPHDLSDIWCVWDNSSNVYTVTVPDLIHIMDTSL GKGEIPVGMGILSSSQYCLALESDDDPGLEIIIATLWCQWLLDFSDILFKQETGSLPD FQARLASYVEQGRLILQRASYRAWFAVRDGYSKEQYRSNVTINQYTNDLYTFEKSEQD GSLKGQPWVAPGLETCNQIRHNERMQRKKRVEQRLEDLFVAPETEATQDQESVLRSIL AKTGQGVVDFSKDAPADIPKTPSPKSRHDTSKLPERSYSGSPVILTPATASCNGFGST AYHHVPLCMLLERALDLAHTYPELDNIANRERLGDLLADMGIEVQEFVAGLDNHGWKP DTSSKEDSPSCLIQCPAFD ANIA_01372 MSNVFGVTWRTTCLGLRSPSLHPPNLAAPFCPLGRQISPAWSLD STPRRLLSSKRLSTILLPDTRVSADRPCFPNRIRPRSFQSSAVLLANKPTIPPVEKGV KLRDVPFSKTEIDKIFGSRNKMSPAMGNRVLSVLQARRLAGTLDLDLPADITRATRPS MINVGLEYLRKNYPMDEDAAIIARIEREEREYEEKLAREAEELGLYKPQSGTYGAELG EQNDPSGRSVLKAIRERNEKRLLAEAEKKRQEWLEGEENYREKLKEHMAKNTALQKIE DTTALEVKGRADPSQRPLLAWIQKHHLRATDTETDFSNLTTSSRLIPSLIFTLMVLAL CYGFAVTYQPPAKADRMWPSLPPAAATVSAIIGLNVGIFVLWRAWPPAWRLLNRYFIS VAAYPRVFGLVGNVFSHQHLMHLGINMSVLWFFGTKLHDEIGRGNFLALYIASGVFGS FASLTMHVLRNSLFLTSLGASSAIAGVLAASALLHPGDKWTIAFLPREWQESLSAPAW MFFAGLVTFDIVGAVMKRHVPKLDYYAHLGGYLTGAVFALNYRARARREREKNRGWLD RVISR ANIA_01371 MSAESLPITPVAFAEAIKELTLPVLYAKVAELQNSIAHLRRSNQ ELRHFISESCESQADREELEGYIAENDGVEKSMIERIELCKAEVERRGQTWIELGADA NGTTSTEGEGEQGQGQPAREDSTASASMNGTSSNTEAHPAERLRDRDNDGEDDGLYL ANIA_01370 MNNGSPGQSPAPQSPHGHVPNAQSFASNHSAIETTAVYDPDAPK YFFQEKYAPLNVKGNFLTLCACPKNVELGEWLAHQIVEQNRLLQAMLKVIQEVNSHTG LPICNETSCPTMSAGRLTYTWLVDSQAAKISAPKFINRVEKWIVSKIHDPVMFPTEKV TGVPDTFALSEATGASAADAAANAGGEDWIGKSSGFPRTFYKDCQGIMKQMFRCYAHL YHAHWLNPFWHINKHDILNMCFVHFVTVAKYYKLVSDKEMEPMQPLIDLFIKQQRIPP EALNGGHWGQQSSS ANIA_01369 MSSSDEEDVVRRPARSGAGQALGSTESAPGSPVPAIDAGNMSGA EDDDADLFGSDGSEGGFDQDTDQPKRTLDDRELDSADDEDRYDRVGDRMDYEDGVEGD FQETVNIMDLSLARAPEPKSSNGEVYTMPVPNFLSLETEEFNPETYVAPPYSTAATSL CWRYDPNDENVVQSNARIIRWEDGSLTLQLASAPKEQYKIATKPLVPLDKSGDYDTKL DSHVYLGAASETSGVFRLTSHLTHGLTVYPTTMETDDAVQRLQESLAAAARGGKKTAD GSAPVIEVKEDPELAKRQAELAEREKLKAARRREQLADRELDRGRRYGMSHRTGGAGL TVGGLEDDDGLLATRPRAKKSRRPNRRGEIFTDDEEDYDRRGRTREDEYDEDDGFLVG SDEEPEIVDDDGEEDVLEDDDLDAEGEDDLPPPRPREKRASPDARPAVGSPPARKKNR YVVDDDDEE ANIA_01368 MQYEAYQWGQSHPTSTSGSMLQDTPTAASQPVKRHAACDECRKR KLKCSGEISGCSRCIKQSLSCHYSVQKQMGRPPKKRLREDNDDISLLNIPDNDPWANS QIAHVPDFGAAIADVSEEPQILSSTHLSPYSFPYRLSTDEDHRHTWQLAPNESMSSIP ATTTPWPDFSSVSAAAPKPFIMPPGLTPPIMPSTDSSPDQECSCLSYLYLCLSHLSSL KPFPISQHTICSLYISAKTAQSVIRCQSCPNRFDTGLQNVMFTGTLLNVIADSWLRVS RAEACELGNQVAPPAYAAKMNRSPDLRGAWNDYLRQLVRFSVIRGPMDIDAQTPCAQQ APSVLDLVEEMEARQRRWHESPDSHPLPPDQRLNIPSDQNCLNRDEQDLLCIRVAKSA RNVIAKFGFQSDEYPESVPSLSPDSSISP ANIA_01367 MELLPPMAALKASKKRKAVTRDVEEEAGVFSGDELSSKDLDGAL SDNAHDLLSDEDASDSEIELIDDYSSSEEEDDEELDSDEIPSEGEDEGVVKKKSTAVL DLDGLEDREESTKEEEEEEQLNYRIEKDANGNDRYVYDEINPDDNSDYSDVDENSNTI GNIPLSFYDQYPHIGYDINGKKILRPAKGEALDALLDSIEIPKGWTGLTDPSTGKPLE LSQDELELLRKVQMNEIPDEEYNPYEPLTEWFTTEKEIMPLSAAPEPKRRFVPSKHEA KRVMKIVKAIREGRILPFKPQTQEDEEDKDVIKYDLWADEAERPDHPMHVPAPKLPPP GYEESYHPPPEYLPDKKERKAWEDADPEDREREFLPQDFGSLRRVPGYENFVKEKFER CLDLYLAPRVRRSKLNIDPESLLPKLPSPEELKPFPTACAAVFRGHKGRVRSLAVDPT GIWLATGGDDGTVRVWELITGRQLWSVKLGEDEPVNVVRWRPGKDAVILAAAAGDDIF LAVPPIADPETEKASLDLLDAGWGYAASKPAPKPADEEKKSTPPQWIRPSAALADSGV CVVIPLRYIAKTISWHRRGDYFVTVCSGASTPASVAIAIHTVSKHLTQYPFRRRIKGG GPPQTAHFHPSKPILFVANQRTIRAYDLSRQLLVKIVQPGARWISSFDIHPTSATAAG GDNLIVGSYDRRLLWHDLELSQRPYKTLRYHRKAIRAVKFHPGGRYPLFADASDDGSL QIFHGNVTGDMLSNATIVPLKVLRGHKITGELGVLDIDWHPREAWCVSAGADGTCRLW M ANIA_01366 MRRGGRPTLRQGLDKEVYQVVRKIVDDQAENAQFRLSVTAIYDS IKRSNSSLNRKPKRILEDSIERVVEVIKTDVLGDDENDSIDGDFGGLEEQPVQESNSM NKSLVGAWNTASKAPKSESNAENGTPAPTTTKKRHHGGESHSSKRRKAENAVDRSPPT HVSLADLGGLDDVVQQLGDLVILPMTRPQVYLASNVQPPRGVLLHGPPGCGKTMIANA FAAELGVPFISISAPSIVSGMSGESEKALREHFEEAKRLAPCLIFIDEIDAITPKREN SQREMEKRIVAQLLTCMDDLALEKTDGKPVIVLAATNRPDSLDAALRRGGRFDKEINM TVPSEPVREQILRALTRKMRLVDDLDFKTLAKRTPGFVGADLNDLVSTAGSTAIKRYL DLLKSNSGEEMDIEGQDDLSPSVKELRRLITHAKETPIGSEAEVVLVSNDDFFTALPK IQPSSKREGFATIPDTTWADIGALSGIRDELATAIVDPIKHPELYASVGITAPTGVLL WGPPGCGKTLLAKAVANESRANFISVKGPELLNKYVGESERAVRQVFVRARSSIPCVI FFDELDALVPRRDDTLSEASARVVNTLLTELDGLGSSRQGIYVIAATNRPDIIDPAML RPGRLETLLFVNLPSPLERVEILQTLVRRLPIEFNEDLRRLAEECEGFSGADLTSLLR RAGYNAIKRRDTIKFDDFVAAKSFIRPSVTDMKKYDKLRREWSGGVI ANIA_01365 MGNTNSSHKISSQDRAILDMKNQRDKLQKYQKRITVLTDRETAI AKECLARNDRKRALLALRRKKYQESLLAKTDAQLAQLEQLTSQVEFALVQKDVLYGLQ QGTQVLQMINKEMGGIEGVEKLLGETEEARAYQEEISQMLAGNLSNQDEDEVEDELEA LQREAQGPVSLPNVPTAPPEVETPEEELKKQKARAKARAREQAAIPAS ANIA_01364 MSHHHHSHNNGHGHCHGDGGDGHDHSNDITPALQSLLYSQIRFD SITTLNEATPQSGAAIVKKTWAERQNDTPELESDADEQLLMYIPFTGQVNVHSILIYT APTPSAPRTLKLFKNRDDLDFSTASELKPTQTIEVPQPIPGTDVFELPLNRAHWNATT SVTLFFEDNWSRGEEEVTKVGYIGLKGQFMALNREPVSFLYEAAANPNDHVAIPGVNG VGGRIMPGQ ANIA_01363 MASELDVGTSFIPSLYKPASLLPIARHKQSILYLVETYPVTIVV GQTGSGKTTQLPQYLDQAGWCTEGKAIAVTQPRRVAATTVAARVAEEMRCKLGEDVGY SIRFEDRTSAMTRIKFLTDGMLLREALVDPLLSRYSVIMVDEAHERSLSTDILLGILK KILKRRPELRIIVSSATLQAEDFLRYFAGDESQQGPNGEVGGNTGKIISIEGRMYPVD TLFLESAAENYVERSVKTVFDIHLQEGEGDILIFLTGREEIETAIQLISERATTLHPK SQSLLPLPLYAGLTTDQQMYVFEPAPENTRKVVVSTNIAEASVTINGIVYVVDCGFAK LRAYDPSTGIETLTAVPISKAAAVQRAGRAGRTKPGKCFRLYTQHAYEQLPDATIPEI QRSNLAPVIMQLKALGIDNIVRFDFLTSPPADLVIRAFELLYSLGAIDDYAKLTKPLG MRMAELAVDPMMAKVLLSAQSFGCLSEILTIAAMVSLQGAVWVQHDGDKKSSESSRRK FAVEEGDHLTYLNVYQAFVTKGKKESKWCRDNLLNYRSLLRAVSVRAQLKRYLERFGI QVDETFPSHYNKSDLSKPAEKIQRCLTTGYFAHAARMQPDGTFKTVSGGMTLHAHPSS LMFNRKADWVIFHEIMQTGEKTFIRDITKIEKSYLLEYAPNYYNMQ ANIA_01362 MSETEKTASKPAAGPNSPSPESPTTARPLDFDDEIQETGVAYAS SASAQQTSTETAPPKPPRPLSPRQQAENTLKEAFPSVEVSVVKAVLVASSYDVERAFH ALLGMTDPNASAQDDYAPPKPPRPSATQRQLEADELYARQLAEHYNRRAQPPRGGDEA YRRQRHYDDAAEEKEYNFFDDDLPVIRENIRKGFLDTQSKVSSWVQNLKKKIDGEEDD ENTSSARTYGEESYGRSRRSGELGRRSGDRERYDADPQVLSDDFSVLELRDSEVPPAR PPRPLANSTLYKSSSPSPDRRKVSFQEGPPTEIGNLYDASEPAKRQSPAGGKPSKWQP LATVEPSPIGENDPFSLGDSDDEKDTKAKDPVDTEAHKVNVGASSEELGSASKGGTTA ENAGKSS ANIA_01361 MLSLLHILIASLVSQNTNLDRTELSLCVSLIENGVNPDALATVI KDLRTEAGLALRASNESPE ANIA_01360 MLKDLTISDIHSLGKTQAIEILSQITRIEKKTFPASEVYPFGEE LWRKKPNTRVLYVTQTPQGPLIAYALYVRQKGIAFLHKVCVAEAFRQKGVGMQLLKYI RTRLQKEGCQYVHLWVDKGRWSARSLYIRNGFEERELLTDYYAPGRDGIKMVLDLERG I ANIA_01359 MAHRLFIILSFLLSAASAAVLANYPVNAQLPPVARISKPFHFVF SQSTFSGSGPQTVYSLSNAPSWLSVDNTSRTLSGTPQKEDAGSPEFDLIATDPSGSAS MQVTLVVTGDDGPKVGKPIVPQLQAIGPTSSPSNVIVHSSASFSISFDQETFSNTRPS TVYYGTSYPDNAPLPSWIRFDQSNLRFYGTAPNIGPQTFSLNLVASDVTGFSAATISF ELTVSPHILSFKQSAQTMFVTGVKALKSPQFLNSLTLDGNIPTSDVLTETVIDAPDWL EVDKQTLSFKGDPPADGKNSNVTISVKDIYQNVAKLVVSLQYSEFFQEGFRDECDAII GQYFFFTFNSTALTDESAELDVDLDKQLSWLHYNRDNKTLYGEVPSDLLPNTYKVRLT AHKGTAEGHKTLMINTVTEDDLNEDGASSADSNGYHAGKAGIIVMAIFIPLGCTGIAL LLLYCRRRRQRWTKDEGGPGFEEKSLAPNPFGPGLSHCQPFEKTTPGNPPAIRTAPLP ESKPPKLELEPWWNVSSEIRNGDPPTASGKENTFSSSTIDWDFVPLRGPEGDENKPPE EPAPKTHRLSLQSSPPVRRGTSNRSGRREPLRQIQPRRSTKRNSAVSSRSKRWSKRSS GISSISAGLPVRLSGAGHGAGGFGPPGHGFVKLPWQNTQTSLQSEESSLGNLAPLFPR PPARTGDSQDPTKRMSVHTVDRDSSTLSDSDSLEAFVQGRARSRHSSNPFIAGPISRR VSSKTRALQRARSNASRADTVNTAMDNDDYQRRERPWSLAMSGSVYTDDYRHSAYLSS LSEESPRTQPLNALPSQSSLAQHYSKIISPLPRYFSELSLNNIRHDETGGAYVPADQQ NLSGTRRWSRSSPSLQNWRRFQKSPSASSFPYDARTRRVSLMQTADQDSNSQRGFQRE PTGSVLSDIAFV ANIA_01358 MGQTLSEPVVDKTSSEGQDECCIYGVSAMQGWRISMEDAHAAVL DLQAKQSGSNDQPTDPDRRLAFFGVYDGHGGDKVALFAGENVHKIVAKQETFLKGDIE QALKDGFLATDRAILEDPKYEEEVSGCTAAVSIISKKKIWVANAGDSRSVLGVKGRAK PLSFDHKPQNEGEKARISAAGGFVDFGRVNGNLALSRAIGDFEFKKSPELSPEQQIVT AYPDVTVHELTEDDEFLVIACDGIWDCQSSQAVVEFVRRGIAAKQDLYRICENMMDNC LASNSETGGVGCDNMTMVIIGLLNGKTKEEWYNQIAERVANGDGPCAPPEYAEFRGPG IHNHFEENPDEYEIDHDRSRPFNNDEELFDQTGEENHPDQVQRQNTDTERNDREGTPG PQSAAPQTNTSASDGSEPSNTPQKPASS ANIA_01357 MSSPLSNSKRKRADSQHLSTADIAKSSTTDLLQPSSRDASGEEG DESTGPIISPVKASNNPPPKRARKASVSEGQSGDAGKDTSISKEDPGEPSETTPASSD IETHTKTRPGLHLNTKPDEELMKPPVLGKLQDPAGGYKTNPPPVGRPVRVYADGVFDL FHVGHMRQLEQAKKAFPDVYLIVGVTGDKETHERKGLTVLSGAERAESVRHCKWVDEV FPNCPWIVTPEFMEEHKIDYVAHDDLPYGAAEGDDIYAPIKAQGKFLVTQRTEGVSTT GVITRIVRDYDRYISRQFKRGASRQELNVSWLKKNELEIKRHVSELRDSIMTNWTNTG QELSRELRQLWNSRPNSPAPSTRTSMDWGSSRGVVSPTAGGKSHVSRVEALGRTESIT GREPDFATGYSLGLIGGVRAWMRSRRSLLESRGQSPASEEEHESELERSNGEGPAEPK R ANIA_01356 MRHFDAWILRDPYSIFHYYSTGHRWKETIRDLIQARQICAPLSL NNASSTPSSPVDPFSKTHPMDPQTASAFITKLPLEIRLMIYEYAFGDQVVHLVQVKDK IRHVRCRNTTSSLDKNRRCCPVTPARWRTNGLAASASFSSSAASASSADNASSNMLYP HTHPSLPSNLSNSSTALLRTCRSIYAEASSILYKNSTFDVDDLTTFIAFSLSISSEHL ATIKKLTIQWTPVWQPMAGEEHKSSIYSHTHNDRLWTLFWNRVAALAGLEELALSVDL GSFAAANAGANTGPGNGIGNGGGGLIGGSRLRLSIAEPWVAPLLCVRGLASFELGITA KCDAAAKHFLEGSLVRDAVTLREHLRAVMCSPREAELPLLPGVEVQDLSMQMELLRRC AAEMEMEREEQRGIRPRLAITAA ANIA_01355 MDGEDARYRHEYLAPGQGDDLGTDVAVLWSFHELHDQGAGAEWE EWRGIQAVSASYLYMWYAKVPQPFGNHSTFPLLLCRAISGFIGVYGLYYSVQYLPLSE ATVLTFLAPILSCYACSHFIPGEIFTRKQQLAGFISLIGVVLIARPFAFLQPAADDNA ESIETKMEHPGEADQGHRVMAVTMGMIGVLGASSAYASIRMIGQRCHPLVSVTYFSLV TTVVSAIAIVFLPSISLELPGTPLEWTLLILLGVCGFLLQFLLTAGLSYVPPPPRVRN TSALHQHFNEADNASKSETIKPARSSSGTKATTMLYTQMLFALFYDAAVWGSTLSAVS WIGSALILSSAFYVATARDSPAPAHASESEENAGQITR ANIA_01354 MPFRGGGQKGRGRGSVKRKGPGFTAARVDEVEYAEGLDDDVLSD SDESDQQSGQPYNELLQLLQAKTDSKGPARKKRKTSHQNKEGTEEAENVAEMTAVEEG EETLDAELQQQEPDEEGDLEDGDVDAQEDSDDEESGNEPFESHFSSPDESELSQKIKA ASENKWKNAKKELPGGLKIWRAIPDCGQDDVPLLAAMKSMSSVKVKRKLKSAVTERIP SLSGNVQQVSPYIFDYQDVLYGARTTSESADMRDMLAVHATNHVLKTRDRVLKNNARV AKEQDGDLELRDQGFTRPKVLYLLPTRQACVRVVESITRFFQPEQQENKKRFIDAFSA SDDPSWEDKPQDFRELFGGNDDDMFRIGLKFTRKTLKFFTQFYTSDLILASPLGLRTI MDQADVKKRDHDFLSSVEVVIVDHTDALLMQNWDHVSYILKHLNLQPKEAHGCDFSRV RTWYLDNNARYVRQLILLSSFITPEINSVFSTHMHNVFGKVKLNPIYNGAIAELPLPV PVKQTFTRFDCLSPTKDPDARFKHFTTTILSTLVRNITTSRNRASAGGTLIFIPSYLD FVRLRNYFATSQQTTNVSFGAISEYTEPREISRARSYFMTGRQSVLLYTERLHHFRRF RIRGVKRIIMYGMPDNPIFWGEIVGFLGLDPAGVVEAAEGGVRALFSKYDALKLERIV GTKRVGNMLREKGGDTFTFM ANIA_10182 MAETDSFLHLSRPLGPVLAGSAPTTAPLNVVIQPQALFSILDHS LRRNADQERVIGTLLGTRSEDGTEVEIRSTFAVGHTETTDQVEVDMEYQKQMLALHLK ANPREVLVGWYATSSELNTFSALIQNFYSGQGDGTWPHPAVHLTVSTEPGKDIETRAY ISAPVGVTAERAADSAAFIPVPHEIRYGEAEKSGLEAIAAARDSEERSTSLFTDIEAL ERAIEEVLGMIDRVSRYVESVIDEEAPASTALGQFLLNALALAPKVEPADIERDFNNH IQDVLVVSYLANTIRTQMELSNRLATAQLTLGGESGNAEQGGAQRNQRGKGGRGGQQR NQERGAEEGRA ANIA_01353 MAEPRARAARHKGQMNFPSECWFFLSSLRLLLLAYGDPAPHPSF PSEPLPETVRVLDEIVTDFVLEMCHGAAQHANYSRRQKIKVDDFRFALRRDPNKLGRV QELLRMERELKEARKQFDQNDDQVGNLKDAAGKRGLDDIGDGGDGKKSKGKGKKGARR DSDATEDTSMAKKRKV ANIA_01352 MSALFNFQSLLLVFLLIICTSAYAHSIMPGIMDRNQNGFFGIFW KCARIGERLSPYVSICCVLMAVSIFFGG ANIA_01351 MSFCPHRLLLSSVRVRSPSCSAEQRFRTRLNYRQRKFLGLTYLS GNALQSNNAVPPGHNDDLETGARSDYHVLEDLTPGDDHVAGSLMEKHREVGGVIAKPD ASQTVPVHLPNLEPLREPKRIVHYVQYDREGKRRHVRRQFVDQGVWERDMEIRSTSLY YDPKSIRAWRATCRLIREARTDGKPFPELPKLTDHDHILLEALGMASKEAFREAWDRL PKNDQGAHWHRLSLWLLCHFPSLVPDFLKITCHGPFFKPVFVAVTDCIQHLLRFFPDL LDRSFIIDLMHPDRWPVLLMPQRPVRIYVANADRDSVHYAWNLVREKRIHMAPNTILS FMKRFTHFRDVDAALEAIEMVKEAAHPAFRMNSEEVVRHCCKLLTIDFVVDDGGVRNF RILPKLLELGVPPTRDLMNVVLLNAFRSGDTHVSQGILDYMKDQELEPDAYTYMALLT DAVRVGDHERFQSLLQEIQVKEEVRRNPWIMTKILHAHFLTVAKRRDSDEDPSDIFYS MLDMYGRLHDITPLKELLILPPHYVQYENDVSAPSVVALYIMIATYLRCVKNMGRVEQ IYSRFREFVLSGHESIAPLAATDHTYNEFLVAFRNSPAGLRPAVRVIEDMQHSSSLQG LTKEDGKKIGNGGIGQVKHTPPTVRTWTLLMSCFVFNKQPHAAEKVRAMMDKHGVRYD IDVWNMIINNYANSQNVPALARAFKQMESEGIKPDSFTLNPLRYLRDPERLWVAIDEL DRAEFQKGTLGSDWNAVGSRENVESLLEQGLQRLKTTPRQ ANIA_01350 MSSNHASLDAAATDRKARLAKLAALKRKQPEPETSGEAAEQVKE PQNNNLNDVTKKYLSGRNYDAETRGAKLGFDQTPTEGQITLELQAKEIAREVAEQAKK DEDADQPIDLFKLQPKKPNWDLKRDLDEKMKILNRIEEAQRTAKAKGAGANEDKQGEE VGIEGEMLVEGIHVRERQEADEDDDLA ANIA_01349 MIMTSATSPGDGHRLSFAKIAALPPPLKSETPFASDRKDDSQPP EEPEFSVPQNSIRALSSHESPESISARPDAVDRDMDDLSKAVEATNILGKKNQEAAGF SEESHGNGSLRREDSFEDDRTHLSNSSTKPTSFDSKSMASVTTFAMDEKDSLRPDDSA SVQAIDEEESLSGHASGAPNSLTGSESGARFRDGQRHRIPLHNPHSVFSDGPQRANGG IATDSVGNSFVVSDSEAYPGRPIHGFPSEPDEKLLEAMKSPKDRLLILQLEEKVRSFI QNSKEQSLELPPSNAFGRLLAHKLGDYYHLTHFVDNNVTSVRLHRTPFCRLPTPLSVI HAASNSTPPPTAPAMKIMRRTDGERPSTEGSIAASSSAPSKATSEAGDSGNDAERGGS SSGATPAKDRMSLSREEREAKYQEARERIFRDFSESKTPEMNGEPNTNMSRSSSTSGR KKTHRQKTPHDDSFEARSQFNAYYPGMHYTSGSLPYNMGMQDPSFSSQPYMVGPGVVP TSMGGYMPSQNGVMYPGQMGTSSTWQNGPASQQLPYGPYPVNQSPAMASAKPAAPMSS YPVSNNVQFQTTPGAWSSPYQGAYSQPSQRNQAPLPWSSYQTQPLSTATYPYAQYPGQ SLNTGLANHSGSHPLPGSFSRSHFNPQTRSFVPGGATGPVRQPNKNHSSNIGSYSSMQ PNAQSQWASFQDVNSKNQGQIPASMARGELLGGKDSIAKWGTPSHLPPKPPPSEVPSD FEMKHRNVNSASHSYSSNAVPASQNGPLVVSGGTGVPRPSQ ANIA_01348 MAGGAAKYRHLSRKSSHRQALLRNLVTSLFKHESITTTWAKAKE AQRLAEKLITLGKKNTETSRRTALSTFYTPHEILPKLFGPLRERYADRPGGYTRVLRV EPKKDDQAPSAILELVDGPKDMRFALTAKAVARRRSQGLQTLNELTLLNVRKVTQFRK NGVEELEKAISKIKLDEVNSPVKSKATMKNEKTAEHARVVKEAERQSEESL ANIA_01347 MGFLTGFVHHSTRLDQKAVIRDQTRMIEWLASSRGAYDRRLLPK DDESSMPLGGTLAPARSGMRDQLKHRWNEEVRVLARKAYNVQWEDVRDTAADAWMGMK RYVKGQ ANIA_01346 MDTHPPPDSLRKVSSQRLPPPALFQGPPSHNASNLSLQPPVPSV VTTGGDQNGSQIPLLHRRRSSPKPLDAPGLSPFLSRTQSRGEVDGSDALWEEMQSALS DVEVSAAVRGHVFGEKHSEALENLRMKHIRLAQAWGRDETEDDGVSRNAAMAEPPSLR RDSRAAADMPVEVDESPKNLDEETEKDIQLARERREANDRYFERVNHSVLDVVAKLED IAQAMRAMEAESKDIWNEDDSLSTSTQATVNTAG ANIA_01345 MGKGKPRGLNAARKLATTRRENRWADLHYKKRLLGTAYKSSPFG GASHAKGIVLEKVGVEAKQPNSAIRKCVKVQLIKNGKKVTAFVPNDGCLNFIDENDEV LLAGFGRKGKAKGDIPGVRFKVVKVSGVGLMALWKEKKEKPRS ANIA_01344 MPATSAPLTPGLASFLKSLKTNPIDTSIDNLISLLKRRQIRHSR SCATATAYLLRSVISACRTSDASKLIERVQSVGRRLIAAQPREMVVGNIVRRVLGLIR DEAEDDRDGDFTLSDAGSESQPQTPRAGDEPSEFHGSDRGASKPISSLATHPVSMFSL LSHPEPETSLPGTPATGSPSGRLPGHTQNKDIRAEVLDGINEIIDELGQVDDQIAAYA LDHIHSNEIILTHTSSTTVQKFLLKAAAKRKFTVIHAESYPNNHEATHATVSGAASND DEILSTESFQKPLIAHGITVILIPDSAVFALMSRVNKVILGTHSVLANGGLVAAAGTR VIARAAKVHQTPCVVVSGVYKLSPVYPFDFESLIEYGDSSKVIDYEDGDLVDQIDVQN PLYDYVPAELVDLYITNLGGHAPSYLYRIVSDHYRKEDISF ANIA_01343 MNSLNILSARVIGQSSHSKRSRQRSHSHGDVSPVIPPDDLAKLR SYSEGNFHAHDTNEKTRQDTPEPPEDVHFDEHTLDEKSPLLHGLPKSPSSLATRSSLG LIAQRLLEAVTETIKFILETLVSPGVYVAQSFRDETGSYSPLAPVRKLRRSISGPSSS SSSNTPNKSATRMEGKRRSGSAKKLRTHSSRDSVASSTSESEGDRRVMKGLTNSRPRA AKKTSSEDSVSDGTAPRRSIRIKLNNEEALQRQRQRRARSADLDRSPRNGSHDSVDPD SLKSPASPSVHLVTRYPHSPVPPRPLIPSRLPSYTATGRNARIPQKTLVLDLDETLIH SLAKGGRMSSGHMVEVKLATPMTTALSPGAPPTTLGPQHPILYYVHKRPHCDEFLRKI SKWYKLVVFTASVQEYADPVIDWLEQERKYFQARYYRQHCTFRNGAYIKDLSSVEPDL SRVMILDNSPMSYIFHEDNAIPIEGWINDPTDNGLLHLIPMLEALQYVTDVRAFLALR RGEADAL ANIA_01342 MSTQPAHPTLLIPGPIEFDDAVLQSMSHYAESHVAPGFVKVFGE TLSMTRKLFQSTNPAAQPFVISGSGTLGWDFVASNLVEKGENALVLHSGYFADSFASC LETYGANATQLKAPIGERPSFEAIEQALKEKPYKIITITHVDTSTGVLSDIKTVAEIV RRVSPQTLVVVDGVCSVGCEEIAFDEWDLDVVLTASQKAIGCPPGLSIIMFSGRAIET FKSRKTPPSSYYSSMANWLPIMQNYENFKPSYFATPSTQLIHALHTTLSQITSRPMAE RFAAHRRASDRVKAAVAELGLQQLASKVENQAHAMTAIWLPDGLAPPDVLPGLLKRGV IFAAGLHKEVATKYIRFGHMGVSIMDPARDDIEKAIAALKEAIADAKRAKGL ANIA_01341 MTSRLVLVIGDLFIPDRAPDLPAKFRKLLTPGKIGQILCLGNLT DRSTFEFLRQVAPDLQLVKGDFDVDSPNLPLSKVVTHGSLRIGFTHGHTIIPQGDADA LLIAARQMDVDILLWGGTHRFEAFELEGRFFVNPGSATGALSTGYWPEGEEPTPSFCL MDIQGDVLVLYVYQLKTDSNGVETVAVEKVSYRKNSVLSS ANIA_01340 MTTEEAEIKDERLETPHEEGGDDEEEIEAMKRRVAEMESEAAKL REMQATLDQQSESLKEDKEDIDARSIFVGNVDYGASPEEIQAHFQSCGSINRVTILLD KFTGQPKGYAYVEFAEPSLVAQALVLNESVFRGRNLKVVPKRTNLPGMSSRGRGRGRG RGYGRGGFPRGGYRGGYRGRGRGYAPY ANIA_01339 MGSNLPAQPNLRVTIIAADGLYKRDVFRLPDPFAVATVGGEQTH TTSVIKKTLNPYWNEMFDLRVNEDSILAIQIFDQKKFKKKDQGFLGVINVRIGDVIDL QMGGDEMLTRDLKKSNDNLVVHGKLIINLSTNLSTPNPNQANGLHRTQLGASTSSGLV PQVAPTPSVPQAGPSSVDQSAAASSASLNPQRVPSATRPTSQIAPPNGAPPIANGQGV PRPNLSSFEDNQGRLPAGWERREDNLGRTYYVDHNTRTTTWNRPSANYNEQTQRTQRE ANMQLERRAHQNRMLPEDRTGASSPNLSETQPQAQTPPAGGSGASNSNVVSMMATGAT TAGTGELPPGWEQRTTPEGRPYFVDHNTRTTTWVDPRRQQYIRMYGQNASGGNTTIQQ QPVSQLGPLPSGWEMRLTNTARVYFVDHNTKTTTWDDPRLPSSLDQGVPQYKRDFRRK LIYFRSQPALRIMSGQCHVKVRRNNIFEDSYAEIMRQSASDLKKRLMIKFDGEDGLDY GGLSREFFFLLSHEMFNPFYCLFEYSAHDNYTLQINPHSGVNPEHLNYFKFIGRVVGL AIFHRRFLDSFFIGAFYKMMLRKKVSLQDMEGVDEDLHRNLTWTLENDIEGIIDLTFT VDDEKFGERRTIELKPGGEDIPVTNENKHEYVELVTEWKIVKRVEEQFNAFMSGFNEL IPADLVNVFDERELELLIGGIADIDVDDWKKHTDYRGYQEQDEVIQNFWKIVRTWDAE QKSRLLQFTTGTSRIPVNGFKDLQGSDGPRRFTIEKSGDPIALPKSHTCFNRLDLPPY KSHEVLEHKLSIAVEETLGFGQE ANIA_01338 MHLLSLLSVLASASVCVLAQDATSTTTTTQPSSTCLAQNILDTC LESVQGRVDACGANEWRCLCDETTSLLTCYDNCPDDGGRNGVAQQRTSYCNAADQLEP TSTTSMTTATTTSTRTSSATDGDATATTSTSTSDGAAASETADDAAGRVQLALGFGVG AGVGLAVLGAL ANIA_10181 MLATSPHCAPPGVNGFALPQLKRKRSDSSESTTTRDAPVATKLR ISDASAKHISEQRTSAPSTGKGGKDDASDIPAEPLTDQTAMRAPDMPPLLSNGGSSDP SAPSRDDQKGSARKVNVDRLRETLEAQLSLEVLLKHNELRFIDQEIAKCQVALEQLRR CAEIPYPGSHATGPSLSVSNGTGMSVWAPGNGPAPRSPAPWGVTNGPYTRHYSRWLLP DPRFDGGEVEPMTPMGMGATTPLEGRSTRGNPIDTAYLAGKSTRPQRGSTGTKLQSLP SGYPAPKERAGPMIIRRKSDGVLVKLVCLDCRRDNFSSTQGFINHCRIAHNRNFASHD AAAMASGEPVDVDDAGAVIGGKNEPSTGLTPGFVHPLIRSAHGIESTPRTPSASGSSD TELLKRSSTVETPRTSSNTPVGPNKPATKAANDAFTASEDTPHLSSLMKMRGVGLDLG QLVGEAKTAVDLNEYTSDEGDSEPEPEPPTPSVKTKPNKPLATRAGRQPMRTTASQTA SERPDGHKGVERPNHKPLALETLTPTRPSVPYQSPYPPTGPQVNELRELDLSPNTVES NQAPSLVSDDDDYEVASDSDSPGPCSSDAEHGDDFGLIDVEDDDTNGSTTASDSNPKA DVGLGSAAKPLKHGSIRKKGQYPISSSVVPLSRGKEEKRVSFISPSSSPDQGEAKKDS KRSPDR ANIA_10183 MTLDLNPSTIALATFDTLLGAYETTVRQITRTKALATLRSISKT KSNLKTNKTQTHKRTAPSENEREEDLDSVSEQVREFLELDEWRYDVLPGLVADRRLGN ERGSGGHLSREELVQLMEWKLKHGVYRPTLLGMIRQNQEKIISDTTASAFSSLPGSVE GKDGFEAIENALLTLTTPLRGVGPATASLILSVACPEMIPFYSDDVYLWVCAGVYPSS SFSSKKSKEVKPNGDPNVKYNVAEYRRLWERVEGLRARLNEEADEGKRVSCKDIEKVA LVVRHFGLSGLGVCDEGEKGDLRESGEGAGRKKRRL ANIA_01336 MSRGAVEGNSTSLRPDPQEQALMDSHLYMNELGLTPFPGFQLRG DSLQGKEQECSVEHALVDAGRRGEIDQAGGEAVAEISGVPRTGLDEINAGANATVNDM NYSYDPLFDSTVPELDFVFSRKRSAEELSDISSEKRQRQHAESPDQTPSLTTNSTHES HASFFDTFDSLFGGGIELPLVLPDEPLPDFREIPQAPSSLHRTSEFTKEGFSLDEQDI LATTTREFLQVRKEPEYKSPYPVSGGPLGYLPSNPALHVTCVAVGDEKMLNEIQSLRA QLYRTTRERDQYKKSLLQYAELDGSGKSPEQLLREENAMLRRVSTRHQSRVEEYKKEA AAWRNKLHEVSTLYNNLLYEIEVTKRLPAISLVPAEYKPHQYGQQIVPLPSMQSAGAG NSQPAGSPTRPLGQQIDAVTIDLTPKSSFPQTSTNSMPAQVTHAYNQPSGPPARQPKQ TPEAIMIDLTEEDEPLPTPPPEPEGAALKSLRSKKYGWLNDTYKST ANIA_01335 MSVILCTAGYDHTIRFWEALSGICSRTIQHPDSQVNRLCITPDK RYLAAAGHNNVKLYDIKSTNPNPVMTFEGHTNNITGVAFHCEGKWMVTSSEDGTVKVW DTRTGSLQRNYAHKAPVNDVVIHPNQGELISGDRAGIVRVWDLGESVCTHQLIPEDDV AVHSVSVASDGSLLCAGNKKGNVYIWRMIQEAEVTRIVPICTFQAHKDYLTRVLLSPD VKHLATCSADHTAKVWNLDLDFPPAKTAIAAAARSKVKSPSAAEVKPASPSSAGSESQ SNSMQLERGKADSIPFHLLNGTQPANNVEPPPSFPVQPDGPPMDPNTGTLFLETTLAN HQRWVWDCAFSADSAYLVTVSSDHYARLWELASGQIIRQYSGHHRGAVCVALNDYSEP R ANIA_01334 MSSQPLLQTAPGKRIALPTRVEPKVFFANERTFLSWLNFTVILG GLAVGLLNFGDRVGRISAGLFTVIAMAAMIYALVTFHWRAQSIRKRGHSGIDDRFGPT ILAISLLAAVVVNFVLRMLQD ANIA_01333 MAVETSPATPVPVAELTKIATEACDSALDKADGYDHAQVGKWNS EIINSILKALIAATTPSTLSTPPPYRFTVNSTIVQQGLIDKSAAADGSAANTGKRGMH SASGAFWDVNRDGMWTFKYPGADAKGLDVVVSVTWFALG ANIA_01332 MHPLHLLTTALLTTCTSSLPSSLSHQRPKFDDSYTKAFQPFSWS SAKVRGANLGGWLVQEASIDTAFWNTYAPDAPDEWTLCTTLGLKCASVLEHRYATFIT TSTIDTLASVGVNLLRIPTTYAAWINLPGSGLYSGNQKAYLRKITEYAITNYNMHIVI DVHSLPGGLNGLDIGEKKGNWGWFYNATAWNHSLEVVDAVVEFIFTSSSPRSFTLEPM NEPTDRNRDDDLTMAVFGTPAALSDRAAAYVMSFWKAVLERVRTLESGIGSGISGTIP VAFQSFKLPSYWGGNFTADSNVVFDVHNYYFEGRNTTSENLPTYMRSDAEEKSITGNG VPVYVGEWAIQAAYNNSFALREKNLNAGLSIWEEYMQGSAYWTAKFEGTDEVNGEGNK SDYWSFGGFIELGYLG ANIA_01331 MAVLPRFRKSSERPAYGRSRRTVLTHRILRSFLHLIAWIFLLLV VIGNTSDKPVLRQTYFLKIDLSDIIPLSVPNAVFINSIARSIGLHDFYQVGLWNFCEG YNNEGITHCSKPKTLYWFNPVQIILSELLSGASIALPSDITDALDLARAASHWMFGLF ITSTVLTFVLIFASPLAVSSRPPQTISSDPGVNRLHPPHRRRTFIFCRAIPFTIITFL TAIFTIVAAVIATVMFVLFKNIFTSGDAFDLNIRAELGTRMLAFMWIAAAFNLIAFII ELGSCCAACCGGRKARKALKSHPEPSTGTATGSATPEMREKSSPHSRSPTTSEHQ ANIA_01330 MRLTWLLTLLAASRVLSQNTDSDSDSDSDSSTTTDSNEEAISQS LAEIASAITTTVDDATVPSGDYITYSTTVYLTGTHGTVIGSTAVQVTGTPNANATTSA NATITSTSDTVTVLIGGQTTISGNSTGNSTHSATPSPSQTPVVNTQPCNGWPEFCDRK YSNITQVAAHNSPFVAQGNVAANQALDVHYQLDDGVRMLQFQTHIMNGTMYLCHTSCD LLNVGPLEDYLSNITEWLRQHPYDVVTILIGNYDYVDPGNFTTPMENSGLMDFVFTPP MIPMGLDDWPTLGSIILSGKRAIVFMDYQANQTAYPWLMDEFSQMWETPFSPTDRDFP CTVQRPPDLAAEDARKRMYMANHNLNIDFSIASLNLLIPNTALLNETNADHGYGSVGR MAENCTTLWNRPPNFLLVDYYNEGNFNGSVFQVAADMNGVSYDRDSCCGTLSAASSLG PGAMMSAVLFFVGLQVLAWL ANIA_01329 MKVCPYKALSVLESLGLNALSYKTCPATASVSACCTALQSTSLK TSVHDPSSPAYHISQANYWRVDNTQFYPSCIVQPRSASDLSTALSVLVSTNDNTPQCR FAIRAGGHSTLVGGTNVEYGVTIDLSVLNRTVYDEEKRIASIEPGARWKDVYGALAKY GVGVAGGRGGTVGVGGFLVGGGNSHHSALFGFACDSVVNFEIVLPNGTLTTANSTHNP RLFRALKGGSGNFGIVTRFDMETFPQPRNSIWGGIVTYEHDETNVNAQIKALIDFTAN VKNDPYASLIPLYTYISTMDRPVIVNSLVYTKPYADPYPDAFKPFYLLSNISDTTRQT DLEGLVGELEPESGLHSNFFTVTFANDAAILKKAVEIQERLIRQVRKIAKSSEWNIKS LYQPLPVDLLWLTWDHIDDTALFDWVGETFMSELDDFARSVGGEYPYVYLNYAAESQN PLRSYGEENLEFLKAVAKEYDPHGIFQTQLHGGFKVTLA ANIA_01328 MVDIVPLSSYPSYIDLLPSIQTCNITNLPENYFLKYYLYHALTW PQLSFVAVVRPRNGYPDGKIAGNYPKVVGYVLAKMEEEPTDGVQHGHITSLSVMRTHR RLGIAERLMRMSQRAMAESHRAQFVSLHVRVSNTAALHLYRDTLGFQVDSVESKYYAD GEDAYAMRMDLSNMWLDWAEIERKDRERHAADEKDADEGDEVGELGKKEDEKEKEKMV RVKVGRSLGVGDLVEKNEAQTASV ANIA_01327 MDPDEAPPPPYSAVDPLLAPSTSNRNVTSSSAGTPSLPHIRDGD AQLHNSRGSMPIAASAALPTHFTSAAAYFAERPPPALEDAEQVLEHHITIYPRSQAKD FPRRPRCWSPRMENVTQQDWDMFLRHLFPPHLGLASSSAELPRQVRAEIRRDRKDRPQ ETDEEREMRIATVMKEWNQYFFEPRAVRIVFFYVTDPRNAPISPLCPRCYPAATRASQ ENRGTQVPETGRGHPLPGNMHPTITGYPQAPMYPGQVPGPYGWSIPNPAPYPPQQGSG FFHPANPHVYHYQYPQWQPWGWGTQHSQQYESSILKGGPLGWFSSLAAQAQKYGDRIS EQALHYGDQITAHAQYYGSKVEEQAMAHGRWIEEQAGLSGRKAESAFSGWNQPPQAYP HYYPQPQPQHQSQTSGTAQYTQQSQSAPETTVAQSQQLSSDQQPQQQPQQSANSTSYN RPRRDSTSSTTSDSSLSSIDSISTTSDLSSSDLATVRAQLLSLSAHHDRELYDAAVEL RRQLDALRESRRQARVSSTRRWRPGWGQSRSDQHSTSQSSHQGRSSWGRWESPADRQR NQAERRAAKEELRATRKAFRDVVKRAREEQKESRRAKKARRRQERRERERRLAQGQNH GQEETASEAGSVPAPLSESNLEQRLQNLELGSNSQSRAVSAHITQRADADAGSESSAI SSIKTPSANSEEEPEPAKEKGKEQKPSKGTE ANIA_01326 MDFLKSAVASAMAKGSSFPYSLGDRVDISESIWTLHNATKREDG SACSVFTFEIAPNKSRLPLAKNAVRKSRTLRHPGVIKVLDTIETETSIYIVTERVVPL SWHVKRRSLSEETSKWGLYTVATTLKFINEDATSVHGAVRASSIYTSESGEWKLGGFD ILSSMNDDQATYGSLMPDTARYTPPEIVKGGWDAIKRHPLTAVDSYGLAILVFEVFNG NFTGEVGKTMNIPPSMHQSYKRLGAANPKLRLSPAHFVEQGKKSGGFFETPLIRLTDD IDSLGLKSDAEREDFLNELENLSEDFPEEFFKMKVLPELLKSVEFGGGGPKVLSAILK IGGKLSQDEFNAKLTPVIVRLFGNPDRAIRVCLLDNLPIMAENLSQKVVNDKIFPQMT SGFTDAAPVVREQTVKAVLPIITKLSDRTINGELLKFLARTANDEQPGIRTNTTICLG KIAKNLGQSSRAKVLVAAFTRALRDPFVHARNAGLLALSATLDIFSEDDCATKVLPAI CPALLDREKLVRDQANKTLDLYLQRVRKFGHTMADTALPATNSDAPKDAARIGTSNDK SWAGWAISSFTNKIAAADGAIQSTSTPKPAEEQRSASVPRVTTSTTPATLNEAKDIRP AIQPLNRSMSAQPTSVREEAPQPADDVYDAWGAMDDEDEDGWGNDEDPFSTPPTTTPS TAKPKVSTVPYDDGGEPDFAGWLAAQSKAKKPLPKGLGNSKTTSFTRTASPSSTVKPA AKVATPAKKIDTKPKDVDEDDGWGDAWD ANIA_01325 MASSTVNSAPVANNHSGNASVQNSNSRPTLRTSTNKSSDGGRRQ TGSPADGGQRRSNSQKAWTQGTNPITQRPSFPQQNGNMPQKTSSPTSTKESNTADNHA HDRLVFLFTTFIGLSTTVTTKNGDQFTGILSSSTLEPNESSVTLKMTHRHLKQEQQRA NGVSDVATTLEHSSHRLGPNLLTPGTTTGFRTDADISGNLAIRERALKRWEPGADAST DLSLESTSTALKQWDQFETNARLFGATSSYDEDMYTTRIDRSDPTYKRKEAEAARIAR EIENSDTDNAHVREERGLQAPDAGDEEDKYSGVRRDEKNFPPLASGQPNKYTPPALRN FSNQLAATAPPQTGSVKRAISTKETSPTTLPAKEPALSQPPAAPAVTAAPASESERKV APPKASSTTPAATKGVSPGNNYENEVVDRFRIFANNERIKLDQRRRNQAAVDRSTKLN DLMKFSQNFKLATPVPKDLVPILAKDPRKQEAIIQRAQQNAAEEKKPPKDTTATPVEQ KKTADQAQLPVRQEGGATAAQPSQTDRPAYPRGRQGYPPTGPQGGPAGRAPHQPLYSG RQTTGMLGHRLADNLQQRKGAAAGPVPTPLPIQDARAAPSTDQSNVSSPTKVLTPTSS ISTKFNVKAMEFKPNPTASTFTPGASAMPMFVKPDPRTKSPSNFFGTHKPRPISERPS LKDQFNPIKRMKKESAEQTDRDYSSNGGIPFAYSTLPTWNIATNGDEEKSYVQMFKSS HTSPQSRSASNPQIPHQPHLPYQFQQAPTMPPTSGPPHGPHLHPQQHHGSGPPHFDDP HRMQMSASQSQMFPSPRLQHGYPSPMAPHAQLAYGQQPMPQFFVNQGAPQPAHMRHYQ GAPPFVNPQGAMAAPIMVQQPSGGPYMGVPQAPFTPQMQMYSPSPAHAYPHVPPPQPH GGYPSPSRGAPMMMHQNSQPGQAPQHVMYMPPGQHQQPVYAGQQPGHLPPGRGNYPQQ QPHFQSSPHQAHHYPPHQHRTPSSGFNQLPQMPPPMAAQPPASAAQAQETPEESK ANIA_01324 MTSQSSAPRSSSSSSRSQSSRSAVPNGQISQIEKSVTHLLVATK QLLETLTQWSRRQVSESEVSDVYVRLGYEFNLACRAFSAIGVDTSDLGPVPDLLRTIL EDTLSQDASPQALDVFLPRIRDIIINLLHGLKRKQARLRSRQQKEDGRSGPGRQASAG SAASEIPPPFEEAPTGAASPKRPSRTRYGSSGSLEDKPHASSRSSPDIKSGSYSDREA SRREAQNALAQPSQQDSDMSTRTSQYPPPPPPPPKQDDAIGALQRSGELERRASRRFS AYQIQKHLGASSNGVPVIPTQNSPLPNRGRDVRESLNAVRLRGSYAHGRQRSTNRSHG HVETKATQPQQPQSRTEDPVKQRVEAATEPSTDGVFRENDARPEKDAANDVLEGPPVL PPMPQEPSLADAFEPVKDTGPRTNQGPETPKSSHRQPTSAVSTPPQATQYSPEQPSPG KELTLFLQYRSKIKKYVLSEGASGLTIGRLQLAFIEKFAWNTHNNGADLPEIYIQDPI SGIRHELEDLSDVKDRSVLVLNVDALDEVKKHFDDELGGVRRLLEGVKGALDGQGNMM QRVSDRQVEAVKEIARLAAAPAVSTAGAPATGEVRRGPISGSASQMAELSSLRRDLAV LRQTYTDFSADIASSMGAIRAKADTVKSSAVEASVPSYEGDAGRARVNLGKKELAEES ERLVARVDDLQDLIEDLRKDVVSRGVRPLPRQLETVGKDISAVTKEIKKMQEFLKREK PIWTKIWEKELQLVCEERDQLTMQEDLAADLQDDLEKAAQTFALVEQATKQQAMQNGN SGPTLRNTSRNVVIDPAVDPMKAKDSVLGEVRALQPNHESRLEAIERAEKARQKELET RRVDLFQKELGTFVQEGKLKKSGGFEEAERLRRAKDERNRKEMWNIAQARAAEIEKAE AEAAAASTPSAAEPYSDDAALERTEAPESPKGKAPVSDGDVGEEKKDEEAPAESQDNQ ESHKTDPEN ANIA_01323 MNPLNLVPLLLLLPSLSVATCYYPNGNEATTDVQSNIQRNTGCA IVPYNSTDQGVYYCCNSIVNNVTGPNPSCDLDLEPFTLQSATIVPGAGLLKNYVELSD SDNTSNTTARNKTNACADADTLDANIDAKTVAVGAGLGVPLGVLALAGFVWAMYERRR RLRTVTSDSKAPVAEYSPMTVSGEFYPKSPALNEQLRYSQPVELAES ANIA_01322 MTLDTISASQCMHQGCGITSSLLALYFKLHTRLLRRIRHFVGVE SSEQLAFIDELHKLGLSSDIKLPEFNGCSQLVVVGDQSTGKSSVLQAITEISFPVKQD TCTRFPVKISFRQTATVTVPAVKATITPGRLTAKDDAFRARTKDFCMESETLTQEVLR DMIDKATECIFEEDTVKSDRLSDAVLRIERSGPDEMHWSIVDLPGLIRNGGLTKGLTN GTLTNGSSSTHDMVPSDTDGAIAEAVVREYMQNERNIVLLVVDDVDVRRQRSLEIAQS IPGLQSRSIGVLSKCDKREEGSGEWMVSLLQNKRIPNVPYLNHGWFGIRNRRPIESHF TDAQRDEAEEREFSRASWRDAPKERFGIRALMNYVDRERRVQLQKGMPQIIDEIRRKL KECEDELGKMGEARTSPGAQRSFVWQFCAKMQEMADAALRGRYQTIASVDPKVRLRYL IQQRLEELGEAICPTKEVSVRFNAYEEEWRQLRASDPRTWEESIRTADDIYSLIYNEA ILSRGTSLPGSVHPDVEETVFRKMSEHWERYARDAVEDVKLRVKECYSILLQLAIPTN RVRLEVSKLISRQLEDWNKDADNALRELVEDNQTRPLFTTNPGLKLTTGTADELRNRI FNGSMKEGPDPEGPPREEKYLSSLLNNVLQTKAKLDTYYQIAIFRFMDNVATQVVERH VLGPKCPLRAVSFETFTRLNDDELNRVAGEDKFDVSTRQRLERSRDGYRKALAWWEQL SVL ANIA_11300 MPANFELEDGSATRLKRDALAGYQYRCRQKRSLSASTLGQPINI RSHGAANIASAETNPKNQSRLVRRENPERASPKQGNPGCQNQGFPRLCS ANIA_01321 MQDFPRFNDLPAELRIQIWRATCPTPGIHVFDVCIPSAQTGWRI SQAQKELKSRHVGNGSPSSVFLDQFIASKGKSGLISQRGTTKQSRHTCSNADANCSLT SDPSIYLVADSIRQSCLEALSSIQPRPAAPSLNPNDKISTKINTIHLPLRGQGGKQVH YNSILDILHLRFGPPTSYVSPFDPGVLNPNDGSEDAYLRIFKSGLSDVLLYPWSDEFT LTLQHARRIAVDIADLHLEIGLSPRNRFTRETVYQEVEFLAARLAKGLEVLYVVDYCA GSCRSREMDGMKNTQGELRRMLAYATGEREPDVFHGNGVTYREITSLESLGWNEETPM FIVLKMFAEAIREQQGEEDQSCFQGVRVLACQTSGSC ANIA_01320 MRLAVAASLLFTMVTGRPHGSPSFNTSKLAYYPAPENNGLGVAV LVLPGGGYSYVSLDREGTNSTTYLNAKGYDAWVLDYSTAETAATPLYPVPLEEALGAV RYIRSQKRVKVDKLGIWGYSAGGHLAAVTVTNPEADLDFGILTYPVISMDAAITHNGS RTNLLGDNPPEKLVKKMSAETRVTDKTPPIFIYHSANDATVPVENALRFINALTEKDR PYQALILPDAAHGIALALDDPQRNWTPELDRFLTYSI ANIA_01319 MADDTNAAWPIADEALSQQLLDLVQSATHYRQLKKGANETTKTL NRGTSELVILAADTTPLPIILHLPLLCEDKNVPYVYVPSKLALGRATGVSRPVIAASI TTNEASDLMPQIRAIKVQVERLMI ANIA_01318 MRFSLTTMVAALAAIPHATATLKDVDEWDILAGKALANQVLYQF TKPRYTDSECTPFNAAVRREWGSLTKQERKEYIDAVICLTKSPSKIDPALAPGARTRY DDFVAVHINQTLRIHTTGNFLTWHRYFTWAYEQALRNECGYTGYQPYWSWGKYVDDLL GSPIFDGSEYSMSGDGSYIPHDGPEVFEGVFLEPGNGGGCVTSGPFKNFTVNLGPLWV TLNVPGVEKQNGTGLDYNPRCLRRDINPDAARWTTVEEVVDLINNYRDISSFQDRLQG DFVNGYIGVHTGGHYTIGGDPAGDFYASPGDPAFFLHHAAIDRVFWTWQNLDPARRTF VVYGPTLLPGRGPSPNATLDDVQYFDVLAEAKTILSLFCKCREA ANIA_01317 MVALRCYVRAFILRRFHAEDGIMVVCGVCCIGFMACLVGESKVG MGQYLAAIEKQDHRGKLTQWIWWRSLVVALGISLAKISVGLFLLRFTAQNKWLKWFNI GSAAWDSELRAKESTKCFTLPVFLGIGRSNAYFLYATLPIFMFYNVQVNKRSKMSLMG ILGLGYFACAAAIVKTVFQTRYFFDKEAYRVELAVGIIAASFPTIKPLVKSIIGSTRG QSSRSYGRSHKHTGEAYGLNSHALSALSPNLHDQEEDKYRVQIHAKYPSLSASEDGSE ENLARDRRQIPLNLGIVQTTEVIVHTEDSADLNMGSAMMGPRRTIEDRI ANIA_01316 MVLELKDSSDDKLSALWQAACIGYANETGKPLGDVALAGVQGPE DLSRHLDAEKDNFEGFRAKRRPLLHAMQAVIAPFEPGLVSSPLPSSRQLQRSWAQWYF SFKERKRNGARQAIWVKEWKDKLAEKWRTKDFEYEGGYAAWCMRVLPEPQRTRWAGFP VLTRPSPIMMWSSNSA ANIA_01315 MSSIRVPPTLPNWPRIDVPQQNRSEERYTVIPSTPLRRYYFNED DKARVSEIDIALGDWGVSSWTDPHLSENIQPVALRAPEVLIHAPGTQAPTFGTSTPSC LNWGPGTGEGDLDDEGRVKGGLATQRPQLSSDSFMPGLDKEVRDEFASWLRAAMRINP TERASAEDLLRHPWLGAL ANIA_01314 MANLLSVTVGAVLLAIGAIFYQRLLDTLSLSASLKVVDSMRDII YLGSLSPAGIEHFQNIFYAEEPTGQRRFAAPVPTRPAKGSVIDATRAGAWCPQGTGDI LPFTSRVTNISENCLSLRIARPAGTQKDAKIPVAVWIHGGGHALGSASDILYEPDGLV KLAVSDGMPLIYVGINYRLGIFGFATSKALIDKRDTNAGLRDQRLALELTVIGQSVGA SDIGLQLTAFGGDQDVPFQQAIMMSGGPGLNFNSKPGLVANNTAAIAQQLGCQRKDDS QTLECLRDVPFEQLTNLSVAASRAARPPFGEGYFYPTIDGDFIQDRPSQLLRAGKFAK GISLVASWVTNDGAWYALPTTATDDEVLGSFGLWLHNLSEPTKERLLQLYPLEDFKHM IKPENEGRISPQYYRAAQLNRDIWFTCPVLDFTWQYVEAGGVEASRVRLYEFNETRYA PVFDSMGVSMWGVAHLSDIPYLFYNDHLGAGADNSDAQLALARDFSQRIIQFVHGTSG GVGDRLQPWPPAFLNDLSKFSTGDMPSHISLLLFGGPDGSTPASCNEGVGADVETLTD AEKALHWEQLFSRCAFINGQRFREEAGV ANIA_01313 MSTDKAEVVESPPQGARFFLRNPVLRDWVSFNLDEVCKADTKLV ETLRTCGDREEPADSAIGRAFGFAPGKTYWDFIANDGEGEDKGWRQRRFAQGIKCRAA GNPQTHHHLHSAFDWAGLGEATVIDVSIVRCGWLRGHVSIELAKAFPDLEFVVQDFEG LKSFHDGVPDELKSRISFEAQDILQPNAHPNADVYLLRSICMTDGTRVLIADFIGPEN TQSGPMWLERLSTIQSMQMMTMVNAPERSEKDWINVVKRVDSRYSVKPMVTPAGTAMS VIEIVFNASA ANIA_01312 MTAEHDAAILPKPGGPLAVGKRATPEPGPNDVLIEVKAVALNPC DYYQRDYGMPPVPIYPAVIGGDAAGVVAKLGPSVTAGSVPGPGSRVIAFASSFYQNGS PDHGAFQKYALAQSEAVIPLPDNLSFEEGTIFPLAVLTALTAWTTIGIPLDTRYTPAD KQAVLIWGASSSVGSFAVQSAKTLGFTVYATASPKHHDLVKKLGADAVFDYKDSDVVS KIVDAVKKDGVYLHTAHCVVDGALQPTLDILKGTKGDAFAKVAHSPVLPEGHPTLDNT QITFNFPSMDKVARSKHIKEVFHGWLHSGLKDGKIVPSPNIQIEEDGLDGINAALDKL KAGVSGTKIVVPV ANIA_01311 MSMKLVKGVLYGEGEHHEKPKQTHIIIIGAGLTRLLLAQGLRKL NARLEAEGQSAPFTFSIHERDESSFYRGGGFSLNIHWALQQLYDILPEELSARIFDQM GSFTFLNLQTGEPALKTTIPPGWKGARMSRVRFLQLLMTNLDIHYSHRLSQITFPTDD TVRAHIENGDQETGYLLIGADGTNSVVRRFVYGAENSKNTQLPIRMLNCRSEYPLEEL KACLRVDPHLFHAGIPCKMAILCSLFWICRRRGRRTETPSDPPPELPEQLAWLKHMAK HWANPVHDLIYGMPDDSIVQVIRVQEWMPNDANRRPTDGRITTVGDAAHLMTSFRGEN ANHGVVDVAQLLALLAPSGNKPTDLKEVASTYEKEMVQRSRPPTVKAQEACLDANHYS KITSDSPFLS ANIA_01310 MRSNIVILSALPLLASAASTSGSWGGGVNYSKIFGGGLSTNASI HYPGQSDYNTTTVQRWSTWAEPIFAVTIKPATDEDVQYINCQQMNLTFLATSGGHGGE TGFVTVKHAVNIDLSNFKENVLDLEANALTVGPGNSFSAFETNPYNAGKMLSAMWIGA TIGAGIGPYQGLHGLVIDALRSVRLVTASGDIVTASDEENPDLFWAVRGAGANFGIIT SATQTAGTVRVSILKRTTSYSDTTLGTTDLFICLLDLFRTQEAAQPWINQLLALNHTQ WRNATLPWSEVSQNSGFGTGASVCATGKYNNNPSVGAKQTSASAYIECSTKSKRGVIS GRDFSSLMFSKKLRSQLVATSGFDSLQTYINYAHGDEGPEVWYGKDNLPKLVQLKRQW DPEGKFGPGNPIPLA ANIA_01309 MSASTSFETPSTAFSDLRTSISSHRLSQIEKSAGKSSVLEGISG IPFPREDRLCTRFPTEIILRHKETTQTIITASIRPHTSRPQVEQKLLASYSRTLETIS ELPPVIAEASKLMGIRGYTDDDNDNYRPSFAPDALRIEITGPIGLQLSIVDLPGLISV ASEGQTEEDISTVHNMVATYLQSSRTIILAVVQATNDFANQEIIRLARKYDHDGQRTV GIITKPDLINKGTEAKVARIAKNQDTIKLKLGYFLLKNPSPAELDECTTMAARSALEL CFFTGPVWASQHLDMDRVGVDNLRRFLQRLLDAHIERELPKVRAEIKKRFAEAEAELK SMGKARPTVGDIRMFLTSLSMTFYELLQAALEGNYHSSRHNIFVGNGDTRLRALIQEA NTSFATQMHERGKRRVVRDEDDNEDDAKDNDQHSVENDTDSINNLIDDDDDDDDVDAP LLYVNNEQMMDWVRQVHSRTRGKELPGNYNSTLLAELFHEQSRRWFNIAQSHVRHVRG IASQWKDQVLHAIISEEKLRTEVRNILQEWLDNAERLAMEELDKLIQDEQRDPLTYNH YYTDNIQKARLDAQRKEVRNAVTRVANEDWNGNLHISNTSYDLDRFLRGLGQRITIDM DKQACDEALTQLNAYYKVALKTFIDNMARQVIERHLISPLPKAFCPTSVAKLDDEALL RIGSEPAHEAARRTRLTSMAHGLRQSLLELQRPAS ANIA_01308 MTVQHVVLSDREIEEIQRAEGQTNLIRQAQESDEADQKLTIRQA FKERFEVYNPVPDRKLIPAEWQPGLSNSTSVGQLAGLVVNAICQEGFGGHFHSCLRPV TLSTYIWRSHVRYRLGRLQTLSTTYASEAVPTCLRSHVTAYVCMCWEQGFLLWCLSSY LSVKGGLDVYRRSIEYK ANIA_01307 MAQHENVPRRNDAIYDIMPKEDHIVKIEFLEIAPTPIIVDRIFF IYLRGYLPESIKKELALLGDSPVNATLLVSSSAVYTDGSHEKEGPITWPLRTAPFNDL AHLVIRDARGIQVGYLPSSDRTNEDIGSTDLETSKLAPG ANIA_01306 MVPHNGLVHPKQYDIKDSNVELIGSDLDHRVKYNSARTEPAWNN GKVGQEPGLFIWRVENFELVPWPKERAGEFYDGDSYIVLSSTRLGNDNTKLRHEIFFW LGNKTTQDEAGTAAYKTVELDEFLHGAATQHREVQEHPSEDFTALFRRITIRSGGVAS GFTHVEERQPREVTTLLRVFKHSGAAPGGPGSVIVHEVEPTWQSLDDDDVFVLDKGAK IWVWQGKHCSPMEKALAAQVVNDMTLAKHIDVEVLSRHESRSKVVVDLLGGEGVIQDT FKSPRPISPSKRAQENASAGSPRKLFRLSDASGELSFSLVKAGEPVRRQDFDGNDVFL YDVGTQLWVWQGLRASQAEKALWLKVAQAYIRHLQSRESNPVVSTIPISKVVQDYESP SFLKTVDF ANIA_01305 MSKKAHLAPYLTRLLDTLQQELKDNLLAVYLFGSAGYDAYEPDT SDVDVYAVIHEPISDYKQLSRKISHASIPCPARKLEFVLFTRANAALQTNNLQFEMNF NTGRDMDDYTNLDPSTEPRFWFLIDLAMGCVQGTALCGPPAGEVFAAPKVEWIIDSLI ESLDWHRRQPSLTSDGILNACRALRFAKTGTWGSKKDGGNWVVDYFKEHDVHVVSLAL DARHSRVGVPQDQAEKFLDFPLIKDSIAQLPLIANLQEDMSPLAGSSPSIN ANIA_01304 MAAPNNQEPQSVSSRITKPIEHDKPTAFDIAGQYGPFLDSLRMT PQERQFLQDLLAVPQSESMESKGLDVVKRQHQDTSQTYRGLSHNERSSTSREARIFNT TSIFNGLELGEAKVKVYGNEIDSAPVAEKKYEDFHAKGASGFQLYIKSKFASPG ANIA_01303 MVETRAEGLRQPQSPHFPRVSRACQRCRRQKLKCDEARPCTMCV RAGVSCQSRDIMNTPIRRKKRAVRPPAAATSSNQHVEAAVDRPYEGQNYGASSSAVGF AVNIFGQRATLYSSDISGIPGRASPQPNPRPEWTLEKMSMPPPAVMDAALQAYFDHMH WFIFIFHEIEFMQSVTPLLRQSSWSESSRGRVIAALTAAAVGLQCVAHDSRWPGHSLL ASFSLQATSLRDSLIAEVRLHVLKLLDECSLESVQVSLLLGTYYVFHGSPGLAWNMLG LSVRTAYALSMHCPGGITHPDPVLSQVYRRTWNFIIVADTYSAMIYGRPASLDAAFCH LHEMTELEDTRLPPTVSYLLQDPNANGLMFHNQKYRLYEIMRTTLNKVRLINLQTPVS LESFASLVAAIGNAQASLDTWKSGVSPVFKQQYWEEHPALASTAIEKNPPSSENRTIR HLFLQSQMLQLTYDSAVLFINRPLLEHQAKPEFRTAVAEHLSAVRLSMDLSLKAALRV SRVSPVHHESEFSLSFVLMNFFIAGVILCLAPTLWPFSTASNDAKAGVLRIIHASRNL QTKSKIAKHTVQLLTRLVKLSLHQELENALNSNESGSDTNPREQEQGPSQHASPSHSP RLPPTEPCSSSTFKGHFNPPPANPTQSQPAVDVSFPMAGVPAGDLYIEPMHEGGNLNP GLGIPDNSCYHQIDSYMDETLGAFGEMLFNLVPNDPYSAWNWGNNFR ANIA_01302 MAQTQRVIVSKDIYHGLPTFPEDLNGLTAIVTGANGISGDYMVG LFLLRDLSSSKSTTNRWKKIYALSRRPPNGEWPKHVEHVSMDFLQPPDALAAQLTERR VKADYVFFYAYIQPAPKDGGGIWSAAEELVRVNTKLLHNFLSALAISNTLPKTFLLQL GAKYYGVHLGPAQVPQEETDPRVLLEPNFYYNQEDSLIAFAKSNSFNWITTRPSWIPG AVPDAAMNLCLPLAIYAVVQKHLGKPLEYPSDIVAWETQQTISSAQMNGYLSEWAVLT RDAQNQSFNATDDCAFTWSKFWPKLAARFSLPWLGPATDPAGLQEVETPYNPPPRGIG PPAKLRYKFTLVEWARRPEVKDAWKAIAKEHQLRNAELWDTDRVFGFTDAAISSSYPI HFSTTKTKKLGFFGFVDSTESIFKVFDQFVDMRMIPQIPG ANIA_09516 MASVHTTPSGRPIRRGLGNACQLCRLRKVRCDRVKPACENCRLA RIPCVFSSLSDRPRRTVHQSHVQKLEVQLRERCLSPGLTLAGTRLSDPGRFDTALATF QWHLSFCDLPDSFDLAGFSNELVKTVGPVAPSELAVVKPKWPSSQLVRSALEYFKKTH LYSVFPVVDVDETAQIIKDNELDLNDASIDTRSRACLGAFTALITGLRRDEPVFVAAG ADPIAHVRAVLTLLPDLVLQDRSPRALETLLMTALYITPMGEPQTSEALLSLAVRIVF NTGANRAETERKSPHLRAMFWLLYSFDKENSLRRSQPPLIHDADCDLDLPSTYLFEYT DYHFFQNELSSHALLYPSDLRMALLKSRIYRLLYSVEAQRQSEARRLQRIRELDQELV NLKASFPRHCQPDDFAKGLVPDTLFHDLSLRGANIHLGYYFCLTKIHSATAGGGMSPP ASSIELCYQAARSTLLYIRRVQNCIIPETFWIYFQYLTTAVLALYRRLLVASDVSQVR EDVQILEDTAGIFARLSTTNAERGNFFAPYKIAENFVSKITALAKESIMRSPLNGSTQ EDRET ANIA_01301 MVQLTQSLLVGLGLAGLASIAAAHPGHDVEAEAAERAAFLKSVP VQGRSLGHCAAKLKARGIAESNVARRENAVQQLRKRAGNTDGRYLKVRDLDSVLATDH ESTKNVTLSTDPSVLFADGGACIVQPEVTQGPYYIAGELVRRNVAEDQAGVPLFLDLQ LIDVNTCEPLQNIYTDIWHCNATGVYSGVVANGNGNFNDESNSNTTFLRGIQSSGSDG VVQFESIFPGHYTGRAVHIHVVTHPGDQIKVLPNNTLAGLYDTRASHVGQIFFDQDLI TAVEKTSPYNTNTQALLNNSNDDILATEAETTDPFVEYVLLGDDVSQGVFVWTSLGIN STRNEFNSPEGYWTEDGAEVNPNFSMNMAGMSNLLIPTGSAGPAATSAA ANIA_01300 MSNTVYLITGANRGLGLGLTKSLLIRPSTTIIASVRNNEAKDAL ISELATIIPGQNSLLRIIHLSFPSDNWSSAPTSPSEILTALTNTVPEIRHIDVLLANA GFATPMTPALSTSVSDLRASFEVNTIAPLLVFQAFWPLLRNAQNGTPKAIFMSSSVGS IGAQEPFPGGAYGPSRAAGNWLTRALHLQHEADGLVAVALHPGWVKTRAGDFVAKEWG FDAGPPETVENSVKGILKVVDKASRASVGGKFVTYTGMELLW ANIA_10180 MIDVLLQVQSLVSPFATFASFHLLSARETDTITSTIDTAKEMLR SAKQALPVKQRLFLHLFSKTAVGTAFLTHAWCGDNTSGHSRNGEHPVHMLWGPNSKLA AQSLTRQFATGYHRRVNARLVEDLVASLVDVFVCCHNSYRHRSHGNTCNVHHISHSCI LHSTRVFLMASDKQTDPARVDREQPMVPGRGRVMPALSRHNTWNTSGTTFRCGLTGTF SAGQTLSRRGYTVGLICALHIELAAVRDMLDEIHADLPAPRSDTNTYILGRMCSRNVV ITCLPNGIMALSRPRQLLLKCFRPFSLFVSDSYIRRGEDDQLFQADHKHSSPKGSCSN CNKCFVIPRPQRGTESPQIHYVPIASVARFVKDAELRDRLASKLVNLCFEMEAAGLVD NFPCLIIRGISDYADSHKNNHWHGYTAATAAAYAKELLSVITQEEVENECVISQLY ANIA_10179 MLFTPILIGAASLAAQASADTFQVSQRYGGPQRPLQGIRKMSDD AGEKFYMHYWHYEEDSVVANSTEEAQTKIDRSSVLPRSYHFQPPFSLGPERFADLRSS PLGRREFECPSGTSACTSINRSDSCCGADETCVVVEDTGLGDVGCCPSGQDCSGTIGS CFEGYTSCPSSLGGGCCFPGYECVEGGCQCAHIITITITLSSTTLTTTSTETVSATST TDTSTTTTSTSTATPTTTSTSSTGDLTPPDRPTSLSTTTSSETETTCPTGFYACAAVY QGGCCQIGRNCDTTSCPAVSSTTIETEGRTIVIAEPTTSATTAANSQESGARTCATGW FSCADTVGGGCCPTGYACGASCTAAPTASTTGTVAKEAPTVESIGDTVKYNWIHLIWA MFMTWLCYDKCT ANIA_10172 MSSSNTQAPLPFGYQFVAGAIAGVSEILVMYPLDVVKTRVQLQT GAGVGEESYNGMFDCFRKIIRNEGASRLYRGISAPILMEAPKRATKFAANDSWGAFYR NLFGVEKQNQSLAILTGATAGATESFVVVPFELVKIRLQDRASAGKYNGMLDVVRKII AAEGPLALYNGLESTLWRHILWNSGYFGCIFQVRAQMPKPEPGNKTQQTRNDLIAGSI GGTAGTILNTPMDVVKSRIQNSPKIAGQTPKYNWAWPAVGTVMKEEGFGALYKGFMPK VLRLGPGGGILLVVFTGVMDFFRKMRGETA ANIA_01298 MTEARMFIKTEPDEHNSDQNHFMMSQSGYSMHNQFGNPNDGIDP SDLTNGGFMGGFGFGSQQNMSSSFNFGNSGIDTDELLDLEIHGQNGVQQNYLQDHPSS AGIAMSHPSHMSQIYSNTPEGGPMHSPFMQSSFNYDHFRGVNQGQLNSSHIQSAGSHL EQSYLNSKARPSMQAMDRASMDARSPMTPKTPALGGLALGTPESGSFPTQPIRTGLQH RHQKTLSNQWDGTPGSAQSYAESPISSPGHPSHHAAISEILKSGKHASLPSKVDAHLP GGAQDLESQEAKRRRRRASHNLVERRRRDNINERIQDLSHLVPQHRLEDDKVRKQLVN NSGLPGSGTANAATSLLAGGTGRRAAGNITMGLPIEEKEKGPNKGDILNGAVSWTRDL MWALHVKIQQEAELAELISSLGGTWPFEQTEEEKRMRTEILDAIEKNDPSTFHYSRGP GSGLRVPKHTNLAGEPVSNGAMSPQSLSPPFSGNNNGQTQYWNTSGHAGMSFKEEDEY AMEMN ANIA_01297 MASTSFRDSVNALGWSRREGDTLANTNSTPFFSRLHSLNPFGQG DGYLQLPTHEAPGAPLPAPSRREEEDTFFALSRWDRMLIFSACNLGAAVCFVICFFLF PVLSLKPRKFAILWSVGSLLFLLSWAVLMGPMIYVRHLISGSRLPFTAAYFGSIAMTL YFAIGLHNTFLTLLSSIFQLAALLWYIVSYFPMGSTGLQFMGRFGAQRVTAWISS ANIA_01296 MAKALEGARLENKGAKKKTFNCRKSTFKVGKAGDISADSWKFMD WDYKRRDLPTYARGLFTAKRKDGTTEIVTRGYDKFFNVDEVSATQWRNIEAYTEGPYE LSVKENGCIIFISGLEDGSLLVCSKHSTGARADTDRSHAQVGEEWIERHVATVGKSTA ELAKQLREMNATAVGELCDDSFEEHVLAYDSAAAGIYLHGINYNLPEFATLPSSEVHR FADAWGFKKANFVVYDDLSTVKKFLEKCAETGSWDGRDTEGFVIRCKMSENGHGPYRD WFFKYKFEEPYLMYRQWRECTKAILSGKAPKIKKHKKITEEYLAYARQQFALHPKLRE QYQHNHGIISMRDGFLKERGLNGAQIIQMEAENVTRDVVLVPIASIGCGKTTVGLALT KLFGWGQVQNDNIPKQKNKPKQFVANILNLLMDKPAVIADRNNHQRRERSQLINDIVA GSPNARFVALHYVHEPKDMMLPLIREVTRKRVLDRGDNHQTIRAGTKDTDEVLGIMEG FLRRFETVDVDHEPDQNFDEVIDLDVTASSRENLEKVVNALHSAYPQLVTKVPSAQEL DDAINYATSEYSVEVDHSASYGQSKPSKQSNKSNSNKNGGSEINIEAKVRKIEYFGIS LPTSSVTDLLHSLFANPAITPEKARLYHHLVNSRRVQPAFHVTLIHKALKTAHPDVWE DLVNRYVGQMKKNPPKDHALTPPLGSARVRLERLIWDDKIMTFVARIMPGEPENSGDN AEPQPDWICVNPLPHVTVGTVSPAVKPKESNDLLQRWLQEGSQGTGIWEMEIPGVKVV NGTVNVTMSRGK ANIA_01295 MSQPNPYILAADNPSAVLALLRSNPSIASNQDEHGYSLLHAAAS YGHIDLLRALVKEFNVDVNLLDEDGETCLFVTENVTIAKCLVEELSIDHNKSNHEGLT ARETIESDGSFPEVAAYLREVAGVSGDIEGNGTLSVGDALNPAPPLPPNIKVNLGTMS EQEANGGIGEVDPEFKRRIDELAAREDFQSEASQNELRRLVMDAIQGSNIETQDKDVR RRVE ANIA_01294 MSEDCLSLNIIRPSNISDSDKLPVAVWIHGRACQGLRDQRLALE WIQENICFFGGDASKVTIFGQSSGGLSVGKQLIAYGGRDDGLFRAAIMQSGGMAEKWP YNIKDPEVYIKELYMNLTITTGCADSASALECLRALPAALNIISTPVYSGTGLGPWLT QVDGDFLLDGPTESLDKQHFVLVPIMYTTTSDDATAFSFVDSVIPMLTFGISSELAGL TRRFR ANIA_01293 MNPNLHADTPPPPPPKPGSHEASRGGTPQVGSPSPTAAQLPQQG QYGLDVTNQYLNPSTVNPTANGPRPPAIEEGWLPEGIKEKSTIDLQTILETPSLISAL SATHPSHHCHQEMLQTLLKYNQDLANHLLDLQSQLTSLRSSTETLLLQHQSLEVSWRK KQGEMDSALAPWSPKALYQRLSAGIAEQEAVCFAVEESFLEGEHHGKASEKEVADWVR RVRAEGAKLAGRREAKARWDEGRVGGWR ANIA_01292 MPLPGLKALPALPGGHASFSISVFLSYIVDWILIVGIALIGYGF HKVEPNHMPFSLTDVSYSYPYTEDETISTSVLVVVSLIAPAVIIVAIWEWNAGWLGLG LACAAAFMATEGLKDLYGKPRPDMLARCDPDLENIATYAVGGLGQRLQGAPTLVSWDI CRNKADLLKRGGFVSFPSGHSSLSFAGLTYFSLWLCSKFSIKFPYLAHTPLTQDLRPR NRFATRNQGAAPPIYLIILAFVPWAVAFFISASRWFDYRHHGFDIIFGSVMGMSFAWV AFRLYSPPLERGSGWSWGARSRDHAFFKGVGSPSNVGDDGWATLRVESEMPGTAAMTQ NGFDLESGRRHLAA ANIA_01291 MPFRKLEGSCQCGGVEFSLDSSTPVPYQLCACSICRKIGGYVGC VNLGGIADSLKIKKGENLVKKYNAIKARGTKDEEKCTSERNFCSNCATMLWLWDKTWP ELIHPFASAIDTELPVPDEMVCIMEGSKPAWARFPEGKKQVFKEYPTDSLEDWHKKHG LFYE ANIA_10178 MSFGSPGGGATNVKPTPPERGSFPLDHDGECKHLIQSYLRCLKL QRGVNDEQCRRLAKGYLACRMDKNLMAPDDFRNLGLVFENDGDGSHAQAQAQTQVQTQ SGSSSG ANIA_01290 MADSSTENRAPPQGGILEGGDPSQYDSKNPIVIFIIQAFIIIVL CRMLHWPLSKIRQPRVIAEVIAGIILGPSVMGRVPNFTDSIFPPESIPSLNLFANVGL VLFLFLVGLETNLRFLVSNWRVASSVSAAGMILPFGLGAGVSYGLYHEFHDEEGLKPI DFGTYLLFIGIAMAITAFPVLCRILTELKLLGTNVGVIVLSAGVGNDVVGWILLALCV ALVNAGSGLTALWVFLVCVGYVTFLVVIFRPLFLRFLNYTGSLQKGPSQSVVTITILI ALASSFFTQVIGVHAIFGGFLIGLLCPHEGGFAIKLTEKIEDLVTALFLPLYFTLSGL QTDLGLLDTGIVWGYVVAVISIAFLAKVAGGALASRACGLLWRESFAIGTLMSCKGLV ELIVLNIGLQAEILSHRTFTIFVVMALVTTFATTPLTTYIYPKWYQDKVDRWRRGEID WDGTPIQPSDNNSIAAATKEQLQSRQVRRLLAYLRLDGLSSICTLAALLSPNRPPTPK VHPEKAQSTKAPEAAAEESGADIQPDSSLQVHGIRLMELTDRDSSVMKVSEVDEHSLW DPVINTFRAFGQWHDISIMAGVSVVPEHSYADTVLGMAREGTTDLLLIPWSETGALSE HQGGLDVDERNRFANGPYTAFVSSILNESSCNVGVLVERSMYTRNAKARPDLQRTLSA RSVGSAMWVSPAATRSHHIVLPFFGGYDDRYALQFVLQLAQNDQVTATIIHIELPVPT NQTSKVIAQPKQHESRSDTQFDSFNPESDLVFFATLRDSLPDALSSRVVFKSVNPKDK AAAVQIAIDAVKDEMAQSASKPGNVTVVGRRNNYVDQTLDIDPASSDEVSPEARWVLG AVAEAMVRTENRTVGNVLVLHAASRHN ANIA_10171 MSSIARPPDPCLVAVVLIARSRAGPRFVFHYPPRPLADNALRPP KARRTSRSRSRQNSKGNDSTSSDDSHSSSDEDEEEAPSQNLNNSNNSNNNSYIAGSRR SSNFGLDDSNTLSENQRPGSISSSRAYLRKRGANSDAETDSGVGSDRQEDGSRESDGP NRVPWESILGLPVDVWEKLLSPSRSWHKRRFELGINDLAFVGWPVFVREDGNWRKQRR KKKKKQRAEWESGELGHNDATEDSQDDGNDAVAASTETLSPFSALHPISQRPSVPNSR SSQMSSEPLDADDKDMMTMFNVVFVLDPPLLEYSMRVREIYDNVIKKFSKALKWEQSR TNYVWRECQHILNIKEKAKEKRSSLNSLYADIISQSSLARAIRTLYTSISASKIASVT LSPDVSISLQIPPLTSTPYLPGPTDQAYPGLWLTTADSISPADDPMTDDNSAPHQVLA KHFALLLLDNEATIIKDVEAAGGALAPPLVHYIRCSSPTKSFVQISQISGMPLPTIQF LASHLVYWRRARAIPPLHQRDTYIVSPNCDLSKLEVASAAYKVAFPTLPSLPKMLSAL SGTPRPYGNFIPSKDHKATYFLILAWLLRGGWVTQLRSFARVKVSPEIKMAVELAIRR EEVDKYLSKGKPPVATSDKEDSVNDERTEGSDFDDASSSSSSSLASHGSGDATPMPNR FRADTGVDLTHSLLDQTASLKTSSLILLPHKVSPLESRWLDEIVARFPDNAPDFVGNT TEVDSATPIPAKSLKEVWPTYLKYFNGYDALEKIPVREGLKRKLVSQVLTRLGLVTGQ SSTELDPREQVLVSFRHW ANIA_10176 MGDGMRRLSRAHRCRIGCFEPGLRVRMVGISSLKDIDGAVVLNS DKHLDPHWRRQEPRMA ANIA_11298 MQVTKPEVAVSRRTGSTCEGKMRLRHTEEWARLSAGARSLKRKR RQQQREKRNTEYYTETEPA ANIA_01288 MAATFSYAQAAKGIASTQPSKTPSESTTPIPRLDEQATELQTGV DAASSKTDAAADVDSKPVNNEKEVSESKAGTSATSEPNASTAQTATAPKMSENGTSET TWDKQSQTSGSDKENSAAKGTETKSSEKKKKEKPAPPKELKAAPLPAVNVWQQRKEAQ EAKVKANPAANVVAKASKSTSETSSVSEDTQDQPKTSSKKKGGDLSKRGDGVKGQESA PVPPVADAASWPTPQGAQGEEKKKAQEKSEKTEKSPVIRPHGKEKWTPVPYVPTAVFN TPLPSAARRGGRSARGGRDATRNGSHGAGAAEKTSPGQSAQGSTAKQSASGDRGRNEP NSARANSLPAPSRRSNSADNGNTDVRKTQMPDRTRGGKGLDNANAASGKQVNGEPFSR HAKPFPKNHEGFQKGGDYTKNPNLSVDTHNGPRSGPNGERRFENGPKSADFSNLHSDR KEFTPRAERGRGSHRGRGGGHAGYNGAQASHFPNNHMGPQGYMHPKSFGYSDRRGPQQ PSLANGSRGHAMTMRSPSLPNSSTMYNMYSSFPADINSMYGYQPVQPGPMSAMPFQPY MESFSVIGMITLQLDYYFSVDNLCKDIYLRKHMDSQGFVALNVIANFKRVKQLSEDFE LLRHASRQLKAAEYHAGEDGIDRLRPRERWEQWVLPIEQRDPSAQNAGPILSAGQTDT TVSQSQLDGATNGFVPKSSLPNGTIPSKTALSSAAPEFSPSNGLDAGNEVSN ANIA_01287 MCSTLLSLLPAMELASKQTARLLRPSTVRLHPGLPSAISTTQTA LRRRNISTTPWRNAPESSLLSLASSSSSGSPPTYFSNRQTLPMNTVVRFVPQQTAWIV ERMGKFHRILEPGLAILVPFLDRIAYVKSLKESAIEIPSQNAITADNVTLELDGVLYT RVFDAYKASYGVEDAEYAISQLAQTTMRSEIGQLTLDHVLKERAMLNTNITQAINEAA QAWGVTCLRYEIRDIHAPDGVVEAMHRQVTAERSKRAEILDSEGQRQSAINIAEGRKQ SVILASEADRIERINRANGEAAAIRAKAEATAKAIETVAQAIEAGQANAHGAISLNIA EKYVEAFGKLAHEGTAVVVPGNMGDLGGMIANAMAVYGKVSGSQARTIAAKALGVQDP QTTEKTPEVKPNGKRDVAEGILEGFEQTRREEEKI ANIA_01286 MDILYRPMLLSIYVCRASRTVLANIFVSFSGFLGERKAFEVYSC DVSPDGSRLVTAAGDGYVRIWSTEAICGAEDANKPKQLASMSNHSGTIHTVRFSPNGK YLASGADDKIVCIYTLDANPPSHAASFGWSCDSSILVSVGLDSKVVVWSGHTFEKLKT LSVHQSHVKGITFDPANKYFATASDDRTVRIFRFTSPAPNSTAHDQMNNFVLEQTITA PFQNSPLTAYFRRCSWSPDGLHIAAANAVNGPVSSVAIINRGGWDGDINLIGHEAPVE VCAFSPRLYSPQPIKKNQQDSHDHVAQAPVTVIACAGGDKSLSVWITSNPRPIVVAQE LAAKSISDLAWSPDGSCLYATALDGTILAVRFEDGDLGYAMELEENEKSLTKFGTNRK GAGIAETTDGLLLEEKSKAGELKGVEGRMGALMGDGHATGDAVANGKPTPLPSTSNGV TPAAPSPSTDAQKSQPNGTAAPSASETEKPDPYQAKLERLKQRPTYTKDGKKRIAPLL VSGAGGAESSLPQARLMASVSSQVKADTPQSIVDLSKPFDGLPKGGLATLLFGNKRKL AQLEDEEDGHTEKRVALASQNGATPILTSAPEGLLPAQPQDPPTGQQPTPEFIRPAVV NPCMSVSQLRLAVPKVRTHIVRAIDSAGKPTEPPSTSGESNKSRVDVVFEARNPSGAS LTGRAADREPVRLTLFRGEQPLWQDFLPRTVLLVTGNQNMWSAACEDGSVYIWTPAGR RLVSALVLEAQPVILECNGPWILSISAVGMCYVWNVEHLSSPHPPVSLQPVLDAAIHT LGAHPSAAPSITNARINSEGRIIIALSNGEGYAYSPSLYTWQRLSEAWWAVGSQYWNS TEAPVGNLQSASNTQQKDKDARAAVSAGIIPFLERNTTNETLLRGRAYFLQRLIKTLL SREGYESFESSVSIAHLENRLAGALSLGAKEEFRLYLSMYAKRIGAEGLRGKVEELLK GKHRDLQRVTVPYAKLLGVVDNESDANDAMEL ANIA_01285 MRSLVLLSSVLALVAPSKGAFTWLGTNEAGAEFGEGSYPGELGT EYIWPDLGTIGTLRNEGMNIFRVAFSMERLVPDSLAGPVADEYFQDLVETVNGITALG AYAVLDPHNYGRYYGNIITSTDDFAAFWTILATEFASNELVIFDTNNEYHTMDQSLVL NLNQAAIDAIRASGATSQYIFAEGNSWTGAWTWVDVNDNMKALTDPQDKLIYEMHQYL DSDGSGTNTACVSSTIGSERVTAATNWLRENGKLGVLGEFAGANNQVCKDAVADLLEY LEENSDVWLGALWWAAGPWWGDYMFNMEPTSGIAYQEYSEILQPYFVGSQ ANIA_10170 MPPKKAPVQEKVLLGRPGNNLKSGIVGLANVGKSTLFQAITKSG LGNPANFPYATIDPEEAKVIVPDERFDWLCEHYKPKSKVPANLTIYDIAGLTRGASTG AGLGNAFLSHIRAVDAIFQVVRCFDDAEIIHVEGDVDPVRDLSIISEELRIKDIEFVE KALENLKKQTRRGGQSLEMKKLREDEATTAKVLEFLQAGKNIRHGDWTPKEVEVINPL FLLTAKPVVYLVNLSEKDYIRQKNKYIPKLMEWIKTNSPGDSILPISASFEERLTQFE TEAEAEEECKRLGTKSGLPKAIVQMRQVLNLASFFTTGADEVRQWTIRKNTKAPAAAG VIHGDFEKTFIQAIVYNYNTLREYGDEAAVKAAGKVMTKGKDYIVEDGDILLIKAGAA RS ANIA_10175 MEHYAALGRKPRTQANRHWTASHQATAQRSNPQYSALCAKSSSR LTHDANHILTRSYLRKRSSMPPDRVTLYTIDSTPLFYQPLDGPPIPHLKLLHQFPDML PTIQIDRGAIRFVLSGAALMAPGLTSPGGRLPDAEHALEQGTVVAVKAEGKEEICMVG TLKVGTEEIKAKGKGVAIDDGHYLGDGLWKMHLD ANIA_01283 MYWPTGVPRVYAINGPGTPVPLPDDADDVIHEDSSDQEQARPLN QSNGSESHSRLGPSLQWADEAIRGLSVSRNGHMFATMTDSSITVWQTRPTAVVAAFSR SSTSLETYGSNIALLMRPDATILVVQTQKGYLLTYTIATDANTRVYQQQYDQSSTYRR QQLARLSADEEASSIHDVSIRFRMAIKIESGIVKALALDSELVVATAKPAAIQCIRWT PDEHGTQTSSELLTRALGVSKQTSIVDMVYDRAINLMVWVTNEGHAYAVQRVSETTHQ SESRKALFHGHCFHAPTNDGENAVNVTVNARFSLLTVSCANGNVLVYTAKDYLGNVPL SHKLQPPASLGTTGDLTFMCYSPDGYCLFAGYEHGWTTWSVFGKPGGNSFSIDRSLAE SNGEDWLTGTSHGCWIGGGSDIILTSRDDRRLWILETARSALTGCYSSANLARGLLQT GTEVIIYRGHDLPDLITISGKDSLWHHAQYPPAYLHSQWPIRSSSVSQDGRYVAIAGK RGLAHYSVNSGRWKVFEDSTVENSFAVRGGMCWYGHILIAAIDRDGSYELCMFSRELP LNNHSVLHVEYLPSPVVFIGPSGEDSLLVYTYENILYHYIITSTQSRIGLVAVGQIAF NGIVRAPTRVRSISWVLPEEQLRNGDPSQDVKVASVLLLVDGNLVLLQPSMSDSGDLK YDMRVISHDVEYYILMRDQLSFNFAPPYEESLPPSPAAELALNQYHSNLSLRDSLWTF SGKDLTAWGDVQEVLQREDVPKSIEVPLDFYPLSVLLNKGIVLGVEPETIQRRDVTFV VLKFAIRTHLFLPYFLQHSLVQGDIPAAHSLCQHFSHLSYFPHALEILLHHVLDEEVD NVARDSKFDDQIPKHDPLLPSVISFLQASLPPSIYLDVVVQCTRKTELRSWRTLFAYL PAPKDLFEQALKLNSLKTAVGYLLVLQAFEDESEGHDAPVEDYVVRLMILASQRSDWE LCAQLARFLVALDGSGDMLRRAVSRAGLRYGNQPEGVSGVGANLKGLGLEARSPSWSS QSATPSTSDKSGGGSTNT ANIA_01282 MAPTESKKRLALAIIDFLNTSVKDGTLTADDAESIEIAQSCIAD TFKVDPSDEAAMKEALGGQSLASIYSVYEKLRNKSSAGSPSTEPQTEKKPQAGAPTPE SDKLKSEGNAAMARKEYSVAIDLYTKALAIAPANPIYLSNRAAAYSASGQPQKAAEDA ELATSVDPKYSKAWSRLGLARFDLGDYHGAKEAYEHGIEAEGNGGSEAMKRGLETSKK KIEEASRGPEPPTESVDDAPGASRGGSGGMPDLSSLASMLGGGRGGGGGGGMPDLGSL MNNPMFASMAQNIMSNPDMLSNLMNNPQLRQMAENFGQGGGMPDMASLMSDPNIANMA RNLMGGAGRGGSQ ANIA_01281 MIAATRLPATARVAALRSQVLALRATGGNSAALQRSLIQSPLYA TSRSIDCAKSPVSRLLSPYASLHLTRSFHVGTPRLQQKQEQTEKKSEETKQEKNEESK DEDKSEQKKEDKEDAPPPPPHGDKSPWQVFRDTLQQEFKASKEWNESTKALASSAHQF AENENVKRARAAYEAAQGAASSRTASALKGTGRVIGKSASWTWNTPVVKGLRKGVNAT TSGIEKATRPVRETEVYKTAVGGVKQAIDDGSSSRYGGWTEKEERRRMRQLREQEELK SGRRKAEPMVEDPNAGTNITLHKDSAWKESWREFKDSNPMMQKLFSLKETYNESENPL ISTARSISDRIAGFFAENETAQVVKKFREMDPNFQMEAFLREMREYILPEVLDAYVKG DIETLKLWLSDAQFHVYEALTKQITTAGLKSDGRILDIRGVDVMSARMLDPGDIPVFV VMCRTQEVHVYRNVKTNELAAGMEDKVQLVNYVMGLTRIPEDVNNPETKGWRLIELQK AARDYI ANIA_01280 MPGACRHQETAGFEDDNHFATDGVVIKILITFAQAHDCILRLST LSLDFGLLAGQMRLSLPTVDPPANVPFYLLQRAVVQDRLDAPSKSFSPGFLSEHCAGA VLLVPVSESLIGSRDRESSLLYSDLVVSEEFLGSHTLRIPASSGAGGRDDSNVRDGRG FKSLSQAQLLSDALYYAPTGESQPWLIYYISRPLVGSFEPAKIIPAIVPGTGSRVDPS QASAKPGETAGTISTPPKKEIRTFGELLANFPMIARQMQPGLERLFREFGKELGKPLP PPPSRSPMLDDNESGRVREESIADENGSIKSSSSRRSKGLPFNSEEYFEDDEDLMRRS LETAVTAAIDLFRLVDQQQLSLLGATTDLTGPVVERYIERYVAEQVHESLLFPRLCSF RQPEDIELDARIRQMEYVDVSQVGLVVEGGRDGKRELLLRLGRAVEEFRKMLDAKCPH DMLNTLLETVKVLSYPGEYNKSDEKQSAPVTINADILVSLLLIVVIRSQVKNLQARLL YMQHFIYVDDVDSGEMGYALSTFEAVLTYLMADPAGLRRASIRNKRLWNATKAGRVAD MKAILEPDGYHESFFEAYDAADSQEQPLDEGQPNRDSQRMLPLFGDQIQTRDEGLEAA SEAPPLAHVFPFQTWEASSPRDTKPPIKRVSMDVRSLSESSGISFLSRTTTLNSISSA IEGDSSIETLVKTQDPHGDSILMMAVESRRAEALKYLLSLGEYYSVTDVLEDTNTDGT TLLSAAVQLAQTEIVDLLLDYLTAAADEGAITSYLRKFDERGRTAAHYLFSTPAVMRR LKGLLPWRQRDKLGQTPLFALCRSYDHPEYKAMVNEALTAAQESQGDGEPLHLDDHVD SRGNTLLHIVSDPEITIRILRESDCDPNATNDKKFTPLMMASKYGRVDQVRILFSDSR VDHHLKESRGLTAIELAKDDEVRNRIDDLILLSNPPSSHDESSGRVTTVVRSFFVEDA TVRFVLKSGAPTPPIDGQDVPAHTTMYTVTTCRRTLSDFETLAECLSLEHPASYMPSL TDFRNPFQIHSKPSRAVLHNMQERLDRFLKILLSHPTFSTHELLWEFILVPELQPQMM ADRSHRKAQALSESIIEDHDPITSEGIREAEQFISHAQDMVHATHTHTRSLLRRGHAL QNATSDIADAVALAASVFSTLKYSTNALPPSHVDAFSRYASYLSTSTSDSSPLLQFLS TLSSIDTTTSAILSSLSRPLSLIASLNSSTRTLTRSRNALASSSLPRKFNLNFPGLEE SRLRSLRDLQEKITNSEDQIARLSREISWNKDVVVGELAGWTSWREQVGRGAIRDFVR STLVREKERKRRLERCLRSVRDVE ANIA_01279 MTLWVLTVALSPAADPRSASFSTSILYDEKTDTQEAVETADPGR GDHPDDFYDSKSNDRSSYPHAIHEIAKRDPLSKPPNKTSGQGKPSNDTKSDPALNHTA SESDLVKDWKYEKASIAASSIFAVVALAAIALLGWKIVKKVRTRQRRKKGADDDSLDE RRARREAMMFSKSHSAGSYMVEEEKDGKVIRVFCTSRNKFRTTTPRTSTVGDPLEQIN STLSMKAEATRHMKGLDNSKRGRRGSIPKQIVVVSSPLQPAVSRTAVPDSQLPDPVTD ASSRSSELGTECPRTPAHSLATDVDQDREIEAEISSVRSYRRSLLRLPSIKKSISPFC KF ANIA_01278 MSPSHIRILSQNNLYYFYLCLTTKHYHHSTKVKMPLVVPGITNT GSGNSKDDWLNKLVGKKISDSHNETCFAKQDLPESHRIVKPGDFTTMDYRPERLNIHL DENENVRDVNYG ANIA_01277 MTTFTKLSEQEAPGISIHPDRRISKINPNIYAGFAEHMGRCIYG GIYDPGNPLSDENGFRKDVLEALKELKVPVIRYPGGNFTATYHWIDGVGPRDQRPARP ELAWLGTETNQFGTDEFLKWCEVLGTEPYLCLNMGTGTLDEALAWVDYCNGTRDTYYA NLRRKNGREEPYNVKYWALGNEVWGPWQVEQSTKEEYAHKAYQWAKALKLLDPSIELI LCGKEGPTSWDYYTLKQTMLPVHSPLSTSAVPLIDMHSIHLYTAHSSHLPNVTAPLAA ERAIEITSSLIDLARVENGVPPEQRRPTICFDEWNVWDPIRAEGSKGAEESYNLSDAL AVGVWLNVFVRKSKDVGMACIAQSVNVISPLMTTKDGIVKQTTWWPLYLFSNYMRGWT ISAHISVSAYEGETHPKWVRGVKDTPWLDVSATLGEDGYVNVVVINIHEEKGIEAKLD GPSGEVTVFTVTGDNPAVTNMKGKEEVGLVETKWDAQGPYVFPKHSLTLLRWKA ANIA_01276 MRLSPAWYQFLVGVFASLGSFLYGYDLGVIAEVIACESFKTTFK EPDSTQTGLVVSMFTVGAFCGAAFAGPTGDYLGRRWTIIIGCIVFCLGGGLQTGAQTI EYLYSGRFFAGVGVGFLTMIVPLYQAEICHPNIRGRVTALQQFMLGVGALCAAWISYG TYVGFDDTNDAQWQVSLGLQIVPAVFLGLLIMLFPESPRWLIDHGRQEEGLKTLARLH AYGDVNDAWVRAEYTQIQEFILREHEEEAKSYLELFQSRSSFRRLFLCCALQASVQMT GVSAIQYYSVTIYAQIGISGDETLRYQAINSIIALIAQFLCMMLIDRFGRRWSLISGN LGNCLTFIIACILLARFPPEVNNTGAHWGFIIMTWLYNFSFSCTCGPLSWIIPAEVFD TRTRSKGVSLATMTSYAFNTMIGQVTPLAMEDVGYRYYYLFIICNFTNAVFFWLLLPE TKKLPLEEMNYLFSNAPWIIPGTKKEDYVPHDLERRVVEQEEKQHAYASHEEKK ANIA_01275 MSSGFVSAGTDQEPIQRDDEWVRVQKELEEERRRKAELGKQDGG KSLYDVLQQNKMAKQEAFEEKIKLKNQFRALDEDEVEFLDSIMESTRAHEATVKKETA EQLEQFRRQREEAEKAYLKDTSPEIPPAAEAEDWKIASRKKRREKSGATLIPGRKRKS MGDVTTDPPMKETEPNKRTKELLEEKRNVTIPATEEKPKASGVNKDPAQQPAKPTANP PAPSLGLTGYSSDSE ANIA_01274 MSLEHTKKVYTLNTGDKIPAIGLGTWQSKPNEVREAVKNALLKG YRHIDTALAYGNEAEVGQGIKDSGVPREEIWITTKLDNTWHHRVTDGINSSLKDLGVD YVDLYLMHWPSSTDPNDLKKHLPDWDFIKTWQEMQKLPATGKVRNIGVSNFGIRNLEK LLNDPSCKIVPAVNQIELHPNNPSPKLVAYNSSKGIHSTGYSCLGSTNSPLYKDPTLL KLAEKKGKTPQQVLLVWGIQKGWSVIPKSVSKSRIDANFEIDGWSLTDEEINELDNLK DRFKVCGDDWLPIKVFFGDDE ANIA_01273 MLTLGAVSVNALPQATSTPAGTPSSSGIPVQATGNPFEGYQLYA NPYYSSEVMTLAVPSMTGSLAEQATHAAEIPSFHWLDTTAKVPTMGEYLADIKEQNDA GANPPIAGIFVVYNLPDRDCAALASNGELSIADGGVEKYKEYIDAIRAHAVEYSDTNI ILIIEPDSLANLVTNLNVEKCANAQDAYLECTNYAITQLDLPNVSMYLDAGHAGWLGW PANIGPAAQLFAGVYQDAGAPAALRGLATNVANYNAFSIDTCPSYTSQNAVCDEKGYI NSFAPELSAAGWDAHFIVDTGRNGKQPTGQIEWGDWCNVKGTGFGVRPTTDTGDELVD AFVWVKPGGESDGTSDQSAERYDAHCGAAAALQPAPEAGTWFQAYFEQLVANANPPLS S ANIA_01272 MMEDFNSETDSDYTSYWRDWFISSRGNEYFCEIDEEYLTDRFNL TGLNTEVPYYQYALDLVTDVFDLDADDDLREQIEKSARHLYGLVHARYIVTTRGLAKM VEKYKKGDFGKCPRVMCEGHPLLPTGQNDVPNMSTVRLYCSKCEDIYNPKSSRHASID GAYFGTSFTSMLFQVYPALLPEKSIRRYEPRIYGFRVHASAALARWQDRYREETRNRL RDAGVEVRYLEDDEEEELEDDDEDELVETKERVPGDAASGRMDIGN ANIA_01271 MSLETFPYGDHDLQTVTVAKPYPARAPLHAENIDNESGYWVILI HGGAWRDPTQTSTSYLAPTLSILSSSESKSPKSQSQHIRGLASISYRLSPHPSHSQDA STTMESHLRNAKHPEHINDIQLALSFLQRKYRFGKRYILVGHSCGATLAFQAVMASLS VQGREGLVNDYIAPLAILGMAGIYNLRLLRDSHRDISAYQEFIEGAFGKDEKVWDAAS PGVVRGVNGVEGWKEGRLVVLAHSKEDELCDMEQSEKMKEFLDGWKGNDQKRLVQFLD IKGRHDEVWENGEELARGILFTIEELQSLRE ANIA_01270 MAEKEVTPLTAVKVEALVVMKIIKHCSQVFPTTATGSIVGMDVD GVLEITNTFPFPVVEVPPESHFDNAAPNPAAAAPRAKANTVYQAEMIRMLREVNVDAN NVGWYTSANMGNFVNMNVIENQFFYQKEMNERTVALVHDPSRSAQGSLSLRAFRLSPK FMAAFKDNKFTSDELQKSNLKYQDILVELPVEIHNSHLITSFIHQLQNQTQATPAEIP TSLATLESSPFAKQTILAPNFDNLSLSIDPFLEKNCDLLLDSIETHHTETSNFQYYQR SLAREQAKITAWQAKRKAENATRATLKQPPLPEDEWQRLFKLPQEPSRLDSMLNSRQV EQYARQIDSFVSSTTGKMFAVKGNLLPSEIAK ANIA_11297 MQITVHLMFGVLHLFTSIQAGPYNACILRNGKDSKRTDGQKDLD SKNEANGKGEMDVDYSGETTSGLTMTT ANIA_01269 MMTFNTYAQTLYILYTTLDIWLSGAVAVVTVDFLVYPFDTLKTR VQSPHYAEIYKDAATNTIKKGVLFRGLYQGVVSVVLSTIPASGAFFTTYETVRSTLNR TKQASAIPFLQSVPAPAINAISSSTGEMVSCLLLTPAEVIKQNAQVINNSPSANISEK LKQGGTSVTLQVLRRFKQQPWKLWSGYSALVGRNLPFTGINFPIFEAIKGYLVERRHR QQGYIEHSGAGAGAGKEIGTQKESQEPVYERAVLTGIAASISGSIASVITTPIDVVKT RMMLAASGNRPSSASGGKEVPKKLGSIWAVGNQIFKDEGMKGLFRGGAIRVVWTAISL SIYLSMYEGGKFFLEKRRMRKAEQDT ANIA_01268 MDTARIEHRGTTAHMAPQFMQSLLSEKPRNEVHEKLWSDLMEEG AHIIMEGVEPMPFLLDESAQHNVLVLPGKVVKDIERRRSIVRDAVLTFEFNLHIPNPF PDHPPPPYDEVIDDLPPEYSEAAPLAQQKCLAQDVDLEEKSDSRNKSSHQTIDFESPI GIREYKGKKKKGGGAKNNPPPPANDPPPPPPPEEKKDPPDEGAGDAGGSGDGNDDDGD NGGDGGDEDDGWGDVWGTTTTKKKKKQKQKEEEERLKKEEEERLAKEEEERKAQEEAE QKAAEEAASAQDAALSWADDADANNDDSWAGVGGKKKKKKGKLEPGGPEPPSNSFQDV SLNDGAPQLDLNFDSQKSTGFGFGAWGGGGGGGGGGGVGGGSKWGIDIPGAATGKNTN PWGGGFKSDPLNTSMGFGFGGGFDPTPATANGDSKDTNNDDGWGGWNISSKKNEQKKV AEEPIPEEPPKAPEPPPADDWLGGFATTKKSKKAKKKEEEERKKREEEERKRQAEEEE KRQQEEEERKRLEEEERLRQEEEERLAKEEEERKAREEEERKAKEEAEKNDGWGSGWG FATATDKKKKKKGKAALIPEPAPEPPREPTPPPAEPEPEPEPEPEPQPESEPEEWKPD FPDDPLYDNWLNLSSKERKKRERALTKKNLPLPGRDFPLEPPPKAKPDPAPELEPEPV PEPEPEPSPEEAPADLDPVLDENNQLVEIVHEQPEDEPVDDGWGSIWGSSKDKKKSKK NRIAAEPPPPAPTPPSLGLEREFTRDSELEREDPIWDDYDGKPVKSKKEETPVKAAKG FWASLGAATMGSSKPKASKKKSEEKPREPDPVGEINAAEPDLIDIGDQPADIPPEAPE APIAPDAHQPPAPAALPAKTSKTKTSARLSVAERISALEKAKKERLKEKAALEKLKSK EKEASPPQPEPAKSPSKEHLVEVEPEPTKTERSHDSVPGSFPDFDDPPPEPEPAAEPE PEPEPEPVPEPEPEPKPEPVSSKKLKKKDKKKGKLVEPEHEKKKAKDPDPEPEPEPEL APKSEQEPRPEAGAEAEPAPGPEPEPEPEVAPEPESEPITLTKSERKKLKKLKKAVPE LKPMPEADPEPEPKPEPELETPAEPDASPEGGEKALDVETEPAPAPKPEKMAKKSKKS SKKPVPPQEPLTAEDMMASGALEPDDGNPKTPEKPVKKERTRVERTPATTWGFWGATP PKKSQTRDARPSKKEAAPAIVRSKSSKQSRARESENEAERSSASDKDRRREVRASRGM AFSNFILGTPPQPSRTNSARKSAAHAAKRSSKQQSVEIDASGHLDDVAPDKVAKMMGV KESRSQHERHRERRKSRALDPYALDEEDLVVVNREDVDQPSKEGSRHSGSRRSGRDSA RRSRSNPEELREDERGPEVFSGPDDIAFVKASQSRERERRVKRSSTVPKRPEPTGLMG LLGSFRRSTKAEPPAPERRKSRSRRDDDSRYATETERDEARRRRDERRKRSTRPASDG EGFGLGPDPAVVGAFPDTEVEDAEARRTARRARRASRQAPQAAADELREAERREGEER RARRREERARREERAREREEQERQLREEEERRREERRARRAAREERIRREEQEAREEE ARLAAERRERRRQQEREREAEMHDYPGYGYERQRRHRSRVDDRDPRDFYLDSHEPEGE RERIRFIHRYKDDRDRDREADRSSRSRRKSRAADPTKPTPMPLGARKDKTSSWVDSQA DEPPEPPPIVPTVLDMPPGPDEPIPGHTMSSDEEARRELRKQSRRRSKYPGLTDQEIE EIRARRREARRAEREREREHGKTSSSGDYERDRGMRYDRDRSYGEPPKRPSWLKKLTT FG ANIA_01267 MPLKLIRRGGSILRNFPGTGSLARPVRVFSPQHRVRCITFVARR SPISVTRGLPCSSSTVTTLARSYATHDGPSEEASSKSTKSTKTTKTKKTKKKAGKKPA VRKTRKVLTEEQKEARAQRRKAEELKETKRKLKAAALQTPKLLPTLPWVNAMKDKLSE VDKSDNPSPKEAFSRATELARRATPEERQRYVDQAAANKAANEAALKAWVDSHTPLQI LEANNARRRLAQLQGKRRVSIIHDDRLVKPPTSAWIYFFMEKRDKNALVVSDMAQDVA VQWKNLPASEKAPYLEKANADRARYEREYLEVYGQPVPKLKGNKKSSKDE ANIA_01266 MARHGDTRSPSPVGSTYSSSRRSRRDDDRYERKRDDGRSYRRSR SPERRYRERDRDRDSYRRRDHSVDRRDSHRDEDNYRRRDRSRDRRRSRDRDHDRDYRR RSRSRDRDYRSRRDDSRDRVRRRTDDSADLKRKSRRDDSRDRTRGAEPKSREASTPAI PTRTGPTDDEKRAERLAKLEAWKQKQAAEKERKQKEAEASGGPRNILEEIDRKSGLSP AVSSPQSPATQGVDAAPAAYAGKFDPKAIAKNAAQTPAAPSVLGNDVAVPSSAKTSNA QTARVQASKASGNAPSPAVLKAKGNVGSFGLGTKQVADNEKSIATKTLGFGEEESTRR KLERLPTPPLDDADASKTAETNADDDDDVDMQDGETEEDAAAAARVAAERREERLQNE SLTKTTNGNTTAKAEEADKMEVDAQEEELDPLDAFMSELAESAPPKKKAGAKFSKAQE PEAIFGDEHDVSMTAVGEGDAEDFLAIASKAKKKKDIPTVDHNKVEYEPFRRKFYTEP SDLAQMSEEEAANLRLELDGIKVRGLDVPKPVQKWSQCGLGIQTLDVIDKLGFASLTS IQAQAIPAIMSGRDVIGVAKTGSGKTMAFLIPMFRHIKDQRPLENMEGPIGLIMTPTR ELATQIHKDCKPFLKALNLRAVCAYGGAPIKDQIAELKRGAEIIVCTPGRMIDLLAAN AGRVTNLRRVTYVVLDEADRMFDMGFEPQVMKILSNVRPDRQTVLFSATFPRNMEALA RKTLTKPIEIVVGGRSVVAPEITQIVEVCNEEKKFVRLLELLGNLYSTDENEDARSLI FVDRQEAADTLLRELMRKGYPCMSIHGGKDQIDRDSTIEDFKAGIFPVLIATSVAARG LDVKQLKLVVNYDAPNHLEDYVHRAGRTGRAGNTGTAVTFLTEDQERYSVDIAKALKQ SGQEVPEAVQKLVDSFLEKVKAGKEKASNSGFGGKGLERLDQERDAARMRERRTYKTG EEGEDEEEKDEKKNEQAEEQFNKVLSAVQSTSAQLPGVPKGIDLDGKITVHKREVDPN APNNPLDKVGSAVADIHARLSRAGVMRSGVPIDNRGPDAGAFHATLEINDFPQKARWA VTNRTNVAKILEATGTSITTKGSFYPAGKEPGPGENPKLYILVEGETELSVTNAMREL MRLLKEGTIAAVDSESRAPASGRYSVV ANIA_01265 MPQASPSESGCCFCPLSPSHQRPRRLSLHTKEIDAFLLLSCSFI EHSSKSGSDSSPKNPGKMATHTRKDDFCLECHWESFHLDGKSSEDSSVAPASDYCPDK EHNASESHVDGACCDTDNCSITCPSVCDGFVDCHDDDHQDPCSASRCEEPHCHDPAPI CFDEHCFDLNHSPQVADHSVESLFGLGIPMSSDKNDFTLPLEQCQAEETSRAAEHAAA EVNAHMCAGIFFNPDSIAITPCHPPHSYHHDPHSAGISHIPSAQNMLPPSLPMQNDTN PSDVFHMLGMCPDYSNHFHVHEASNCPENLDSGATSNSFTCLHLDEDSINSLMKNPIY TSNNLPAKGPCRSNHRCRTHYLAHAHHYSPYARHSRSSFSSQTLPSPGETPPPLDGGI SSVITSPDFPPADGEIHVCKWVSNSHGVKASCGDTFSSPCALQEHLVSQHMGPVNGAK GTGYYCCWEGCGRPGEPFSQKSKLQGHFLTHSNYKNFRCSVCGKLFARQATLERHERS HRGEKPYKCTVCGKSFTDSSELKTHSRTHTGEKPFKCTFPGCTFQTGDSSNMSSHRLT HGERRHKCVHPGCNKSFTRPDQLKRHMRSTHKTELQIPTPLLGSTSPTDSQLALQATF GMA ANIA_10174 MPPRPVRLSTSSLRTRGFRPVRAGIPLRSISVIISQTPKPNFLS TPLVTSFRSTAITSHRQFSSTPLSSAPASSTSGRSQADLIVEELQELYEEATDEFEIA TESTDSSTIYAASDRESARDALNNLIVAYELYTSPSLAAEKKDEQGQDQGQQQEQEDE GRLVKLEFDPAELSEEVREEVRKRVGQRVRELKSAVEALEGRAHD ANIA_10169 MSRPLEGKFGIVTGGSRGIGEAIAKNLASKGCSLLLNFTSESSR SRTEELCKQLSTAHSIRCVSVQADLSKPEEAVSRILTAASEQFPNTTIDILINNAGVS ADRTLNDTEKGPIDADYFNWHYNINVLAPLLLTQAIAPHLPNNRTGRIVNISSVSSSL GFIGQSAYGGTKAALEAMTRTWARELADRATVNAVNPGPVSGDMYFNTGEGFWKQIQG FQDGTPLSKLVDDVDRDPVLKEIGLSDEQKRLVREKMGGRRPAFTEEVAGVVGMLCTA DGGWCTGSVVCANGGMKFTV ANIA_01263 MAAPAQKFKVADISLAAFGRREIELAEIEMPGLMAIRAKYGPAQ PLKGARIAGCLHMTIQTAVLIETLTALGAEVTWTSCNIFSTQDHAAAAIAAAGVPVFA WKGETEEEYNWCLEQQLSAFKDGQKLNLILDDGGDLTSLVHTKYPEQLQGCYGLSEET TTGVHHLYRMLKEGKLLVPAINVNDSVTKSKFDNLYGCRESLIDGIKRATDVMIAGKV AVVAGFGDVGKGCAQALHTMGARVLVTEIDPINALQAAVQGYEVVTMEEAAPLGQIFV TTTGCRDILVGKHFEVMRNDAIVCNIGHFDIEIDVAWLKANAKSVQNIKPQVDRYLMP NGRHIILLAEGRLVNLGCATGHSSFVMSCSFSNQVLAQIALFKAEDKDFGQKYPEFGT SGKKPVGVYVLPKILDEQVAYLHLSHVNAKLSTLTPVQAEYLGLDAKGPYKADHYRY ANIA_01262 MPGVLTETLAVNDGPLTSENAALLRPSDPSLPIEELRARYEADG YLFLKGLLPRDDVLETRRQYFSYLSPTGVLKEGTDPVEGIFNPTKNPEHYPGIGAGAV GGNGRPGGADADEFVDRAVEAHYKDWYVEKLCHHPVLYDFVARFSGWGKNTLTFQRTL LRNNTPGTKPIGVHYDQIFLRHGEPTSVTAWVPIGDVKLNGGGLIYLEDGDPVGLMIE EEFTTKAINAGLTQEEARSAFNSNMMATGLLSEFPVEFAKQHNRRWLVSAYEAGDVVL HKPHAIHASTINKDPEGVIRLATDLRFCDSSKPYDKRWMNPYRFGDGV ANIA_01261 MTAASVTTAALVATDGGPTLPQAQLSAIPEPPAMPTAVGAPTGF HVEFQSAPVLNPLEDEPSKAQGNLLIESPYITREHLLDLSTLDTQNRLLAQALTTLTP VRPDYATAPYTESFNWDFVFLKFYSLAAKEGYSLSRQSFYVVVFRSVLQANADGDRLL LLDERSHAEAVSSGGLLKYWFGVKNGRRENLATCLWRNREDARAGGTGPWHAQARAAA REMYEKIEFTTLELVVGEKAGEWEFREWKH ANIA_01260 MSNAEELLRDFEDDEDFEGADGVEAIEEDRDEEQLLQEPAKAVT NEFEVALSTADELTRLHKSLRDHYSIRFPELETLVTNSIDYAKTVAILKNGPLTDIKA LSTSADNMVGVPLKSILDGPTLMVVAVEGTTTRGREMTEAELKVVMDTCERILKLDRE RTALTQSIQANMNQIAPNLAALVGPETAAQFLNQTGGLRELAKIPACNLGAQGSKRKD GLGFATNTSIRSQGFLYNSELIQDIPNDLKRQAIRIVSAKMVLAVRADVSKYSPDGSL GEDLKQQCFQRLEKLTEPPPNSGTKALPAPDDKPSRKRGGRRARKAKEAVAMTELRKA QNRVAFGKEEAEVGYGTGEGTVGLGMLGQQNDGRIRATQIDQRTRAKLSKSNKGWGAA TPISGTASSLRTFGQGPSGTASVLQAKGLRSSGIGTSFGGAAGTASTIAFTPVQGLEL VDPKVQAELSRKRKAEEDRWFKSGTFTQVGAQNTSKNSSETANGGFKVPALPTKKVDT GAGKMGPPPPPAK ANIA_01259 MEASRGPPRVKNKAPAPVQISAEQLLREAVDRQEPSLQAPTQRF ADLEELHEYQGRKRKEFEDYVRRNRINMNNWMRYAAWELEQKEFRRARSIFERALDVD STSVPLWIRYIESEMRNRNINHARNLLDRAVTILPRVDKLWYKYVYMEETLGNIPGTR QVFERWMSWEPDEGAWSAYIKLEKRYNEFERARAIFQRFTIVHPEPRNWIKWARFEEE YGTSDLVREVYGLAVETLGEDFMDEKLFIAYARFETKLKEYERARAIYKYALDRLPRS KSITLHKAYTTFEKQFGDREGVENVILAKRRVQYEEQLKENLRNYDVWFDFARLEEQS GDPERVRDVYERAIAQIPPSQEKRHWRRYIYLWIFYALWEEMEAKDIDRARQVYTECL KLIPHKKFTFAKVWLMKAQFEVRQLNLQAARKTLGQAIGMCPKDKLFRGYIDLERQLF EFVRCRTLYEKQIEWNPSNSQSWIQYAELERGLDDTERARAIYELGIDQPTLDMPELV WKAYIDFEDDEGEYERERQLYERLLQKTDHVKVWINYARFEINVPDEEEEEEEEERPI SDEAKRRARAVFERAHRVFKEKELKEERVELLNAWRAFEHTHGSPEDIDKIEKQMPRR VKKRRKLDDDRYEEYMDYVFPADDQSAANLSKLLRMAHQWKQETAGGQQ ANIA_01258 MLAPEPPVKLEGHCSVIHDNTLYTFSSNGFAYIPLERNGTWSPL NTDGAELVSDAVCVMGGVDGNENKTALYVVGGTSSKSNPPGIQRFSLEDKTWKTLNVA VDNLANRTGHSAVYLRSISTILSYGGSTTDGSRPSSETFTVITKPTYSLDSGTGYSSP AVWPPLLRWSDDTAVLFGGPDTPEEPRFWNSDQEWHNSGYPSLNLTFPTGVKAALFHN SGETRMVQAFDFNQSPVDVSFRVLSPKMSQASKKRKRDDSPTYDGTLAPDLSDKSYSF AQGDQDSPLVVISGGSGTDTLSIFNQTSYSWVNTTQLFYGDKSEQEILGTATSTPLSP TATPTESPTVADSTSDDDSEANIGTILGAVLGSLAGVAIILVLILLYIKRKKEKARQA DGMGKDRLSFQDQGVEPLTRSAYPMAESPAPKAAASVDSLAIFSGNMGDEKSPRSAGS LPHYMQKTQPAKPSPLNNIQSSDDAGYGPDDKAIEAGQSPVRRTTDEGWGKYFQDNST PTLVGVQSPYDSTRGSKATIWPGTNNALPPLQTSFLQEPTPLGRVNSGSPTTEVGRHI VIPESQSARISSASSASFNSDDGGHDEAVREQSWLGRPPSSAYSRSFYNPGSTRDALS TMAPSTVAPSVDYRRHDSHRTNTRGSSVLIPDGQPLPRNNVNSDMSWLNLNADR ANIA_01257 MSGNISTNSNPNPNPKKVWTTLITNSSYIPGLLTLEYSLRRCES KYPFVVLYTDSFPISGHAALDARGIAKKHVPYLLPSIPKDYTNDVRFYDCWSKLTPFS LTEYERVVQLDSDMLILRNMDELMDLQLDGPEMKGEGSRVFGAAHACVCNPLKKPHYP PNWVPSNCVYTDQHSHPELASHIAPPASAALGIPNGGLQVVNPSLEIYNKIIAQLGSA ATSSYDFADQSLLGDLFAGRWVALPYVYNALKTMRWRGVHDVIWKDAEVKNVHYILSP KPWEEDPEGRDQDQEQDGVEIRVGNSDTKDPLHDLWWKVNRERLREEKRRGINDRF ANIA_01256 MPRPGQVLGLVGTNGIGKSTALKILSGKLKPNLGRYDNPPDWEE ILRYFRGSELQNYFTKVLEDDLKAVVKPQYVDQIPRAVKGPTKNVGDLIKARAQLDNM EATLDNLELRQVQNRDIDHLSGGELQRFAIGLVCVQKADVYMFDEPSSYLDVKQRLAA ARSIRDLLRPDDYVIVVEHDLSVLDYLSDFICVLYGKPAVYGVVTLPSSVREGINIFL DGHIPTENLRFREESLTFRLAEAGDDFVIDKARAFRYPTMEKTLGNFHLKIDAGDFTD SEIIVMMGENGTGKTTFCKMLAGAEKPDGNVSIPRMNISMKPQKITPKFQGTVRQLFF KKIKAAFLSPQFQTDVYKPLKIDDFIDQEVQNLSGGELQRVAIVLALGMPADIYLIDE PSAYLDSEQRIVAAKVIKRFIMHTKKTAFIVEHDFIMATYLADRVIVFDGKPSVDAHA NAPESLVTGCNSFLRSLDVTFRRDPNSYRPRINKYNSQMDQEQKLSGNFVILPRGREM KRASQRDMTHQRLGYDDVPGAVLSFSAMRRERPRRMTKRARLQRQAWFDLKQECGS ANIA_01255 MVIPSTSEPGVTSAFANGYSVSPVTDAAGLHNELAANDHGNTVF QSPEDDAVSESSDNADPADDSYNTDSPDAEGEDDESGTENSAKASSPPVAENSSSPQT TRGTKRKSPSVDDADFIRQNPDLYGLRRSGRARTTRRVAESSSESESDDVAPRSKRRR PLASQISKAPTRSATQSTFSDDSDSDEYGGSRARASKAKRRRLLQASANNVPSHAEVR FSTRNAAKVSNYNEDDDDSMFEDDADDLTPNYWVNTVEDDRPAIDIVLNHRPKPGVDP SDPDIDRHQFEFYIKWQEKSHYHATWETTESLANCRSTRRLDNYVRKVLAEDLRLNYD EGVPPEDREKWNLDRERDVDAIEDHKIVERVIAMREGEDGTEYLVKWKRLFYDSCTWE SEELISNIAQREIDRFLDRSSRPPVSDKKESHPSSRKPFEPIKGTPSFLHNGQLKEFQ VKGVNFMAFNWVKNRNVVLADEMGLGKTVQTVAFISWLRHVRRQQGPFVVVVPLSTMP SWAETFDNWSPDLNYVVYNGNEASRNVLKEYELMVDGNPRRPKFNVLLTTYEYVLVDS SFLSQFNWQFMAVDEAHRLKNRDSQLYIKLQEFNSPARLLITGTPIQNNLAELSALLD FLNPGLVNVDADMDLNSEAASEKLAELTKAISPFMLRRTKTKVESDLPPKTEKIIRVE LSDVQLEYYKNILTKNYAALNEGTKGQKQSLLNIMMELKKASNHPFMFPSAETKILEG STRREDVLRALITSSGKMMLLDQLLAKLKRDGHRVLIFSQMVKMLDILGDYMEYRGYT YQRLDGTIPSASRRLAIEHYNAPDSSDFAFILSTRAGGLGINLMTADTVILFDSDWNP QADLQAMARAHRIGQTKPVSVYRLVSKDTVEEEVIERARNKLLLEFITIQRGVTDKEA SEIQNKMARGGITLGEPNSTDDISRILKRRGQRMFEQTGNQAKLEQLDIDSVLANAEL HQTEQAEGIQADGGEEFLKAFDYVDIKVDDLTWDDIIPKEQLEEIKAEEKKKADEKYL ADVIEQNRPRKRIVAGDERDTRAERKAKRQARVQVSMNDDDGDDSEPNAKADPKRPLV EKEYRHLLRAFLRYGDIEDREEEVIREARLTERDRDTVKSALREITDKAAELVREDVA RLEALENAGKVLTKKERKAVLFDLHGVKRLNAYTIVERPTEMRVLKEATLAVPDFRNF RVPEATKAPDYSCSWGAREDGMLCVGISRHGYGAWMQIRDDPDLGLGDKFFLEEHRVE RKTERLNAEDKSTKSPGAVHLVRRADYLLSILRDKASNGSNTTAKRAVDNHHRNARKS TSRPHASASVSASPAPSLQRKGHREQDRSRHRSHTHGGRDSMERHNTPNRDSRARSIN ENDRRYRPSDASSEDVRRRKNSENGHSASKEDMSRRFFKPIRDDLKKVADVTKENFPN KAERASELRRLLNKIGDFINSNLQGENTGSLSSLETRLWHYVSVHYWPNKDAGGAKLQ EMYHKLIEVHKKSAEHTVASKGD ANIA_01254 MADSPGSPLSSVASDDMSDRDDPKQGVSTPSSNMPPSKRRRTGM ASWDRNTPVSTSFQDELPPPPSPSSSISSDTSGEIPNSPNTLSLIGANQDEDYSGFCN DQVTVCRWEGCDFKDLGNMDDLVQHIHNEHVGSRQKKYSCEWSDCTRKGQTHASGYAL RAHMRSHTREKPFYCALPECDRSFTRSDALAKHMRTVHETEALRPSDPVPKRHDILSS GGTPVGTPASKLQRIKLKLSHPPRDDSEQYSEGVNDDTAGTEDLDEFEVPEFSSDTGF DDHELEMNPHQLYRLLRRQIHWAEKEGADLKSTWEKIRPKRKQAWLEKEAIFDDLMDA ELRLLSTLVSAEGATGQTSVVPANGMPENPAHPIAAAGYQIPP ANIA_01253 MTPQQSWATLVLGFTIFISPLTAFPIPIPAENAIRPETAGEIQA RSAVPDPRLIPNETDLMAAILAGLGMQELEKFNKLHTDMNGQDSGATMVIDDSRASTP TVTELENNVDNGKEKGMDTAKDDANAAKDPEGFVDTLFEVLKKKFREAINGSDEIGLV ANIA_01252 MSAQNEPQAEAQSPSSGEEGSSPLGSSLYQTGLQTRQSVLGSAH VNRSLSNSNAFTFPMQEAITEFAWGSIWNRPGLDRKQRSLMNIGILIALNRQLELGVH VRGAVRNGLSELEIREAVMHTLVYCGAPAAMEGMRTVDKVLEELEREGEIKRELK ANIA_01251 MSSCRSSFVSILNNDDNPAFAVRSTYGIPTHYPTSSQYQLEPPS AKSTSEYHYGRTYSDSPSPRVMRQAFDPVTEATRAASPGSSDCSSYDYTASSGTGSYY HPYARHDPYAYHPRPDKRLSGNSMAEPPSPQTSIASTSKESVGAKPARKNKYPCPFAA SHACTATFTTSGHAARHGKKHTGEKSVHCPICNKAFTRKDNMKQHIRTHRTHSEEMSA NSTSRSSDASREWAARRTNRLYDH ANIA_01250 MAYYDSRPPYPPAEYPETPYYYGTTHGGSHSTAVMPHANPAPTG GTRDAYYRDHPARDAYEYGHGDYDSKRSRKSRHKAHSADHYDDPYDGYESRSRRSRHH DERRREKYDYSPSSSRSPPRRRRSLSERALGALGLGAGAASAGSKHRDNERGRSRGRH HRSYSYSPSPSRGGHHHHRDKSEARIAQAVKAALAAGAIEAFRARKEPGEWSGAKGKR VLTAALAAGGTDGIVDRNPDKHSKRHILESTLAGLATNRVVNGSRSRSRSRRRSRSRS RSKGRGKAKDAAIAGILAAAGKEAYDRFQKSHSRSRPRHRSNSRDSYDSYGSRPRHKR SKSVSDYINKGMAALGLDDKSDRSDKDDRRRRHHRSSRYDDYSDDGYSSDEYYRRHPS PPRTRHSRDVSRAYYLPEPGARAEGNYDQTTVGHYSRPGSQSTSTQDQSRDTKQIDSD TDDSTDDRKKLSKLNRETLWATGLAAAATIHAAHSLTESMEKHKERAKQVREGEMSRE EARHRRRKNQLSDIASVGVAALGINSAIAEWRNFDHKRRERAEMHKVCKERSKSMSKG HSRSNSRSHFDSYSRPQRTQSLNHQTPRTIYPDEVEENWSVSDRRSMRGRSPGVMI ANIA_01249 MNPMNAPTGPASLWQEARTPEGRAYYYNVQTKATQWTKPVELMT PVERALANQPWKEYTAEGGRKYWSNSETKESTWEMPEAYKNALAQAQAAQPPPAAGPT FVAGGVSSLSSYPQARDRDDYDRGYNDSHGGYSASDANAIAAGPPLGATQEPDYGSLE EAEAAFMKMLKRHNVQPDWTWEQTMRETIKDPQYRALKDPRDRKIAFEKYAVEVRSQE KDRAKERFAKLRADFNTMLKRHPEIKHYTRWKTIRPIIEGETIFRSTNDENERRQLFE EYILELKKEHAEKEAAARRAAMDELVGILKSMNLEPYTRWSEAQAIIQSNERVQNEEK FKALSKSDILTAFENHIKSLERAFNDARQQQKAAKARKERHAREQFVELLNELRSKGV IKAGSKWSKIYPIIREDPRYLGILGNSGSSPLDLFWDVVEEEERSLRGPRNDVLDVLD DNRFDVTSKTTFEEFNSVVSSDRRTAKIDPEILQVIFQRIQEKALRRNEEEKHAADRH QRRAIDALRSRLKRLEPPLRSTDTWDQVKPTLERYDEYKALESDELRQIAFDKVIRRL KEKEEDAERDRERDRDRGSRRDHHHDRDRDRDYRSYRGERRGPASRHSRTPEPDAYEA DRRKAQADRERSYRKAASGLSPVRERWDERDRDRERDRDRRDRDRDRDRERSTRSLSH YERERRDREEERERLYRTRGDPRGSRDELDYGGDSRSATGATASNERRRRRDSDTESV ASRSTKRYRRDSRDRDARKDRERRERTPTSAVPGPAADEAKEEKAVHSGSEEGEIEED ANIA_01248 MQFGTDEFCTARIVLRLANCSQNSLKAHLQNLEVRLDAFAIDPA EAVAENPTPTRDLIFSGAADTQADPLVVVNEFEGETGGGNHVYVIWNIETFLKRPRIR IQHPSVIFIASASLNPANTRQHDAREDVYLPSLVPASTNVLQPLTTDPAFDQQEPFLP ASRLLRVVPAKYSDDPIYHVLQESGHPTRIVPAASARIRYSRLNSFCGRPTTIASLDF EVTPFLTCEVIFDKADLRLSNGFIEMLTNGPGLVPPVTCSPRDDVTLIYKLTPESGPD SRDSTTVSVSSLDISLEAVIKVSENCNPRILMQWTTNIDFSMALNPNFGAPSQALQRT NRPTSLSTFPSQGGAMSGGSQVNRTSLRERAYSATDLGVTMSFSGPPSVVVGKPFSWS VFIVNRSSAPRKFAMVAIPRRKVPNARGHVARPSSSSMSNRRSDQVAEAVTDDNIVHA MQKSVAGQEADLVSLSTDVRVGPLLPGTCFATELKFLPLAVGSLRLESKCDFSVEFDC IHQEALSNNQPKTCVASYSET ANIA_01247 MFSTTRRRVLDGLNRRYIYGRLPLLHTIIFMIEMAATARLAAKF NSYYAEKPVLTTMVTNAILGGVADTVAQLITAFRARTGVRRGDDFIAIEIHDLEKEKP PAVGELGHAKHTPPPFDFERLIRFMSYGFFMAPIQFQWFGFLSRTFPLAKKNPTFSAL KRVACDQLLFAPFGLVCFFSYMTIAEGGGKRALTRKFQDVYLPTLKANFVLWPAVQVL NFRVVPIQFQIPFVSSVGIAWTAYLSLTNSAEEE ANIA_01246 MSLTSKLSITDVDLKDKRVLIRVDFNVPLDKNDNTTITNPQRIV GALPTIKYAIDNGAKAVILMSHLGRPDGKKNPKYSLKPVVPKLKELLGRDVIFTEDCV GPEVEETVNKASGGQVILLENLRFHAEEEGSSKDADGNKVKADKDAVAQFRKGLTALG DIYINDAFGTAHRAHSSMVGVDLPQKASGFLVKKELEYFAKALEEPQRPFLAILGGSK VSDKIQLIDNLLPKVNSLIITGGMAFTFKKTLENVKIGSSLFDEAGSKIVGNIIEKAK KHNVKVVLPVDYVTADKFAADAKTGYATDEQGIPDGYMGLDVGEKSVESYKQTIAESK TILWNGPPGVFEMEPFAKATKATLDAAVAAVQNGATVIIGGGDTATVAAKYGAEDKIS HVSTGGGASLELLEGKELPGVAALSEKSK ANIA_01245 MSNTQSQDTKQQEASQQPQQQKPTVLEEDDEFEDFPVDDWPENE TEQATANGNNVHLWEESWDDDDAAEDFSKQLKEELKKVDASSS ANIA_01244 MFIRATLGFEQDVMRQAADRLNEAENKAYQDQQRTKNHAQAPGI YHSEIYAPGTEFVLIQAMAQLMGAVVCVLNESLTESIKGFYKLRKAYFALDAILKMEE KFVQSKQLGTANTPSPTPSLKGADSSVKSLNLTKGLSDLNVNDVGSSPVSSSTNTSEV INHDPDSDIFKNQIDVFVHSGANFCYGVLLLLISMIPPAFSRLLSIVGFHGDKERALR LLWQASKFHNLPGAIAALTLLGYYNAFVRYCDIMPDAVSGSDGDTQGYPQERLEALLA RMRERFPKSQLWLLEESRMNGANRRLDVALELLCTEVHSPLKQVEALKIFEKSLNALY IHKYELCSEAFIECAEINSWSRALYYYIAGTCHISLYRELATTDPKKAKEHAETAVKL LHKAPQYAGSKKFMARQLPFDIFVVRKIAKWEARAKEWNVPLIDAVGVDPVEEMIFLW NGHSRMTQAQLEESLKRLSWSESDANKTWSREGPEEKGIYQLLRAAVLRSMRRHDEAK DILQDSIFTLDRSVFKGRHKDDWVHPVAHFEMAANLWMERPTYIAQHGGPTPRDSEEN PEQFERDRVRECKDNLQKAARWESYEQEARFGLKVTAALEAVAKWESQHSTKLE ANIA_01243 MELSKTEREGSELQPNRSSTSSDDTDSESLDDHNQDFNALQMQA TVVSSTSFEQRAQSVISRIRSREPGQVARFTHPLSHTKTSDDVIVDFDGPDDPYQPLN WGFRKKAITTVLYGLTTMGATWASAIYSTGVDQVSSEFGIGEEVSTLGTTLLLFGFGL GPLVWAPLSEVYGRKPAVLGPYFIAAIFSFGSATAKDVQTLMLTRFFTGFFGAAPVTN TGGVLGDIWSAEERGAAIVGYAMAVVGGPVLGPIVGGAISQSYLGWRWTQYITGIMMM LFLTLDVLYIDESYPNTLLVYKARRLRFETGNWALHARHEEWDVTLRELGNKYLIRPF ALLATPICFLVALYASFVYGILYLSLASFPVVFQELRGWDQVVGALPFLAYLVGILFG AGINLANQKFYISRFKANHNRPVPEARLPPMMLGSVVFAAGLFIFGWTSQVDIYWFPS MVGGACMGLGFFTIFQAALNYLIDTFQTVAASAVAANTFLRSVFAGCFPLFATAMFRN LGVPWASSVLGFVAIALIPIPYMFYVFGPKIRAKGKWSRASVD ANIA_01242 MAQQAQCLLPSFGTISDGPKRPVSITTKTTPSQSAKLLSAYKND SLDPLLKTAWGLLLYRYTGLQDVCFGYKHDDAGALVSQTSDAGRLLTFRLTINEHDTI KTILEKSGGGYGCQTDIGVSGSSNANNDNYSSFNTVVMVRVCGDSTKEETFVRPVFQS ILPEECRARLHVKVLQEDICIFLEWWNTDISTAQMESVARYFEHILNQVLYSDDTVVA NADCFLEQDWARICKFNSVIPETYDRCIHDVISEQVRLHPQREAVCAWDGSFTYGELD VLASELSYRLKGYGVRPETFVALCFDKSKWNIVAMLGVLKAGGAFVPLDPTHPTPRLR SLVDSVNVNIMLCSRNRAEHLSKVVNNLIPLDEQSFGKISFPPRGYLRQEVKSNNAAY LIFTSGSTGKPKGTLLEHRAFVSCVFAYAPLIHGGCVCVPSEEERLNDIVQAINRMNV NFICLTPSFARFVNPSSIPQVNTALLVGEAMSRTDLEAWSHIKLLNGYGPTEAAVCAA INSTMDINSDCRDIGLATGTHFWVVKPNNHDQLVPVGCPGELLLEGPTLARCYINNPE KTDEVFIYNPTWARHDPKRGDRRFYKTGDLVRYNSDLGSLTFLGRKDSQIKLHGQRIE LGEIEHNISTLPLVKHGMAFLCESGPAKGRLMAVVSLNGELSSNTVPFKLLPPAERTY AVTELRQQLSKRLPTYMIPAVWLCVEALPLLPSGKLNRREIISWATNKTDDFQGGASE SSGVETPKAAHSEVTVEDRLKSIWSRVLAIPRDRISLDESFLALGGDSIAAITCMGYC KKQGMGVTVQDVLQSKSIRDLVTRVQEIKHLVKYQEETEEPFGLSPIQKLHFMIRKEG QGYFNQSVRTRLSRRLSADDLRHAIQVIIERHSMLRVRLIKDTLVGNLRQRITRDIDS SYRLRVHNINHQAQMESAISVSQSCINAFQGPIMAVDIFYTEDDCFLSMVAHHLAIDI VSWRIILEDLEDILLRSEDKTIYTSSLPFSTWCHLQDERTQTFGSYLEDLPIPDAAYW GVENRVATYGDAICETFELGLDDSKSILMECHKSLATEPVDILLASLLHAFGQTFRDR SLPAIYNEGHGREAWDSSIDISRTVGWFTTVFPIFIREQIPDDPVETVVLVKDIRRSV SDNGRQRFASLMSASTKDEKREFLCPMEISFNYVGQHRDLQRQDGLFQLMNQMAGETG QGGGASDFGKETPRFGLFEISALAVNGRLRFIFSFSKYMRHQKRIRAWIASCGDVLRS LGKRLQTHAKRPTLSDFPMLSLTYPDIESMLAKTLPSLGVSSPELIEDIYPCSRMQQG ILLARSRDSSLYAVHDTYEVRGFNGKPDVARLAEAWRMVVSRHAMLRTLFVENLTSRD LFSQLVLRNCEPSILYLSCPTDDDVVSTFNSQRPEIYNEYQPHHRLTFCETASGRVFF RLELSHAAMDGVSISVILRDLQLAYDGKLDQNKPLFKNYIQYLRNTPQDASIVYWKNY LADVKPCLFPTLTDGKIIAQKQLKVLRPKFNLFNDLQTACEERRLTLSSAFTAAWGLT LSLFCGSNDVCFSYMTSLRDALVDDIESVVGPVINLLACRVKISEGDTLRDIMQKVQN DCMEQLAYNTLSLIDIVHELRLSEQALINTGISYQRVTKMQMHHTTGINLSRVCAIQD PAEYPLFVNVVASDKAAEIEVNYWTDTLSDEQAESVSSTFFKCLENIVRHLKEQVGQL EVLSDWNKQRIRKWNKQLPEEVDMLVQDIIQEKMASQPDKPAIIAWDGTLTYAELEYL SSCFAAYLQQLGVRRGTLMPIYVGKSVWQIVAILAVFKTGAICVPRDEAQLGDSVDKW LVDHGAHIVVTLPSLAGSLERQFPVVVPINKSLFEFLPSSSQENLPQVYPHDDSFIAF DSSDPHESSAVLDQRAIIARAASFASTINSNSGTKTFQYAPCTSDMFLQEVMGTFMSG GCLCIPRSDSLSQLSRSINETSANLICLTPLVASFIRPSDVPSIQVLVLFGEQSARNV RNIWSEKVQLYTFYGRTECSSTCIQVSGLDDLDTQSSIGTSVGCCSWVVDPQDFTRLV PVGCIGELVIEGPSVSRGYFCHEKQKKERFTEQDRGLMEPAKRPYTLFPGSRRKMFRT GYLVRYNADGTLVYLGEKVDSMDQTLQMIAFKIEQLLDVQGSAGYRCVAEILDLRIEE YPEPCIAVFILSTEKQQSNTIKQSTVIARKTNNSHMLMAKLHASLAASLPASQVPSLY FPVFGLPMTSLGKVNRPLLRKAVKSLSADSLTEYDLKKFGEFWRHQLEKPSLSGQHLL QPFPIQESPALKMVDKGELLVNAKESSNSAEQFLPQATMIPRRVQVNNSTSISGLLDQ TASCLVKARPYEKTPLSSIRSLNADTSQASDFDSALSISSMTSQQQSQYLRSLENAER LHSRFSACPIVVFCALEETGVSLEIRYDDRAVYRSQADRLLALFGECLNIFKSTTGLE EKVADLSKRGGNLQIFNDTIDYWKVQLTDIESCLFPDLSPKKGESRLGTETLRLSNAS KMQSACKALSINPNILLQTVWALVLRCYTGLEDVCFGYHVSTKKDSVNILPCRFNLND DLRLQDVMQKRKEDMESASKYQMPLFEILRAIGSENSPIFNTAFRYRKSSSNAAVFNN AVLDPVNEGLNEYLISVNASVSGSSAEISFDYQSTSLSETDIGHIIDCFECILNSILT LLGPSRVIRDVEFFGRQSCQKVSAWNASLPERPKRCAHTIIQDRVIAQPSAPAICSWD ENFTYSELDSLTTKLAYHLMDWGVGPEVFVGLCFEKSAWAVIAQVAVLKAGGAFASLD PAHPESRLRGLVDDIAAPIVLCSTRYLDKSSRICMAALAVSHYTLEQIPDSPATRSLP TLSVENAAYAIFTSGTTGKPKITVLEHAALDVASSCFAKTLGIDSNTRALQFSSYTFD VSILETIITLMTGGCVCTPSDDERMNDLAGAIKRMEANSISCTPSVISTLDPSSVPTL KTIFTGGEKLTEAQIMRWADRRFYNAYGPSEATIIATASLKVNRDGIRLDDDCNSIGT AVCGRAWIVDPYNHHRLLPVGAVGELVLEGYNIARGYLNNDKKTKEVFITLPRWLRDS GLRDVPKPTGRMYRTGDLVRYKSDKNISFISRMDTQVKLNGQRIELEEIEQQCTFISP ANTQVAVDIVVPETKTVAKALAAFITIAGHEAQSATPGLGVSSSLLLPLSDSIQRTIG QLHNSLGQVLPQVMIPRLYFPVRYLPLGTTGKLDRKGLRAMVQALPKEQLISYMISNV GSGRAVERAAESTLRDLWAKALEIEPGSISAEDSFFALGGDSFAAMKLVGAARSQNIS LSFATIYEHPVLVDMAKCCDDTEKPAERQRADLRPFTLVPGSIPLHDIMEEVSEQCSV TKDSIADIYPCTAVQEGLITLSIKSPGSYVARIPYRLAASIDLQRFKAAWQQVTDEFD ILRTRIVHIENTGFLQVVLKKERISWTLETSLDNVTDDTAEGSGALLAKYAIVQLGTD SRYRQCLYKLFIHHLLQRDMQQSDEFWKSYLDGLSCEPFPPKKNKDLSCSGAGSIHRA SVDISRKVGTTDTTVPELVRSAWAIVLSVHTGSGDVCFGETLMGRNIDMPGITDVVGP VLTTVPMRIRVDNKLPINQYLRDVRQIITTMIPHQHSGLQRIQKLSGDAALACNFQNL LVIQSDDSQLNDDIWSPVEQDTRGDFFTHPLVVQCQISGPRLLILANYDELVLDDWQT ERLIGQFSFVLEQLLSVPRDSLMTVGDIDITGPLDKRDIASWNQRQVTCVNKCVHEII RENAIMHPQATAICSWDGEITYEEMFQLASSFAAYLVICGVGPETLVPVCLGKSLWTM VTVLSVLLAGGAFVPLDPSHPTSRHKEILEEIEADMILCSPQLRSRYLGSVSTIIPVS EDTIKAYSTVTTSEKANASPTPENMAYAIFTSGSTGRPKGIIIEHRAVCSSVIGFAPV VGLNKESRVFQFASLTFDAAILEVLGTLMLGGCICVPSDDERLNDIPGAMQRMNVSWS FLTPSVACILEPSTVPSLQILTCGGEALSSEVVKKWTGHVKFYGGYGPTETVVFAVVA RDFVDHDFTCIGYGVPSTLTWVVQPDDHDRLAPLGAVGELVLEGPALAREYLKNPSKT TDVFINEPAWIKSFPSSLPSPRRIYKTGDLVRYNPDGSIEYLGRKDHQVKLHGQRMEL GEIEHRLLASENIRNAVVILPQKGPLRQKLVAVLSLKSLTVESSTIMTGSCELASQKD MLETGYRQIRTSQKSIEEQLPVYMVPQAWAVVKSIPMLVSGKLDRKRICTWLEQIDKS AYDRIMQDYDNVDQVIVEEENKGEREGDATPAIIRDIFAQVLNLPLNKVDPSRSFIYL GGDSISGMAVVSKARKRGLNLPLNRILQAKSIEELAVSCGTKPLPTKNVKESGSLFPL SPIQELFFRSASVLPKALGRFNQSITVRLARRTEPNVLEDAVRAVVQKHAMFRARFSK SSDGTWRQRITDEVDSSYKFCTHPVKNAGNMLSIIADTQSSLDIQRGPVIAADLFDKN GEQILFLVASHICVDVVSWRIVLQELEDFVDTGSIPSDVPLSFKSWCNVQFEESKRLN KSIEIPCQQADLNYWGMSRAPNNYGHVKMDSFALDKQATAFISGHFHEILGTETMEVL LAAVMYSFNRVFPDRDAPTIYNEGHGREPWNYSDPSGTIGWFTTLNPLHVEASSDLLE LLKQVKDTRRRISEHSRAFFAHNVLHSDSTDRTHMFSIPLEILFNYLGQLQQLERGGS TFQHYGDVFSAETMDSASDMGPETPRFSLFEITALILKEQLHISFTYNRNMRHQARIQ AWMAECKRVLEVELPKFRNVAPQPTLSDYPLLPITYHGLEELTASVLPRLGLESWRQV EDIYPCSPVQEGILFSQLRDPHEYIFNAIFELRQSGNKGSFDLARLKKAWSTVVVRHP VLRTVFIDSCCEEGSFDQVVLKEASDATVLIECDDLDALNKLEAVSLRSNKSLNLYHQ LVLCKTSTGRVLMKLEMNHVIIDGGSTSILLRELALAYSNQHPPGPGPLFSEYIKYLR EQPTAEALEYWKRRLSDMPPCHLPINASENGARQLGTHLVAFNRFAALQSFCEANSIT FANLILAVWAIVLRSHTKSDDVCFGYPSTGRDLPVPGIQDAVGIFINTLCCRVRFDTN QTLKGTVKSVQEDHIASLAYQRSSLAEIQHALGRKGEPLFNTCISIQNRSEDKTEIAG ISYEFQKAHDPCEMLGKGKPVTSWVKSHGAESPSDFPDIRNDVEESLQDLVVMMEKTP ASSTQTLNTDYRAPNDSEKQLWRLWSITLGLPPHPVKYHDSFFRLGGDSITAMRLVRA ARDEGLKLSVADVLKNPVFENMMALINDRKKSIPTTVTEKRADSIEKRVEDKPILTKC ESSQDISILRPMSLEFDDTSLRAAISPKVGVFKGGIVDVLPVTDFQALSLTATMFESR WMLNYFYLDGKGSLDIRRLRESFLRVVDAFDILRTVFVCFHGQFYQVVLRKIKPDIFV HETEKGLDEYTNSLQQRDREQSPGQGQQCVQFYVVRKTNSDEHRILVRMSHAQFDGVC LSKIMTAIKMAYEGSPVSPSSFLNYMRLLPGNITPEHYQHWGNLLKGSKMTQIVQRDR PNTFQHIGGFTQQSKVIEIPSTATENVTIATVMQSAWAVTLAKICAQDDVVFGLTVNG RNAVPGAENTIGPCLNFIPIRVTFKDCWTGLDLFRFLQDQQVANMTYESLGFREIVRR CTDWPESTFFTTSVLHQNVDYEGHMQLDNNTYKMGGVGVIDNLTDLTLFSKPVAGQPA QINVALGYSTKGPIHPSFVSTVLDMVCDTAQSLVANPNVALPSPSTIRSLPPQLVEDI PTTGSTDSLLSSLNNHSLSEILVHSDLITRIWQQVLPPKLNTGKPPSSYQLDSSFFGL GGDIVNVAQVVWILEQETGLHIRLEDLLAHSTFLGHMAVLALNMTKRDSAGVDSDAAP APAYAPVDARASRNVSTSRQQQEGLPLPAANAKSEWSALDRARVLAKKITRLGGLGTR V ANIA_01241 MSRGIRFLSSLRRSDPRFFTSSNHSNNPALANTASFSKVSQSGA FCLGVREIGIVQLGLTTQWARPSVSALRTDASIAVNAVLTSAFFLLSLLSYAEHNFST PPSFLLNVYLFITLLFDIAKTRTLWLWHIGGTSQIIAILTSVTVGLKLFLLFLESSDK RSILRDEYKAYPPEATGGIFNRIFFWWLNPLFRQGLSQSLAVEDLFVLDKQLSSKRLH LALEAAWNKGIYGA ANIA_01240 MVRGAIVSMVYRKACTLNLKDADPAESITLMSADIERIVQGWQT MHEMWANVAEIALAVFLLERELGVACVVPVGVTIVALMGCSVCMPLVAARQAMWLEAI ERRISATSSMLGSIKGIKMLGLQSFLMKFVHNMRIDELRISKRFRKLLVWNMAFAWIT RLFGPMAAFGAFVGIAHNRGQDSALDTSTVYTALSLFSLLADPLMSLVMAMMAFAGSV GSFSRIQAFLEKESHVDPRDEVLTHPFNPLKLSKQLTFVAQSEIAVSESGSSQFSKGS ASSLLHHIITVQDGSFGWNTEEEPSVKNVSIAVPSGTLTILVGPSGCGKSTLLKAILG EVPCQDGSIHISTKSVAYCDQSPWHMNASIRECIVAMSAFDEAWYTSVINACALTQDF EQLPCGDETIIGSKGISLSGGQSQRIAIARAVYARRELVIFDDVFSSLDSETENHIFH HLLGDHGLLRSLNATILFASSSVKRVPFADHIIVLEKHGYVTEQGSFSSLNAAGGYIS SFALNRAGVDTKISTMHKNEPSSVSEYPPQRASDVELESYRGDGDMSIYLYYIQSVGW LQTVAFAVAITGFVFCISFPSIWVKWWANSNETNPGKHTGYYLGVYAMLGGVGMLCIV AGTCIMKQANDSQNGTEVWGGFSQKTSEHGFKVTCSLFSQDLQLIDMELPVAVINTFA ILVLCIFSMIFMGIASKYAAISFPIVLLVIYAIQKIYLRTSRQLRFLDLEAKAPLYSH FTDSLGGLASLRAFGWQQALQEKQYQLLDRSQRPFYLLYAIQRWLTLTLDLVVAGIAV LLVILVVTLRGQISAGYVGVALLNVIMFSQSIKLLVTFWTNLETHIGSIQRVRSFTET VQSEELPAERDPVPPDWPAEGSIEFKSLAAGYRESEPILKDITLSIQAGEKIGICGRT GSGKITIDGLDLTRLPREEIRARLNGVSQSPLLIKGSVRLNANPTATTSIGTNTASDN AILSALKSVNLHTKVIENGGLDADIDDLHLSQGQKQLFCLARAILRSGNILVLDEATS NIDSKTDEIMQRVIRENFSSHTVLTVAHKLESILDYDKVIVLEDGRVIEAGVPYDLLA QENSHFSRLYYAGLNSDGREDESFEPL ANIA_01239 MLAVSPKIVADINLLAGYLSYPQLQLEDLSSISPADSIIICASM ILHQAESLFHALQENPSLTKTLVLCGGIGHSTQYIYEAVAQHSRFSSISNDIQHLPEA WVLERILDTFFDRAVITSQGCRILIEDRSTNCGENALFSRKVLDDAGLHNLHRCVLIQ DPTMMRRTVASFQKAYEERTEMPLFLSCPLLVPQVEGSKEPGGNLRYAMSEVRLWPLE RFISLTLGEIPRLRDDEDGYGPRGRNFISHVKVPVDIEAAWTRLRASFNTRR ANIA_01238 MAKIYKDTQVDLRPYSPSTIVNIPIPTQTGSQNRARFAISSLTD LAEPIAKDEDEFARRYIATQGSVYFRKRNVYPRAFLWRVVNESTVLEIQCVDLTKGGI ENHEYNITLRLDFQDKILPSGVALADLEDHDVLNLFVITASKELHTLALRPEFFRKPA SIDQNISDWCKSYIPAPLAFSHPHRLHASSPLELFISLDSGALLRLTRRAADDGSNWS PLTLDERTWGSSLRGLVRWNAQSSIKYGGRTLDTNVANAVATTSDQTYVFAVCLNHTL KIWNLATNKLVATKDLLGREMQQNSLTYSLNPAESSFIRVFNVERALDGGYRYYAVTY SPFEDGCFKFWAIKGGLTTPLEIEDLFPAATLRPLDPDSTGNMFWSIADFQVKPAEEG KRMELWVLWRNSGLYQLYTLHFNFESLVSDWANNWTTAASDTRRQELPPPLPISDVVD PTEKWLKFLLQPNRFPTEVLETSLTIYQEALKPLSSSSTSKKSTPLVERLCSTFAATV SLRKFEDDDMDFSRYRSDTDSKWRQFWQIADDLNKRRFEPLTLSYDVYYETPWVLLSD SCAVVRECSSTELLLHNPGPVLQSEGPKIADRWRHRNLESELGDLYEEASHLMTVASN FRKRFSADLNAACQSAIEAEIFAEPSSSVQDRMEVFRDRCDISEQISNQIYNDLISEM NEKLNIYMLSSDIFFKILGTIPLGFPGKDSDLLPTHFGVKVTVNGVQETIQFTRQILI DLLVLVVFIDAEVEQDKGSTFDAVELFVELVTLLREYEMMLWLSSNMRKETDKFSKAA EDFPNPSFSLKQLPSSDKSARITTILEDLFATDIKPRQAIGLPQSYILTLGIQDVLSW CDLIAKGNIDLAWDFLRFQPSTSWSTYVKGRLYVAMSELDTAALYFRKAAYLLSCGKP LGNLHEMSSTLLDIVSVDCFHNGLPKYFQHVLSIFEQVRAFSHVSEFASLALQALASE HGSEQDVEVARLKNDLLSRLFYASLQTCQFDQAYSALSRYKDSALQKSALNSLITSIL AASGSGSAGLEQILAFPTTLIPNLASHVDEILVSLAQKQPSTSPFDTKSRWTEGTVDY QRILQAYRIKRGDYRGAAEVAYHNVERLRQARDTSTHHLLSKAKAGDPLHHILEEDDP ESNEIRHELLSLINLLACVDKSEAYILVDKKEARSLTAHSNASLVAAAGPENDDENVF MDDADVPTARHGSISNLRFLSNKPSVSLPPPTSPAQGPRRRVIVTLDHLRREYQLELD RVNRIERGDWEFGLDDGVGFDLERNVADNVDTMPLA ANIA_01237 MTADMETQNEFDDSGLPGPGAPTPLSSLEGMAGLTSRDIKLFVD AGYHTVESIAYTPKRLLEQIKGISEQKATKILVEAAKLVPMGFTTATEMHARRSELIS ITTGSKQLDTLLGGGIETGSITEIFGEFRTGKSQICHTLAVTCQLPFDMGGGEGKCLY IDTEGTFRPVRLLAVAQRYGLVGEEVLDNVAYARAYNSDHQLQLLNQASQMMCETRFS LLVVDSATSLYRTDFNGRGELSTRQTHLAKFMRTLQRLADEFGIAVVITNQVVAQVDG GPSAMFNPDPKKPIGGNIIAHASTTRLSLKKGRGETRVCKIYDSPCLPESDCLFAINE DGIGDPSPKDLEND ANIA_01236 MFILSAMTCFYGSRSTFRVGDQPLVGDLAKVLASADWCPVTTII AFQVPTGSEHSCSTLTSVPAVKRTSGSLLLQMSTMATTTDSEILLSDCIMDRVHSYIR FDTCMCHWVVGASPEEMGFWKGWALWQKLSIALAFLLLLVLVYSFSVLTYNRRKIRKQ AAEEANQRAEDAEMGEAGSESNEIPFGAKALEKGIEVEGIWTMKRHSLVKPASISEKR KSSILGSLLRHKSTASSVQTPHISEPESINSSDPQRKSGSVESQAESVLESIHIDTFR SSRPPKLDIGGRYGQRSSENERPLSRWWFTSRSSWMKPVVGYKRSSVRDGRRRGSSED FRRRFSKLFDDTIAPVPHQPEMFQLTPIYQASSESSIGQRSADRIITPVAAN ANIA_10168 MAPFLNFLGKRSADPSSGEAETQPDTRLSDDSHRSTPLSLRKSQ ENETPEYKLSVVDCNGDYLPPSPPERESFWRRYSGKKSSSNHRNLVDENEPFSISRES FDSYRRSFDISARSPIVYPDNMPSRTSLDSRFSRITSTSGYSGQRPTPTMEEDQFEDV GLNDDDTESKPRRKGIFSRFGDLSGDAQTGGPKPSSHLGFHIPGRKKSTQAPPSSEMR AMKSPYAPEVTEVRE ANIA_10173 MVGVKRRVDTGDDRKGKRTKTKTAVSTKGKSAPVKAQKGSKSAT NGKDVKGSKKDKKALKKKAVEEEEDDEISEDDFDVDDIEDSEIEDVEDDVDMDDVDVE DDEDESDENKEEYKDKKKVNSKAQEDEEAKKTSSRESHIKQKALLQERKAAKPNADQI ARSKKLWERLRRKSHVPLKERKKLIAELFDIITGRVKDFVFKHDSVRVIQTALKYANV EQRKQIAHELKGSYVELAQSKYAKFLIGKLIVHGDSEIRDLIIAEFYGKVKRLIRHPE GSWILDDIYRTVATQEQQANLLREWYGAEFAIFRDNNTKDKKPTADLSKILEEDPAKR SPIMHFLLELINQLIQKKTTGFTMLHDAMLQYFLNVKPGTSDATEFIELIKGDEEGDL VKNLAFTKSGAHLMCLALAYSTAKDRKHLTRFYKDTIKMMAGDLHGHLVLLAAYEVID DTKLTAKLIFPELLNQNIADEEARNEELLYQSTDLTARIPILYPFASDPNNTNTKRLK WLLPEQDFAILDEIRSIRKETSKKDPAVRRMELIKAASPTLLDLITARAESLLTTSFG CQFLSEVLFDADDSDGKKHTALSAVATAAKSRSDTKDSPFVGRMLKSLVQGGRFNVTE KKVEKVSPPLGFDALFYEQISDEIMAWATGSNVYVIVALVESEDFEKKNELIKALKKG KKDLETAAKGEKGPTASGAKLLLEKIA ANIA_01234 MTNRRLLIFQETPIQHPQSPTNPLTPSPQGLPYQVQQSAQQFQY IPINKLGLPVQGPGSLPEGLGVGSLLNLPLRVISAFTEIFNGPKYKGWAIVAAGPYND PTLGVTGKFYAVVLEQTSPPDGGSSSGPGGL ANIA_01233 MVSAHQHPPPAIPPSPTLSNPDMILPFDDTERENSTPSPPFNLP SLSHLQSFYESRTNHANGYNNLDPTRTSAPRTHKQAFPRHTWMNEGLHDASSRRLSAI GEEDTTSPYRSGRNSQGSAVERHSRVLDSPVSMREKGDFEGAESRAHSSSSSSTISGA SETSSWDETKARADYVSAKEIRGSREDRRAAPAPSNSAQSTSNAPAANEKDDPDEDLS AIILESEAERILENAKRRLSLMEGNLTRARSTMRSTTPSLSSSPVPSAPSPGLGQPVG GLYQSIHRAADRRSSNLRPRQTYKSQVTSNNRHSRVYSETNLPSNPRDVGKTMSRSVS AMGSSTSSDFHNDERSFHYAPTRAYLTHRASVSSIQQNHLVPSVKERASSNSPSIEGV EEEEAKISNMEEFNTAYPVHDPPSRSQSQLQVRDLQDQMKGLHIKISTLKVKAQEDGL RRRSLQSLRTPSPLTAANHWPSEALQSSDGAIVAAFELTDHESDHSTAESLYEDAEED IDREALEEILREPLDDDLADGELESLPAVDDTPHEEREDAFDYEHFILHSALGNYTQT RLRRQSNASETSVETTRPINKRRSMRSIKHSRSNSNNSISTIATFATAAEGRDDIESV LYWDRKFNDEPEDEQTDIDPEPERNPRKSLAVESVASQRPDSAATGSATPTSLASSLV STVRAAASPHPNSTNSHLGINEDDTRLLEQLFKSLGDVCMNLQELTTSPDYDEKQAKL LRRRLEAARRVLDGELD ANIA_01232 MGRKPNQLILEFFIRGQKLEDASNRYQHTCKACGEKFPKGRIDS LTNHLVKKCTAIPLRDRQRVLLRLHELPDLADGDQNKDSNSAGQNKGKSGEVSFTTRQ NFDGLNVLAEASRQVGASDQTKRGTPAFPQSVTVGGKTVVVDPALEAEGFQGHPTQSD QMDEDVKIPVSPKSPTDATTIPSLPPTGSLDPSSASPPLPETSLTPDPTATSRQSQLS MIAASASEMVPHGLPLDHDPSSGLSDGLSKMSSAWNQQLSTQEQLLFDSLQEHDPTLT AATQRAASFPRPIAMNPNTQAKGFVNEFGNSTKPAKPKVRGRFSAARRREVQEVRKRG ACIRCRMLKKPCSGDSPCTTCASVESARLWKHPCIRTRIADEFELYHANLHATLAFHD VSSIRNQVKFEIFAGRIEVTHFEESMVFVTLAGQQGHKPSASTLDPQLQGLGDETQFQ GPLQEIYLLDNDMDDVPGKIEMYIKKAGPFFYEREASDNPSDSFQDLLLERVLELWVA THILVDADLHWKTFSNPTLPPASYNSFAQPTDDGRIPIDEITNAESYALLCGQLRSAT EKRAAALSKSVMNDLERRLLQRQQSGWFETFLVSLILLNCVERTCWLFRSWDDENFSQ RWPLDKRPQYYAAQGDRFSDILHMLLKMRSLPPKANPLPDSGILKAVEGSDENAIRWF DMIKITPLYLEQRQAAGFDPTDSRSFDLRYGAKLLPPANVYA ANIA_01231 MSSVSSSISVVEIPSIKQTLEKKTVSTKRPTTDAPGKRKRGGFV ARMIPEQLQIFKGLVFLTFSATVFFPNSDVSPLRRLRIQRAQEYGALWAKTWGSHVTH IIVDKGLSFEEILKHLELETIPSDVAVVDEAYPAECIKFRCILRAAQVRFQVKGAPSA ELPRLDTLQPESQSVLTQWSPPLKPDGRQLGQTQRSSQLSVQEDPSLEQAPQLDIYCE ASGKIVRGSSPVREHDALNDVIEEAKATEHLPLDPLDTSDDDSAAEKSGYETSDESQS PPAKTKSRKLSDGQNGVARCWQQKFVCMQKHDSTSSAQNPNARTIEVLQQMLDYYTRT ADHWRTLAYRKAISALRSQSKKVLSRSEAIRIPGIGERLADKIEEIVLTNRLRRLDNT SNTVEDRLLQTYLGVYGAGITVASRWIAQGYRSLEDLRTKASLTQAQRIGLDHYFDFS QQIPRTEVQAHGNFVRRVVRMESPDMQVIIGGSYRRGAATSGDIDLIITRPDATIEEI RTLMLDNVVPKLFQQGFLQASLAATCRGEGSKWHGASKLPDGQLWRRIDLLFVPGAEL GAALIYFTGNDIFNRSIRLLASKKLMRLNQRGLYADVPRASQRTKVNPGRLLESRDER RIFKILGVPWRPPEHRNC ANIA_01230 MWKPSKPLSLLLEPSLLQSSLCLKCQLRGTSAVRPRASLRSYTT PNSNGEKPSASTKATTSRRVQFQQNATPQSAPPKAHEPREQEPIPLLDRPIGSAIPPQ EGQNTGIDKRTLGQRRDDFVNYEKHIKRREELTRQAAKPYFREWSNMRYNEGKTFVSN PRLFKRDKALYFPNLYGTTLASPQEPQNTTSILRGKVSVVNLFSSVWAESQVATFTGP QFNPGLYEAFKEGSHLVQKVDINVEDNILKAWLVRMFMWRMRGKLPKEQHPRYFLVRK GLDDGLKEAIAMMNSRVGYVYLLDENCRIRWAGSGPAEPAELESLNNGVRKLINERKI SLGSELHVQHSQVSGKETKKARVIEHSR ANIA_01229 MASSTGAGWAQLRQQARSLETQTESLFHTYSQYASMTKLPPQPA EEEIRNEHQIRDLLEKRESLLAQLARLLDSEATLTSSALKQNNLARHREVLAEHKREL SRLTAAIAELRDRANLLSNVRSDINAYRSSNPAAAESEYMLEERRRIDESHGVIDGVL SQAARHFRALTGGLWALPAKSRG ANIA_01228 MSSKVKAGQLWGKSKDELTKQLDELKTELAQLRVQKITGGASSK SLRIHDVRKSIARVLTVINANQRSQLRLFYKNKKYLPLDLRPRLTRALRRRLTKHEAT LKTERQRKKEIHFPQRKYAIKA ANIA_01227 MPLIMEDGINVDDLFGESTSLELGLPTSTPTASTKGLAQRLDEM RLVGCCQKIAWSRLGCIASISQDSTRVNVRHLQCQQSDGKWLLSDETPLNQVSEVHAG HALVHLCWNDSGTELAVADSSGRVSIYSIPIALNSVNVTRQAAFDPDDDGAQIVGMMS GVMKLLYQNPDGRWAEITTELKNTSYSDRLLTHAALVTTQAGILVATHSACQRISLYR VSIQWTPSQWDPTQQKQGSQQFPTPSFRLVHMKVEVPYNIPSSNRDAGENPDEQLPFT SSLYCLTSLHIILPASDNSAGSTPNPWIVAVFSIPTHAILPHPQPQIPASVIVRWQLE TSLMTLHPRFDDVPSKKGNGQVKPKTVLRRLDDITSGRHVISVDQTEYGNVLAVSYDD SSIAFYDPKTMAAFDGIDDANTVTSLAQAGFHYPLEPSALHMSFSPSACLIVGLDNDG QTHLRIMEHSYGAENGHYDENKYSAAIASLTLAFCRGCGGEVNTDDILLILIRQLSPD AQTAFLNEVYRALSINCNFTTEQDKLMNHPYIPRALSIQAALGFKGKFRARNIASNVP WAIIQLRQAAMIYPSFFQYNKGVQGPEPHDPDDFESVSSDQEAFNQKLKTTTSLPLII LLSSMSRAFLRFICRGLRGIHAGYANAPLTGDSRVYYTEICQTLDASPVRTDVYEKLL AGVDSAVKHAYTGAGFGDNERPGPEKELLVSSRIPPVLVPAVSTILRQTVPAMRGEMD RLAIFMGDYGWLGFGNDARSELYRRERDVDIIKKTPIASRSGKGSGLGSVEAVMGLGA RNGNGSQLSRRCVRCCEVSEAMYPPRSVLSFKMTLKLGHLRSCICGGMWNLEGGLPG ANIA_01226 MAPRKTKPATKPAAKPTPASTATTSSCPSPKSFPIPMEVDDFSF SDSELSDAQSLIEPEGFSLLSPDESSGGRSQDELPPARKKRRVAGPKERRTQHLDLTP RLGFSDYGDQEPQLNLLVNTIRNHKKIVVIAGAGISTSAGIPDFRSDDGLFKTLQKKH NLKASGKLMFDAAVYQDEALTASFQEMVRSLSEEAEKSSPTAFHHMLARLGSDNRLTR LYTQNIDGIETSMPPLATQIPLNVKAPWPRTIQLHGSLEKMVCQKCRHMSTFDRVMFD RPDAPECPECVLTNQFRMETGQRSHGIGKMRPRIVLYNEHNPDEEAITSVMNADIRSR PDALIVVGTSLKIPGVRRLVKSLCSVIRSRRNGVTMWINNEPPSGKEFEDCFDLLVKG DCEEVARLAQLKRWDDDSKPIFDECQSADVERVKNEQGPLSVVITTPKKEKVQAQTGM LTPSSSYDGDVENASTTTLSNPASKGRKLTEILKASKKDAPKTESAGVKKPAPRKRTK KEPVKNAKITTFSKVTKAQKVTPEEKSVKLEEHKAMHPLPPGAARTNAPMLPGLAKDD SKSTPSGKRGQLETISPDRIPKGMGKLLD ANIA_01225 MSTSPPTDADADQAQPNTMQMQATEQQLKARAEGVSIEDYLLPR SITLRLAKSVLPPNTSVQKDAVLAIQKAATVFVSYLSSHANEATLKRTVSPADVLNAL SELEFEGFRPRLEKELDKFTDLKAAKRKPRKSGDGDTKANVDAGAESKNGVQAAKDGT GDAEMKEVVVRGSKAKRVKRDGDEEIEKEDQDHDQDPDDEGEDGGDADEVEEEHEEDA DATEEEDEEDEEERGEDEDLDRVEDLDRGAQARRLVDPDAAGDSDSDEEGPGSQLRGD LGLG ANIA_01224 MENLPEYVQSLLLHPTVQQLASSPLASGFANIHATYLNPSLAHL RESYLNPTLAHLRLTYLEPYVVQPLAHVLATMPDLASVMAIFLVLFLSLKILDYTRRA VMWWVWTIIWAAKWATILGAAGYIYLSGWEKVVQDLGYAFNFISGLLEQYGHTLESAA RDGHRPRGGSWRGDEL ANIA_01223 MSKFGVLVMGPAGAGKTTFCNALIQHCQTTRRSCFYVNLDPAAE SFQYDPDLDIRELVTLEDVMEELGLGPNGGLIYCFEFLLQNQDFLTEALDPLSEEYLI IFDMPGQIELYTHVPLLPSLVQFLSRAGPLNINLCAAYLLESTFVVDKAKFFAGTLSA MSAMLMLEMPHVNILTKMDQLDVQDEDSVAAVLSHIDDAIQFHEAQEPKEPNDAQDVD YED ANIA_01222 MGSVATPNGTFLFTSESVGRGHPDKIADQISDAILDACLAEDPL SKVACETATKTGMIMVFGEITTQARLDYQAIIRGAIKDIGYDDSEKGFDYKTCNVLVA IEQQSPDIAQGLHYEEALEKLGAGDQGIMFGYATDETPELLPLTLVLSHKLNAAMTTA RNDGSIPWLRPDTKTQVTVEYAHDNGAVKPLRVDTVVVSAQHSDDVTTEELRAVIKEK IIKKVIPAELLDDRTVYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHGGGAFS GKDYSKVDRSAAYVGRWIAKSLVAAGLARRALVQLSYAIGVAEPLSLYVDTYGTSEKT SEELVQIIRNNFDLRPGVIVRELDLAKPIYFETAQNGHFTNQKFSWEQPKALKF ANIA_01221 MASCDSSNVLEPIPLNQLHDASQPPLKKRKLVASNEWVADNIVI RAHAASLYDDPYVFEPIAVFPRLQLPLEWLEAPSALSQIQSGSLFVANIPALESNSQQ EPVVVIVRLSSDGGIYVIERAKRGIYSLSKLARGVEEGDIRVALKASSRRANILAPCS SCQQPDVASDGDWWRLAQVDDPAPDLSLQLPAKRAKVDFVFGMSAESVDRDERMKGAS PMDSMERSSSVDARIVSVPPEAQVPVETAIPGGEVDDGNACESTQTPQELLDGLREQY LQALYVSKASVAYFAKGPLSRCRAAFQAADSTAGSIFELVDFYRGAILTAKKMDLKFR ESLPATIHDIVLKISDDEAEPRKRKSKKKSLGRNGLYPEEPDFIHKWWKNRAMTETGG LGETSRDAEIKKHVSDLRLRETQLQILLILETIALETAAVDEAKKAGQEAAADASQKP KSKKAQDLNVMLELHLDRLCIWHAVSFDDAPVSESQASGSAQQSSKGGSDAMRDFCTE VIIPFYASRLPDRCKSITRKLGVSASTIPALPKSAKKPWKEQGTVERNTSHKSRRTLQ RVLTDEQATSHSRSQPSLNRSITAPSQIESKRETTPLLPTVNTSVRGGIQKAKRAENR EVDLFAVARQHETKLKRVQMLADQKRELDAAILALRKPNRELVAKDIAQDAEKRASSS RKPKNPVRNPLGQGVQVMATPSKVRKRDAVVGLPPLPKSVSRSKSKATAASSSPFTGE PQVIPGSTNKPSSLPFTTDAAVQETPSRRPPQPPSSSKDTTEPAVAETPIPGTGNLFR VPRRPASQTTETGPMTPVRSQHIDATEAVTPLDSRHIETNLPPPPPPLFALPTKKTPS NSSMVFETPPKPKPAPGPVPVVTARQPNLSGSGPVSALASAPKEAQVVPVTPEKSIYS ALGWDDDDDELAL ANIA_01220 MAVNVLSSNVSPTTTAPSTATTMNKRFPPLADRSSLSYKLTNLS KQKLAREATAPDPDIRRCLHHFRMHCLSVEWAQQETASKITSFEFEDDSESEDEEAHQ GKAEQLQQKLDSLTPSLTPAPTPAPSELEVQAVNEPEAQAQAQPETPSPPKEADQTIH VHFEVAAPASTSTSAAAPAPLSDEREKDAAGGIVDKGRRCLEKAWPSPAQCMPVRIAG ANIA_01219 MYGTSHSVSAPMNGIGGDLVDGSGTIDPAALNNTNVPVVLPTPP YGAADASTTPRGVKRARTPEQRGAPRDGDQDDDEHGRRKRGRPPKTPRPSTSDSSNNP QLQTPQMQPQQLHGSPTNASPPSGSPPEKTTPSKAPLVKALPTVRDHTTDQLNEARDE YIPKEFDEAGEKKVDENGVLQGDREYRCRTFTVPLRGKKLFMLATECARVLSYRDSYL LFNKNRSLHKIIATQLEKDDLIQQDILPYSYRSRQIAIVSARSMFRQFGSRVIVNGRR VRDDYWESKARKQGFTEDDLAGEKRPGGAKAREAAAEAANAANMLPTLAHGDVIYSNA LENVPSNLSLGSGASMSQPLPMIHMATTTDDPRLREYNSMPRLRQELTGQPYQDRSQP SSAAEILNQATHTAEFNKILTNQRNFRQKGLDEFYAKQREAPVSTAQSQPEPTTSASH PMQSPRLSAASVLSSTPTQPNMMPQAQMMPSQAGFQPPTMHQQPQVAQSPIRAMPPVR PDLMHQRSNPAIAPQAGPYGYPPQQQQMWGQPPPQPQASPLPSNPQGVPQYPSQMQQQ PGSQSQQAQHQQSPSPLTHNLPQQHPSQSPRNQVRPGVPQMPQSFAMHQPQTPQQQPM ASMGFPGGGPYPTMAARGMYPSQHGPAGQPFMPGTQQPGMGMGMNPAMPGWPGQPMQP GHPQPGWSTY ANIA_01218 MKLSTLTTTLAISAASASTSVAAKFINYTTVPGYFIQDDPSTDP STFDYTSHNFGLLDRPYDADTMFHSSKTQNQTQWQRFHAQLQYLNAQAPAHVQYKLLF LGRHGQGWHNAAEDYYGTPAWNCYWSLLRGNGTNTWFDADLTPTGIAQAQVARDYWLA QYKEQKIHFPDVYYSSPMTRALKTANITFGALQLSQIHATPFVPTVKEGFREGISMHT CDERRTKTYIQDLFPNWVIEEGFTEEDELWSGVEAESSEAQDLRSRRALNDVFFPDDS LLTHSKGKRTSKTSGVCNAPSPSPVQEGPGNGNGKTSDLVVSITAHSGEISSILRVIK HQAFKLSTGAVIPVLVRGERIDEPQPTTTVAWTASPYCTAPPVTSTASGCVCESSAAP VTTGYPALSLETPY ANIA_01217 MVHPTMMHHPMDGYYYAQPPFDMVDYYHQPMMDYEEYAENLSRP RLTKEQVETLEAQFQAHPKPSSNVKRQLAQQTHLSLPRVANWFQNRRAKAKQQKRQEE YERMQKAKAEAEEAAKRKSESSVPESSDSQRSAEAKDEKKQDDSKAPTPKPSKPASDD QKQSEAPAESNHQQTRSESNRVASLASLQRAMDAAAQYQGGQGTTSMGGSGSVSPTTS LPNDADSAVWSSVNSTNGELSVPGLENSQSFSDYRSASDAGASYNSMQFALQADAANA RRGSSDVLADSFDGIGISASPSLSQLGNRTDRPAWKETGKELDLAARRNRPRPAASWH VEVHFNALYFDHVANDTGPELRHCEAIQICPEPRFALRRAPPTPLTPEDLHHLLPTTP STDGYCLSAQPTAHLFPTTQPMQINIASPPATPLGMDIMSSYPYHSVAPPMSAPANFT SFPDYSCDGSFQGRNWEATSMPSPEVPFQSQCHQMNFSSIPYDHALDQSQSENGPSQS PFGDADIQAPGDASKATEFHLYEFPDQEEAHRFVAQQLPNQKPKAYTFADNRTPTNFG ANIA_10177 MTNPEEPVLVRKQMIAPELARSYHDPAEQPVKTLTPKGCCRASE PHRHILWHLMSRWRYHGHFRRSPVWRSWNRADLVVSKLGSRITERWAVCTMVLLILPK NQVCSGDCIL ANIA_01216 MAATGSFSAPPQVLTFDGLLSDFDGTIVDSTDAIVKHWHKIGAE LGVDPKTILATSHGRRSIDTLQLYDPAKANWEYVSYIEGLIPKEYGSDAIEIPGARSI LAALEETGATWGVVTSGTRALIDGWLGVLKLTHPDVLVVAEDVELGKPDPRCYLLGRK KMGLEHSSSIVVLEDAPSGIKAGKAAGFTVIALTTTHTLEQLQAAGADVIVEDLRSIS VKGVVDGRVQLEVRNAFQ ANIA_01215 MVKLGKTSKRTPVRLRHKIEKASAAKQRKQRKLAKKNPEWRSKI KKDPGIPNLFPHKEKMLQEIEERRRMKAEEQARIREEARARRIAAKQGGDATAESTNP IPVDLEGDDILDDEMDEDMGDDANPMAALLASARARAAEYEGSESDEDQDQMDEDDEM EGMSEDDEVADEDGVPALVAAGKETSRRAFDKVFKKVVEAADVILYVLDARDPEGTRS KEVEREIMAADGGQKRLILILNKIDLVPPPVLKNWLIHLRRYFPTLPLKASNGAGNAH SFDHKQLSIKGTSETLFRALKTYAQNKGLKRAISVGVIGYPNVGKSSVINALTARMNK GSSNACPTGAEAGVTTNLREVKLDSKLKLIDSPGIVFPNTSEKKGKKKQDDQARLILL NAIPPKHIEDPIPAVNLLLKRLSSSEGLLQKLLQVYGIPTLYSGTSTTDRTNDFLIQV ARKRGRLGKRGVPNLEAAAMTVINDWRDGRIQGWATPPVLKVVDTTADGATGDANNSA AAPGVDTRQVVSEWAAEFKIEGLWGDGNAEDEAMEE ANIA_01214 MATERAWLNALMRAIGREMIFDKPRNYQTEVQLHELKVDGRHNA TYLPNQAKECPRGALEAANLSWAVHDAPLKDFRQIDGGHGLNDCPRDERQIMLMKRHS CARVYAAHGLEETS ANIA_01213 MAPGWSPPSPGIRARASVTDTLSAGAYLPEATIVSGVPAHPLPS PKPDPTATVVRRKPLPASSPVIAARSRRSGPSLSVSSSSSLYSTRSLSQADPPARASP LQSPASHYSHSRNSSVANSLSSFVSNSAAQSSVRGEPSLFARRTIDKTDRFPRSSPLK TPLRIDTSASTVNVDGDDDSDDSDDDITNLYKSQFTNGTPIHSRLVSEPFIPVLPSPI NYKRATTMALHPPTNRPPPLHIDSNARSMSSGGNDPRQPKTPGSKISSFFGWRAATSP GAESSSTEISDGGRSPLPSPMPPSIPSTSFSITPSTSIPFDPTARPNVGYPVRNGSLS SAGILESSSSALVAELENELREISSELAGSIRREMELEDLVERLQSEMPSEAPNRRTS DYFSDSGSSSIRYAYESAGRIEDIEKYKRAAEQERAQLRAELGQRLQEERTRRTASES HVQILEAQVAQLRRERTEHSDLASKTRELESALEGTRRKLAEERQIKDNFEDLLTAMR VELEQLRTERDLLREGQPLSDPAEVQRLKEEIEALKIENAALSQLQGSRFASIAEEEG PVKRNSAFGLSRSNSLARMPRKSSSRSGSLSRSNSVSAKDRATPPQESLADRVEGAEA QRDALHGALKRLLDRQAYEARQTEKRIRAMELELARAQEAGSPRKLGYEREVRNLRDE VNHLRMRAEDALEQKWQCEKGLAGLKMDLDRAEQETASLRILLQEHDIAVPAELADNA LGGDAFAEVIATSSSLESAYQQLQADREEAEASVAHSPNVDDAELAESFNRTDTLAQH VRQQLASNTALRARLAAAINKGEKDQQLSAARINEMQARLKEMEDSLLAAQQHADDEI SRHEDEVRQLQESHNAQLLRMKNGSRSPAALSPIIGSGGPNTPFMVKSPRLDKTTSGD GVALTQVVKSEMLDQRVKELEKLLREADREMGEVVSRMNRAQIDVAELQAARDEALRE TRRLQQEILTERNVFKNLLGRN ANIA_01211 MSAASLEPPTYLSSLQNNIRARPIPWEGAVRAGNITDDHLKKIK AVDKVRKEQRRQTIDGDIPGYVTLLAGGSSGKSVLESAARRSDIVQYILVLAADLIND APSLSSALIAHPQPYKPFLPLLRHSTNAEDPIPLLTSNFLTNLVSTSITSSSKSTPQD EEALPQLYHYLSTLTQNQDSGLQDIGVQGLSTLLRTSRARQLFWAQRKETVDPLVEIL RAAAGSKDSGSSTTLAGSSRGVDVGIAGGVGLQLLYRVLLVLWQLSFEGELIGDELQE DHEILQLYSHLLRLSPKEKTTRLLLATLRNLLSFNRTTLLPVAVFVRLPALLSTISGR HLTDPDLLEDLKYLSEMLDEYTKTQTTFDQYAAELQSGHLRWSPPHRNPTFWKENARR ILDENSGALPKKLKEIISKSWENDKQVLAIACNDVGHLVKELPERRGQLEKLGFKTRV MELMADKDESVRWESLRAVGEWLRYTFDD ANIA_01210 MSQARWKVGSFLQQAVAGVESRLDQMLTEEEDAKRSQHKQAAVR TKSGEQTGNISRSSSNARKNDRLQERLARAMAKNNAMNTPDSSSAVVSPISSPVQSNG ARSSMDIESSLGSPPREITPLPDTGSGSPAAALSRMSHDSSSSPRVSSEAAAPTPSEK DTLASAPASEAGGETDPSSAPKEPAPIGSGSSAERGITPANEEENPDGLQQSDKKAVE SELQEEIHGYIERIDALQSKLKYLAQEAAESARKAAATAEPGSVDRQLREKDERIALL LEEGQKLSKTEMDHRTLIKKLRQQLAENSKLQAEAKKKNDRLERDLANAEARVKRAEA AEKRATGSLSAQTKTARDLETVTAERNALSQTVQEMKGQLARAVSRADAAEAKANSDA LEREKQRANQLEEELSSARIEREISEEKLKREIADLKEAIEQEKERARVLEVELKGEQ SVLESKMESLRSRAEEASSGVAGDAQVKLLRQIETLQTQYAAASENWQALEGSLLSRL ANVEKERDEVARREAEARRKIREMNLKVKRLEEDLESAQENERDLSDRIEERSQELQK AEQKLRKAIDELTAAQNEMAEQKAISDATWTQKLEDERAKWREQAMRPMNPLRRNESP VSSHRPSILEAPTSLSDYRPTSRRSSAIPGVIPDINTPPRQNSLPVSASQSVLSPILS EKGSLPTVPGSPKLLEPDEFFIGSRTPSAFGGTATHSRGINDIISESTVGAGPSVQLV ERMSATVRRLESERAASKDEMARITAQRDEAREQVVELMREVEEKRASDSQVQELQQK LEDLDRRYETTLELLGEKSEQVEELQADIADLKKIYRELVDSTMK ANIA_01209 MATTDSKFPLHEAAREGKLSLAESLLNANPKLATTKDDDDRLPI HWAVAYNHLPIVELLVSTKDFDPDVEDGSCWTPLMIAASLRNAEGDPIIELLLRKGAD VNAKSITGQNALHFATSKANITTVRTLLENKCSARVKDKRGQLALHRAAAIGSTPIIQ LLLKEGRSPVNATDNDGLTALHHAISEGHGDAALTLLKAGAEADKKDASGTLAIDMAP DKKVRNYILQAAEREGIEL ANIA_01208 MDVIPSTTPGEAVRISAKRTAELFGPEYLMVTPSASNGSIGVSY RRKTEYEHVKELPPALAAKQAQAAAARSKRPKISSRSEGSGSGDKSGASTALVRRGGR ASGAAGDDKPTSLIQRPSATRQQPPEWHAPWKLMRVISGHLGWVRSLAVEPNNEWFAS GAGDRTIKIWNLATGALRLTLTGHISTVRGLAVSPRHPYLFSCGEDKMVKCWDLETNK VIRHYHGHLSGVYTLALHPRLDLLVTGGRDGVARVWDMRTRSNIHVLSGHTGTVADVQ CQEADPQVITGSLDATVRLWDLAAGKTMGVLTHHKKGIRSLATHPREFTFASASTGSI KQWKCPGGEFMQNFEGHNAIINTLSVNEDNVLFSGGDNGSMSFWDWKTGYRYQTIDTT AQPGSLEAEAGIMTSTFDRTGLRLITGEADKTIKVWKQDDQATPETHPVTWAPTLGRQ RY ANIA_01207 MSPAAAADDVDSQTRKRNALKRAEQWMTKGGIIREDSDDELGEE DLPWEWIYDTELDNNEETGKGVQASGETPKSSRRRSSRQSQRKIIGARTGPFECRIGD TVLLKSPEPGKDWAGIITEFLEEEDDEDDEPIKSANIMWFASPDEFMSTRNKRRADAL PNEQYLTTDFNVNPLNSINGKAQVMSKDAFFARYPNGAAPKSKAELAEYNKCIVCRRG VSQLQGRYTEEFVWEDVYQQDQILELVDLIKDGLKAAKKRKQADADYVDTKDKDAEDV VPTTPRKKQKVANATPQSRRQKAMTTPSHKRIIVKKPLEFTPLGTRVLSPAHFASPYR QARNLLHVSAVPDSLPCRKKEFDTVYSHLSAAIMEGTGTCIYISGTPGTGKTATVREV VAQLNAAVLAEEMDDFIFVEINGMKVTDPHQSYSLLWEALKGDRVSPSHALDLLDREF SNPSPRRVSCVVLMDELDQLVTKNQSVMYNFFNWPALRHSRLIVLAVANTMDLPERTL SNKISSRLGLTRITFPGYKHTDLMEIITTRLASVPGKIVDSDAIQFASRKVAAVSGDA RRALDICRRAVEIAEQSNEAAGVEALDADDTESLPPTPSKTAARKERANAKQTLSISP QKSTATPRKQTPGRVTIATIKQAIQEATSTPLQQSLRCLPLSAKLFLAALLARVRRTG ITESTFGDVTDEAKRIADAAVAVAGAAGAGIKEFLLAGGTGTRVQALGFAAMELMNSG VLALEATSGSRGLGGAAIPTRGDRSGKVRLRVAAEDVRAAFRDDVEAKGLGLGMEA ANIA_01206 MSKSIVTRSYMSDPDGLQLESLDSIRVRFGCHLFIPKGLPNCIC ALGKSHDDVKHIAKCIRVLWAGETAKSNIKAKIYLAEPQPRVMEGNIVVEKQNQLHNP VLQRDRVQISDSQGLQERICSVRSKNNARILTAVEDRLKRLAYARGHLRMRVNLGTFV LENYQKPENNKSCPGAREYEIKERRWLRPRTDGRTREKRPPLHIAVIDFERSDWQLEI KGLEFHEASSVDNALRSFSNSIVFQRTNYFGDIRARPEKKVVFPSSPPVSEYVEKSAI RYHIKGTKYIFEIARAIREVQPESSHVLPVQGTFAVPENQSKGFWEFIDLVRQAAELL GPTQASQKMPK ANIA_01205 MPPQNTPASDSDAPPGAVNISSLSTPQLRALQTRLSTELEHLTS SHAKLRAAQSRFRDCVRSINEGVIGSAKKGTEGKDEILVPLTSSLYVKGRLTDREKVL VDVGTGYYVEKTAAKAIEFYEQKVKELETNLTELEKLVQTKSSQQRLFEDALRQKLMS EGAASSAQAAAAG ANIA_01204 MPITHIVMFQVKQGLSAETVNDLCLRMLSLKDKCIHPVSQKPYI ISSSGGIDNSPEGMQNGITHAFVVEFANEEDRAYYLEKDPAHLEFVGSLKDVIEKAQV VDFTNGVF ANIA_01203 MLPSPRPGHLASFLRPWSMIWMSICPLTLFFLTQTDIIHKPSGF ITFEDTTVVPSLVHAASGLVLELGPGPGNQIHRFGTAGVTFIYGVEPNSLFKEGIDAK LRKHGLSVNYKLILCGVEDSDVLRDEGISEGSLDAVLCIQVLCAVKDPKSVMKEVWKL LKPGGRFIFWEHGESRDRLTGTVQALTNPAWNTFVGCHLTRRVKADILHSGEWENPGD IEEPEEPASLLPRI ANIA_11296 MRTWSINYLFAPGAEGSLDNSPAKVCQSASYEIQSLEKAFIRSA TRAI ANIA_01202 MPTETKSVRVGVAVFALSPENKFILGKRIGSHGADTWGLPGGHL EFGESWEECAARELIEETGVHVDKNSVQYLTATNDVFEKDGKHYVTIFVGVRVDGGQE PEIKEQLKCAEWRWVSWEELADDRKKQVEADQAKRETEGKKLFVPLLSLFEQRCGFQP VLN ANIA_01201 MKDLYTFDDNVENALRTYESVKSAYTRLFNELKIPYLTAAADSG NMGGNMSHEFHFISSKGEDTLISCNHCDSVYNEELADGIAPSSPHSEQAGSAPGFDLE GKPAATSARVSTGVWSAISKDKRTLIRAWYPKFTVQEGSTKPVTREVNAHAVKAIVSA AGYDLDASIENPVLQWTAHIKSAKADHESSTERPQVLDLYDSHVHAYNRPPLKILEEA GCVIEDIDCLRLDSFPKTNRKLSLTRVQDGDQCPKCTQGVLKSQTTVELGHTFHLGTR YSEVLKARIVNSENDRVPMQMGCHGIGVSRMITAVADSLADAKGLNWPKIIAPWEVVI VPAPGNQEDALGVYDLLASDKASPIDVLLDDRDKTTGWKLGDADLIGYPVIVVVGNGW KTNRILEVQCRRLGIRQDVPDGGLLPFVQSLLAQL ANIA_01200 MAKGKGKQSTGANSVPVKNDTTARPSNDGALSQLEESAFAGLRQ KIEQRLKDGAAKQKSKNNKSKTAPTADTNNAKGGATKSDTKSKSPSDSNVKGKKRDRN GDVIENKDSEISKSDKIDDNDTLRQEILALGGTEEDFDLLAGVDSESEVEDTKPSKKS QGSAEDLRKELSSILAAAGQVVPDDLADDEVEEVEDEEEEEENEDEDGEGEAADEAES AEEQSSPDDESEDETSQQVNQPAKKEVKETAPEVIYPKEFSKLLVLPRSDWYMTALPA ISTKQAATGLPRYLVDRVHAYAISLLENENKVYAEAQQASASSSYKFYSTIMTTGTLS DKISALTLAVQESPLHNTKSLENLVALGKKRSRAQAVEVLRSLKDMFAQGTLLPSDRR LRSFANQPALIAAFQNAGSKWTEGAPLPGGLKNSHLIVWAYEHFLKDQYFEVLKILEV WCNDEIEFSRSRAVSYVFELLKEKPEQEANLLRLLVNKLGDTSKKIASRASYLLLQLE QSHPLMKPTIIKAVEEVLFRPGQSQHAKYYSIITLNQTVLSAKEEQVGVQLLDIYFSL FVVLLKPKKHVNPKGDTKHGKGKKRGGKDKNNAETQNEEMQDKLISAVLTGVNRAYPF TSSNTERLSKHIETLFRITHSSNFNTSIQALMLIQQLTSTHQVSSDRFYRTLYESLLD PRVATSSKQSMYLNVLFKALKNDVNTRRIKAFVKRIVQVLGLHQPAFICGVFYLIREL EKTFISLQSLYDQPEDNESDEEEVFRDVPDEDDVQEPAPVPEEKSIPSNRYDPRKRDP EHSNADKACLWEILPYLSHFHPSVSVNATHLLEHQPMSGKPDMTLHTLTHFLDRFVYR TPKATASTRGSSLMQPLAGSEAKDRLVTTSQHSQQLPLNSEAFWKKKAEDVAAEDVFF HEYFSRLGKDKEKAQRKKKSKDPVERDEEGDVDDDDLSDQESEIWKALVDSRPEVEGP GDSDDDLDLDDLESAYDKSDDEGDEDEVIFNDESDEEMEDVEEEDAAAKVKAAKAKSR TSKDDDLEDEEAFDMDVSDEEAFVDSDEDLPSDVELGGVELPKEDDKAGRKKRRKLKH LPTFASVDDYAALLAGEDEGM ANIA_01199 MAGFPLFGTAREQSRSPSSDSSADKLKDKDLERSPQLAGGLSDS DSGEIGRQIELEAENSIKYRTCSWQKTAALLFSEYICLAIMSFPWSYSILGLVPGLIL TVFIAGVVLYTSLIVWRFCLRHPHIRDVCDIGQHLFWDSKIAWYFTAVMFLLNNTFIQ GLHCVVGAEYLNTISNHGTCTVVFSFVVAVISLVCSIPRTFGTLAKVATISALATFVS VMLAVIFTAIEDHPARYNSTPEYSGEPIVKAFPVPGTTFVSGMSAFLNISYTFIGQIT LPSFIAEMREPKEFWKSVTAVTIAEIIVFSLVGAIVYAYTGNQYMAAPAFGAIGDEVY KKVSFSFMIPTIIFLGVLYASVSARFIFFRLFEGTRHKGNHTFVGWASWIGILAALWA AAFIIAEVIPFFSDLLSIMSSLFDSFFGFIFWGVAYLRMRYDDHGPGFYRNRGFRGWV GAIVNVGLIGIGLYFLGPGTYASVESVIIDYRAGSFGGPFTCADNGL ANIA_01198 MSALRPLRRGIHLLGARSVAQLAPVGVNGALTLPQLASPHVRCA LRSPASGRLIARNLPVANGVRYASSAASPGSLRKTQLYDLHIAKGAKMVPFAGYSMPL QYSDLSHVESHKWTREKASLFDVSHMVQHRLSGPGALDLLMKVTPSSLDKLENNSSTL SCLLEPGTGGIVDDTVITRLSTDTFYFVTNAGRRDEDLAFLTAEIDAFKAAHGAEKEI TWEILSDHSLIALQGPEAAATLQPLIHNNGADSDLSTLYFGNCRSLHLNLPDGTQTQE PLLISRTGYTGEDGFEISIPPSVSPSTITELLLQNPSVRLAGLAARDSLRLEAGMCLY GHDISTAQTPPAAALGWIVGRDRRDPSASSDRSQFNGAATILPQLASPSKNLSQRRVG FTIEKGSPAREGAVIIDLNDESKTQIGVITSGLPSPSLGGTNIAMGYIKQGLHKKGTE VGVVVRNKVRKATVVGMPWVESKFYRKPSA ANIA_01197 MVHLLFLALLQVALASGLSAPSPAVDDYDVLQYVNPLIGSTNGG NVFAGATIPYGMAKAVADTDSPSNQGGFTFDDSKITGFSSLHDSGTGGQPSLGNFPLF PHVSCIDDQVNGCAYPKRTRRIAYDHDSVVARPGYFGLTLSSGIQVDMTASHHTSLFR FQITSNQTSSPLILLDLSDLSDSRQDNGTIYVNETTGRLTGHARFLPSFGSGSYVPYF CADFDSDAGIRDNGIFVNSRASTDVKNLTVSRSINGYPLPAGGFVRFNHRLNHTIKAR IGLSFISIDQACSSAEDEIPNFDFDATRSAAVDLWTEKLAPIRVSRTGLDSSVLTNFY SGIYRTMVNPQDYTGENPLWESSEPYFDSFYCLWDSFRSQLPFLTIVDPSTVTKMIRS LIDTQRHLGWLPDCRMSLCKGYTQGGSNADVVLADAYVKGLSKGINWEDGYAAVQKDA EVEPYDWSNEGRGGLASWKSLNYIPVEDFDYAGFGTMTRSVSRTLEYSYNDFTIAQMA RGLNKTGDAERYEETSRYWQHLFRADQTSFISGAETGFKGFFQPKHLNGTWGYQDPIT CSNIDRSGRACSLQNNGAETFESSLWEYQFFTPHDMSALVALLGGPDEFNRRLDYLHD QNITYIGNEPSFLTVFQYHYAGRPGKSTARAHFYIPRFFSPTAAGLPGNDDSGAMGSF VAMSMMGLFPNPGQNVYLITAPFFKSVDITSPLTNKTAKVRTINFDSAYQNIYIQFAT LNGKPYSRNWITHDFFTEGGELILVLGSSESTWGTGPDDLPPSLPAVVPEALIDEVQT SDVL ANIA_01196 MSRLHVPGSSTKALGLARFPQFPLSSRSLQRPYTTVGGFSLPVA LYDFGLLNGEVLVPKPPSRLRRLLGFTSIAAFAFTAGLFYQVSTKLLPIMNGPVPTDE ETLTMYTPSDELSQEVEDHIKNHPLFVSLREDPSYVESRPYMKIPEKIRDRSLTAGTL SNSGGIVVPPTAFYNNDTNTLVTFFYLGSRVSGHPGIVHGGFLATLLDEGMGRCAFPV LPNKVGVTANLNVDYRRPAMANSYFVMHAQVVKSEGRKAWVEARIETLPEEGQEPVVL VEAKSLFIEPKQAAAVVSYPYIERFSRVTNRFQSPKLHKFVN ANIA_01195 MSKSIKYLLVSLPSSITPSHHRDDALDAIAATVGSDNGSVAPFP IPEFKIGTLDALVQQADELAKLEAACQGVVSKVGDALKNILEGDEAQIEKMKTVNDKP VDQYLRTFSWNKVKYRADKSLSELIDLLQKEAVSIDSDLRSKYSQYNQIKNTLATLQR KQTGNLSTKSLASVVDPRALVRDSEYIETHLVAVPAQQVKDFLKTYETVSPMVVPRSA NLVASDDEFTLYAVTTFRKHSTEFVHKCREQKWIPRDFKYVEGGKEEERREVERVGGD ERKLWGETLRLGRTSWSEAVMVWVHVLVLRVFVETVLRYGLPLDFVCTLIKTPSSKHA DKAKKNLDEKYSYLAGNAFGRDKKGRVKKDDPNEMHGIEGGGADYTAYVYYDFEFI ANIA_01194 MATNITHHAGITRNERNQLRKQKGLTIWLTGLSASGKSTIAVEL EHQLLQRGLHAYRLDGDNVRFGLNKDLGFSDADRNENIRRIAEVAKLFADSSSIAITS FISPFRADRDTARKLHEVPTPNDSTGLPFVEVFVDVPIEVAEKRDPKGLYKKAREGII KEFTGISSPYEAPENPEVHVKNVDLPIQEAVKQIIDYLDSKKLLDA ANIA_01193 MPGLCSLTLRAHKTPLLRASLHHIKHFSRSSCKSDYADTLPNLK IGAHTRVLFQGFTGKSTHGYITISLTSIAGRQATANVKESLEWGTKIVGGVKPGVEGE HLGLPVFPSVKAAQAQAKPDASAIYVPGSQTAKAIEEAIEAEIPLVVAVAEHVPLHDI LRVHSILKTQSKTRLVGANCPGIISAIGKCRIGFQPLPCFAPGKIGIVAKSGTLSYET VASTTRAGLGQSLCISMGGDPLAGTNFVDALKIFENDPDTEGIILVGEIGGTAEMDAA EWIRDYRRRTTSPKPIMALVGGRQAPPGRIMGHAGAWTAPGEPGPEEKYRALERAGAV MVNHPEKFGKGMKALLTNRRSTSSSAKLTTQSTFGGQKRSLHTMRRVIPRRQQTLQKC QSRTLYIKQFQALDMLKKAGIQVNETSVSASDVHISLTIDRTALSPALITSTSPGFEP SNSARLPFPYIKEKFEASDSIITTAATQLSLPTSAHGKLAGIVQALWQIFKQREAFVL EVRANYSAEGGFEVRGARFGFDDAAFRSSGRQEEIHALRDVKEEVPEEVEAEKDGIVY VKLNGKGSIGTLVNGAGLAMNTVDALTHHGGSCANFLDTGGKATSETVKSSFRIITSD PRVKAIFVNIFGGLTRCDMIAEGIILAFRDMQMSVPVVVRLRGTNEESGQQMIAESGL PLHAFDSFEDAAKKVIALAKE ANIA_01192 MASKAAKSPAVAKVAATSPTTATATSELQSSLRELHIGEKTSSI TDIARPKLTATTALPAKKKKAPVVADSWEDELELSDQDTDKGGAGLEDELGSPNVATS LATSPAVAEGPLDPPPTPISPQTSQPWIANAVATATAGGCGPDSTSRSKPSSGSTSAR DPNRRPEKQTAVAGRLIAGALGIRAPKRTEEQRAYDRAVKEQEIRRRNREREEAAKAK EEEEKAKAAIWGA ANIA_01191 MSDPSAPTPEAPAPVEHLNIKVTDNNNEVFFKIKRTTTLKKLMD AFCDRQGKQPSTVRFLFDGTRVRPEDTPDTLDMADGDTLEVHQEQIGGGL ANIA_01190 MAPLSRAAGSAGSVSGFASLNDQRQPNNTEVIEIDDDDDEPMDN EEEEYNEDEMLEVEDDEDMEEEEQELEENASVEDRNGSESPLNLVGAPNGQNGIDVTA SEFFTSAGARQALHPLRRTADRVTRQIEAFADKLDRFKQKANRADEFQNYQAVYQLVK GCQTIAQDAIQDLSKQNTLKRAKLGWGFSNSNGTSDAKTEEELQRLQLEASTWQLLLN LVSVDDPASRASFLQAQETAFQTLHRYSSDRDIWGQFMKADQYAVECVIIMKWLEQTA RSSSQDIDSLISELEIQAERGQGSWTHGWLYTKETIKGQKRLRAWPQPLEPNDPGITA SLLTSEQSEPLVTQLDPDAVTRQKQNLQRKDQFYERATWMTCWKMLRQGEDWTKIRQW ASDRLEHWRAVSICGSSIDAQSNGEATAFDDGITRMMNFRSQETWRAACSSLARDSKT EDFERAVYGLLCGDSEAASKVCTSWDDYLYVWFNNQVLARYRGFCKQFQRKLNHSPTS PVAFQPEPAGYADFNKFVQYTKGNDRVGGEARNPYRTIQAAILGKNYDTFFTSLAKAV SQAATNRDGPSYVPDLEPTYVDDSLLIAAEDRDALRIAAHLYVVADSAGYVRKDHQFL ETASVNVIGYIADLEEAGLVDIIPLYASLLPELGQYLVLGDVLLEIVDERERRLLVQL INKYGIDIEAVIAQQWDSVTAVKSAVEHTRTFKWVSKVIVRKDGIRELAPVKKDFIGT EISTQDERLIRSVEWLRFVDGQWGRICLLGTELYKKFFISGKLPAARELSRRMRLSDL SREFFGFDIADFYPGDYEDGTDRGTPEPSSPSKLRSFGHKRNKSSNTSLQSTSQTRLL YVQSQTMRDLEQLILAFDALEAFALTCEKVDKLKRRRDSGTIKGIKEEVHDALDAISV HIDNILYDWLVTPRDETEAAELEQIRTTYIPELFLEYHSALYYCAHIVSSEILVQCMN LAMQVAENEPLTRSFVAGKRMAELMDALALSSKAMVNAHAKPDARSAGGESLGLWTVT VNEEDEEGQILGTAR ANIA_01189 MSEPRQSSENNDSRQPASTPLRRERAPTITIDTSAVVSSEPPPQ IEAPSQSSQSRSAYNADHTDTSALLNSSTVSPSDTRSAHSVRSYASSEGREHDSRPTS PSPRTNTFSPGAKMGDSNYLSVPGTRSRGNSLESEDSSHTIGAESRSIGSHGSPASSA KVTIENYEEALLPDPGREAEFEVENNRFAFSPGQLNKLLNPKSLSAFYALGGLAGLAK GLRTDPRSGLSLDETELDGSVSFEDATAPSNNQPLPKPAAEAPPAEPSRADTTPHKQD ENAYSDRKRVFGANKLPEKKTKSILELAWLAYNDKVLILLTVAAIISLALGIYQSVTA VPGEPRVQWVEGVAIIVAILIVVVVGAANDWQKERQFVKLNKKKEDRLVKVIRSGKMI EISIHDILVGDVMHLEPGDLVPVDGIYIGGHNVKCDESSATGESDVLRKTPAQDVYGA IERHENLAKMDPFIVSGAKVSEGVGTFLVTAVGVHSTYGKTMMSLQDEGQTTPLQTKL NVLAEYIAKLGLAAGLLLFVVLFIKFLAQLKSLGNADEKGQAFLQIFIVAVTVIVVAV PEGLPLAVTLALAFATTRMLKDNNLVRLLRACETMGNATTICSDKTGTLTENKMTAVA ATLGTGTRFGGRSQAASPTNRNGDRPADSGNELSPSEFASSLSKPAKELLLDSIVLNS TAFEGEQEGTMTFIGSKTETALLGFARTYLGLGSLSEARDNASIVQMVPFDSGRKCMA VVIKLDNGKKYRMLVKGASEVLLAKSTRIVRNPTQNLEEGPLDDKDRSKLDETINKYA TQSLRTIGLVYRDFTEWPPRGAPTQEEDRSLAAFDSIFKDMVMFGVFGIQDPLRAGVT ESVQQCQRAGVFVRMVTGDNIVTAKAIARECGIFTPGGVAIEGPKFRKLSSRQMTQII PRLQVLARSSPDDKKILVSQLKKLGETVAVTGDGTNDAQALKTADVGFSMGITGTEVA KEASDIILMDDNFASIVKAMAWGRTVNDAVKKFLQFQITVNITAVLLTFISAVASGDE ESVLTAVQLLWVNLIMDTFAALALATDPPSPYVLNRRPEPKSAPLINLTMWKMMIGQS IYQLVVTLVLNFSGRSILKSIIDFSGDANANNVLTTVVFNTFVWMQIFNQWNSRRLDN GLNIFDGLFRNRWFIGIQFIIVGGQILIIFVGGHAFSVTRLTGAQWAVCLILGVISIP VGVIIRLIPDEFIRKLIPTFHRKKGPELIVSDEDRRFEWNPALEEIRDQLKFFKSLRG GRLRKVAHKLQHPQELLPRSRSGSRSRENSAPGTPVGDSSEGTPPFSASPDSRSRRRT RSRSNSAFGPAAAMAGVVAGSIAGWSPIERPGEGEAFKFDFNSNGRRSGQPGIEVHPD TAPDDNIIGDYQATSTTPPSQNPDLIPFFEHAPPAREPSHRSRRSRSRSSQSQS ANIA_01188 MALQDPKHDPTATGSPPAISNFPQDPSDFDSDPRVSFSKLDNKF ILETDDGQEYSYDTILKRWIPTIDDDLLRQQQEAYKVEGVDEDEQAGAQRLKKKRKQG SEEGDAQKPKKQRVNTAVFVTSIPLDAEFDEIRDIFSRCGVIAEEIDSGRPRIKMYTD DEGKFKGEALVVYFRPESVNLAIQMLDDSDFRLGVPGPQGPMRVQPADFSFKSQQEAP TKTSMRDKKKIIKRTQKLNNKLADWDDDEPAALVDTNSKFEKIVILKHMFTLQELEED PAAILDIKEDIREECSKLGEVTNVVLYDKEEAGVVSVRFSNPESARACVKLMNGRFFG GTAVEAYISDGSERFRKSNEKRAALEDLAEKGLDAEDDDENQRLDEFGTWLESSHTVE NTAK ANIA_01187 MASSMAAFSKRLAMILKEASAGSSVAVMSKKIASIFRDATPQQI LQYVVPLVAAYPVLVALLRFRNMKRLHRKYNYPTRESFAQMTDEDAFQIQKNLIQIEF PFFYTKSLQFALFKTYGIPTISRTLTKTSQFSNASTSYKRYTDTSALVQEFVGQSPSA ARGQAAIVRTRYLHSGYRASGMILDDDMLYTLGLFAIQPVRFINKYEWRQLTDMEKCA IGTFWKSVGDGLEVSYDALPSGKIGFRDGLHWLEEIMAWSDAYEEKCMVTDPKNREVA DETTAVLVYLIPKPFQHIGLKFVSFMMDDRLRKAMLYDPPPASYASFFSFLVSARRFV LRYLALPRPHFMRMKTFTEEQSVDERIFITQWDGDPYYVKPTVWNRWGPMAWYKWALG RPLPGDEGDKYCPNGYYIPDVGPKYFEGKGRKQQQEGMEGLKVSRTGKCPFH ANIA_01186 MSPDIEKRESPSVRSVTQDGTETEIPACDNEGRSRYQRWAQNVK GLETRGIEPVPVEERQPVSASASFHILLTWFSMGMALNNLVVGTLGTVVMQLNFLDAA LCALFGNVLGCVAIGYMCTWGPRSGHRTLIVSRFLMGFNPSKVCCFLNVLTNIGYGMM SSTVGGQILSKLSGGAVSVVVGIIIVALVSLVVATFGMHIFQYYERYAWFPQLMVFCI LLGSSGPEFDFNTVSIGSVEEVNAKRLAFFSLCLSAAMSWVPGAADYHVYYSPDTGTW RIWSLTTVGVGLAMTITLLLGVGLGTGIAHNPRWGAIYDGTPGSVVIAGYDRLGALGK FCAFLNVLTIVSNNAPGSYSMAMNFQMLGDFWCKVPRPVFTTVSTAIYTACAIGGRDS LYQIFKNLVPLIGYWIVIWFTIFVESDLMFNRDRQYDWSVWNNSQKLPVGFAATVAFL IGWAGAIVGMDQIYYTGPIAKAIAGGCDLGVWLGCGFTAVAFPPLRMLELRVIGR ANIA_01185 MDAYDTKVRPSEEKRRSSDNDNVNGIVETYTEEEERALVRKLDM VILPFMCLVFLLQYLDKQSLSYAGVFGLITDLNLTNSQYSWCSSIFYIGQLVAEYPFI YLMSRLPLTKFVGATVILWGITCACLAAPQNYPGFAAVRFLLGFTEGAVSPAFVTITS IWYRKHEHALRTGLWVSMNGIAQVVGCLLMYGIGRNGSLALAPWRTLFIICGALTIAA GIAFFILMPSGPKDAWFLSAAREKQVLSLRMAHDREGGDKTSFSIMQLKETMLDPKAW CAFWFGVLVTMQSPVLTFATLVINSIGYSQLQTMLYTAPSGAVQVALLWIGMLLCYIL PRQRTIVVVLLCIPPIIGNILMLVLPLSAGWGVIVSAWLASCITASWSILLSLVASNV KGNTKRAVVNAMFFIGYCAGCIASPQLWTHRPKYLEGLITALVTWGLLIFTTIVYRVL CVRDNKRREREAQEQDGSGLEGEGALGYIGGGAESMDLTDKQDRAFRYSW ANIA_01184 MASVTDADIKPWLRPPPQSYLLSAANIVDVAAGVIKENHYVMIK NGRITSVSSSRPLDAELPESYITVDCAGLYISPGLFDAHVHFVAVPGFPSLSTAFGNF NDVSLLRQPYVAAQMLHRGFTSVRDCGGAQLAFKQAIEEGVFPGPRLFIAGHALSQSG GHGDIRSAHDHVQCCAGHTNGLGRICDGVPACMTAVREEIRSGADFIKIMGSGGVSTP TDRLEQLQFTRQEIQAIVECAENAGTYVTAHAYTPKAMLHAIENGVKGIEHGNFVTEE VARIMVEKGIYLTPTLISYAEMDSEKWRGYLPADGQAKNTEVLSAGLKSLKIAADAGV TICYGSDLLGPLGLAQTGEFRLRAQALSPLTVLQSATINPARMMGQSESIGQIKEGFF ADVLFLSRNPLEDVTIFDRPEECVLGVMKEGRVYKSRWDGLKEDADIPVRIRN ANIA_01183 MDRSSTRRRPKSRRVPDEKRKRAAQACDRCKARKSKCVGVNTGR CQRCARDDRPCKITRCYPGKFPRETQPASPRRTISVADMLHESASFESIDSSIDANNV QVVKITWPKFLLQLREALALDSRIELDESDMMAMQTQYHQPTILQPNEINRIQRASRA LPPRAVADFLISVCLTHASDVFFYLDQAQFLADIDQLYTISASPLRLDTGFICLVLSV LALGSQWTDLERPSSFPATLPMDGRDPGRVFYEEARSLIPDLIDRTSLTSIQAPFILG VYSLPDRALGSAYSYMGLALRKAVALEIHQQVDDARMSEHERQVRCRLWWAVYSLERT TAIKLNRPRSIDPETISTPLPVPLPSLDLAQAVDNIQHQIAYASLVMILDRIDSLGTR NLDNSQYDVLQLELETWKRNLPPALRIENVRPRAPDYRAVFHLQLNYLYARIVLGKGS LLNTIQTHIQRHRPVLETNTRPDLETVLDKLALSCVEASKMILSLCESLLRNNLTFRF SFTDFQGCSIATIVAILAGIIERDAQYEARVALGLNHLRTMALGNLTAEVGVRFVEAL QAISNEAFAKLGNIDASKCENHGTGMRQDARGAAGYSQWAQWVSCRASMSTEQQRDIP FVVPNPDFGPASTMSAETSYAQAAAAPGDFAMSHQDFSNAILSPVPLDVDPQMIWSHE DQLALMGLTGLDMLEI ANIA_01182 MREIVHLQTGQCGNQIGAAFWQTISGEHGLDGSGVYNGTSDLQL ERMNVYFNEASGNKYVPRAVLVDLEPGTMDAVRAGPFGELFRPDNFVFGQSGAGNNWA KGHYTEGAELVDNVVDVVRREAEGCDCLQGFQITHSLGGGTGAGMGTLLISKIREEFP DRMMATFSVVPSPKVSDTVVEPYNATLSVHQLVEHSDETFCIDNEALYDICMRTLKLS NPSYGDLNHLVSAVMSGVTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMVGFAPLTSR GAYSFRAVSVPELTQQMFDPKNMMAASDFRNGRYLTCSAIFRGKVSMKEVEDQMRNIQ SKNQSYFVEWIPNNIQTALCSIPPRGLKMSSTFIGNSTSIQELFKRVGDQFTAMFRRK AFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASISEGEEEYAEEEIMEGEE ANIA_01181 MSTYPVAYNGTGVNGGDSLREDLNIYYSSGDIAWVITSTALVLL MIPGVGFFYSGLARRKSALSLIWLSLMSIGVVSFQWFFWGYSLAFSHTAGKYIGNLDN FGFKGVLGAPSVGSTKVPDLLFAVFQGMFAAITVALAVGAVAERGRMLPCMVFVFIWS TIIYDPVACWTWNASGWVFQLGGLDFAGGTPVHIVSGTTALAYSLMLGKRRGHGTHEL NYRPHNVTHVVIGTVFLWVGWFGFNAGSALSANLRAVMAAVVTNLAASVGGVTWCLLD YRLERKWSTVGFCSGVISGLVAITPGSGFVTPWAAFIFGVVGAAACNFATKVKYLIRV DDALDIFAVHGIGGLVGNLLTGLFAADYIAHLDGSTEIDGGWINHNYIQLGYQLADSV TGMAYSFFGSCIILFIINMIPGLSLRVPEEDEVLGIDDAEIGEFAYDYVELTRDVING TTEPMDGASKRSTTPTGPVEPSPAELKA ANIA_10152 MRLRDSRTPDQGSPPRTLKTAERRPSTLKPTPKPKIRISLLGGG QMTQKNPRKWPNSMKPRNSWAVSLFVFISPVSSSMIAPAMQNLGSDLGMQTEIEIYLS MAIFILAYAIGPTFFGPASELYGRVRLLQISNIWYLAWNLGCGFANTKAQLFAFRFLA GIGGSAPLAIGGGAVGDMWSAEERGKAMGVYTLGPLLGPVVGPIAGGFIAEYTTWRWV FWASSAAAVGIQLAGFVWLRECHPATLLRMRRDCLVKETGNENFHVEERAEALTHKLL HAFERPVLLSITQPIVTCIAVYMAYIFGVTYLMLATFPDIWTEVYDETRSISSVNYVS IALGSFTGLFLNLKFIDRIYRVLKARNNNIGKPEFRMPALAIGSIISTIGLFWYGWSI GTRYWIMPDIGAAIFAAGTISCLYQTYAASAMAACAILRSLAGFAFPLFAPYMYQSLG YQWGTSVLAFVTIGIVWTAPFGFWYFGRC ANIA_10153 MDCCRHTVHRHSRLAAWRLDRLQLSPGFPIETTTKSWTLKRSAN TSSWSNRTVGTPAFDKMSSPAVEGTPLVERELRMQQRPSHPARTPSNTYAPQRRPPPY ISFQDDRQRSSSNKRTPRRNPDAQYRAQEKAYVQRIREDPQGWYSRFEDTGMGVTGDA SDLEDPSPSSELPFEDDTYDPDIQLFIADDNQPSMEELKNPKNQERLEWHSMLASVLK GDVVKQEKQRLLGSAEPNRSAAQNHAIWLGVRARTCGRSLTLQRKLIEDARSGLGPII ENIINFEIKGETEIGKSPIKQVEDIVEQIGKCEALYPTHKELETAHPRVASEEYCSSR DAVFAWHNTTILINTELAILQKWVGNAELDFSKAGLKPANSDLSDESSFLDRIMKEDG LKTLQGEHNMLNGIGAVIQKAKNTLIENATSFAKRHLPPYIEELLILINFPSRLIQEI IRVRLSYARNMKDPAQQSPILVDQMITQFQILMRAAVDIKQRYLDIARPEPGWDLPPC IDESFDSVVLDALRYYFRLLNWKLQANKNTFKEAEILEQEWDFCNEIGRQLDSGDIEV AEQFSVLTARAIHRLLIHFERELHVQEDEDPAELDKRFKGILDSTRIRQRKLYRFSRF LRQLFENASEYNLPADISWDFFEALFVSDHFLIKSNASSAQKGVYYFAHPALWNRPDE IAAILGTSFREEEVGKELTHVPYVLAVRPEKPLSWAGKEMQVELVEQPTDLRLGKLRL IVEGSQQRLVNARLELTHLTGIQLDMAIEQRANLSRVNAELNRIKKISFKLSMTIMDS VAILRRQLREKGVENTELIQACYAFATEFGKRSSNVDPNRRAMNSARLAELSLDWVSF ICDDCDAADRKTFKWAVAALEFAMAITSSRHLLSMDDAHFARLRQKVAGCMSLLISHF DIMGARSSRAAQAEKQRMDESARSWKIGAGRILTDEEAMRLVREQRLISLNAIEEGRV EADAKRQALGRVLEGTNEADKSLAVLSSSATNVTLRWQQGQYIGGGTFGSVYAAINLD SNYLMAVKEIRLQDPQLIPKISQQIRDEMGVLEVLDHPNIVSYHGIEVHRDKVYIFME YCSGGSLATLLEHGRVEDETVIMVYALQLLEGLAYLHQSGIVHRDIKPENILLDHNGI IKYVDFGAAKIIAHNQVAPNRGKNQKTMTGTPMYMSPEVIRGDTSKLVHRQGAVDIWS LGCVILEMATGRRPWSSLDNEWAIMYNIAQGNQPALPSRDQLSDLGLDFLRRCFECDP MKRPTAAELLQHEWIVSIRQQVVIETPTPSSEHSGSISSSNSGSRHSSAYM ANIA_01179 MPTPSDSTPSLTATSVIQEISARTTCPPEPLPSWRQELESASVT ERDSTPDNALSPGVVSPQPALSRADDAIARADSEPLKVEMDTSASPSTNMHQLLPNYT SSFLRPGSKFTGTQQSDRQVYNVDVEIKHVDMAESYLCGYLRIQGLTEDHPTLTTFFE GEIIGTKHTFKTRNESWGASEKTDMLHWARFPAWRPLAKQAKRSDFTYWDFAERDHIF MRWKEHFLVPDHRVRTISGASFEGFYYICFNQVEGTVSGIYFHAKSEKYQQLDLKHVE NHGCTPAIEFR ANIA_01178 MSAQNEQFYLRYYSGHSGRFGHEFLEFDFRTLGDGRSAAVRYAN NSNYRNDSLIRKEMCVSSAMIQEVKRIIKNSEILKEDDSKWPQKNKDGRQELEIRLGN EHISFETAKIGSLVDVTESADPEGLRVFYYLVQDLKALIFSLISLHFKVCLFRLIKPI ANIA_01177 MASFLENAYSLVHMDNAADQPSQQELKLQLEKGTDETKLDTMRR IITIMLNGDPMPQLLMHIIRFVMPSKSKPLKKLLYFYYEICPKHDSNGKLKQEMILVC NGIRNDLQHPNEYVRGNTLRFLCKLREPELIEPLLSSARSCLDHRHAYVRKSAVWAIS SIFQHSESLIPDAPELIQTFLETESDGTCKRNAFAALMSISHQKALEYLASTFDSIPN TDELLQLAELEFIRKDAVQNSQNKARYLRLIFDLLDASTSTVVYEAATSLTALTSNPV AVKAAAGKLIELSIKEADNNVKLIVLDRVDQLRIRNEGVLDDLTMEILRVLSSPDIDV RRKALGIALEMVSSKNVEEIVMLLKKELSKTVDEQYEKNSEYRQLLIQSIHNCAIKFS EIAASVVDLLMDFIADFNNNSAVDVISFVKEVVEKFPKLRPSIVNRLVSTLSEVRAGK VYRGVLWVVGEYSLEESDIREAWKKIRASLGEIPILASEQRLLEEVPEDTLPKEQING HGKSAPKVLADGTYATESALTSQSAAAARLQAVKAAQKPPLRQLILDGDYYLATVLSS TLTKLVMRHSEVSEDSARTNALRAEAMLIMISIIRVGQSPFVAAPIDEDSVDRIMTCV RSLAEFSERKELETTFLEDTRKAFRAMVQVEDKKRAAKEAVEKAKTAVQIDDAIPIRQ FAKKSALEGAEEIELDLAKATGGDSAVETVSSKLSRVVQLTGFSDPVYAEAYVTVHQF DIVLDVLLVNQTLETLQNLSVEFATLGDLKVVERPTTHNLGPRDFLNVQATVKVSSTD TGVIFGNIVYDGASSTETHVVILNDIHADIMDYIQPAHCTETQFRTMWTEFEWENKVN INSKAKSLRDFLKQLMESTNMACLTPEASLTGDCRFLSANLYARSVFGEDALANLSIE KEGEDGPVTGFVRIRSRSQGLALSLGSLKGLKASAA ANIA_01176 MAFNSSPCTNQSDSHLRLLGHDSTLMVGQSVSLTLGGDSLLIVD ERVMRKSDRTCCGMVPKPTNKVTHSISLYNVLDTSVSSAGLTITYAEPAGKDDIAVTA LQYPIAAEEKSRVEQWMSKLLALAYGNAQRYKRLKVLINPFGGKGHAAKMYRTYAEPV FAAAHCELDVQETTHGGHATEIAEQIDVNAFDAIVCCSGDGLPYEVFNGLARKPNAGE ALRKLAVAMLPCGSGNAMAWNLCGTGSVSIAALTIIKGVRMPIDLMSVTQGSTRTLSF LSQSFGIIADSDLGTEHIRWMGAHRFTYGFLMRLMSRAIYPCDLAIKVVMDDKSSIKH HYNAYVNSPPPDPSRQDSEYTEGLPKLEYGTVLDELPKDWAVVPADTIGNFFAGNMAI VSKDTNFFPASVPNDGLMDIVTIDGKTPRTRILKMMSEVPEGTFFDMPEVEIRKALAF RLTPREKEGYISVDGEKIPFEAFQVEIHKGLGTVLSKTGHLYEAAGPRP ANIA_01175 MADLAKTPFVRELASSDKKIRDKATDSLILFLQSKTNLSLLELL KLWKGLFFCFYHSDRPLTQQALARNLSYTLVPSLPRTTVHQFLRAFWITIGREFHSID RLRLDKYLLLIRSYVGVAFQIFLKNPSSASTTTNGTGTGTDTVNKKRKREDSTKSKKR SKSKSKSAQPASDNEDEEENTHPNSESPSTTSNSDWTDLQSYIEILSEGPLHPLNFDP SQPKPDEEKGIIPMPHGPDGLRYHLLDIYVDELEKALEFDTESGKPVGEVPAEILMAP IERLKAESPHKPVRVRAAETLADERMVTWGLREKEKKEENEEESSGEEWGGFGDD ANIA_01174 MAESKRLSSSSRPGQDESEKIQEVQYQTRSRQNSRIDQDDTTFF DEINPGGRAELTRIASNFARRTSTAASTTTGKGIERMDTVDEMTLEDPAFDPTSDQFN HYKWARKIMKLMDEEGAPRPPSTGITFQNLNVFGSGSALQYQDTVVSLLTAPLRLHEL LFKRAPERHILHDFNGLIGSGELLIVLGRPGSGCSTFLKSLCGELNGLKLGEGSHIQY GGIPMKTMHKEYKGEVVYNQEVDKHFAHLTVGQTLEFAAAARTPEHRLRGASRQQSAK YVTQVAMAIFGLSHTYNTKVSRAPIAAWDNSTRGLDSASALEFVKALRIAANVGGSCH AVAIYQASQAIYDIFDKAVVLYEGREIYFGPCDKAREYFVEMGYYCPPRQTTGDFLTS VTNPQERKAREGMEKRVPRTPEEFEKYWKNSPYFAELQREIAEHLEQFPVGGEVEQTF GQAKRYIQAKHVRPKSPYVISIPMQVKLCTIRAYQRIWNDKPSTLTTVIGRIVMSLII GSIYYGTPDASAGFQSKGASLFFAVLMNALISITEINSLYDQRPIIEKQASYAFVHPF AEAFGGIVSDIPVKFVAAVVFNVIYYFLAGLRYEPSQFFIFFLFTFLSTLAMSAIFRT LAASTKTLSQAMSMAGVMVLAIVIYTGFVIPTPQMSDIPWFSWIRWINPVYYTFEAMI ANEFHGRQFECSQFVPAYPNLSGDSFVCAVRGSVAGERTVSGDEYIYSQYRYTYDHEW RNLGILIAFWIFFTVVYLVATELNSATSSKAEFLVFRRRHVPPQMRILGKSQGDASPE NVALAEKPTEVAPNTSAIPEQHSIFTWRNVCYDIPVKGGQRRLLDNVNGWVKPGTLTA LMGVSGAGKTTLLDVLAKRVSIGVVTGDMFVDGRPLDTSFQRKTGYVQQQDLHLPTTT VREALQFSAVLRQPKTVPRAEKYAYVEEVIDMLNMQDFADAIVGTPGEGLNVEQRKLL TIGVELAAKPALLIFLDEPTSGLDSQSSWSICSFLRKLADRGQAVLSTIHQPSALLFQ QFDRLLFLAKGGRTVYFGDIGEDSRTLLDYFEANGARACGSSENPAEYILEVIGAGAS GKSDLDWPSIWKESTEAREVLQEIDRIHKDRASASSVEDKNTHREYAMPFTDQLWQVT SRVFQQYWREPIYVWAKLILAIASGLFIGFTFFKPDSSQQGFQDVLFSAFMLTSIFST LVQQIMPKFVIQRSLYEVRERPSKAYSWAAFIIANVLVEIPWQILAAIVSWASYYFPV YGASQPPHRQGLILLFVIQFFIFTSTFATLIISSLPDAETGGTIATLMFMMTLVFNGV MQAPSALPGFWIFMYRVSPLTYLIAGLTATGLHGRAIECATEELNVFNPPNGMSCGEY LTEYLAVAPGLLYNPDATANCQYCGLTNADQYLAASNIYYSERWRNWGIGWAYIGFNI FGTVALYYIFRVRHWNPTSLIRSVKQGAQVVCRVFKRRSGETPRGKEAENGRLY ANIA_01173 MGCCFSVSREPHDSNGQTPTEEHSSAIAPPSHIPSSSRQSRQRH LTHNPSPSSSHHDRQRQQQQAVPLQQHINAPIRPHIWHSKKRLWTRALLDRERTEFFE TRVTGRPEVWDALSAALQFMRNGDYETAQSIIDAAGVTVPTGDLCQGVYDEQGVLYRL PRCIVSDPVNIVVGDVGLGGSGSGSGSDSEGSDGGADDDLGFETDENEHGDRKVGYVR EGEGVESGDELIKNGAGARARVLARSADDEASIERERERRRDEKGKTSERDLIRVKTR LSDRDGADVIVALRKTQNVGFLARKLQQEVGIPRTQRIRIAYLGKILKEHKTLFDQGW QPGHVVNALVVARRSSSSQ ANIA_01172 MASKRTRDAFEADFQAQNSPYVFYGTALPPLDAGARDDGSYVPV WKQEVTDDRGRKRLHGAFTGGFSAGYFNTVGSKEGWTPATFVSSRQNRAKDARKQRAE DFMDEEDIREAEESRNLQTNNEFSGFGSTATDSLRRGGLMDIFKTSGETVGVKLLKKM GWREGQGIGPKVRRKADLGDGKAGPGNAEKTYLFAPENPPMVAFIRKNDYKGLGFEGE ARLGSRQEGEKADEDDDPFFGRRLNAGKPNRSKAQKPRRGAFGVGVLNDTGSDDEDPY SLGPQISYNRTIGGDKKKSKTKPTDDTRGLPSANPLLSNKPVFIPRKASSAKGAAGFR KCHDGRLPLDGFRLADGISTLTLSSDKKYAPPEIPKDWKSKKTPVKERDAASYVSTAE AARASTLDPVSRAAILGEDQLPAKSIFDWMTPEGRAKIAELTGKTDLPPALGEKAPKG YELSESQRQKDIWDLVPKLDKQVAVQALTRAASGWMPYSEDESKRTRYRTFLEIRAGL RDTLPDRVPGFTTNEWVTELEEFARAAEVFKPVSGVMASRFTSASSGPKGASDEAKTD TDAPLLSKPSETPQDPVIEAAKIGMFGPMTRTVISFYPTRLLCKRFNVKPPSHVQVDP GDPSNGSSSSAAPGSRFQSAGYQTDNRPRELVSQDVMNQLMLAAGGGPGGATLAPSSR GDSAMRAAPGPSPTAPVVIEADRNEALEGERPGEAVFKAIFGSDDEDEDQDD ANIA_01171 MASAGPATADGGAGHSHAASGHHLHATNVQSKRRTMIATPTGQW SLGKTLGAGSMGKVKVGKHLETGEQVAIKIVPRQSTEEHRSQREAERADRSKEVRTAR EAAIVSLVNHPYICGMRDVVRTNYHWYMLFELVNGGQMLDYIISHGKLKEKQARKFAR QIASALDYCHRNSIVHRDLKIENILISKTGDIKIIDFGLSNLFSPRSLLKTFCGSLYF AAPELLQARQYTGPEVDVWSFGIVLYVLVCGKVPFDDQSMPKLHAKIKSGVFEYPPGL TAECRHIISRMLVTDPKQRASLTEIMNHPWMNKGFSGPPDNHLPHREPLQLPLDPEVI EKMTGFEFGKPEYITAQLTKIIESEEYQHAIALNAREHPVPAHTDKKRGVFDFYKRRN SASRDTLSAPSAEAVQLGNDPLNAYSPLLSVYYLVKEKLDRERSESNPGALSIAQAPG DMLQVPDLAPPEAAHTNQYQVPGEKDTGRRSRPRARTHGDDEITEGMKNASLAPNHAQ ASHSPAASQPDTPAKKESTAAGLLRRFSTRRTKDRSRDRERSRLASPHQPSLNVQPPA DSASPLSRGFSMRKNRRTEPSATAIPSTGSQPQHQDLLKTPGSVEPASRSNKYLERSI SVSSGEPRHRRSRRTEGDSGSQPPQTSGSEYSAVPKDSTAGREQKIAPRTHASRTMSL GHARRESIQARRARRDAAREANVPEETDGEISGPGAALESANEEDLSKPVYLKGLFSV STTSSKPLAFIRADIIRVLKQLAVDYVEIKGGFSCRHAPSIELDKVVDNGPPSPERQG QVSNHRRRISLGGLLNHDDGREELARTTTRRRHRAPDRSFVSNSEVSDEYLETRDTTV TSGERVVGETTTRVQSDTGGNLVLRFEILIVKVPLFSLHGIQFKKVSGGMWQYREMAK KILDALRL ANIA_01170 MLVDLTIDSDPEEQPRLVSRLPFISEYHNNQELIGLSCSLDDGS IPNPLIKSLGRQTLAHRTLSPSPHTKNSTIPFKRKSDADSAESGSESSYASAVAYPQK AKTRTTNVSSRNPVGHDSLSGPFKRVGAGPGTGAARQARQIPASAPSLASSSGTDSAA GHYAPSPAPETLRVVIPSPSVQLKKEIDSAERLPDAEVKTPEITGMSEKYYPTDALER RAMRGAYPAARKTNRAGVPLVIGTPGPFLTEKNRRPVIDLLCKNLQKKLASIKGPAVT VAKADEKRLAKATTGFEFINEYKLREGVAPISKEFQSGCSCETICLPDRCQCLAQEED SEERIIAYKRARDNPRFMVLRPEFMKRTSMIFECNSLCGCEEKCWNRVVQLGRTIRLE IFHTGARGFGLRSLDTIRAGQFIDLYLGEVITTSKADQREKIANTRNAPSYLFSLDFL VDDESSYVVDGANYGAATRFINHSCNPNCRMFPVSRTHGDDYLYDLAFFALREIKPGT ELTFDYNPGMERVDKLDPNAVPCLCGEPNCRGQLWATERKKAR ANIA_01169 MRPNQPSTPSLSLVYTSFQPHTQVNTNNHNTNDNEYYSPSSPSS PTSLLSSTTAITSTSYTFSSSPNSPSLSAVQPQHIRKPHLISDKLNIGHSHAGAGSET STGTTITLRRRPSNIDILLQQEHSRASIDAIERQGLELLEPRPVDLDPVSPIGVSPRG VVQPQSLPTVTQARLPIQSPTSNAGVGNDGGHGVDKVTRAQEDVSVQMDGLISHSQPR FVMSGIFETMEGRG ANIA_01168 MASNSHDRGSSDENDIADHSIPLQDLTGPQERGRRLSRVGSRLF SGRSLTERGRPYERLSEDSPVEHGGHTTGSHSAEGANQFNVEDPGAFAAAMSSVGLGL EVSGFQHSQPSASHLAAHTRDESESDMEFVRIDSVDPHEAEHYLSPSEIYPDTTPLTS IRHVQPISGASITPSGSQDPSNAPSVRFDDSQMGSRLGDDLHNMESGYSGRRRAESVS KDGGRSLSPSVSGSALLRASSMMKSVSQRVVNLSNEPEVVERSLSRDSHKSSRMEAPP SLPSLTDYAHDAPSSSSLNEQSAREKSVNSKAWKGLSNPLRGKALGFLGPDNALRMWL CDILVHPLTEPFILVIIVVQTILLTIESAQSEWSHPRLPHWGLNRMDYPYFVIFIIYT VELIAKILVSGLFFNPTEYSTIDRSKGITTALMEKGKNLITPQRQFSIRKSSAQPEPQ QASIIRTFTGGLNQLEQQLADDPLQKRRIRLAHRAFLRHSFNRLDFVAVLAYWVSFVL NLGGVENTHQLYVFRMLSCLRILRLLALTSGTSVILRSLKKAAPLLVHVAFLIGFFWL LFAIVGIQSFKSSFRRTCVWLGEEYGEQNFTMNDPFGTLQFCGGWLDETGTQRPWYSS DGFESDSKPKGFICPQGSICVEGGNPYNTTMSFDDILHSLELVFVIMSSNTFTDILYN TTDSDYLVAALFFACGFVILSLWMVNLLVAVITHSFQVIREESKRSAFASQKIEASEE EEESSRKVSTLKRIYDATYWFWIAVIIFDLVMQAQRSSSMGDGRVQLIDNCEIVVTVA LLGEILLRFISDWRKFHQSRRNWVDLALAIITCIIQVPAIRNSKRTYDILTVFQILRF YRVVLAFAVTRNLITLVFRNAVGLLNLILFVFLITFLASIFATQLFRSQIPREDDDGG TIEITFSDLYNSFLGMYIILSSENWTETLYNVTSYTYSFNTAWISATFVILWFIVANF IVLNMFIAVIQESFDVSEDEKRLHQVRAFLEQKQVGSASQGNLSLSKILKLGRGSARF KDPLDHGPAALDMLLKDAVVREFLDEEMPENQRREEASIARTATTAEGLVEPGVFSRL WTSVTSSVLRREPNPFYSKLKISRAYEELGPQEMVREVVSAEQQRKREQREYLIRHPT YNTSLFLFKPDNPVRRLCQRMVGPGRGSQRVEGVDPYKPVWYTFTAVVYAAIVAMVIL ACITTPIYQAVNFQTDRDWFTYTDLGFAILFSIEALIKVIADGFFWTPNAYFRGSWGF IDGIVLVTLWINVLSALSSERGVSRAIGAFKALRALRLLNFSDSAKNTFHSVIIVGGW KVIAAALVSMSFLIPFAIYGVTLFNGQLMECNDDGIAGSLDACVGEFMSSPFNWDVLA PRAVSNPWYDFDNFGNSLFILFQIVSQEGWTAVQSSVMSITGLGRQPETFAAPENGLF FVVFNLLGAVFVLTLFTSVFMRNYTEQTGVAFLTAEQRSWLELRKLLRQVSPSKRRSS EKSTSWKQWAYRVAYKKHGRWAKCVTTVLVLHLILLVIEYYPENYLWNLARDGLFFLF NFVYIANVLLRLVGLGWHRFSTSSWDMYALLVVPSTVITSILNFTSYEQRKTIEVLNK LFLVAITLLLIPRNNQLDQLFKTAAASFTSIGNLLATWFVMFLVYAIAMNQAFGLTKF GGNENGNINFRDIPRALILLFRTSCGEGWNELMEDFATMEPPLCTAITDGFFGNDCGS KGWARALFISWNIISMYIFVSLFVSLIFESFSYVYQRSSGLYVISREELRRFKQAWAE FDPEGTGYITKEQFPRLLRELTGIFEVRIYQGDFMVPRILDECRVDKRDSLLAHRRVV EGVDLDKLAKIIRKIPVNTVRERRKRLNELYEEVLVSADPRYGITFHSCLMILAHYNV ISDSKSLRLEEFLRRRAKLQRVEEAVRRNTVIGFFKTLTATREFRRKIEHKRSARMTF VPQFNVPEIYVDDELQEDQQSQDGPLGSARSSGAEPSMLSPTSTGRGEAGPSQLPRIN TNVGDRMNSEASSPSEWSNISISLSPGRERAHTTSSYDPPSFSEGASATSEHSRHHSA MAVQDVMQSLGDSAWGESIRRSFTQRRPRERPRDET ANIA_01167 MSITPIITFKAGICDLETQSSGVHAKPQPTPGYLYLYSEDELIH FCWRPRSAPHTEPELDLVMVPSDATFTPYRADSKPTNGRIYVLKFSSSSQRYLFWLQS KSQHENADPAFWSARDLKLGWIVNKLLQGEEFDVEREIATIPKGPSNGDDDETMEDVE GVDHDPNHPHGGSGGGAGPDATGGDVREEGQESREGGADGGRAAAVDSDASAVVQNFL RSFGGNQSQQAEQPFTTLQDLLSPSTTLPFLDTLNVEGVDNLLKFLPQELLILALQME DQLASQQRPETAQEVLDALNLSQKRNILRKILRSPQFTQSLASLTVAIRDGGLPSISE ALKIPVENGGFMRRGGVPLGGGDAMKAFIQGVRDYVKDSTQGNRMETD ANIA_01166 MSDVGTTKQFGKAQRLVPSQKAQKWYPVDDESQPKKVRKAIRPT KLRESLQPGTVLILLAGRFRGKRVVLLKHLDQGVLLVTGPFKINGVPLRRVNARYVIA TSTRIDISGVDSQTIEKVSAPGYFTKEKKNEKKTEEAFFKQGEKPEKKVVASARASDQ KAVDQSILASIKKEAFLGSYLAATFSLRNGDKPHEMKW ANIA_01165 MHPSLPSIIYDASPTALGISAVFGALFFYTLVKMFGFLARENQF VVEGRTVVITGGSEGMGKAVACQLAQKGANIVIVARTLQKLEEAIEAIKGSAANVNKQ RFHYISADLTKPEECERIMTEVTEWNDGMPPDIVWCCAGYCTPGYFVETSVQTLKDQM DTVYWTAANTAHAILRKWLVPINPSHQRPLPRRHLIFTCSTLAFVPIAGYAPYSPAKA AMRALSDTLCQEIEVYNGSRASKERARATPADVKIHTVFPMGILSPGFDNEQQIKPAL TKQLESADKPQTPKEVARIAIEAIERGEYLITTMFVGDVMKGAALGPSPRNSWFRDTC TGWLSNLLFLGVVPDLRKQAFNWGAKNGVPTSPSA ANIA_01164 MGKKRKAGGRPAAKNTDKEEPYRFDIEERFDDSEDEFQAGRDQI LLDEAPEAKRRRKVAEDEEALQLSDEEVLGYESVDEDEDLEDEDEEDEVDYDDDDLEQ FSSKSKKKRSGSLGSEEEEEALAAWGSSKKDFYHADQIETEADALEEEEEAKRLQQKH LREINEEDFGFDETEWIESGKDDAKDDTGAMTEVLPQLEITDDMGTEEKLKILKSRYP EFEPLSKDFLDLQPTYHSLTNAAKASTVTEDADHAPVAIIKHRALSAYLGAISMYFML LTSANETSDNLTALSPAQLRSHPVMNCLVKFRKLWETVKDLAEVESKSQEEIEEDIES DIDVDVPDVDDDATMDKELKSPKEKNKKKLSKAQRIAEAVRAEAEAQRARKLEETEAN LAGLSKLVTEQIRKRASQRTNAPTKDADDSDFGDEDALTAKEAEEKAKEKRSLRFYTS QIAQKSNKRTAAGRDAGGDADIPYRERLRDRQARLNAEAEKRGRSKAEGAEELGGDSD DEDHRVAQELRKGGDGESDDDYYDMVAARTNKRKADKKAFAEAQAQAAREGGHVEIQE EIGPDGKRAITYQIEKNKGLAPKRNKLNRNPRVKKRMRFEDKKKKLRSVRQVYKGGEG RGGYAGELTGIKKNLVKSVKL ANIA_01163 MASRLQLSRSRLLRPSNLTYTPSNRLVRPCPSLRILPRARLPSL STNIAAPLSSSSIRNYASGRPHPPGGTHRMNLGGEPEKAALEQYGVDLTAKAKAGKLD PVIGRDSEIHRTIQVLSRRTKNNPVLIGAAGTGKTAVLEGLAQRIVQGDVPESIKGKR VIALDLGSLIAGAKFRGDFEERLKSVLKEVEEAQGGVILFIDELHTLLGLGKAEGSID ASNLLKPALSRGELQCCGATTLNEYRLIEKDVALARRFQPIQVGEPSVAATISILRGI KDKYEVHHGVRITDGALVAAATYSNRYITDRFLPDKAIDLVDEAASALRLQQESKPDI IRELDRDITTIQIELESLRKETDISSRERREKLEEDLKAKREESRKLTEIWEKEKAEI ESLKRTKEELERTRFELEQAQREGNFAKAGELRYSKIPSLEAKLPKEGDEQKDPQSTL IHDSVTADDIGAVVSRTTGIPVSKLMAGEVEKLIHMEDTLRQSVRGQDEALSAVANAV RMQRAGLSGENRPLASFMFLGPTGVGKTELCKKMAEFLFSTETAVVRFDMSEFQEKHT ISRLIGSPAGYVGYDDAGQLTEAVRRKPYAVLLFDEFEKAHRDISALLLQVLDEGFLT DAQGHKVDFRNTLIVLTSNLGADLLIGADPIHSSKASTDGEITPELKKAVMDVVQQAY PPEFLNRIDEFIVFKRLSREALRDIVDIRIKELQSRLDDRRMTLQVDDEIKDWLCEKG YDPKFGARPLNRLISKEIGNRLADKIIRGEVTSGQMARVAFNANNDGLEVTAVAQGEE QA ANIA_01162 MGFTDFVSDAGLTIANTFFGSRSYVVGTSPSQADVVTFKAFSSA PDAEKYPNVARWYKHIASYEPEFGSLPGDASKEFTAYGPEGAELPTNPKDKPAAEDDD DMDLFGSDEEEDEEVAKKHAANLAAYKAKKEAKGPKPAAKSIVTLEVKPWDDETNLQE MEANVRAIEKDGLVWGASKFVAVGFGIKKLQINMVVEDEKISIDELQAQIEEDEDHVQ STDVAAMQKL ANIA_01161 MGFITRLITLFGLVLLAHAGYSAHEHTVLYSNVHLSSPSTALPQ DIVIEALVSLLIVSTGLIFGAEKLKPVSWSEWAKEVEKKGDTENPYARLEERYSFWDV RAKRKEFADWLRSGTDAPLKG ANIA_01160 MRASLILLAFSALAAAQLSSEPVNQETTTTETSIETPTDTVIET PTDSTITGTTGLETATETSTPTTSQPLIPTGSTPVIGSSSFATSPSPTSSTSTRSSSS TTRDSTSTSTATESATSTSNNQDAEETNSDNGAFALPTANPLLGVGLAGAALAAFI ANIA_01159 MLVAIQRPKQPAVGLSNARSVRIQTSSVDNGRIQNPVPSLLVSN LRRLAADHAALHEDLPPNYLFPPEDAQSDDITQLTTLLAGPQGTPYSQGLWRLHLKLP EDYPKSPPKATFKTRIWHPNVEESTGAVCVDTLKRDWKSTLTLKDVLITISCLLIFPN PDSALNATAGALLQENYDAFARQAKLMTSIHAPIPAEMRTEVMEAKMRGEDSGAVVLE QEEEITRSLRPQGKKKMQSVMMKRSTRKDTGGPQSRDSENTRLHHDHPDQPDQHYEFL SDNENEVLSCASKENDPSLSPSPVKFAPLLSPRKNAYGKRPLSVLTPSAVDIDPFVSM EPEEMQLDKSDKHHDRLRAQHCRKLNVSHRGPNSNLMRGEPSGIDFDLKIYEDANPNP LRPFRNSSSSQRPLLELDFDPAIPQSHHVGHAHPITVLPPSPSVSPNPSLLKKPVSET RKVSGPGSKKVKPRIGIRRL ANIA_01158 MDQQNQSGVPGPAGRKLHIAHRRSPSELTPLMMEQLAIQQQIEL LQQQQQQIAATHQQYINMGLLQPQQIGQASGFTPSLQGGGAPIGGVSPQQLGAFQFPQ VGQQQQLGVPGNTSNQHSHRRNQSALPGFGMGGPPPAPSSGASGYSDYSQQQQGNFQR NENGAHGRGRGGPSGGGHQRRHSLALPEAKKAAELAQQKRAASGFQFPAPTGDSSEAA PASDDKPSTPNPTQSSQGLGLQRAGNIRASAHGRSQSMAVGGNRGSLSGRGPGGFQFP ANDGSSGPENQRRGSQASHARTPSRNFDGNWRQPNNQSQGQDQSKGLGQQNSGFQPGH RARASMNQSIGSIGSFQYPGQPQLIQLPQGQVVMAPPQMFGGAQQLNPLQLAQLQALQ QSGQLNGQGLGGLQASQHAPQPLSAQQTQQQQQQQRKTLFTPYLPQANLPALLSNGQL VSGVLRVNKKNRSDAYVTTPDLDADIFICGSKDRNRALEGDFVAVELLDVDEVWSQKK EKEEKKKRKDITDARSASNAGTDKMSRSDSTGDRQEVGPDGSIRRRGSLRQRPTQKKN DDVEVEGQSLLLVEEDEISDEQKPLYAGHVVAVIERIAGQMFSGTLGLLRPSSQATKE KQEAERLARDGAHGRHQDRQQDKPKIVWFKPTDKRVPLIAIPTEQAPRDFVEKHQDYA NRIFVACIKRWPITSLHPFGTLVEQLGEMGDLKVETEALLRDNNFGSDEFTDAVLKSI GWENWSISSEGDLSSRRDFRQETTFTIEPTGTSELDNAYHFKALPDGKAEIGIHVTDI AHFVKPNSLVDREAKKRGTAVYLVDRLVKMLPPRIATELCALLPGEERLTVSVVFKAN PETGAIDDDIWIGKSIIKSSGKLGFDEVESVIKGTSDVPLSGVSVDIIRGLQRTASKF REARLGNRVSQIPPLRLLHSLDDENVPVESNIFGSSAVRELVEELSCKANFLVARKLV AALPDKAFLRRQQSPNPRRLHSFVDRMNRLGFGFDESSSGSLQSSLCKVKDDDLRKGM ETIFVKSMQRAKYYVAGTVQDEMRPHYTLNLPVYTHFTNPLRRYADVIVHRQLEAVLS DGAIEFSDDVESLSKTADICNNKKDSAHNAQEQSVHIEACRNMDKLRQEIGGDLISEG IVLCVYESAFDVLIPEYGFEKRVHCDQLPLKKAEYRKETRVLELYWEKGVPSSAYIPE DERPKPANSRAAQAAAAAREAEAARERAREREEALRRQTETGTMSADDVDALFDDDDD VSEVTEMAAGVSLNSADRSTQSMPPSPTRNGHMPQAPQRTLSDPKIATNTVDAPETKL TNKEKYLSLFKLREEGGEYIQDVTEMTRVPIILKTDLSKSPPCLTIRSVNPYAL ANIA_01157 MGRSGYPSQPDSPSRQLMLELTRDLEQLRVHNSELKKVKAYERR SFYESLDRADSELEAQHNAALDKVAARHEQVLEEAEETLRAYQRAVEEEARRKQEEAR KEAERKERERAEKLRKEQELARMKAEREAALKAAEEAKRKAAEEMERQKNQKQLGGGR LSEEEIKTHQRYVELHQHLKKFRQYLRDQGKLNPIIKQNMGDMRRSIKKCVGQLREGK GVNKNQTQEIRTTLERAASIAEPSVDIRQFIAFPPENIAKSDDNKVPALLIYGLNILA KSLISSLITEASINQGHAEPIGIVAAQIFSTEAFLYKGVHMVDILWAKYRVVCPALWG FYGNEKTEAGRRALGWWRDGGSDGPFVSEQAHADRMTALGAGFAALTLRNFGRTQRKN PFPNTLYWFSMHKILNIPVAEIQETHVTLLSAMLRSSAERIVGFFGHIGLALMRKAIV ELPANLPRQSMAVNQLKLLKDLYQREKNIII ANIA_01156 MRQNNTKRVRPALEPLYGANNSHQTVSSANSALSPPPPHSTNPS GVRDNSLLSAPLQSPFPSPGASSRSAAGSPGRPTSPGSRSVAFTEPSTLPILQRYRGA LDSSTTSPEDKKAESSVYYTTAWGSPYAAPSPRTLSWSLSQKDAVLDRDSGDSSPISI QFGLEPNRPTILRSLPPSQGPVIEVGSNSLERSPERRRGKSIQDFTQDWINQYLSGQQ RTERSNWLSDDSGSEAPSFITAANHFADDASDDWLGLEQDSLDQDLLKTPTLADFVNR RSAGKGGPVRKRKEPLHKRTDTLRQEDFWGFAYDEDPQPNTMADTKETQPAGAEHKPL SPDEKPLPPPPIEENGLTPTKETPTQIAQTEVDVEKPVKDKPLPRRQKKKVTWRGKGC VIELPLYDKRGTEESGYRLLTAEDVRRGLQQWEELGYDVRGFNVSAPEDPFNFELGGA SRPSYPEESDIQEERKSGSYTVSFPNKALWDEYVNQLQEEKLRALGVFGGDEDVPPSP SPASALNPMTPFPGLVASPPIPTASAASNPLSMHHPFSPQLTQGGNMSNGIASLASPA SQFGVQTPFYGVDQNIIPGFLPFQPTPPAQGSLTPQSFINLRQSGPTSTIPGTLSSLT SMLSPVSPMNDPNAFHLGWGDQTKQQKDASDDQYQHRIHDDYTEDQLRPLRTPPPNPD NFHASTVEIAQPTPRGHSRGHNLSETLQKGVDQVTSEYHLEDSIDKELDDGDYDPMHH NIGGHGLLNSRWTLPETNAPQHMAQHLNHFYGDAYPGEPAHEGSDIDTNPSLSGTPRR NGSLAHQFPWHEPKPSNGSFAGGHRSKLSTSSLNVEAKEFAPTGQLPSQQLPFQQQNA FQFPKLEHSVFTFGAEQPAGRLNVAAPSFTPGKIETVFHGSQSSQSREFKFSAPSTAS LNVAAPEFNPGRTKIFGDIDITKIANSAKKSKAIPIVRPDEAKGEEQSKVPKETMDDR GRPVPTDRHKRARRVGGSDDGEARFSISHPLGEANNSQPQQPSSASQPAEGKENALPE RGTTDSAADNDPLERKGTPASEASTWAPSDTKADAVGPDDVQHQSHEAVAEDEPRHQE SKEQPEPTKEIKYVEFGQKSALSASAKPFSFKPSIAEFVPFIAEPPAPPPKDTPPKQN KGLMSSRYAVASPPPSTPAQRLSTEQSASANPNTEDQPKDIPRKAEDNKSHIANDQDS PNEEQLNAVMDQLNEDSDIGVERISTPKPPSHLPEPVQGPSKEKRHVQADNRSEPPSP SPGRGAVSHTLHVPKLDFDAQSQFSATPTKGVASNAHSPVRQLMSQNDHISDWDDVFS PGEDVKLAYRSRFFDRRVNELLGSAIDERLYPLENALGAIQESLAALTSAPAQNPLAF RSTSAEVMDSDADDEDEDYEEDASYRPRSPIRRRERKLEKLKNVVLEALATRDAQPAP APEQPALEEIVQLRNTVTELHAMTAQNLSQNPTAGLREMIQEVVAAQLNSRSRSDAEE IGADNLMLQINNLKDMLRGADDRTENEYRKRRQAQDTIAQLQRLLKDVEEESARHSAA AESAEARLLQFQQEKIPYYESFQQKAAMLEEERESTRLTLEELSSKNISLQGTLDEYR FMADSAKRETEETNARLEEIKAENNQLRNTVANMRVRIEDGLHIRQNLSGRMEQLQDQ LAALTGEIARDQASWRRKEEELNAKYSELRASHNRETKLREQLESEVTKLEEQEREAA KLKFIFGQSQQENERLEELVSELRQKNQELDMKASRFEREFNEARESSRVEIQRTRTS MEMEIEAATTQVNIVRAELEAQIARLETQLDNAKLDSDTARERYEMLLEEAHETKATA VASAIESKEYSMEEQRKLHERVLNDLRERHARVMHNSSEDRQRTENHYIERLQFSDDK IKHLQERVAHLEEKLEIAQSAARAAAEAAQSAKSAGPTAPSHSSTPSLPINPGSSVPE KISPQALRESILVLQDQLQQRETRIDELEAELSAVDKDAPTKIKERDSEITWLRELLG VRLDDLQDIINTLSQPSFNKDSVRDAAIRLKANLQMQQQEKERAMNGQTFSSLRSLSE LTASPRALPLAAAAAWGSWRKGRENANSEQTPSKPSPATSFLSGLLTPPSSNTRQGGP NNSATAGPSWRRPSETRPLKSADATPRPLSSRAAGKMREPPTTPPLLRGSSYDHDAEP TDYTAAESPTESVVQSPHEEDDSTADGFVSASPKEIADGPFGPQIA ANIA_10150 MRVAGIIALLAAAMPAVATRGTFGLALGNQNPDSSCKTADDYKK DFDAIKHLTTLVRTYSASDCDTAKNIVPAAKAKGFKVVLGVWPDYDESFNKDFKALKN TVPGNEEVIHAITVGSECLYRGDLIDAPKLLKRINQVKQEFDGVEVGTVDSWNKFADG TADPLITGGVTYFMANGFAYWQGQDIDNATATYFDDMAQAKAHIEKVAGDNAKKIRFG NGESGWPTDGGSDYGAAKASTKFAEKYYKNAVCAMLTWGIDVFYFEAFDETWKPDTKG DNGELKDEKHWGLFTDDRKAKFDLSCPN ANIA_10154 MRRPLTPYQHSMAVFPDASSGSIPWSTENDRVLRQLRAAKVSWK RISMVMDNRPIAELKQRWVDIGDGRRRNLERHDFEVVDNDNDWYLEDNHDDDYGREER HVSFSPSLGEDTNGDYVPSRRAETKRAYFIDDEFTLDEVLLLHRIAADWERDRWETIC RRFNTETGRSITPWQARSVVEE ANIA_01154 MRFSAASLLLGLSWITAAAAHNIQLRAHSRECFHETLHKDDKMT VSFQVGDREFGGSGNLEIDFWVEDPQSNRQYYKQAISSEDYSFTAQLDGKYVYCFSNE GWTSNSKEVSFNVHGIVYVPESEMAQDPLETEVRRLLENLAQVKDEQSYIVVRERVHR NTAESTNARVKWWSIFQLAVLIGEGIFQVWWLKRFFEVKRVV ANIA_01153 MLRKSSARHSYLHTSFLNVHQPNLSGVSYVYYRQHSIDTTALSS FFPSQTTIDYPNTYSHDMRPQSTLPAVTTAVLAIALSVTAAPAPQSITKRAPELSLTA QLKLADTAIERYALLPDDSDFVFDFNTAETPIATSQNFYPLVGTGISFNMGSLPACSM SFVHLHPRATELLTLTSGHVLTEMVPEAGVTDSSGKPRVIKTDLHAGQMTIFPAGSFH TQVNPDCSAANFTAAFTSDEFAVAMVARQTFEFSDDIIAASFGQVIAGEDIEQVRDAI PKSLAIEVEGCLSKCGKQTRFRRNLTVSEPLLNPLNKYHQVTYRLGGSTALPLTLWDI QMAKGASAKLRLYKRMRKPACLPHETFLDQNAASNHGSRTDKQHFKREKGNVYTAEVD SVQLHQVLFEHCSHTSVVSLEPAGSSA ANIA_01152 MSEFPSLKPAFTIQIELDAPLAVGTGVRDTNLQVIPFSKGVFKT AEGFEPAFEAQVVGTGNDYIHADPDGKHMRLNANGVIKTQDDALIYVNYTGVVTLGEA EQKVLSGTAEEGSTPFGNSFTHLTVETGHERYKDLENRVFVGKGRFNVQKGKPVVVEY RVAQVVHA ANIA_01151 MKHHLMVGTWTRPGRIYTVAFDDEALTLELVKKTDIPEAEPISW MTFSHDKKTIYGAAMKKWNSFAVNSPTEIVHQVSHPVAGHPMAANEDTNTRAIFVLAA RKPPYNVYGNPFYKYAGFGNVFSVESDGRLAKNIQNYEYEPNTGIHGMVFDPTETYLY SADLQANKIWTHLKDPKTGELSLVDCLEAPDPGDHPRWVEMHPSGKYLYALMEAGNRL AVYVIDERTHKPVFTHITYPLLPPGLPPRNKYRGDVTFTTRSGEYLFATTRSNHFDVT GYITAFKLGPNGNIERQLFIHPTSTSGGHSNAVSPCDWSDEWLALCDDQLGFVEIYRF RDENLSRVARVDIPEQGFGMNAIWYD ANIA_01150 MSFVRAASRRMPLAKRLVSAVESPMQRRYVSATLKAQAGVSNNV KGAVVTPDPGADSVSAAFVNERAPYLVPTYVRPAPIMVKGQGCYLWDMENRRYLDLTA GIAVNSLGHCDPEISKIIAEQAETLLHASNLYHNAWVGALSKDLIILTRESGAMRDAA QVFIANSGTEANEAAIKFARKTGRARDPSGAKHEIVSFHNSFHGRTMGALSATPNPKY QTPFSPMLPGFKYGKYNDVAQLKDLVTEKTCGVIVEPIQGEGGVNVATPEFLSALRKR CDDVGAVLIFDEIQCGLSRTGSFWAHAHPSLVPSSGEAAHPDILTTAKALGNGIPIGA TIVSGKTVADNIKAGDHGTTFGGNPLACRVAHHIIHRLASPELQKSVQDKSAILVAGF QALQQKYPDLVSEVRGRGLILGLQLSKDFSARAGEIVTAARERGMLIITAGEGCLRFV PPLTITEEQLKTALRILEQAFEAVKA ANIA_01149 MAISVISRRVFPRANRAIPTRALFSTSHVRLSDASKPAFAFRPG PAPPRLPEEEQKIFEQLQKQSTGAFSTPKVNQSPNSEPARAQASSDGEELHPDAKGGL KPEFEGEKNPKTGEIGGPKNEPLRWGAGGDWSYGGRVTDF ANIA_01148 MIPLPLVAVLYGLGLVVGASAVPVSEQQVLGNHDSQPYQSETSR QLSGRFLHVTDFHLDTHYRKGTSEETLCHRDSGSAGRLGAEGSDCDSPQALIDETFRW IEKNLKGKIDFVLWTGDSARHDNDEKIPRTASEIIDLNKALAAKFIEVFEDSGAARGL SIPVIPTIGNNDIMPHNIFREAPNRWTRKFTEVWSEFIPEAQRHTFEEGGWFSAELIP NKLAALSLNTMYFYESNSAVDGCAMPSEPGFEHMEWLRVQLEILRQRGMKAILIGHVP PARSGSKRSWDESCWQKYTLFMNRFRDVVVGSVYGHMNVDHFMLQDSHDVDIVAASED SSSDPGFSVQSRTDYLASLREEWDSMPSPPGGDSSDILLSDDWNLDALGKKERRFLKK IGGPYAERYSVSLVSPSVVPNYFPTLRVVEYNTTGLEGVKLWADTAYTDDSFESLDWA EVKRNSLDHVDNVDNADQQGKKKKKKHKKKKKPNFKIPDQPSRTDLPGPAYSNQPLTW LRYAQYYANLTDIYETAAAPETQEEGSPAVKAKNKPINIDIYQIEYDTRDDGIYSMKD LTVRSYFQLAKRIASKNPRADNFTSDSDADDDDENKSPDTTNINYTKPKNKTKKPRIN RLWRTFLDRAFVSFLGDDELDDIQI ANIA_01147 MASAEVDQKALGNFAAVTGHENPFLSAMLYKLLGLSVMLSNKLL RARRLRRLDPTRETKSLQLYYHIIWLSREGLLILEEFVLPLVEGFVELKILAYKLRAS FYHIFVLFHNQPAVHSPGIGSLSSTATISNEAAETERSPKGQNSRLSFQPEPEVISVP NRPPEVAEDSSRGYLKVPQAPPGLAPVQTPRPLSSFLLPAIDYTPTATACFNHAALLA ERFLPGSHPLRLSVKLEYAAYLYDCLHDVSASRKLAKQAIADVYNAQEGMDDESFEDA AEIVGLLGKMVKRSAKGSSRGGSTTRSVTPRAESSRSEGNRTPTARKTSPRTAATTPM PPSPRTAKTPRGGYSPAAMPNPTMLNPI ANIA_10149 MRLGLQKPGAVPFLALLIFSLLSLVPTLAKEWNFYGYSYSAHFT YLGLPRGDIHGHSRYSSPRVKNASSTVDSRSRSAVTGSHCRPWFFGDFYWSCSDNERD ARPHQSAAHTPPRSLFEAGIEAGNDPLTYSATKGPSSITRGVSAFKEFIRRWDDQQMS RPLPPRHNHVDDTTAHSTLTLANTSVTPVSSKILQHQPTPEKLSDGAPAKRFNDDSWP SRVPALVRETWQQVCNSGARFLGIWTTRSPVHNPNENLIPKYPAPHTSDEQRHLELFE ELDRASTKESLSTNLDQPGQTPRQIDSQRTLSPNSLEMTTGQSKYAGFRRDSDHICGS SMAIVVALMVGIMWF ANIA_10155 MEDSHFRHSASHHPSPFQSSLHRDHNLTEGTTTALEEPTAQHRS SYDIDEEGQPPRYTEENDPYQLASKLKSDAEIQALHPLANTSRKRHGHTTTATPQPAR SSSALSTIAIYGSFAANVALSILQLYGAIASSSLSLFTTMADSVFDPLSNLTLLLCNK TVNRVDPRKFPAGKARIETAGNICFCFLMTAVSLLLIAFSIRDLVGGSDSETGDFHLP SVIAVVVAFCTKFSLFLYCFALRNQVSQIRILWEDHRNDLLINGFGILTSVGGSKLRW WIDPMGAIILSVLISGLWLHTAYHEFQLLIGITADTKMQQLITYISMTHSPLITAIDT VRAYTSGPRLVVEVDIVMDPSDSLRATHDVAEELQTKLESLPDVERAYVHVDYETTHK PEHFLKKEL ANIA_01145 MPSPYFSNTITECLFVLTVTFAFAQSTIFSGMATVMTDTIGRDL QMNGQVVWITSACLLTSGAFVLPFGTLADTIGRKNMALAAMVCTTLAVFAAGWAPDGP SLIVFTALIGLFSAAAVSPAVGQLGAVYSEPSKRRNRAFACFSAGNPLGFVVGTFVAG VVLEISGTTASPEATGNGSGWRVCFWVFCVFCAGFAGLVAFTVPSDVKIGTRPAAAGS QGVGVSGWEALKRFDLLGALLVTAGVSLVSAGLTLTDVAPKGWATPYVIVMLVLGVVL LAAFIFWQSVYKFPLMPLYIWKDRNFSLVIILLATGNAAFTASSFWLCLYLQRVKSLS SLWIAIYLLPQNINGLLVNFVCSLILHRVSHRVLMGVGAISYLGSFLLLALLQEIRLY YWAFVFPALLLAVVGADLQFNVANSYVMSSLPREQQSLAGGILSAVNRLLSNITLSIS TAVYYAGSQKQTTRGDDTGLGGYKAAFWMLVGIAGVNLLLVPFLKIERISEAGTLDVT DREPLTEKEIEKERNERTVSAESVV ANIA_01144 MTAIVISTKPPGSAHLNITLDDWSSVRLEVPLDYWDPSRGVTAV AFLKLSATNATSNTRSLLINPGGPRGSGIESIFSQGLALAGLLQGQHNIVGFDPRGVG LSGPSVDCWPGNPEGRAQFESSTSRKSTMPLPPRSIVGGLNGSAAFVSTPAVARDMLS FVEAEQKQRPAKNESDNEQAKLCWGKGTLRILGPVCTRHQIPTRRPLAKPEIQPYSFS TERYYLSFTNASNLFRSQFIFQAMYIPAERLPKLAAILAGLEQSNTTAYAIAVTGGTI PASPCNYAPSTATRDVDTLKKCVDGAGEKRFRSISQFEDYVDVLTSQSEFFGEVWPNN ANGVACRAFEVSPPETKKLKDTILDSRQTASRILFVTTEVDPVAPKRGANKMSSVFPG SAVLIQHSVGHTAFLRLSICFARRIRDYLLSGQLPPPNSTCQADAEPFW ANIA_01143 MVLSLSVPSFSRSSTTTPPLRSRNASTSYSATLSEALRNNPFGS SSSRTRPSLAAFEDQKREQEELNAALETLVQIFPDVKIEVFRELLVRFDGNSRLPVCV EQLLRHKEKWVAGRWNVPSASGPENAPAAAGADSETPGSLVPPDERFRTEDYRAAVRG VLVKEFSGLSRSTVDAVLAEVNFCYLRARPVLQDLSRKTWRATFQNMLPSFRRRKDKD DSHPLILWQRQADGEPVPRLKETGCEELDRELHESLVAPVLRARQEERERADMELAEA LNEKEAEAANALYECECCFTDATFEQIAICSDGMHFICFNCIRHTVHQALFGQGWASS VDVERSTLKCLAPSPSEPCKGILSADLVKRAILLDKAGLETYSKFESRLASDALLRSQ FKLIRCPFCSYAEVDPVYHPPANGVNWRFRRDGLISTLLMIFLFLDAIPFLLVIAGII YLIDPTALPTILNNSLLNLRLKVRMKKFTCANTKCRRTSCITCQKPWRDPHVCHEPLL LDLRATVEAARTAAVKRTCPRCGLSFVKSSGCNKLTCTCGYSMCYLCRKALGPPLKTR DRRRPLRQENINPMGIGEAHEPNLDPQPDQDEDEDEEENEGYRHFCEHFRANPGSRCT ACNKCELYQDEDEEAVARRAGEKAEYEWRIRHQMAAAGGATSASTPAVAGIPSVNVNH DLSVSTARGARYTTMFLRPRGKSFWYWLDGMWQSGQWKVEGQALVDWILERVIVIQDI ANIA_01142 MALTLGVLALAALGPQSVTAGVVGRRSVLSVSDSDWDAFNASVS GRLQVGVPMLAPCYTNYNGQQQDVDPEMCDTLQQNRADHLFVTDQFGGYQESNWGGCQ RTGDNCAMMLTVPDTVTPLSRPCMQGSVPTRYVDAQSVEDVQKTLQFAGNNNLRLVVK NTGHDYTGRSSAPDSLALWTHNMQPPINLIKAFVPDQCSDAAGDVITVGAGQQFGGVY DWAHANGYRVVGGTYAGVGMAGGWLAGGGHSMLSPELGLGVDNVQQIKAVLPNGEYVT ANRCQNQDIFFALRGGGGGTFGVVTEISYSVHPRKDMQFARIAIAGDNDDVVAELISI LVENADKWASEGWGGYVVMPVGATSTISLGTSLLNFSDAEASLQPLIDLANRETDAGR VGNASIATADYHDILQGTIAATEDQILPSSAWTMATRLIPREHFVGDNQQQLSSALHD IFTAAKGQLLPAQSTLMIYATTPYLYSQTMPEADKAGGPGASSVSPAWRNGLWHVLFT RQFDGTTTEPSVVQNLWQTTHDIIYPLRDLTPNGGAYQNEADPFEPNPIDSFWGQENY DRLLQIKNDVDPLNLLTVHNGVGWDETDERYSCYPDVQV ANIA_10148 MATKCVHKGCGKVFTDPEEPCVYHPGPPVFHEGQKGWNCCKPRV LTFEEFMEIPPCTTGKHSAVDDTPAPAQKKDTPAESQPPVAAPVPVRDSGVPRPVAHS PAIPPPSNAPTPVPEEPESDDPELAIPENATCRRRGCGGTYKPDVSRDEERCVYHPGQ PVFHEGSKGWSCCKRRVLEFDEFLKIEGLRGEEEASFCREGQACWRGEG ANIA_10156 MGLVNGSDASTPRYIAQSYNHADSHASALRLILTLNPHWEGPEN KVEFVRFTDGITNTLFKAINRKPGLTEEEIDKEAVLMRAYGNHTEILIDRERETNSHA LLARYGLAPPLLARFKNGLLYRFIRGRPATHEDLVTENVWRGVARRLGQWHAVLPINA ASTMPTSKGTSLIDSVEVAADGQPVKRDDLNVIQPRRPGPSLWAVLQKWILALPTSTE AQQQRRRSLQKELERVVREFDDGNGLGEDGLVFAHCDLLSANVIIRPSEERSDDGTET VNFIDYEYATPSPAAFDIANHFAEWGGFECDYSMMPTRTVRRQFLEEYVRSYAQHQGI PESSQPKIVDQLFEDVDRFRGLPGLYWGTWALIQAQISQIDFDYASYAETRLGEYYAW RAETEGARGEKPLRERRWAEE ANIA_01140 MPANLKIGIPTMSLSKPGLHSLDHKLRVAAAHGFAGIELFIDDL SHFASSSFNGSLTQAAKYISSLAKQLNLTFICLQPFGFYEGLVDTNQTTYLLTEKLPL WFAIARIIGTDLIQIPANFLQNDPVTGAARTSGDIRLIVSDLQTIADIGVKQGFRFVY EALCWSTHVDTWEAAWNVVKLVDRENFGICLDSFNIAGRIYADPASVTGKTPDAEQAV AKSMETLRSLVSSGELDIRKIFYIQLVDGERLSAPLDEKHPFHVEGQPPRMSWSRNAR LFPCEEERGGYLPVLEIARAFFEIGFEGWVSLELFSRTCNDPDVNTVGEHARRGMDSW RRVVAALGLDVEVPAPERVEVQVQEELAVQHRL ANIA_01139 MVPCSKEKDKNILIVIVGAGLIGPRHAQSVQRHPRTQLIAFVDP SPSAIPVAESFGVPCYDSITSMLDVVGKTTGKPDAAIVSTPNHTHVDVTLQLLENGIR NILLEKPISDDLESAEHLLATVKEQKCKDEKRGKDAKINIQIGHHRRFNPYIQTTKSL LETDSLGTVVAVNGLWTLLKPASYFAPPLGSWRADKKKGGVLGINLIHDIDVLQFLFG PVSRVYAEGTLLQRMRQNPEHTAEEGCAVTLRFASGIVGTFLICDATPSPLNFETGTG ENPTIPPAPSKSASDCYRILGTRASLSVPDMTRWSYDHVSCGQGKEKGWNTELAMQQI SVKDADVRPFDAQWGYFVDVVSGKGEDGERGVGCGVEDGVRALRVVQAVRESMEGGKP VDVQSV ANIA_01138 MSILALVEDRPTPREVYNWRVYLLAAVASFTSCMIGYDSAFIGT TLSLQSFQNEFNWESLNTDLISANIVSLYQAGAFFGALFAYPIGHFWGRRWGLMFSAL IFFLGAGMMLGANGDRGLGLIYGGRVLAGIGVGAGSNICPIYISEMAPPAIRGRLVGV YELGWQIGGVVGFWINYGVDETLAPSHKQWIIPFAVQLIPAGLLIIGALLIRESPRWL FLRGNREKGIETLAWIRNLPADHIYMVEEINMIEQSLEQQRVKIGLGFWKPFKAAWTN KRILYRLFLGSMLFLWQNGSGINAINYYSPRVFKSIGVSGGNTSLLTTGIFGVVKAVI TFVWLLYLIDHFGRRNLLLVGAAGGSVCLWIVGGYIKIAKPENNPEGTQLDSGGIAAI FFFYLWTAFYTPSWNGTPWVINSEMFDPTVRSLAQACAAASNWLWNFLISRFTPQMFT SMGYGVYFFFASLMILSIVFVFFLIPETKGVPLESMETLFDKKPVWHAHSQLIRELRE NEEAFRADMGASGKGGVTKEYVEEA ANIA_01137 MEPITIPTDRDGVAYLYGHPLRNSLSPPLHQTVYNALGLNWTQI PLSTATGTSFTRSPEISTFLSSVRSNPKFVGSSVTMPWKVAIMPHLDDLTEDARQAGA CNTIYLRKEDDGKTQYVGTNTDCIGIREALLQGSPNGAEHFKGKPALIVGGGGTARTA IYVLRKWLGVSKIYIVNRDAKEVEAILAEDKQRNPSPQVALVPVSDPSAAATLEAPVA VVSGIPNYPPQTEEEIRARETLRVFLNRQTHEKDQGVILEMCYHPLPWTDIAQIAQDA RWKVILGSEALIWQGLEQARLWTGKDVVSEPGLVEKVQAFVAQTIAERSKSNL ANIA_01136 MDCPIPQTELDEIYAFATDLARKAGQLLLERVNDRNSEQVYAEK ENAVDLVTQTDEDVESLIKTAIQTKYPAHKFLGEESYAKGQSREYLIDEQPTWCVDPL DGTVNFTHAFPMFCVSIGFIVNHYPVIGVIYAPMLNQLFSSCLNRGAWLNEMQQLPLI RKPSIPPLPATAPSKCIFACEWGKDRRDIPDGTLQRKIESFVNMAAERGSRGGKGGMV HGVRSLGSATMDLAYTAMGSVDIWWEGGCWEWDVAAGIAILLEAGGLVTAANPPEDIE GPIEPVKLGSRLYLAIRPAGPSETETGRETQERTVREVWRRVRQLDYERPTRQS ANIA_01135 MEKSILLINGPNLNLLGTREPHIYGSTTLSDVEESSKGHAASLG ASLQTFQSNHEGAIVDRIHAARGNTDAIIINPGAYTHTSVAIRDALLGVEIPFIELHV SNVHAREPFRHHSYFSDKASGIIVGLGVYGYKVAVEHVALNFKPLEKKAAL ANIA_01134 MSSDTRQTSGGNARSKRRLTDAVDEDGRPTATAEDPTSNPKRQR VSRACDSCRSKKDKCDGAQPICSTCASLSRPCTYRANPKKRGLPTGYIRTLELLWGLV FNKIQGSEEVVRTLLRAANIPSHLATMGKESEGSDTLLSSWKNSIVLKEIERLLTFLE QPEGDQERSARGEIDSPADAEESSVLSPETLEWQLPDSIAVASQSPLASGPSPVRLPR PSTTRLVRDSGTQTIPLGEIEDLTTNGSSHDRPVIASNSAREEHRLPPNPWPLLDIYF SYTQCWFPILEKHDILRTAFRQGDDDQYNSPSAAGDNAALWAVLALASIQQTSISTTR QLSDLPEDRPDPDQLYAKARSLIPTESGTYQLGHIQALLILSLIKLGQQDCAAAWMLV GQAVRSAQSLGLNDPSDATGVEKTAGRSKHVFLGCFVLETLVAAKLGLLPSLRKTDLT KVGLINEDGLEEWHPWEDQTGLRPIESSRSFQRGPLHALSTFNRLLSLMCILNELCCV RQTPANSMSYLGTLERQLQLWVSALPTSYRIDLQTVSTKPASPHIFGLEMMYEAVATA ISLQLAVQKNERNGLRRASEGSKRLVSLLQAYMETYSLSATCPTFGIALTLGLPLPCM KKTAPWAFEASHGINHKLQSFSAHLATVWIHNTGSQRRPRHATQQGTHPRSPMAISLP GNNMRLTNLIEESRTGNLSTTDSYLSPTWMRTSNDENAVLSLPTPASSLNIASGVETN PTSQQITHQHRSSVVGKPNSALIMSDLTTPFPASGHHYQQTYDDASLHFNSLADIEST SSAQRPRIAPDLDALFDELASLDGTDR ANIA_01133 MATNARYEPAPQRDSFEDQHYTQAPPSYQATAQEPTPRSEDDNV PDDFKFGGTVAEGTLPIRMQFIRKVYAILTVQLLLTTVMSSISFFSPSYCEWIRSNVW LMMVSVFGAFGFLLVTYWKRKSYPANLLFLSAFTILEAYSISVVTSYYQPRIVVQALI LTLGLFVGLTLFACQTKYDFTNWMPYLFGALWFLILFGFVAAFVPHGSTMELIYGSLA ALIFSGYILVDTQLIMRHYHVEEEIAASISLYLDILNLFLAILRILNNQNNN ANIA_01132 MSILVRPPKRRLADTENLDQNHRRVLRDFGQGNSASTPINTSAD YGRFDERPGSGDGSRYASPFQELSSSQGSLTRVEDSLQTRRKFPPNASIVLIGIRGTG KSSLAVMLAASYGRRVIEADLYFQRVTGRCRGVYKREHTLSEYRRQEAIVMESLLMEH QENCVIVCGPGDVERNGQMRLREYAKTHPVIHIVRDLESIQSYLKARDTEKVRRFLEL SGPIYRSCSNLEFFNVSEKGISDQPSAKDSQHYTQWDAEVDQRTQTTTPFLMLKRLQR DFLRFVALATGNIPELRNQLSPFPLHMQPIESRKFTYAATVPISHLLENDVDIEELES TADAFELKIDVSAAPSARLGTESNLADSISHTVATVRRNIIVPMIYHVESSVFPDSAP LRRSDASYLELVLHGLRLGPEFVTVDLSFEDSILSQIIGTKGSSKVIGHYSQTQPPPQ GWSDPEYEAIYERAKKLGCDMVRLTQPATTIDDNFAVERFRHQIKTLPGPQLPVIAYN SGPLGRQSCCFNPVLTPVIPRSLISQSGTKGLPSITIQEAQEALYSSFVLDPMQFFVF GANTTYSLSPAMHNAAFKVRGMPHIYRIHQSPTLRGINYLVENPNFGGTSVSLPYKTE VIPLLHSMSPHARAIGAVNTLIPIRNLEGSTDNALDLEKNRAGPIKGLHGDNTDWIGI GICIRRGLSPANAIRPSTTGLIIGAGGMARAGIYAMIHLGVQNIFIWNRTVANAEKLA QHYMRLNLCTLGGSGSASYTIHVLKSLQESWPANYKQPTIVVSGIPAHRIGDQPAPNF QLPPQWIESPTGGVVVDLAYKPLNTPLMRQIRSLSHRGWAALDGLDVLPEQGFAQFEL FTGCRAPRRLMRTVILQEYKEEEQGEEYDQSAMRTRLENLDGQPM ANIA_01131 MLFKILPSASLGLAVFTAACAQNISTNAPIVTDNEPVSAHHASL LPKDNTTVYGGITITSRLSSPALAVDVYIGGIPEGQYLNYHIHRAPVPADGNCYLTGG HLDPYGRGQQPPCNMTAPNTCEVGDLSGKHGVAWAPRGEVFRASYSDFFLANTPGAEA YFGDLSWVVHAPNGDRLTCGNFEVLVAAGGAGDYEDDDEELERRWKA ANIA_01130 MAEALGIASGVAGIISLGLEITQGLLKFYAAWRNQDAEIDSMYN ALSSLSSLLAQIQRKIQPPAAFDIETKRDVEKCIAATLANLEQLNAELGKIRETGPSV QAGVRITMRRHVLRGKYPFRVETLRNIQTYLTESRSNLSLALQLLHIDKISEAVEKVD LIIRWRQDDKTREIIDWLSPTNFWLRQADVLKQRQPGTVEWLLQDSRFLDWETGGRGI LWCQGSLVVDFLETDLPSPDISLAFVYCNHKASQDQSPEYFHRAIARQLVEQKQAMPG SASELYQRHRGKETAPTESECLKLLQSLSIDSAETYVVIDALDELALQLSIREVDILT KGSLYDEAMQRLMSSSTAQLALRVIAWVVYSLRPLKIIELQHAVAIDELESEDEDIPE ECLIDQTKIINACVGLIRIDEESQTIGLVHYTTQEYFDQRGSHYFPSAQVDIGIACVR YLQLGTFGSGRCSSVEQLDDRLARNPFLDYACRHFCDHIRDELECKDLHQLAVQLFLD RPRMLCAAQVLLDDPLGRLWWRDRRLREIGEGFEAIHFAANFGVLPIISPLLKERDCD LNLKDFQGRTPLSHAASNGHESVVKLFLQHGAQADSKTDSGQTPLIFAVVHGHESVVK LLLQHGAQADSKTISGKTPLSYAASKGKESVVRLLLQHGAQADSKNNTGQTPISYAAS KGHESVVRLLLTHGAQADSKANWGQTPLSRAAFDGHESVVRLFLEHGAQADCKDGDGG TPLSSAAATGHESVVRLLLKHGAQADSKDDDCRTPLSYAASNGYESVVKLLLEHGARA DSKDDDFRTPLSYAASYGYESVVKLLLEHGARTDSKDKDSQTPLSYAASRGYESVVRI LLENGARANSRDKDSHTPLSYAASKGHESVVRLLLQYGAQADSETSSGQTPLSYAASH GHEFVVKLLLDHGAQTESKDKYGWTPLVYAAIWGQESAVRLLLEHGAEAELKDNESWT PLSYAALKGHESVVRLLLDHGAQADSKHGNGRTPLSDAASRGYDSVVRLLLEHGARED ANIA_01129 MSLAQNHYIIQLPQTPLLHRAPEPRSIAQKWITEFEVLLRTNDF SKLPQLFHEDSWWRDLLCLTWDFHTIQNLSGITAFLTENQPRARLFGFRLQHEGKFQP GLEHPAPGLTWISTIFFFETGTGRGSGVFRLTQDKEGVWKAYAIYTSLQELKGMEEPL GFRRVYGTTETMPGGLARGTWIERRTRQILFDEEEPTALIVGAGQAGLNVGARLQSLG VSCLIVDRHERIGDNWRKRYRTLVTHDPVEFTHMAYLPFPKNWPEFTPKDKLGDWFEA YASIMELNVWTKTSVTGATYDEAKKEWAVTITRGDGSERVLRPRHLVWCTGHSGEPLV PTFPDQEKFKGTIYHATKHQDASTSLANNPSPPTEECDLIAESLPYPVRFALDVHFTK RAFEAEKDLMMGLQKAGFELDHGIDGAGISRAYMTRGGGYYIDVGCSQLIADGKVKVR RSPDGIAGFTERGLVLKDGGVLESDIVVLATGYDNMRTTVRKTLGDKIADRLRDVWDL DEEGELNSMWRPSGQPGFWYMGGNLALCRIYSKFLALQIKAVEAGLVD ANIA_01128 MKFVGTAKGRSPNHDVQSDRYVSRDDTLDIEKQYGRKEYHYRDL SHSSMDSDSGDDSIASSSSAASYYPMLNTTATGRRTRATIGFYRVPHRIMRWLCLALF CALLLFVLTLFRFTLSSQSTPVGLEVPKAPSRPPTWENFPFLKRYHGGIRTLVARREN VAEYPNDDPEGMISDKGGSANRTIEAGDGASDQAQQGLPFLSSAFNPYPNYTSPEYIQ NHGVKGECFLDEDETIRLPLVHSYPGVPRGFPDAVMGSNEMLGIQDDICFDRFGRLGP YGLGYSVRKGGTGAGLEGHREGFERVWEEFPPVDFRRVSWAAAQKRCLHKNIHRFGDL PKAQPERVFSMALDSPKEKDGVDGTPELQETDSKNATEDNRHRLPRTAFVIRTWHDFS YTPDDILYLRSIIAELSLLSGGEYTVHFLIHVRDTNLQIWADDETYDRVLRGALPEEF HGMGTLWSEQQMSVVYAGMEETWARGLSVHGVYRSTFMPMQYFASRHPEYDYYWNWEM DARYTGHWYHLFDKVVNWARQQPRRGLWERNSRFYVPSVHGSWDDFRQMVRVQTELGT NSPSNMWSAGATHDFAHGEKPGRRQGDKFIWGPVRPDEQDIFEVDGEGIPPTTMEKDK YEWGVNEEADLIVFNPIFDPDGTTWPLKDDVTGYNRENGLPPRRAAIITTSRLSRKLL LTMHKETTFKRHTMFSEMWPATTALQHGFKAVYVPHSVYIDRNWPTEYLESVFNAGRN GASGGARPSVFGDREHNFRGTTWFYSAGFSPNLWRRWLGYKVDNDGGEQEELAGEGRM CLPPMLLHPVKEVEMIIDDGVEADVAETDEMEPEEWI ANIA_01127 MATSDLLFSPPDQREFPLAYLSVFRVQFLLILLAPIIFYLIHSI RKDYHAFLALGPGGTPSTPAGYLRICILRLFTLRDPLDPPSIPQTLYPKTGFLSSAKI AARSGPRPTVAGIAPQRQITQKAGPVMYDALASEIHRLALEHPNTLYTATSCFEKHST GIFYRFPTTTTAAASSSKSPKTTSSTHNHRITCNGEVCHSHPSDGSLHLTLHPADVKL VLERGWAQRHPLARDSLWWMMKLVPPGFVMIYAPRDDEELKVVVEIIKAAAWWVGEKE VQ ANIA_01126 MGLAISKLFDRLWGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNIQFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRDRIVE AREELQRMLNEDELRDALLLVFANKQDLPNAMSPAEITQQLGLQSLTRRPWYIQSTCA TTGDGLYEGLEWLAETLRKTGRD ANIA_01125 MSVIVCSRYISLIPHPSGSPSVALSLVALRVPQKVFGSWLFT ANIA_01124 MSEASPVRSLMTAQEYYSSFSRHPRIKERFHDIDDPAHFREHLA LLRDVQTQNFVLDFGNEDACPGYTCPGADHHIEATVFWDTMDAITNHYGVSERLQGLM CTDPVTRPSKPAAPPQPPRKSFQSKNSSKPVQVNVDEDLEDGHALKDLPSSKEVHAAA SFRGLTFGHVVDQIWHFCSTDYGPKYTCIGYNSLYVVPKLRMTNGQGLPDGRRLWSWL ILFEDGTVLSIQENPYPDLTALSERELEALTAASRRNIQLIFRGVSRQHSATSENDSL VTIRVRPLHDPDTSTASIKQEDGPSLLFYYIFDDWVSSYSLVAKREHGYSVDLDRLRR HMLNKPEVDLIDELHWLGRQLAVLKRLYQSYELIMTRLLQRQRLLQDEARENHQRTPF GHTFDPEIHRQMTESFSASTPETSVGVRLSPPAVARFERLLDRIKLYCLSEIESCLTE KESLTFLNFNLIALKDSQAVEKLTRITILLAKVTILFLPVILGGLRSDHGLVNYSARF VWVD ANIA_01122 MSKITVAGVRQNVEQLLNYSQNEKKRNFLETVELQIGLKNYDPQ RDKRFSGTIKLPTVPRPGMTICVLGDQHDLDRAKHHGIDAMSADDLKKLNKNKKLIKK LARKYDAFLASDGLIKQIPRLLGPGLSKAGKFPTPVSHNEDMANKVNDVKSTIKFQLK KVLCLGVAVGNVGMTQEELVANIMLAINYLVSLLKKGWQNVGSLVIKATMSPPRRVY ANIA_01121 MKGLTLAGQRAPKPDALICQLCQISVLPAARYRSQTRSYASATK ASRRPQIGQCLSKKTLRTPLTTLLPLQRYKSTESNPAASCDFKTSLREIEHGSAELRN AQTVPANDAVVQLLQKCLVLAEAIVKPDRSAAEKDSEISSLLNLEERNMKKTSKATKD AQINQPSADALCRIVHTLLTDEKIFISPEALSFYVKIHTLLKRPEHFPLIFQLYANKP IPEEGSSPIKYLRPNPKSINSAIPVDLANMALDVAIEQRNLALVLAIIDNTFCTSAFQ RAKFFKKAAAPLGALAMTPLGCYVAASWAASFQNTMEPSTATAIAFAATLAYVGGTSS VGLMAILTANDHMERVVWIPGVPLRERWLREEERAALDRVALAWGFKDIYMRGEEEGE EWESLREFIGMRGMILDKTDLMQGMQ ANIA_01120 MPPPPPPPPPPPPGGGAPPPPPPAGNLPMRPPGAGKDRGALLSD ISKGTKLKKTVTNDRSAPQIGGGGVKSSGPPLGAAPPVPGMKKPPSGLAPPVPGQGAN RARSSSDVGPGSEDSTAAPAAPQLAGLFAGGMPKLRSRGGVDTGANRESSPYLSDSET SRAPKPPVASAPKAPGAPPPPPPSTEAPPAPPVNPLVANLKRPPPRPGSRPASTVSNA SAKSAPEAPPPRAPPPLPGSSKPPVSLRKPSTPAPPPPPPSASPAAPPPPPPAAAAPR PPPPPPTRPTPPPPPPSATAPPSLPNGASPASLAVQAARNALGHSSQTPSIPPPPPPL PAASAPSAPPPPPPSAPPSAPPSAPPSEPPSRPHSHETQSSHIPDRSSLAPSAYTLSN GGSSPGSSATSLGAHGIVRIEDSRFKFQNEGLFPKPRPFVGGTKRYRAGRGSSVPLDL SALSG ANIA_01119 MSINAYINNTIERIIRTPDDPEPSSQIEHGLYLIRGDNVVVCGE VDEAIDQDIDWTKVKGEVVRGTKNA ANIA_01118 MWLFRGAQSAVFYYATCTPCADSMAKRKRKKEAVRARSQREKQQ SDAIVTDQPRPFPQPTPFSTNPGWMEEIALGPHGAKRRGGHRTNMTHHRIESWDTSEY SVGSGEDYDRMGSHVPPQKMSKLGSKHLGDRWNRMLRYQREDEPLWGEEVEVKGSSVG ISGQGKVDAKAPSKYCITRVPPVNDLHPPIVSGPKSRAETRWMLQPPPSARVMAGKDP CRTLAPPVDYRTRRMGSDRSTSRRSGHTHTLPPLTTESSRESSGSSPSPPTRSPETPE PATQDLPRSPSPAFYAYGKDESHFVISSSIYSPSDSCSTLSSVDDSDLESPRDSLLSP ATPISRPLSKDPTSHPDVSRPAIFRALTAVHKDNKKDIHMLQFELPDPHDLGVGQVER VRPFRWSMDF ANIA_01117 MAQIRGTAGYNLGHQNPFGGPGSAAATNDPSPLDTIREHTSKIE DWLDTISDPIKPYLPAVGRFLIVVTFLEDSLRILTQWSDQLVYLRDYRKIPWGITHAF LILNVITMTICSLLVIGRKHAEFAVAGLLGVVVVQGLGYGLIFDLNFFLRNLSVVGGL LMVLSDSWVRKRFVPAGLPQLDEKDRKMYVQFAGRVLLIFLFIGFIFSGQWSLWRVVV SLFGFVACVMVIVGFKAKFSAILLVLLLSVFNILVNNFWTLHPHHPHKDFAKYDFFQI LSIVGGLLLLVNMGPGQLSMDEKKKVY ANIA_01116 MMNPYISWAIVLVVAGSLGWYYSEVNTKPKTSAKAVLEKTEPVA PAKKQKRKAKKSPEPASAPVSEKPTFEFKAPEEDEIPDEEIDKKELAKRFAAVKNGTS SAQFASGESKSQKKKKSKAAAKLEPNNGERSASRVSTRTSSTTGADADDDLSSAGSPR VNATAAGYVSDMLEAPTPGASVLRVTGNIDSSSQKKKAKPEKFKEVETKKQRQQRQKN EARKQEVKAAEEERRKLLEKQLHTAREAERREATKSVAANAAANAWAPKSTNGTHRSS QSPQPAQVPKVELLDTFEPSSTSTQSPATSQQWNQGLPSEEEQMRILGAGNGEDAWTT VGTKKPKKKGGKTDESEASASESQPVPAAPAPVQPKVKITPTYLPDVLRSNKKGHPLD SDWAA ANIA_01115 MAPKKIIIDTDPGIDDILALLLAFSQKAEDVEVLLVSLTFGNVE VKSCLRNVVSMFHILEREMKWRHENGKPEGFDALRAHPPIVALGAEDPLDDQKMLADY FHGIDGLGGIHGSHPHLTPQDAWEHLFDPTHEPVELEPVPSGSGAHRSFIPSKRPAHE EMLRILRENDPDTVTIVAVGPLTNLAVASAADPEAFLRAKEVVVMGGAVHEPGNVTPV AEFNAYADAFAAARVYALTSPSPKSTLPFCKSLPDLPPSLSKQLTVKIFPLDITSRHS INRGQFRKATAPLVEAGSPLAEWSTAFMAHTFATVERLHANQVGDAAELSLHDPVCVW YALTAEDEGWKPSEKSPEDIRIETTGQWTRGACIIDRRDRHPVEGEEESSSDHGLWLS SKAGNRIWRMDESPVEDNFGTVLIEQLFI ANIA_01114 MSRSRLPPTPSMSGELIVKDQPMVDGADIFALPPAALSPAKVDA APAPASRKSSRSNPSILFPASPTSPRIDPSPSRNVNPPPQAGFKRPLEDLDLPPPPTR TRKIIQMKPKTDGSPKPAAATAKSAPKINTKAAANGAAGGGSKKKQPSATSAAGRKIA RKTAHSLIERRRRSKMNEEFSTLKNMIPACRGHEMHKLAILQASIDYVNYLEKCIQDL KAPGDHEHRPAPPPSLPSAPLSPTSPEFLGDPQGSTYSASVSPEVHPESIPSTSPMYS PRSRIPSSAAALSEIPPSILPSPALGPIRPNERTWILPSLATSPATQSRFSNASSADM DHEASAALLMLNRDLRGSIDSGHGGSASTGSSASVSQEERYKQPERPRKRMSVMDLLI S ANIA_01113 MDEAFRWPMRRPSGRYPGRRGPASRNGKEDEIRTDTGLIHDDDH AAGLPQDTGTLRCQELGSCTWIPVAGTAIQLGEDYLRSTRHIKFAGSGIIHILSWASS WSILFRPASYCFVLWLHGWTTHCGILSCIIQ ANIA_01112 MARFRTIQRKKCARSIASEDPWKVDTPFGPPRISHLHVPGGIRR IQNTFAKLTPAARAERELLRQKNMCKVPLTERNMDTFVTRQEFAEACYPNRHSNEVQV VAWLERLY ANIA_10147 MPKTHQESSRVVAIMKKYLLSLLAAVTYTTAQSVSGSAEGFASG VTGGGGATPVYPADTAELESLLSSEGEQVIVLTKTYDFTGTSATGTACYSWGTGEGCQ LILQDDCGDTPSTTVTYDAAGKTPIPVASDKTLLGVGSEGVIKGKGLSFTDNVSNIIV QNIKITELNPEYVWGGDALTFDGSSNICIDHVETSLIGRVHYVFGYNPNSGITLSNNF INGETTYSTSCDGYQYWGLELVGKNDSITFKGNYLYKTSGRSPALSGSTKFHACNNVW SDNNGHAIEGNEKGQGLFEGNVFQEVATVVSSTFWEAGDLFLSSADGTGNDACASYIG RNCASSIYMNDGGDYTSYTDVSWLGDWSGLTIAECAEAREIEGTVPTSAGNTL ANIA_10167 MAKPKRVAIIGAGPSGLVTAKTLLRNFPPGTFSAVIFEKKPRIG GLWAVDYPSTPYLLRPTPHFSHDYELHCRKQYQGRALVNPWMRTNLSRFSVAFSDLAW ETALGDAVPLFPYAWEVRKYLEKYAETYIPKECFKLGKRVLSVTRRGTEIRAKDWAVW EMWRGPSSGHFGSPSIPLIPGLEDISSVHSSELQSPDDIGRLLEKSPKSGKLVVVGGS MSGVETATSLALHLSSLSFRPGSSAQQHNYEVWHVGPGPYWVLPTYLPHNYAKDTEGD AMPFVPLDLSLYDIARRPLGMTGIAFGPTSQDQNRKRNQFFRDMLGEEYSKVGSFHLG DNQGREIQRSPWVGIADHYAEFVRSGAIKTLAGRASSFTNESGLETLNIDTSAGMVRL TEIAAVVMATGFKPSGSLSFLPDDVLSTLEYSKDDHFLPLVLDSMSSAHSEIPDLGFV GFYRGAFWGPAELQAQSLAQTWAAADLENGVSASLSEEEKRSRDGERQQVRDFRDVRP TSLRGQFPLGDYVGLMESFARRLHRSRFPLGISPDANIRTTGPVVPARYALIDHGTLF EYPTLKEVEITMKALKSTLLPDPGQASVTTTAAIFRALHGNWGFERIVSHAGGEDIKS CGKTTFYPRYPSSPMYEAEYLCKEDANDGAAMKTAISVYRHLNTFRFPGQAGISVWFV DGKSCPNSATEFALKLQVEPMGKVTDSGEILVRAVAICESAAKEHTYEFYFDRVAISN WCHRVVWSAKSRDRRQTTWYSRPNDD ANIA_01110 MASEVEKGDTPSHVEMMSDIKSGSTTQIDLAMDPILERRVIRKC DLHVVPILTLLFLFAFLDRINIGNARLLGLEEELGMEGRQYNVALFVFFILYILLEVP SNMVLKKIKPSWWLSGIMAGWGIVTICQGVTQSFGGLVACRVIIGGLEAGFMPGSVYL INMYYRRHELQRRLNFFFSASIFAGAVSGFLAYAIANMDGNGGYKGWRWIFILEGLAT VVIAIIAKFIIVDWPESATFLNEEERACLLRRLAEDQGEAQMNRFDKKAMKRTFSDPK IYLGPIMYFGIVNTGYAVSFFTPTILQQLGWTSIRAQVMSIPIYVVAMVITLSTAWLS DRLAHRYAFTLAGVLIATMGYVMLLCQTSIPVGARYFALFAITGGGYLTQPILMGWLS NNMAGHYKQSIASAMQIGFGNCGGLVASNVFFDSEKPGFRTGFGVSLGMTWVCGVSCA VFLACLVRENRVRSQGKRDWRFGLEEEEKRNLGDDYPTFRFTY ANIA_01109 MVLYGYDASVYNSVQGSDNWVAYFDDPDANLIGAVNTAYTVGAI FGGFFLGGPCADYLGRKMGMGIGCVLVIASTFMQTWAPRHNLGCFIAGRTIIGVGQGI ALTSGPIYIGELAPPEIRGKIMTFWQMFYSVGSFICFWVNYGCTNHKENLGEWDWKMV VIFQLLVPSLILVLLPTIPGSPRWYIQRGNNIEKARAALARVRETDQEVEDELLRIRE ALEYEKEAISSNYSALWKDKSLRKRMVLALIINAGQQVTGQGSLNSYSTKIYQKVFPS SSQIALINALNATFGILFTLNAVWIIDRFGRKFLLIVGGIGMGICMIIVSAVETETPS PNDTKSTPVGISIVFLLFLFIFFYKPSWGATVWIWTSEIFSMNVRAQAVGMASQTQNV ANAIFQQFFPIFLDNCGFYAFYMFAGINFLLAVFVFFFIPETKQVPLEEIDALFGGAN HVTRGEELIGSEKQEVTTTHKE ANIA_01108 MKWHLSLLSLSCFLLPAYAHKKYNFNQNWRSIGDKVFSEFEGIR HAGEFYLNDKWIGRTARIDNDWAYREIETITPYQWNDRNFYANYGGINKNVFFASHRQ NVSDPSAVFEPEYHWGYVHAQDIDIADKSATVTAEAEVQNEYKTPKTFSFRVEIDNLE GKRIALI ANIA_01107 MPDLRRTHERDEGAKRYLRSTWWTSRGLREMLDSEVAEYGGEML YINKDSRNPFWQMEYSRDEGLRKLHAIEDVERWSDYYEQQPGTGTRVNSGGVNIIFSD SNTHHRGAENYRRSGEVDPVQLPKDSCIRLASRTAPNVFVANGADIALVDVEGPAASP LTRIHASWRVLSSLTSGSGSPQRDSWLAESNSTASTGNNEETTWRSDSDQDTAWIEYS WEEPLNVSQLVMKQRSFRTERYPIKVSVGDTIDFEGESLKVAMDENDDLGVIEAEIYT PA ANIA_01106 MPRTYGKSKGKAKQTRLAFVPAEFTSERNDEWGSFSTSRNAKIR YTKPSLGILRSGRSTSSRENSSTPNPFVNVERGLSPKDAEYASQKSLTSNNAAVTSAA SSPPSVTQDDDSDDYSEIVHSVRKNTRNRGLKPHNDESLELAPATQDSDSDMKVIRSA RKKKGGRSTERGNTSDRSPATQCSDSELEVIHSARKPTRNRSLKRKRIDPSEPEDCHV SDESEAEEPISRPRRKLRRGGAPQLILVDESDGQQEVRGGASESPSIPRTPRRNLSQD RLDIEEDLEDLQDSVLKASRTRGNVANSARAKRQQYLEALRRRRAGKKDEDKDQLSPH PEASDWERSDDEGEGEKETTVKQPQFRIWANESESSDVESTIGANEDLDRYEDDFVLE DEDDKLGVPSGLEDMPIEFSRHAYKQLKDYFQDAVEWMVHNQLNPAFPRFDPVFKVAF DKLEAEVRGRTGSQLVSSVWNADFRRALLARPHIEVTTYPIDLCHPCDACNRSGHPAS FDMKLYGKAYSLDTLEPLADAESDEEQSDNEDDEQERDRDGYILPDEDTRFYLGRHCK KNAALAHTLTHWRFHLNEWVVEHLRTTGYFSEEKILKRSQYSQKKKNKHAAKALNKMI ESGEIKKLWRDFHINLRAARESTV ANIA_01105 MVKLTEVEDEHFAQEKPQPSKHNVLLASDDEEDDYTDTESEISV ESDYDVEGESLYERLAALKDIVPPSARKQVTSSVSYVSSLAKSSIAFSGKALWILSTS AFLLGVPWALAYAEEEQYIQMEREQGMIKGANEMLAPGAPSTEEQKAQPTL ANIA_01104 MRRSLLRAVESTKPLARVSRSVSRGYSTVNETGTKDPAELDQIT TLPNGIRVATESLPGPFAGVGVYVDAGSRYEDASLRGVSHIMDRLAFKSTKTRTADQM HETLESLGGNIQCASSRESLMYQSASFNSAVPTTLGLLAETIRDPLITEEEVLQQLAT AEYEINEIWAKPELILPELVHTAAYKDNTLGHPLLCPRERLTEINKAVVEKYRATFFR PERMVVAFAGVPHHEAVRLTESLFGDMQGPSTNNGPSLSGTGVDTTLSDSKSEGQFAA APQFTPTSTVASAPATTDSSILSKLPFLKKFGSNNPSSSESAPVDLTQASHYTGGFLT LPPIPPPANPMLPRLSYIHLAFEALPISDPDIYALATLQTLLGGGGSFSAGGPGKGMY SRLYTNVLNQHGWVESCIAFNHGYTDSGIFGISASCSPTRINQMVEVMCRELQNLTLD TGYTSLQPQEVNRAKNQLRSSLLMNLESRMVELEDLGRQVQVHGRKIGVTEMCKHIES LTVEDLRRVARKVFGGQVHNKGQGTGKPTVVLQEGELEGFKLRPFAWDEIQERIARWK LGRL ANIA_01103 MSANGSPASPQELWRHSAPESTRIYHFTKTIAEKHGIPLRSYND LWNWSISEPAKFWEEIWLYTSIIAHRPYDQVMGSETLLFPRPQFFEGASLNFAENLLY PACSPDENAVAIIAATEADREYISWKELRDRVRRCANALKGAGLQKGDRVAGFLGNHA NTVVAMLATTSIGAFWTGVSPDTGVHAVLERLTQIEPKILFADNASLYNGKVHSAAAK TSQIVCELPKLEALVIFPTIGSVEIKLEEVSLRQGKAYTYADFLLTASNPAAPLEFAS LPPEHPVYILYSSGTTGAPKPIVHGSLGTLLQHKKEHVLHCDIYPGDRLFYFTTTTWM MWHWLVSGLASGATIVLYDGSPFRPLDPEGGAGEMAMPRLIDELQITHFGTSAKYLSM LEQAALNPREYPHRPVSLQTLKAIFSTGSPLAPSTFEYVYSSIHPDIMLGSITGGTDI LSLFCSGCPILPVYKGEIQCRSLAMAVSVYDYAGNDISSSGEPGDLVCTRPFPAQPVM FWPPGPIGVEKYRKSYFDVFGPSIWHHGDFVRLNPETGGVVMLGRSDGVLKPAGVRFG SAEIYNILLKHFADEIEDSLCVGRRREGIDTDETVVLFVKLASPSDSLPPDLASRIQA TIRRELSPRHVPGIVDVCPEIPVTSNGKKVENAVKQILCGLNIKIGASVANASCLDWY RTWAAQHP ANIA_11295 MELAGIPGANSPTSSFKRPFQSSLSRLVVR ANIA_01102 MPRRFSNTPPVNDPSNPSSSPSTPGKSGIAVKAPSKTNRLTQFF STSPRAKAESQTARVALQNASGANPPISSASLSLPTISLSTATADNQNMNEPPTTLFQ PPSPEEARRLAKQHAQFGPIGHPSHRYSSRHPGGPFPEPVMDEPPYYYLITTYISYLI LIAFGHVRDFFGKRFREENYRHLKPRNGYAALNSDFDNFYVRRLKLRINDCFERPVTG VPGRYITLIDRATDDHNKNFYLTGTTTDTLNLSSYNYLGFAQSEGPCADITEETIRKY GITPVSTRAEVGTQDLHVELEELVASFVGKEASMVFSMGFGTNANIFPALVGKGDLII SDELNHASIRFGARLSGASIAMFKHNDMHDLEAKLREAISQGQPRTHRPWKKILVVVE GLYSMEGSMCNLPGLIALKKRYKFYLFVDEAHSVGAIGPRGRGVCDYFGIDTKEVDIL MGTLTKSFGANGGYIAADKVMIDKLRATNSGMFYGESTSPAVIAQIISALRLIKGELI PGQGEERLQRLAFNSRYLRLGLKRLGFIVYGHDDSPIIPVLLFNPAKMPAFSHEMLKR KISVVIVGYPATPLVSSRARFCVSAAHTKEDLDRILTACDEIGNILQLKFSTGVAGGA LPMNDDMAPPPEKEVQWQQKRNPRIVPPRWRIEDVIRRGVQDVKSPLY ANIA_01101 MQDKEKAERSLSPASPLPAENAVEEGEIFTTSSSGVNFRKVGWF NATVIFTKILFATGVLSLPSALYSLGAVGGSISIVAWGVLNCYCFVILGNFRNEHPHC HSIADMAEVAGGIVAKEVTGLLFIIAYVLVTGSGIIGVSTALNALSHHAACTVWWSLL ATVVITATASIRKLEHVGWLSYAGFLSIYVAVLIVVIGVTQQDRPAAAPKEGPFDLGY VSFNNPGFAAGMVASCTIFVSSAGTSAFLPVISEMRNPKEYKKPLYLCMTLVTASYLA FSLVVYRWCGMWVASPSLGSAGQTMKMVSYGVALLGLIVSGTLYLHVGAKYVFVRILS ETRHLQSNTLVHWTVWLSCTTILGALAFVLASAIPIFNYLLALVGSICFAPLAMSLPG WLWLYDHGEYRTGNLVKKAAYGLHVGLVLLGVFFCVGATYGVVVQIVDAYDSGLIGSA FSCADNSGST ANIA_01100 MAKQGGYRLGADVGGTFTDVYAFTPDNQVARAKVPTTVEDQSIG IKNGIRKVQQALKDRFPWDGKFQFIHHGTTVATNAVLEGKGARTGLIVTTGHKDILAV RRSQIPGGLGAWLHYTPPDPIVPLERVIQCQERMSVDGKSIVRVDVDALRDDLKKWTG DEKPDAVAISLLNSHCNNEHEVLVAGIVKEVLGDGVTIISSSDVLREVGEYERTLTTC TNALVKPVVQTYLSNLQDLLAEDGNTIRILKSDGGLTSLGLAGELPVNILMSGPAGGV QGVADVVTQNTPYKNLITFDMGGTSTDVAIIHQGKPQLRRETVVGSLTVRSPAVDIRT VGAGGGSIAKYMSITETMRVGPESAGATPGPACYNKGGKEPTVSDANLVLGYLPENLL GGEFKLDTESAMAAVGEIAEQMKLSVTQAAEDIVNLVNETMYGALRLVSVEQGYDPKE FALVAFGGAGPLHANAVGKLLGAWPVIVPPSPGTLCALGDATTRLSHSQSLSFIRLLS ATTSKKVKERFDELEAICKDTMTTSNGGSVMPLNISYHLDLRYRGQALNLTVELEAPD LLLEDGPWRELLQAKFDQLHEQQFKYCLPNFELELMRLEVVSVDASPGIEVPRLAKAE STEPPADALVAKKQIVVKGQAMEAALWDREKISRQGVRVDGPCIITEMDSNTLILPGC YGEIDSIGNILIRPSEEGPKDQTKDETPEAALEIVQSTPLIPTLVASALASIRSEMDT LMLRCSMSPAIREQQDEFNVITTAEGKMLVGQFGSFITQFLRAWKGTIEEGDVFITND TYMIEGAVTHLNDVIVLLPIFYEHRLIGWASQFGHLTDVGGIVPGSMSINASSIFDDG VQIPCIKLYTKGVMNTDLVGLLCRNSRQPDWYRSDLMAIIAACRTASSRVCELVVRFG CEIYLAACNELLLRNRTAMVKIIESDFGDKPSTFTDFVDDDGHGVGPWALTCTMTKIE GNRLLFDWSGTSPQSDHSINFYLSETMFRMFIGYYMIASAAPGTVINDGFHDLIDIHI PEGSILKPVRPAPISCRTHMMGRTMDVMQALIGMRNPVYAAAAGFSDSPHFFYSGYKP DGEWYQLYQIGFGGVPARNAGDGLDCHCLFPAIKSIPTEIIELNYPLRIEANESVADS GGAGFYRGGNAQRTNYRFLARGEFSIHDDRWFTKPWGIRGGKPGARSRKILYRYSKSQ DAPPVEVLPSKCDHIRVDPGDLLEWITWGGGGLGDPLTRPAEKVVLEVRRHLVTIQGA RDNYGVVVNPESLAVDEAETGALRKKMMAARGEADQGYDRGGTLEQLRESCLKETGLP PPTPQWEQELYGPHVALPYVQDWYSRMKEVKGWEL ANIA_10165 MSDPYYPAHQPYTAPQDNGFQPPLENQDQYQGSVYGYEPQQTHN PQLEGYQNAYNQQQYTPSAPGQEYAPIQTTYHPVSEPQNGYLTPASTMAWADAYTHRY DDTRLSPHYETLSLSCSHSGACTRIERPKLTCFESDPSLHEDTQYSAANEVSSSARHE DEIDAEGGERGIGGALVGGVTGYYLGHKKSHGLLGAIGGALLGNFLENKIGERNEDGD SHSGHGRHHGHGRRRTRHHRRHRRHSRSESRHSRHSSSSSSR ANIA_10166 MPPPLIDYGVQSLPLHCEPTSPLLATVSSYLHICLPTPLALFSS TLGTLSIVSWLFAQLPQIFKNFQLQSTSGLSIFFLIIWCLGDMGNLLGALLTRQAGWQ VIIAGYYVLVDVTLVFQFFWYTHYKGRGTNGFATLSTYDDDTVQSNIIEGVSFSEDGS SSIGPSPQIPAASDSKDIPDIKDRSVGGVDNASLSYSNEKPRTSRRSIVRSGSGLGVQ NTAARTILLASTLCAVVANAAPTDADPIPPSSSLTLEFLGTIFSWMSTALYLGSRPPQ LYKNYRRKSTSGLSPLLFMAAFSGNFFYSSSLITNPNAWYNFAPYGGGGWADADGNNR LDWVKRATPFFLGAFGVLFLDGMMGVQFLMYGSDDESVIEVEDPKRGRSRWKRVRGWM RGWIPSPARKQTESGPESQALLVEGQLRYGAV ANIA_01099 MARPRMVRADTLDLQDHYAPSAKDHTHAVHTNDGTGLAPHQEKE LRHADHDSRAEILHGPTEHDGTDIYDGTEDIHIGLGVHRDETDFAEADDGDHSEGEDD DLLDDDLMDKISSSPSIDDDDINFEFVYALHNFVATVDGQANASKGDNMVLLDDSNSY WWLVRIVKDGSIGYLPAEHIETPTERLARLNKHRNVDLSATMLGDNLEKSKNPLKKAM RRRNAKTVTFTSPTYIEASDNDYSTEEEDDDDDVSFTDEYAREEYEQQEEHNEAIVVE PLRPKTKAVEEPQITEQEPTSPSPEKPRSSQEIFEQEDSITPTSEPNVSRSRNGTLRN TDSFFKDDTAETKKISLTPNLLRDETAPGESREPRISLEALDKLNPDEKKDDKKKKDK KSGMLSGLFKRKDKKSKSGDDEENIEKHSGELSRSSPTPKTSMESVSSPEARPTKQAG PSRQTSKLQKQQQEVAQPKESLEQKETALREHQRDQTIRQVVLDEVEEISGSGSSRSR PYEVEQSQSNTVRVPSPSRKPTSPTSPSSPTSPVSPIGIANRQPPQSQGPYTHSVTSP PQKFPPKQTTEQTRFAESPVNIPSPLDRQRSPSVPGLTTDLPAADDDQYSPDSPPLSP ADTTDSRRPDAPATPFEAPTPTWSDASLRSYLEDENELRDLYVIVYDNTNIPPAGPEH PITGNLFKDESKRLREMNSQLDSLLSEWVTRRLRRSTSQ ANIA_11294 MAGSGRSNYYLIKGVLRPEIVGTHERAATR ANIA_01098 MTKFDEVASCNERTHREKTEEPIRDSSLVIPLITQVDYVCYSLL GFDPLRTLMYGDVIEAPQPLSMNAVRMLDAPPWLL ANIA_01097 MATVQRSSRSSGLRIETTFHHGSRNCWGNGEREGEWREADEHRA GNEGDRTNISHDYDAHRCGTDEESSVLSSLHSSTPPISVPRHDDSPSQDEIPHEIPHN PEDIGASSPFDSFLQQEQSSFGFDPALTVTQRGIQPERQLSQSERLSRARRFQPQRTS SLRNILPQDDDELVSGVSQLGFSPDSRRSHIRRAVPTVLSREGLSGSPNGDRPTSLTT VSTMSPVIDEVQTPPEASRGMLSPICMSSPTQTYHSPEDRSASWSGGSAVPFGSKLSR YRSGTTRSRRSTASSGKSPASAFLSMWSTREEPAPKPDDEGQMVGTEYVLGKIIGSGG FSVVKEAYKVEESGETRRLAVKIVKKQVADKTERENDAVQAEFDHEVRVWRYLSHPNV LSLDAVYETDYATFCFTKLHIGGTLFDLIRQNRQNRRKLTMDLAKKYTYQLACALRYL HEDARVVHRDIKLENCLLDPVELPDGTKTSNLVLCDFGMAEWINADNEDASSEPYGDA GDRPPLRNIGPSDSSTSVAGSLEYASPELLDSITGIIDPSVDVWAFGVIVFTLIVGSR PFQHSFQPRLISNIRHGVWDREAVLGDGADSEARRDALDLITNCLEMDCRKRWTVRDI LSSRFVREFSVDSPSDNAWKL ANIA_01096 MYPTAQPTLSYLAAPPITPTLLHDSQTDASAFNKQHHQNPLDLP DLSRTAPFRDGLPTPPNDMNGVAYSNIHHYGGKPDPYTGPVYGEGPAYPRMSADLLNR MTRQTQPSQPAQQSSKQAPRERDERPKEKETSKPSYLQIPPSINNGKGSLPDFAAQMT CLFWFESTPKLRAVEENSAHSLSLCPEAIPTPAFQKWVSNILSTTQVSQNVILLALLF IYRLKKFNPAVRGKKGSEYRLMTVALMLGNKFLDDNTYTNKTWADVSRISVQEIHVME VEFLSNLRYNLFASEKDWAQWHVKLGRFSDFVNHAPVASENDTLPTPPVRLLSPNSGP TRTQVSPTSSTRLPSPTTEPLHPQTWAPVNGSYGTAHQAASQLPPVSSRKRRYEEPVE EQHPSKRIVLPHAVPLPSSTLPPASQISAPALPPMMAPTSAPPQQSIPGPVSRLSSSH AFVPSVPASIPQLSAVPGRPTMPPVYNPSTWAPQIPASTAPQPLNNSVPTPALSLPDP SRHHSPYPVTSATISPAVSAYGVHTPTTHLSPSYFLANRNSPYRPVRSVNTLLIPPPS ASLEQQRAIPFHHMHYQPLGKSTERRTGLLPYLHHDAWPQGPYIPPSFHHTPHYAP ANIA_01095 MPPRLQILPLHLRSSLPRPSTIPQPSQLLLSVQSQTRNAHILAS LSDNPGAYNKRIRRGRGPASGKGKTSGRGHKGQGQHGKVPAGFNGGQTPDIVVHGERG FKNIFSLDLAPANLDRIQEWIDQGRIDPTKPITVRELAKSRCIHNPKDGVKLLARGVN APTTTDITTPASNIAEAESPSDSLEEGTTTAPASNILKQPIHLVVSRASAAAIAAVEA AGGSVTTRFYTKASISRIMKRETHPFVSASWVAESGNPALHAAAGLDFSANTAASGNA FTQEAKIMAAKGYKYRLPDPTNRKDIEYYRDPAHRGYLSHLLKPLEGPSLFFRSPVER KTASGPKKEKVLPENRLW ANIA_01094 MVGSTLAMRSNAMQLSSLSRRSLTTRSPVQALRSSQSLSLRTAR RPYSDAAPLPAPKPKKRFRFLRWTWRLTLLAGVGLAGNLAYNIYDQRHPTEQFVPDPS KKTLVILGTGWGSVSLLKKLDTENYNVVVISPRNYFLFTPLLPSCTTGQVEHRSIMEP IRSILRHKKAHVKFYEAEATKVDYEKRIVYISDDSEIKGDISHTEVPFDMLVMGVGAE NATFGIKGVKENSCFLKEVGDAQRIRKRIMDCVETAMFKDQSEEEIKRLLHMVVVGGG PTGVEFAGELQDFFEHDLRKWVPEIQENFRVTLVEALPNVLPMFSKQLIDYTESTFKE ESITIRTKTMVKNVTDKYIEAEVTKPDGTKELETIPYGLLVWATGNAIRPIVRDLMSQ LPAQKNSRRGLAVNEYLVVNGTENVWAVGDCAITNYAPTAQVASQEGAFLARLFNTMA KTEAIEKELQRLSEAQSAAKSEEERNKIFDEIRDHQRQLRRTKQIGPFQYSHQGSLAY IGKERAVADISWLSGNIASGGTMTYLFWRSAYLSMCFSTRNRVLVALDWVKAKLFGRD VSRE ANIA_01093 MSGRILSHRLVPLLRNGLLSRHVHNAAARTGGLLRAESGAALRG RAWPVGANSIHNNVPAVRAISFARILPKLASEAVEGACDYQATQAGNYAIDVFKRAGE TASDAASGLFQGIQSVAEQTQRGWQKSTEDIEAPEWLQKILRFDQNSQDGGSSSGGGG QSPKESRTGVAAAGAAVGTVFGQEDDDIRARRDAENDQMMLLTRKMIEIRNILQSVGQ SGTLTLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGSNMVTRRPIELTLINTPNAQAEY GEFPALGLGKITDFSQIQRTLTDLNLAVPEKDCVSDDPIQLHIYSPNVPDLSLIDLPG YIQVSGHDQPPELKQKIADLCDRYIQPPNVILAISAADVDLANSTALRASRRVDPRGE RTIGVITKMDLVDPERGLSILSDKKYPLRLGYVGVVSRIPQTNALFSRGSGNITSAIL KNENAYFSAHPAEFGAESGVSVGVSTLQKKLMHVLEQTMASSLAGTRDAISQELEEAT YEFKVQYNDRPLSAESYLAESLDGFKHSFKAFAESFGRPQVREMLKAELDQRVMDILA QRYWNRPIDDLTPPMAEPDPLSDLPKADPESLYWHRKLDASTSSLTKLGIGRLATTVV ANAIQSHVERLIANSTFAAHPYAQKQIGDACNSILNDRFFSTSDQVENCIKPYKYEIE VEDPEWTKGRENISKTLKEELRACEAALKNVEDSVGKRKLKDVISFIDKVRKGEVILD GDGSGGAGGFSASLIARGREGVFLRDRADILKMRLLAIRSKQCATKNNKYYCPEVFLD VVADKLTSTAVLFLNVELLSEFYYNFPRELDMRLGRHLSDAEVERFAREDPRIRRHLD VIRKKELLELALQKIESIRQLDGRGAGKARGLDRERPLGGSGKGERGRGWNIF ANIA_01092 MCRFLVYKGRHEIRLSKLVTEPSHSILTQSYDSRLRLDNRRPVN GDGFGVGFYTDPKLGPEPCIFTSTLPAWNCENLERLASKTCSNLIFAHVRATTEGTLS DTNCHPFQHNTLMWMHNGGIGGWHYIKRALADSLADKWYLGVKGGTDSEWAFALFLDL LAKEGADPSCDPGPEGFGQALLRKVMVKTIAKINEFIKDIPNRHNVPETLETRSLLNF AVTDGHTVVCTRYISSKTDEPASLYFSSGTKWKEGKVKGHFKMERHDKGADIVLVASE PITFERHNWVSVPANSIVTIHKQTVLLHPILDEYYNEDSNHDRSSCYAVSKGLVSTAP GTTVQPQGTDTLPKPDIGISDPGLEPEANGGMPRSQLKATNQCALSH ANIA_10157 MEDAIDRKATAPSSDNEGQPSGRTRSGSANSGHKRSSSGSLLSR LSFLRMMQASQNPSGRGHSSLEADDDRDDLGSGLRGGRPISTAPQHRRTRRRRGSLRK TALLGTRFDYRDKKAGRQGVDVRGENADQHQVQSRAQQQQLTPPPIPTHTRMKSFGDP ATSSDGFIHSQPSSREGLGGRSTSTSSWTLMDAPQRSRKLSASTPQHIQSIRSKENKL RDDVTTDDEDIVPFPSKNAGTAPSAFHSSSNTSSNSGAAGLHLPTPPSSTDSYYSLQP DQKYRAAHRAKSPLATHAVDITGSQEAMSWDYSETEWWGWIILIVTWLVFVVGMGSCF GVWSWAWDVGETPYAPPELEDDPTLPIVGYYPALIVLTAVMSWVWVIIAWVGMKYFKH ANITGDDT ANIA_10164 MADNVSASTSSTHAPPQPSTAASNQQYDASQGNGQTNPSHMPPP PRPPVIIPQNTNPIPTAITTPMSGNMVSPTSAGGYVRRAAPEPNKRALYVGGLDPRVT EDILKQIFETTGHVISVKIIPDKNFNSKGANYGFVEFDDPGAAERAMQTLNGRRIHQS EIRVNWAYQSNTANKEDTSNHFHIFVGDLSNEVNDEVLLQAFSAFGSVSEARVMWDMK TGRSRGYGFVAFRERADAEKALTSMDGEWLGSRAIRCNWANQKGQPSISQQQAMAAMG MTPTTPFGHHHFPTHGIQSYDMVVQQTPAWQTTCYVGNLTPYTTQNDIVPLFQNFGYV IETRMQADRGFAFIKMDTHENAASAICQLNGYNVNGRPLKCSWGKDRPPTGQFDNFPG QQANSPFASSPGPYFPQYGGPGGPMTPQGPAQAGRGWEQPQMAQQGFGQVPGNTGYGR GQATPNSGWNQGNNANFGNGFAGGYQA ANIA_01090 MTSSVLSNPDSLEVLTEMVNQTLIETGRFFQHKGSLQSRAQLKR TIPAAQEQFQSALDNLSEQIFVAKAFLERDYEVLKARKAALRSKRPADDVVMGEAKAT VTSQPVSVSEQTAAGEIVPNPSESKPVSDTVKIEQQPDLNGDEPGTANVPAKEEESHG AGAGTAPDFSGQNAGESEQMLYNSMLNNPEHNEFDLNLDFGGNNNNNNNNNNDNAANE SFFNTTFGDTNPNSGLESVNTQMPNTEPGQDNNALPTGGDAFDLELQKFSTQSGDANE QFGGNTEDIMGPGESSFDDLFMESENMGGNDNNDQDLLGGDGLMQLNELDDNWFT ANIA_01089 MTAAHVPQDLPGSDTAANLVAGQFPCETSEPVPLLSSLLHSRLH HRRSTTVTATVENTDFATQQFPKQAGLARRGSIFRKLAGPRENAKRFLRLGTAQSPAP PSQDRPMARPRRHRPVSEIILSPDDVNMLAAPSLHPGRMRSHSSSNSSVVASRGPSPG CVPDNASFPSLPNEKIVATGSGIAVGIALTEPVLFLQGYDQNDPTTKKSAILRGQLHL KISKSVKIKKISICFRGQAQTDWPDGIPPKKIHFHDKKDLVTHGVVYFNHGDTALMQN DYGAHIYKHAKPLSVVTGNKDSTTTITREVFSNSNSSTSLNGLTSREAKRLSLQSSNS RSFGKGDPPPAGPPQTQRNYRLFPVGDYLYSFEFPIDGSLPETIKTELGFVRYDLEAI VERSGAFRPNLLGTLEVPVIRTPAEGSLEQVEPIAISRNWEDQLHYDIVISGKSFPLG SQIPIAFKLTPLAKVECHRIKVFVTENIQHWTADKSVHRFQPAKKVLLFEKRADQAST STYPGSSMRVTAGGGIEWDQRAAAARGEEIVERGRTNLLGNLNSESGVGPTEMEFSVQ LPSCHEMKNRDESQRLHFDTTYDNIQINHWIKIVLRLSKQDERDPGKRRHFEISIDSP FHLLSCKATQANIYLPAYTNPGSEPASPAPQFECGCAGAPQIRRNGSRTPSSSDRDDP PAHVTRSFTSGSGGLARPPAAHLASESDGQVTEQVPRPMHLLRAPSFAPPAFDEVPPP PPLITPPPEYTSIVGDNDREAVLQDYFSRLSTYEEHVDDERGSGRVDVPLTPGARVNR SMDVPREWIRLDQTA ANIA_01088 MPTEVVLTITGFRKPGLDESSLHEYLTNHHAPLALPFLLKYGVK EYSLIDQFSTFTPHVEQMHMTEKLADCDYIVQFVMDDIRQFTRLWEDEEFRKTVKPDH VNFADESKSGISIGYRTRFISPEEGLGQLKN ANIA_01087 MFTHTAIFTLSFLLTVSFLLFIYLIHPLFLSRLSKLNIPNAHFT SPLSSYWINSIRHAGLETVTIQALHAKHGPVVRLSPNELSVNSLHGLRVIYTGAFEKH ALYRDLFLNFHTENLVGMLGNKEHARQKRMLSRVYSKSYLQESEDMRVISAVILSTRL LPILQRIAENGETVNVLPLFQAVGMDFTSAYLLGVQNGTSFLFDLPGWQRWLEEYEKF KHLSLNERADGFIERWCLDLCRQTQTESSSSDAISTKPVVYNALRHGLEKSPDSRPSD LAIASELLDHLIAGHETSGITFTYMMWELSHCPNLQDELRAELLLLQPSLKYPFPSGG GNGSFPHPSSVDNLPLLDAIVRETLRLHAPASSPLPRVTPDTPGGTSIDGFDGIPGGI TVSSSAYTLHRIEEVYPQPTEWLPQRWLDPGSGKKHDMRRLWWPFGSGGRMCLGSNFA LQEIKLVTAAVYTNYTTAVVDDEGIEQDLADFISLPKGRKLVLRFDPINRA ANIA_10158 MMDEMARHLSLENIRAALSVLVSWRTLALLLAILNLKNLPFVWH VRLARHFLSNIRWRPDYPFFPKNKALTTSTGKPTHPIFVPYAITTTTSLLETDYNLHK SNSTYFSDLDVSRTALVTRLYSPGVGLTSKELDIELAEKARAEGKTPPPRKNMYIALG SVFCSFKREIKPYTKYEVESRVLGWDKKWMYILSFFVKPAAKNGGKRMLYATAISKYV VKKGRLTIPPERVLRKSGFLPERPKGLPMPGDSLESTAASGTGTPSGITATASGVDGS LVREVLKLEDGDIPENGKLEAEKKANAESWDGDEWTWERIEEERVRGMAVLEGFCGLD ERLAGEWDE ANIA_10146 MTTFDLPETFDDLPNKRQYWPAPKGSPEEGLGMLRILTPDIVAN AARQIQTGERVCLNWDIENLNPPGQGFKRKPFEHRIKWVAEGVAFDDEYHFNPQQSSQ WDGLRHHNGPAPTAEDPNCRLFYGGTSAEEIQDPKNPRIGMGYWAKKGIAGRGVLIDF VSWAEKKGIPVNALTQQEVSLDTVHEIARECKVEFQHGDIFFLRVGLPQTWAAMNDEQ KQAYSKQATPKHAGIEQSERVLRFFWDNHFAAVASDAVSFEVYPPLNPDFDLHHHLLA GWGVPIGEMFDLDGLAEMCIQHGRWTFFVSSSPLNCANGVSSPPNTMAIF ANIA_01085 MATNGHFAPIGSDSSDKTTYEHGVQVIDENKEFNTNLTKYLTFE NVTPAGFNYHLISVFGSQSTGKSTLLNHLFGTHFSVMAETERRQTTKGIWLSKNKNGD GKSMADNILVMDVEGTDGRERGEDQDFERKSALFALATSEVLIVNIWEHQVGLYQGAN MGLLKTVFEVNLQLFLKDKNTTHRSLLFFVIRDFVGTTPLKALQKTLMEDMSRLWDSI SKPPGLERSTVHDYFDFQFYGLPHKSYQPEKFVEETKKLSLRFREGQKNATLNAQNGE FSEGGVFLPEYHRRIPADGFSVYAEGIWDQIVNNKDLDLPTQQELLAQFRCDEILREV MVAFDEAIFPFEDKQSQASRLGEPEVLGGLGAAMRSARAKATKNFETEASRYHKGVYQ RKRAELEGKVDTRLKALFQGQLNAAHKSGINDFSDAVTAEVKAGQKKGTGYDFAEIVN DEVKKALQKYEEVARATVVEGAPWSNYQQELALYEKELSEVSARLRRDEMRRLATRVE RWVQSRLGESVGLEFNALGSGRAGGAAPESGEKPSEKKFWDRVWNLFVETVLDAERRF TDRASSFDASLEEVDVGLWRLRRKSWGVLRAKIEEEMTEGNLLLKLRENFEDKFRYDE AGVPRIWRPTDDIEGIYTRARESTLTLIPLLSRFRLAETSAPPPLDRWVGHTPSSATT ADEEDLPPIGGVDEEEGKSLEEEMTILGDAKRQELTIRFKKAADGVYVEAKRSAIGGM TQVPLYFYGILLALGWNEIVAVLRNPAYFFLLFVCAVGAYVTYQLNLWGPILKMTEAA SNQAMIEGKRRLREFLETSDTGRQAIAMSSSGSSRSGNEHEMSRLNKQGKSSTDEDVD DL ANIA_01084 MSGLSRTANLLFRTTKTSILRPRGVNPVQYALSKDRQTVRAMAT TFERTKPHVNIGTIGHVDHGKTTLTAAITKHQASKGLAQFLEYGAIDKAPEERKRGIT ISTAHIEFSTDNRHYAHVDCPGHADYIKNMITGAANMDGAIVVVAASDGQMPQTREHL LLARQVGVQKIVVFVNKVDAVDDPEMLELVELEMRELLNTYGFEGEETPIIFGSALCA LEDRRPEIGTEQIDKLLEAVDTWIPTPQRDLDKPFLMSVEEVFSIPGRGTVASGRVER GLLKKDSEIEIHGGGEVQKTKVTDIETFKKSCDESRAGDNSGLLLRGTRREDVKRGMV IAAPGSIKAHKKFLVSMYVLTEAEGGRRSGFGSNYRPQAYIRTADEACDLTFPDGDLS RRVMPGDNVEMILNLNRPVAAEAGQRFNIREGGRTVATGLITRVIEE ANIA_01083 MKHIRGLVSTTQALRQTFLLPQASRASTRLQFNHHFPIQNLSQT RFRYASSSSGPQGTGEIKDENIQSDYVHVVGEDNKLSGPTKLSTFLSSLQRPQQYLLQ VQAGTRDRLPVCKVINRREAQDRARAFAKAAKAAKKTVKQVELNWAIDPHDLAHRLKK ISEFLEKGIQVEIILTRKRHKRPATDEEVKNVMEKILQAIKDANATQISPMEGEPGKR VVLTVKRKDT ANIA_01082 MMIDAGSQGSRIHVYRFNNCGPTPELEHEEFKQTEPKEGGSGLS SYKEDAEGAAKSLDPLMAVAMSTVPDEYKSCSPIAVKATAGLRMLGSELSEKILEAVR TRLETVYPFPVVSREKGGVEIMDGKDEGVYAWITTNYLLGKIGGPDETPTAAVFDLGG GSTQIVFQPTFEKSPSGGMPEHLAEGDHKYDLQFGGRHFELYQHSHLGYGLMAARDAV HKAIVEAKLASMPPDDRSWLKQPISNPCIGPGMEREVKLKYEGEQANHPLAPGVTVKM VGPKEGAPSTAAQCRGLTEKILNKDAACTLAPCSFNGVHQPSLEKTFAKEDVYIFSYF YERTQPLGMPDSFTLDELHDLTKTVCAGESAWSVFEGIPDALKELRDRPEWCLDLNFQ LGLLHTGYEMPLSREVKIAKKIKGNELGWCLGASLPLLSQESGWTCRVKEIS ANIA_01081 MDNVPQKRPEQPDASNHASPSPSSPLTLPNRPRVENPNRPVVKS NGRRKLSASAAVNAHSASTEDDDEDDYTSSSGSSLSSSDAEDDDAQSETGGDINKDNE GITSLPARRKPDIRRIEQEPSLFSRLSAFLPQMKSANEDLEREIAAGRAKDIRLDDVD EESDGQRDGQYIEMNLGLGVLEEKRPGDQDERDISDTHGQSEDTDLLDRLLGKGKTSP SAKPSIQDLGE ANIA_01080 MPTPRMDTPELRVQQPSPTEDTTKETTDRSPATRRTLHQNILGK LRPLPLQYHWTFWYDKHSGAESASSTDYDERLYVLYEDVADIATFYRIYNNYPWEKIP QRDTVHIFRKGVRPVWEDPENVKGGCWRFRVPKRKAQAFFHEIAILCISSEFQAALEK EHDHVLGVSTSVRFNTHLISVWNKLGSNERSIKLLEETILNRLSPNLRPTDSGPNSYF YRRHNENEGFQQAVEGSKQ ANIA_01079 MDGSVDASGAQENGVGVKRPSFDVERFQQRKKFKTEELPLTAAQ HKAIEDLLHSFKKKGGFDHIRKKIWSEFHDGEGKAEFTRRLTEIAESEIEREPQLLSR EQGKAATLIEGAVDRSDIYKTVEKTLDALAAQHLSAIFESIQEIRRQEVGDEQATREF IAGNRTDEEYALLVKAKRDERERIWQEEERKRREAEEAEARRKEEEERRQREIQRQKD EEERARRREREEQRRAEQRALDEQREKERQERYERRRREDRERYRDWGYRDRDRSHTR DRDLERDRDRERDRDYRYRDRDRDRSPGYRSERGLSPRRKDSQAEKTPVSKEPTPPPA PPVDEKTLEETALQLLLKEGEELAAKARQKPEFDFEEAEAIENAADVDPQWQKTGAGD VMAVVAAQGGDHLGSMTTITGDHGKPLTDPGTVCQTTESQFATTARATEAGGRAVVGA VLSLGHTTEIASETVIGTEIEIVTENGGRIETGTANEMTVTEIVTGVETAAGTEVETV VEIVVGLGRTTTGTVDDTATILGHHHHVYPTGIEIVIGNEMETVEGIGQEIETGTGIE AGKGTVLEIGTGPEIGIDRERDRNSDRGRDRERSVERDRGRDRASDRDRDRERLTEKD KDCDHDRERDRERDRGREKERDRDRSRSRRRSPSLLDIDRYVPVTSHRSRSPRRRLRS PERADERPRGFVEIDRYMPGGGERDRVRDGQVQNQTPKAQSWRPGDAEEKTSTRE ANIA_10162 MSSPLHRRASSSAPLGSDFGPAHHEPYPALPHPAYTGLFRQNPE AARLHALETAVVDEESDFDG ANIA_10163 MVHKVLFWSGFGIAVRLWQLGIEMRPLFVKESLWAYPLFAGIGG SFGYWIQGIEQRQLQILAQQKEAILEKRRRRDEGLSKVEEAGTLAATS ANIA_01078 MAEEDLVRLDINGIFAVITLNNPRKFNALTQSLYYRLASLLRAA EENPDVYVTVLIGEGPFFSAGADLKGKPPSMEEMLSRPYWLPKLVNNNVDVARAFYSH SKILVTALNGPVIGLSAALISHSDFIYAVSNAYLMTPFTSLGLVAEGGSSVAFVQRMG QGKANEALLLGRKIPVSELAQVGFVNKVFEDKGNFREQVMGYLQQIFGEHLVKSSLLE TKALMRRRLVREQDEQAPLEMFGGLDRFCQGVPQAKMGEALSKSKSSRL ANIA_01077 MPKISENTRYSGRTRPSRKRAPVACQSCHGRKVRCSLPQTGQPC TNCSLDHVTCVPRPSRRSKPKNCSANTLTTCRGRRGLESLSYHLSTVSSPSNGRPAVQ STPLEGRSFSPRPERLVVSEDLSARRRQSPTAPSADETGYGTETPYHPFNEHFSHHNE DETGTLQTQGDVIDTCYSPLYGKIQTSGDPRGVGLVVDICEPEPREKSGHFLVPRINQ TYMDQETIDYLRHKGVFDFPTSSVCEMIIRTYFYYVHPFFPVVDARSFLEKFENARNE VSVHLLWSMFLAAANFADDSTLKAANFSSRKEMKRAMYIRAKALYDAEYERKKITLIQ AVLLTGFWYSDTEDRTGPWHWNGVAIGLCQTIGLHRQPDTGQRHSKAISMNDSRIWRQ LWWSCFYRETWFSAGMGRPMRINLADCSTPIPDAEDSDNLLAGIPESTQKKYLPEDTE DLSKLWAELLALTVCLAKILSWQNRAERTRPSRTEIQHMDDSIRECYSHKDHTIAQRQ SYVVSLHRFHLELYLESAMLILYRPFLFDKPEINSPGLSVDEWTSTVLRRTKDAAMNT NKILGSMIAADMISNTQAMVCIALVPTLQIHLLDATSDKQLLQRLGRHNLEFCMLIIE ELKSVYFGAEILSRMFNKAKSRIYNKTFAPTTAPRDYVPQSSQSSHDATIDSIPNVAD GACQDDTEIFDAFSTILGAFPPVTADGFFFNDEYVYT ANIA_01076 MASDMPLPVPPRTPTPPPDEPHSRFSFPSHPPDRDTLSPLVESF SHRIGSDTEDRNRLSPTRASFNNLSADTTQQNGQSEVSSGPFNFQTTTMAKSPVVKSN IGQRRGHKYKHSSISHQIFLEPPPRAPLALPNSLPIPTLKECRASMSVDQKRRFWWSV CHMFVAAYTLWSAHGSLAMTALSHLILFDSLGALLCVVVDVLGNFEVWKRSSIRHPFG LERAEVLAGFAMCVLLLFMGLDLISHNLQHFLESSGHEPHHEHAHERVSPGSVDFTAL LAISSTLISAIGLRNHGRIGKAMRFGYIESLPSVLSNPSHFLTLSCSTLLLVLPLVSI KLFRWLDVTLSSTISICMCFFGIRLVKTLGSMLLMSYSGPGVSDVLRDIEADPCVFAV DDARFWQVHYGLCMANLKLRVSGSEENLSRLRDRVSSLIKNRLGGGYGSGGQRWEISV QMAIENS ANIA_01075 MDHRGSLFFFIVILYFLLSSQSHPPLISQDREHQRELERERQAL RLLNESRYGDFDPRADKWLPFIGARKNDSYDWGLLADAQDRARYQLQSAFSNAGLVPP SGLEDGNSSRSLNLSQLALPVYRNSTGTLRGDWVRRKLDTNRPSLNTTAIVLEHEYFT HEFGQNITGNSGEFYLNVHEGGGEELKLPQGHVREIRATLSVETDDYWGHTWYISLYG VHFPETGGTVLTTTSEKFRGVFSLPHLTMTVDSYNISHQLLLKSLSDTIAEKQNRPPT LFPWSSLVGTDQVEFPSPKCEHIVYLQQHPVAIEGYLADQVVIDQIEQELRFPMGAPI PSPPSMVMSGVVYSPDCGYILETKGAPDFPPTDGLYLQGPKVEEYAKYAARLVFLISA VFIGQIMLLMRQIKDASTPSTRSRISFYTIALMAYGDAFVLVFILLELYPAVSFLVMT TLAFFAFLSVSYIGMKFMIEIWAIQAPERREQERRSSPPASSTRSSGLPLPATATGVR DSGATPIIILTPDQDPPAEEEEGTPTPNRSTVPTAQETRSDIGAMYARFYFVLFVMLV VSIWSFLWPNRLGAWYARALAFTYLSFWVPQIYRNVMRNCRKALRWDFVIGQSCLRLV PFVYFLTVRENVLWVRPDTKTALCLAGWVWIQVWLLASQDILGPRFFVPRGWAPPAYD YHPLLRDDPESGPDVQSDGGVLPITALRADGRDSSDSKDDDRPRNKDRKKAIFDCAIC MQDIEVPVLAAPGAAGGSSVANGATSLLTRRNYMVTPCHHIFHSACLESWMKLRLQCP ICRESIPPV ANIA_01074 MSAAARFIRPFASRALAQKLPLSSVRRVSPAIGFPRGTVRSFSQ STFLQVKKYTESHEWIELADDGKTAKIGITEYAAKSLGDVVYVELPEPGTEVGAGEPV GAVESVKSASDVLSPVSGTVTKGNEVLSDKAKFINESPEGEAWIAEIEVSDASEVDGL LDLKAYKATIDEE ANIA_10161 MLYELIAIVRPGSLNEVRDIARNAGTLVLRSGGVIRGYTNWGVF RLPKTTTKHQARYTDGHHFIMRFDAAAPVQMGIRRQLALDPRMIRFSVVKLGDKLDEV KDVTGKVEWNDTRNLSSTI ANIA_01073 MGSSNPKNSSDMADSTFKHSVEMQTGKAATISTSSIRHGREYPT EQELNTLRRVSGKVRWTAYTIAFVELCERFSYHGTTAVFTNFIQQPLPPNSTTGAGFS GQSGALGHGQRASTGLNTFNTFWCYLMPILGAWIADEFLGRLRTIQISIAFAMFGHIL LIISALPPVIAHAHGALTIFSIGLVIFGIGVGGFKSNIAPLIAEQHRGNRPYIKADPV TGERYIVDPAQTVSRVFMYFYFMINVGALIGSISMVYAEKYIGFWLSFLLPTVMFAFC PMVLFICRHKYNTTPPTGSVVGKAFRLWAFAMKPHWTWNPVRLNLRHRPSWMTFDDQW VDEVRRAVKACGVFAWYPIYCPSIIGSQWKNRLLTLLCNIGLAYGQMTSNLISQAATM ELNGVPNDIINNLDPLALIIFIPVMDQFVYPFIRKLGFNFTPLKRIYAGYMVASFSMI TATVTQHYIYVRSPCHNRASSCDEPAPISVWWQTIPYVLIALSEIFTSISGYEYAYTK APRNMKSIVQSLYLFTNAVSAAIQQGLTSLSADPLLKWNYGFIAVLAFFGGHLFWYTH WRLDREEDYLNHLEPSSFLGRGSGRKKRQDADLEK ANIA_01072 MRIRYGQSFTIRGLFSRRSKRGRRAKRCSTICDDNGSSALASTS ASTIRPPRLQSIQLSKELEILGLLSDPRSGQEATSASWLSSSPASTLHVAASASPAPS YSLFPAVGEQSTPGLFQKDYKYDDDDDDDAYDTEQEQTILSRQIPQTSYPTSPLLPPP PSLSVHARNLAQEDVSLSIPKPESEAGVPPSPTFSYRTFVTRSSGIFPWGTEPHVVEE DAASEVLVLPTPPPFARSLSAYIFKARRSLAQKPESAQFQLAI ANIA_01071 MNTFKLADYTIAWICALVLEAAAARAMLDKIHAPPQQISDPNAY EFGELNGHYIVIAYLPNGVYGTVSAATVVSRMRLTFPRLQLGLMVGIGGGVPSKSNDI RLGDVVVSKPGRKHGGVIHYDYGKAVQGGRFEPTGILNQPPQSLLTHMSQLQAKQMTE GEDGISKIVSDVLKRNPDMNTRFSPPARTTDYLFEPSYHHADNDSDCETCDKKYLVER QPRLTETIYVHYGLIASGDQVMKDSVTRDRLAQKHGVLCFEMEAAGLMNELPTLVIRG ICDYCDSHKQKQWQGYAALTAAAYGKLLLAVMPPCKLNSDLLESSKIRHCMVSLPRNP KFVGRGDEIKKLEELLSMQDGPRRIAITGLGGVGKTQVALELAYRRRDQDSGCSIFWV PCTSYAMIEQSFLEIAQILGLFNVKPAEVKEQIQAYLSSKNAGKWLLIFDNADDTDLW LTAYDKAPALEDFLPQSEQGRIVFTTRNWELAVELTYSNIVPVPDVDKEIARSILESL LIQKSLLKHDSIIVALLKHLEYLPLAIAQAAAYINKKRLDLAAYLTLLQDEEQDAVDL LSEKFRDPGRYKDIENAVITTWLISFKQIQQQDPLAADYLSFMACISPRNIPQSLLPA QISGKKRVDALGLLCAYNFINSQEGDINMHRLVHIATRYWLRKNRVFSHWVQRVADQM EKVFPNDHYTNRGLWRQYLPHALALMHESDFTNQMDPHINLVENIANCLLSDGRYLEA EVLYVKLLEFQKRNGPEDPATLRSMANLASTYWSQGRLNEAEKLEVQVMETSKIVLGA EHPDTLTSMANLASTYRNQGRWNEAEKLEVQVMESSKTVLGAEHPDTLSSMSNIASTL WNQGRFHEAEKLFIHVMETRKIVLGVEHPATLTSMANLASTYQNQGRWNEAEKLEIQV METSKITLGVKHPSTLSSMANLASTYRSQGRWNEAEKLFLQVMEIRNIVLGAKHPSTL SSMANLASTYRNQGRWNEAEKLEVQVIDTAKTVLGAEHPSTLSSMANLASTYRNQGRW NEAERLEVQVINTAKTVLGAEHPNTLSSMANLASTYWSQGRWNEAEKLEVQVIEAFKT VLGSKHPNTLRSIAHLASTYQKQGRWNKAEKLFMHVTETRKIMLGVEHPDTLSSMANL ASTYRNQGRWDKAEKLSMQVMETRKRGQTIHLPDPLLVP ANIA_11292 MQALCRKYSGQREATQRVGPLGWDWGRGWGSYMPRAQTEDGCRE LVQLFSSIRCVALDIQDCKHVASTFGAHAFEPSSR ANIA_01069 MGFGDFDEICKKAALPLCSLVGPSSAISGSTGIIPNCYARNIEL ANTIIFEGAASFLHIIALGMTVIMILHIRSKFTAVGRKEIITFFYIYMALTVCSLVID AGVVPPRSGPFPWFVAVQNGLTSALCTSLLVNGFVGFQLYEDGTALSVWLLRLTSTVM FAVSFLISILTFKSWGGLSPTNTLAMFIVLYIINAICIAVYLVMQLLLVLNTLEDRWP LGHIAFGLLVFIAGQVIMYAFGDVICDNVQHYLDGLFFATFCNLLAVMMVYKFWDYIT KEDLEFSVGIKPNNWEIKELLPDEDRRTTVYQDTNSEYAGSMYHHRASTYNGHGY ANIA_01068 MKKTLLLVFVHGFKGGDDTFGEFPQHIKVLLSRKLPSITVATLV YPKYETRGSLQDSVSAFREWLQNQVIDLEVSNRTPSPTVDPSVHVFLVGHSMGGIVAA DTLLLLASEQPIPARTPAQSSRYEFDEGSKDTTAAESTNLADSGLFMFPHIQGVFAFD TPYLGVAPGVVSYGAEGHYKTITSTYNAFSEVAGLFGLGANNASSKGAAPPSDEAKKL PPASDSDAAATPSWQRWGRYAMFAGAAGAVAAGGAAAMYSQRQRLTDSWGWVSSHLAF VGCLARPAELHQRIAQLSQVRKDRGIRCVNFYTCLGKGAPSLVENTGNVNETGKGQTA PFSSRIIRSKHRTFCTIPDGEEGKAQEKPKRTGPGVEWTKAVNDKATDEIKAHTCMFL PKQNPAFYELVNHACTAMVRSVDRGWYSTAGGQAIYSEAPADKMPRPEQDRANTQAES GMDEDVVIID ANIA_01067 MSSKELYNVVRLVPKPGKFNEVAEAFRTLSKYVEENEPKTQIYF ALQPQGTEEFVLVEKYTDSQNLKEHASTAAFKQFSKAIGGWLAQAPEIKRAGFVAGFD GRTKAKL ANIA_01066 MKLLTKEEEDAHYREVLKGGSFGTVLGLIGGYAGVLAASRRYHT IRNLTLPMKAFLVTSSGTFVGIIGADRSSRSFEAAQNQNRQWYENREERLRAQELRGM SFSDRALAWAREERYTIVFSTWVASMIGSFALVGRNPYLSGPQKLVQARVYAQGATLA VLIASAAFEISERRRVRRELDAKSASAKADSKLSNNTGEDTELWKDMVAAEEQRLKNK HQSLYEKHQNDAGSDPDAGSTPPQQKAEAEKLPSEQEQEQKSEEKKEKQK ANIA_01065 MSASPSRSPESKPAGNTPPIDVDVENEQNVDAEEAVQPPTPGAG GDDADADDNDAKPAVDNEIDNDNTNLNENEGQNELNEDNLGDSDDESILSEVDEAQFE DFDPENVDIEDRPQLDIDEENLKLIGRHKRKRTEEEGTSKRKKEGRRRKSRRYAEEEE GGSDREAGGKRRKSKKAELEEDEETLDPATRRRRALDRAMDEALKKPTKRRARKQDGI DLEQMADAEIEDMRKRMTHAAQMDAINRREGKPAMHKLKMLPEVVSLLNRNQYVNSLV DPEINLLEAVKFFLEPLDDGSLPAYNIQRDLMTALGKLPINKETLIASGIGKVVVFYT RSKRPEPGIKRMAERLLAEWTRPILQRSDDYSKRVYQEAEFDPTYVLSPFLLKITSRV KSVQDSAAEARARELLPPRLANRARAEISHTSYTVVPKPTVVQESKFARPLGASGEDR FRKMRARQIAATKGQGARR ANIA_10159 MFAPGQESKEDIKAGEAQANQTVRMAVTGGVLLYLSPFAIDFIK KFL ANIA_01064 MSLFGGQQSGQSLGLFSNTAKSSPFGTAASNTGTQQNTGGGGIF GSSTTTSQPQQTGSSLFGSTTQQKPSLFGQPQQQPQQQSGTGLFGGPLGSGQGSQKPA GGLFGSTLGGGQQQQQQQQQQPQQGGSLFGGLGQTQQQQQQPQQQQSIFGGGSLLGGG QQGQQQQQPQLGQSTQPQGSSLWSPGRAVTGVHRTVPMQMAIVKDKWDYTNRNSPFRT YLYNSVGEGDAPFYQPGPQDDETKWEDALRQRPGPDCVPVLVRGFWELGKRAQRQKDF LTMIQTRLHEINNCLTELLSRHDLKISVKVADCRRKHHVLSKRCLALAAKTQVLRNRG YAMDEAEEELKKKLAQLERSVFDPTLSGRSEEIWARMLAIREHSTRLQAEIERAGSGV AAQAEDELDEATMKKAKKVLDDYLAQIQHLQKELESVKKDFEEATKSLS ANIA_01063 MSRRDPQFNQQNLYDPTPMPDGIPKVAEIGATSAPLTSAAYFIG DRCKAFNDDYMKCKEEANGRGEIECLREGRKVTRCAASVIKDINTHCLKQFNTHWECL ENNNHRLWECRKQEMDLNKCVFDKLGLKKTIPGAPENQTPVHLRPKQLYASFPGPQY ANIA_01062 MILDRVFVHALNTVKRIPRTGTARPPATERLKLYGLYKQSMEGD VEGVMDRPVGNTADVYMEDAWYAQRGLSRTEAKRRYITTLVETMHTYASQTEEARELV AELEFVWNQVKSNIPSSTSSPVQSTGVPPISQPQSPYGSISAQLAQNNEYQYKTSTAR GDSRLRVLSPVSQPDDIYQRRTARMGYDRDQGLDQGGDDESVNLDEDEEEEEYAEAQA NLYEDDDEVEGEAGGAVDEDDDDDHHHHHQQVYSSHIPDNSPSRKRDHDIDSWRWRRR VEQALTKMTAEIAAAREQMEARTLAARRRSGVWAWLRWLVWVTLRQIIWDLALLGMLL IWMRLRQDRRLEEQLKAGWSVVKARLAGLKALRDLRKVYIFS ANIA_01061 MRQSSIDASADEALARMGYKSELPRNLSMLSILGLSFAIMAAPF GLSTTLYITLTDGQSVSIIWGWVFVTLISIAIAASLAEICAVYPTAGGVYYWSAMLST KEWAPMMSFIDGWLTLVGNWTVTLSITFSGGQLILSAISLWNEDFVATTWQTILMFWA VIGVCALVNVFGARWLDLINKVCIFWTGGSVIAILVVLLSMADDRRNGKFVFGHFDAS ESGWPSGWAFFVGLQQAAYTLTGYGMVAAMCEEVQNPHREVPKAIVLSVVAAGITGLV YLIPILFVLPDIKTLLNVASGQPIGLVFKTATGSAGGGFGLLFLILGILMFAGIGSLT AASRCTYAFARDGAIPGFRLWRRVNKRLDVPVWAIILSTTVICLLGLIYFGSSAAFNA FTGVTTICLSSSYALPILISVLRGRQAVKHSSFSLGRFGYAINVATVVWICLAVVICC MPVSLPVDASSMNYASVVFAGFAAISVTWYFAYARKHFTGPPIPVDQLQDTPGVVPGK AVVDPEKAGSGSGSLEKEQPAP ANIA_01060 MAATIDRPLFEPIAGGPATDAASITPPHSINGKKDGPDGAPSEL SDLELDSKPTDAQEDISVEEGDQEIEPDHYYGGGKIPVFKPFRDFQSFIKRIDKYGMR SGVVKVIPPKEWRDALPPLDEAVKKIRVKNPIMQEFHGSHGTYTQANIEKQRSYNLPQ WKALCEDGSHQPPARRGERRRNQERVTRAPSAPKAQTTRADTPKRGPGRPAKRSNQAK VKEEPVKIKEEPAADEVMEKIKPEGPPTPVSPESIPAETKSEALSDGESLSAPKSRGR QPKSVTARRKQNKGDTVEHVDEEAYKNFDYRIHDNEDYTPERCEELETAYWKSLMFNN PMYGADMPGSLFDDRITSWNVAKLPNLLDVIGQKVPGVNTAYLYLGMWKATFAWHLED VDLYSINYIHFGAPKQWYSISQEDAPRFEQAMKSIWPSDAKTCDQFLRHKTYLVSPSL LKSQYGITVNKMVHYEGEFVITYPYGYHSGYNLGYNCAESVNFATEKWLDYGRVAKKC NCEADSVWIDVEEIERKLRGELTPEYYGEYDSDLDGYEAASDLLTPPRSVPEKTSNRG RKRKHDGDMNKAKRMRADMGIPRRLPCLLCPNDLDYEDLLPTEDGKSHAHRRCALYTE ETSILRDGSGKEVVCDIDKIPKARMGLKCLYCREVRGACFQCNFGKCTRSYHATCALL AGVQVEEGLVTVVADDGSQYSVPSVDLKCKYHRQKKPTWMTSSESPENDRKLMDTARR LVTGDILQFQADKEIYGAVVIENRVAERTLQVKVLPRGDVIELPYRWMLVVRRSNFAP LAAGIKPLPAHLSRKPEGRRELESALPVAGNPFGDGRSPYQWAEFETVDSTNRPAAPP AVQVDLGKMEQIWYYLGQSSTECRAQYTHNPSVPIHNPRSNFLDSVKSLGAVMARLPS YPHHRLPSYVLTTPPPHLLSSAAATTTASAVAAAAASRPLLPPRPPFAPPRSSPAAPT SAAVAASAMPSAYRSLPNQIARHAPYPQVTKAHLQSQQQHSHQQQQQNTNGSHSTANS HLPANNFANVRELIARRRLAQITDHANVFAGYTIVGPELVVETLLGPMGSVPPSNGLE KLELAMAQQRVQPRAPDGTLLPMQPLNMRSEEVTRLLQMLRFSLISHRDRLDVLQKKE TETAKQEAANKVKGATPKLPRKYAYLELQREQAPTVYQSPYDMPSGFTEYARTTFGLT RHEPELPKPSLANDYFASLSPENQEKILKTCGSFVQRAIERSAPHSRQSSSSNFRLAS ALAQQTENPTIDITTVENMPLSGLDFPLRADSPCSNFSRSHLRFHSPNDFSHHGTEVH HDHHDLFGDQQANTRFWQHGPWAAGDGNTPNEENRPFFGPHERLKHDYASSDISLGRG GPGSLHSVDMAGFGLDNPDDLCAALSP ANIA_01059 MTYSSTAVRHFTAPKPLGLTMEAPRSHPAPEPKIKPTTVDGGLT DQVKTKSGGITFAHQDSLPKLPIPDLESTCKKYLDALSPLQTPKEQEETKAAVREFLK GEGPGLQERLQKYATSQTSYIEQFWYDSYLNYDSPVVLNLNPFFLLEDDPTPARNNQL TRAASLVVSALSFVRAVRREELPPDTVRGTPLCMYQYSRLFGTARVPTENGCVISQDP KSKHIVVMCRGQFYWFDVLDENHDQIMTEKDIAMNLEVILADAEQTPIQEAAKGALGV LSTENRKVWSGLRDILTKDEHSINAEGLNIVDTALFVLCLDDTEPHNMSELCANMLCG TNVVEKGVQVGTCTNRWYDKLQIIVCKNGSAGINFEHTGVDGHTVLRFASDVYTDTIL RFARTINGQAPSLWATTSPDPSKRHPRSFGDVSPTPRKLEWDMLPELSIAIRFAESHL ADLLQQHEFQVLDFKGFGKNFITSMGFSPDAFVQMAFQAAYYGLYGQVVNTYEPAMTK AFLHGRTEAIRSVTNEALDFVKTFWAENPAEDKVNALRKATEKHSAITKECSKGQGQD RHLYALYTLWQRQFDEAPSSRNNSVDEASNGYTSPAEIDSIAGSPLSPSSVSEVDGLS STGSYGRAMRNLPPTPAIFSDPGWDKINNTILSTSNCGNPCLRHFGFGPTSADGFGIG YIIKDDSISICASSKHRQTARLMQTLELYLLEIRKLLRATVHKPSSPRTSRAREMEMI AERVQRDQRRGRIVRTDAGHLRAGTETPTTDSGDMDDDGMGGYGFFDAGMLLHALKGL NVDRERGGDKTPRRRFVGKKLRLNEY ANIA_01058 MKYSMILGAALCALGAMAEVEPSYVTEWTTTTVTDWTIVTITKT ITVTPTSAATSTTTSVPEPTSVPATQAKVQDTAPAAAAETEAAVESVSTAAPSSTVES TSTVIAEQPEATTWSSAWSSTWSTTQAATTETAAQSTATASSTQTAANAYQSNVLYSH NVHRANHSSPDVAWSSDLESSAQVLASRCVYEHDTSINGGGYGQNIGYGTSADEVAVM ISNLMYNDEMGYFENLYGQATPDMTLFEKWGHFSQIVWKGTTEVGCATVDCPSLGNVD SASSVPFTVCNYSPAGNYDGEYADNVLKPLGNPVWSAS ANIA_01057 MQAQRHQGHDTASGVGPKLVNRLEASKSPYVRAHRHNPVAWQLW DAESMELARRHNRLIFLSIGYSACHWCHVMEKESFMSQEVASILNESFIPIKVDREER PDVDDIYMNYVQATTGSGGWPLNVFLTPDLEPVFGGTYWPGPNAASLLGPETVSFIEI LEKLRDVWQTQRQRCLESAKEITKQLREFAEEGTHTFQGDQSDEDLDVELLEEAYQHF ASRYDINNGGFSRAPKFPTPANLSFLLRLGIYPSAVTDIVGQEECENATAMAVSTLIS MARGGIRDHIGHGFARYSVTADWSLPHFEKMLYDQAQLLDVYADAFKITHNPEFLGAV YDLITYLTSAPIQSTTGGFHSSEDADSLPTPNDTEKREGAFYVWTLKELTQVLGPRDA GVCARHWGVLSDGNIAPENDPHDEFMDQNVLSIKVTPSKLAKEFGLGEDEVVRIIKSG RQRLREYRDKNRVRPDLDDKIIVAWNGLAIGALAKCSVLFEEIDSSKSAQCREAAAKA INFIKETLYDKATGQLWRIYRDGSKGTTPGFAEDYAFLTSGLLDMYEATFDDSYLQFA EQLQRYLNENFLAYAGSSPAGYYTTPSTSAPGSPATLLRLKTGTESAVPSVNGVIARN LLRLSSILEENSYRVLARQTCQSFAVEILQHPFLFVGLLDVIVGLNTGTRNITAVVAA DSSLSTSTEGGTPTSAWDGLVKRIRAEAGPTLSTSSNTVAALVDVRNSSQGVSTGNKS SWLRRRNPLFKDLKAGDKNYLLICEEGRCRTVNL ANIA_01056 MAVSSHRDDFFQTTSALDEQRRKEAKSINTNGSPIRLQSKILAV KPDPFDLGSVFVAQSGGTVRKIVLATGETASIFKGPTAPITSLCFSPNGRILFAGCWD KTIWSWDVNSTQRKLQYEGHTDFVRSVVTTRVNGQDLLVSGGADAQILVFDISSGQRL HVWKGHAKGVQDLLIDPLTLDDNSTEQIVFSAGSDREIRQFDLSKGSQDLTGTEALLA HDTNVYKLHFDQDGDLWTASADKTTKCLIREDGWKANLTLTHPDYVKDVVVDERGGWV ITACRDEEIRVWNRSTGQLYHTFSGHYEEVTGLVLLGTMLVSVSIDATIRQWSLKPDD LRNAVAQATEHPTDEPSTNPESMLTEEEERELAELLEDD ANIA_01055 MDMNHLIGQRFNLISKSDIRYVGTLHEINPEASTIALQNVVSYG TEGRRGNPDEELPPSSSVYEYIVFRGSDVKDISVAEDKKENAQPEPPQMPDDPAILGS VPRPGPGSGPGPVPQGLPSQPQPQQPLQQPPQGPRPPPPGYPQQPQFQGYYNPYGPRY PPFPPGPGYPAMPYGAPPGWYPPPGQGFPPGPGQFPPQVPIGPPGQHQTPPSQRAAPT APKTTSELPVGDRPLNKPAAQDASPAVTAATESAPPPPVESKPSVAEAVKATTAGPAE RAPPTGPSGRAPPVIPITPAKTSAPASVNAPLAPAAVNNVTQGTAQAAISEATRAATA AVAAAMAKLPQPNGQKKPQHADTSVEVITKQMGDMKPYDSRASRGGQHARGRGGHRGQ HHAQANKKVEVPQTDYDFETANAKFNKQDLVKEAIASGSPLEEAESPAQIATAAEPPT TTQSATVYNKSTSFFDNISSEARDREEGSNVRPGGREWRGEEEKRNIETFGQGSVDGY RSSYRGRGRGRGYGRGRGGYGRGYGSRGRGGRNMSQSTGVPTAN ANIA_01054 MSPTERLSKVVDAVTGRGAVQEPNNLPWDPNSSRFPSRRELPKI PGAPDDAAWVWGKDDQIGRLNLLTPARVKAAAAEIKTGEMVRLDLPLDVPKTPSFGRE VFQHKIKPLGSGVGYDDLYTMNTQSGTQWDGFRHIDAKYSPKFAHLGSKCFYNGVRDK TDNTKATSADIEGPNPTTRCSIHHWSTHCIASRAVLLDYKSYAEAHNVNYDPYTSHAI SYADLVACGRYQNLDIRPESAGGDLKPGDILLVRSGFVQRYNELTPSQRESAAQRTGA DIAWAGLKQEEEILDWLHDSYFAAVAGDSPTFECWPVSATEGGRGSIGFMHQNILALW GMPLGEMWDLERVAEVCKKERRWTFFLTSAPANVVGGVSSHPNATAIF ANIA_01053 MRTAEMSSASASRAASRSVDINYSDADHQLQRSLQSRSRSPNAR STSYSSYSRQQEWSASHSSIEPDSFSEVSGQFDDADEPECLPNEHKGVAVRVDVTTDC SPSSHSVKRRRSNDWPRRSVEREIEAAGRREEQQHQYASGARQRWFSHYGRHASPGSR SRSPRRARPGRRSRFVEGHMADTVSEKPPSIFFPDEARNETTARGASTNRGAGIFRFG KAIASAFNPFGGWGSVSEFWKGSHTQDDNRVQERANDRLRQAEMAYEELKRSGYQGTV KGRYLQSLGTGTGGASCPDQTWKSIQEKMEYGGAGNSGFGHHPRQSSSQTATTRDANN GSSLRPILPDLRKAKSSLAIPSIKKQDGMSSLLQHIDNRDPEVRRQKSRKDMQRQAKL LKRVSNLEDKLERAKRELRELAGEEELLLRSSLNEERPYQRRFVPGKLPSLPSERLLQ DSQPAMLPVNTLQIPEPEDQSQIFLRKTRIKPPKPWRRASESRSISARSASRKRKSPD PESRKEQDLSDPQQQCPPLPQTDGQQLQDKNTNPTTGHTEAKPTPEPAEEVTIKTPSR KPKLPKNARSDSPGSVEQKQKQRQSPAAENNPSTSPAADRASPPASVSRPLRSTTRNR SVTPVLRMKRGRGDLRSTTSPAHGHGRGRSPGPSFGDDKENQHCDGLGGDEHPNGIVK KLGQQPQQQDIKTGQHDESATPSSAPMSTRRKARYEYIPPVPPLPKDLAATAAKVDRR LAREMGKRKEQMERDGKTVKKGTDGFQWPEEFF ANIA_01052 MQQPKRARACGQGSKSHTDRRPVDPPPVIELNIFESDPHDDSNK TDITFVYNANFFLFATLEPERPIATGKLMTNQGSPVLTGVPVAGVAYLDKPNRAGYFI FPDLSVRNEGSYRFSFHLFEQIKDPKDATEGTQPMPSPVPGKLSSPQEFLEFRLEVIS NPFIVYSAKKFPGLTTSTPISRMIAEQGCRVRIRRDVRMRRRGDKRTEDYDYDNERGY NNRRPDQYAGSDAYANAPERPRSTSISTNMDPYSYPSRRPSAVEYGQPIAQPYQRPMA STPAPSSTPIPAPIPMPGPVALPPSTPSPASAHAPAPPSVPLAAPPPLHTPSYQSHLS FGATQTQYPAPQLSHIPQQTTTPTHPYSPRSSISHSRNQSISEYEPSMGYPGSQTRLS AERPSYGQPSQTTSLPPLRHSLEPSVNSRSKTPSNMITSLPPIQSLSELPSTTSQPSS AIGSSPANEPGPRLWETNSMLSKRTYEESFGHDDRPLYNGMRPDSESYPGGMQRRPSY ERSSLLDGPDQMAYKRANGRMVSKPATMR ANIA_11291 MRVVAAEVAVVIVLRSSAWPSEPNTRYRLSRIRRRTKTFHHNQI QIKDLQSGRYNGLLRV ANIA_10160 MNSLSPLKPAGENIWLYEPTTTANKPVPDKDPALIVLCTWLGGA TPRRICKYVSHHRQLFPGSAILLITTGMIDITIRSISAIRSRLKPAREIIRRIFGLYG GGAGGAERTPKGVLLHIFSHGGSNIALQLILSMQNPRHPSGIHRLPLQGIIFDSCPGG TTFMRNYHASVHSLPHAPPPIQLLSKALLFPAIGAVTGLQALGVMSSIGEMQKQINDS LVISARVPRLYLFSKADVTIYWEEVQAHLNDARIRGYNVSSEIFHKSPHCALIAEDEE RYWGAVQRFWEQIVEGNALADMMTGEVALSVPAGVRGSKL ANIA_10145 MDLLSILPEISIKSFSHILPPLERSRVNTVDLISLDTLEIAKRA HVPPADVRRLANHVIKALHNDVGFEEGPRPEQEQPDSSPDLELPLISGPRTKLDLSQW RTISTLDAALDTLLNGGIATGYVTEVTGESGSGKTQFLLGLLLAVQLPPPQGAGRSAI YISTEAPLATNRLSQLIECHPYLSTLSREQAPSLGRILSINAMDLESQDHILNYQLPV AIKRYNVGLVVIDSITSNYRAEHTSHDLSGLSTRSGELAKLGQMLRNLAASEDVAIVV ANQVSDRFEGDAPLPFSRVTGDRTPMSSPATQQLRDYYVGFDREAAASPLSRVRTSEP ANAELNHHTLAVSQCTPSFPSSSPLPTQDDQPQFDGSYLVGNPVRNEILSLQHQQRFF TGWGDSPPPLAHGTQPWVQQYTLKTPTLGLVWSTQIACRIALKKYNRPVIFDAVLDRN PTIPVQKLTSQEQDEPSESEVASDAASLPEENSTRPNNSTATAMKNVPTASAPQIIHS TEQSIQRTMKLVFAPWAGGSAPLSSEFGDEVEFEIWKGGIKAVKRE ANIA_01050 MATERLNSILSHLRPGNKGVAAITQKNPDDVVITLSLRTPLTKA RKGGFKDTELDYLVYALLKEVIAKSQIDPNLIEDVCLGNVNDGKAAYLVRAASLAAGI PHTAGASSVNRFCSSGLKAVQDIANQISLGAIDIGVAVGAEIMSAGGDRLNKPFNEEV LKNQEAADCMQPMGQTSENVGKDFNISRAQQDVYAAESFRRAEVAQKAGWFDDEIVPI TTRVKDPKTGEERSVTLTKDDGVRYGTTVESLSKIRPAFPQFGDKSTGGNSSQVTDGA AAVLLMRRSKAIELGQPILAKFVGATVAGVPPRVMGIGPTAAIPKLLSKFNLDKNDVD IYEINEAFASMAVYCVEKLGLDHAKVNPRGGAIALGHPLGATGARQIATILSEARRTK AKVLVTSMCIGTGQGMAGLFVNEQV ANIA_01049 MADPTLLTIKVHHHGNTHPITLPKDATLQDLATILASNFHIPIE NQKLLIAPKPGMLKAPFTSTYLSELLPLDSPKLKITLLGTPAKEIESLNIQAAETRRR DERRAAAQAEARAHSRISPPTRSGGIHTLSSTSASNNYTFHTLKPLPYLPNPARSLQF LTRLRDDPGIRSAMAKHRFSVPLLTEMDPAEHTTSESRTLGLNRNKGEVIELRLRTDA YDGYRDYRTIRRTLCHELAHCVFSDHDRDFWDLTKQIEGEVERGDYRSGGRMAGGDEF YNPSDWEVEREGGHVVDGGGVVGSSQVLGGNSQVGASGGGMREVLARAAEERARRAKE EKRDGSS ANIA_01048 MHKKATLALASAICITAATGLPGPVLDSAPKASVHGSVHGSILG TAADINDPSYLWTMYGLNTSEEYKYFQEPGNDEIHAHYDSRFFKDPVPKEHRSQVLTH IIHSYFEFFNSHNLETWLAHGTLLGWWWNGRIMPWDWDIDTQVSEATLFRLADEFNGT VAQYNTTNPDTQHSYLLDVNPWARQRDRGKGLNIIDARWIDMQTGLYIDITGLSKLNE EKPNEWGCKNNHNYMLSDIYPLRASFFEGVAAKVPYRYESVLIDEYGEKALSETHYND YTWVSKQEEWVSDEIIAAEEKKKAKEGDKDGRQYE ANIA_01047 MSVVGIDFGAQSTKIGVARNKGIDIIANEVSNRQTPSLVGFSAR SRHIGEAAKTQETSNLKNTVGNLKRLIGRAFSDPEIQIEQEYSVAQLCDVNGQAGVEV SYLGKKEKFSAIQLVAMYLTKIRDITSKELKLPVTDVTISVPAWFTDIQRRAMLDASE IAGLKVLRLINDTTATALGYGITKLDLPGPEEKPRRVMFVDIGYSDYTATIVEFRKGE LNVKATACDRHFGGRNFDKALTDHFADEFKEKFKIDIRTNPKAWARTLVAAEKLKKIL SANTVAPMSIESLMEDIDVRAMVKREELEDMVRPLLDRVTVPLEQALAEAKLKPEDID FVEMVGGCTRVPAIKQAVNKFFGKNLSFTLNQDEAIARGCAFSCAILSPVFRVRDFSV HDIVSYPIEFTWEQSPDIPDEDTSLTVFNKGNVMPSTKILTFYRKQPFDLEARYANPE ALPGKVNPWVGRFSVKGVKADANDDFMICKLKARLNLHGILNLESGYYVEDVEVEEPV SEEGEKKDGDAMETDAAEQPKKTRKVKKQVRKGDLPISSGTAQLEQSLKDTWQERENS MYMEDKLVAETDEKKNELEGTIYELRDKIDGVYAEFASEEEKDKLRSKLTDLEDWLYE DGEDATKSVYVAKLDEIRFVAGPIIQRHREKLEAERQAILKAQEEEAAKKRAEEEAKR KAEEEAKKAAEEAKKAENPDAEMKDAPNEGEAAPENADADKQ ANIA_01046 MVAAFIEWILFLAAFVYCLIKVYQKADHWSIRALAVMMIILFSA LRSVFAAFPPAMVSFLQWFAFWAFAGLLTVPWLFCVYRIVTNSIGKTRRIQKVLDAKT APKVVIIMPVYKEEPETLIKAVDSVVDSDYPGCCIHVFLSYDGSLIDEPYLRVINHLG IPISLRSYPQSIDVTYKGARITVSRFRHGGKRHCQKQTFRLIDKVYADYLKEHDDLFL LFIDSDCMLDRLCLQNFMYDMELKPGSKRNMLAMAGIITSTTEKNSLITVLQDMEYIH GQLFERSVESGCGAVTCLPGALTILRFSAFRKMAKYYFADKAEQCEDLFDYGKCYLGE DRWLTHLFMIGARKRYQIQMCTTAFCKTEAVQTFNSLLKQRRRWFLGYITNEVCMLTD VRLWRRYPLLCLVRLLQNTIRTTALLFFILLISIMTTSSRVDDLPVGFVVISLALNYT LMFYFGLKLKRYKAWLYPMMFILNPFFNWLYMVYGIFTAGHRTWGGPRTDAARADEFT TPEQAVRQAEAQGDELNVEVETFRSAVEGKGVPVHPWKKVTGRFSNGDLMPIKGGMVN DTEAGLTKFLSPLPEIPQIGLHPPWSTESVLSSVESIEMPHNVESLMNDEKYYLSRKA QETSTPRRSVESFHDSQNTNDPRLPIPSGDGNRAIIENRLASPAPSLDSTTTATEATK SVETPEDCQTTGSSCPPNESSNRLTMARCVSNTEVSQTQRLSKTPSPAKSRKRGTVA ANIA_01045 MADEQKPVTAQATNAEAEKDVQNVLAELKGEAEVPVNGTEKEEA KEASADKSEEAEEARIVAAAAKLGEKSEKSENGNQAEDRGRANRRRNESKFDPSTQKE TDDPVEIRKQVEFYFSDSNLPMDKFLLSKVGGSKNNPVPLELLHSFKRMRRFQPFSAI VEALKNSETLELTDNDTAVRRKVPLPETVKDTHDPTVVKVFEDKAMARSIYAKGFGEE TPTTQLDIEAFFAPYGPINAIRLRRTNEKYFKGSVFVEFASEEKQKAFLELDPMPKWK DQELIIKSKKDYCDEKVREIEAGRVKPSGGRGRGGARGRGGRGGRGGRGGRRDNNRDW RERRDADQKQGFKNGQDEQPERREVQKDARYSGVPVVQSTADAGQKRTREDEASAEHP AKKVDTKE ANIA_11290 MVGKIPGLLSLKAGGPLPICVPRAKGFDMGLVAVLEKPSDLEGY AVHPAHLE ANIA_10139 MFKKKPTIKNLSPLRSSDRRKLADQIISDYRIAIPSTPGEASDV TPTNPAQPTLTSIRNALLPENCLSARFTTTAGPDLREVQGTVYVGTHSDGDERVLWFK IDQGPGAEKRLYPTVYMLWHNANIVPLLHTPEFVMGKLRSGADLMTPGLANEPPFDER AVKGAVVAVASLDRVAVPLFVGVCEIDVSALGEVQGTKGHAVRGIHWEGDELWAWSPS SRPGVPAPEYLEGWDEVEEEREAGIEEKVQGLELEDKDGQEVEADAAEPAHEAAEEPI AEKEPTTKEIDDAFEKAFLYSLYKLKQDNPSTPNHGLSLPISPSAFMANMITPYLPVY TAQQAQYYQIKKTSWKNVKKFL ANIA_10144 MTSKGASDTSGQPGQKSYYKTVKEGGFENFQHFMQSHNLKMYND DDIQLGKEILRRYEEYDRACADNTSYITHESFENDKPTEANAEAYDSDSDSDPPSGVY LGCYETREEEEEDDDDDDDDGDAASGEEGCRLDAVYSHSEWGVDEPEFEGYPAFSDDE EAFGQDGWSNEADYGEDAAGGYDGYDGHDCEDW ANIA_01043 METCQSCRYPSFPSTGDCEQYLTDATRWSMGFNIRYRKGKFYLL TSYVSMYPDFGFKGLLFSTEEIYSDEAIRNRTGVGLPEGPHIYKKDNWYYLRIGEGGT ELGHRQVIARSHSVTGPNEGYAGNPILTNFNTTEYFQTVGHADLFQDGNGNWWGVVLA TRSGPAWRVYPMGRETVLFPATWKRDSFAVSPPERPYSLRLLPSSLNITGLSAAGERI EKTPITLIMRRQSHTYFTYSVEVSFVLAEEGEGGRRGSSNTECQSPELPSRPYHFQRI FESGCRFRLRMTPTIICLLRCQDAKILTLFTLWRLFLLAEELEDLPAFFWESMPQVVG DQAHAIVYQ ANIA_01042 MEETYLDLPIFHALFDSIKNDPEPQRSVSMKGLGTALLAGYFPI INGWIITQSRIQAAGSVVLRVQHYLRMGRDGQRPARIADHLLACVVHDTQDWSNAMEE LDGLSAERWNVENGYCWVVVFHGLDVYFFCYRQNRPFGERYAGCGTRFFENGEEFIQN KYHLQRDTALIHEIMAFMASRTYILYAKNSFNTEP ANIA_01041 MSVARTAGFALASAAIVAGHGYVTGIVADGTYYGGYLVNQYPYS NDPPAVVGWAEDATDLGFVDGSGYTSGDIICHKDATNAQASATVAAGGTVELQWTEWP ESHHGPVIDYIASCNGDCTTVDKTTLEWVKISESGLVDGSSAPGTWASDNLISNNNSW TVTIPSSLAAGGYVLRHEIIALHSAGNENGAQNYPQCVNLEVTGGGSASPSGTVGTEL YTPTDPGILVNIYTSLDSYTIPGPALWDGASSSGGNSGSGSASSSAAATSTPTTPSVS VPVIPTASSGASSTPLVPTPSAPAVTPSVPAGNQAPQPTYTSTYIETETLPGQTVTST TTEYASEPTQPAVETQVAQPSETEAATSTSTVTETASATAAPTGSSGSSSGSGSSSTE LPTDSSSLSDYFSSLSAEEFLNLLKETLKWLVTDKVHARSLH ANIA_11289 MSQVLVEFWSMPMMTRSPNASMQDCLANGYISKA ANIA_01040 MSQKIRNRATTVSILRPKLVWCLHCFRTSIKSFESAAGVPFEIE CELDAKKSILCRQCSARNALCLSTAVGMLGNAADLSAIIEWYVGFWEVDEDGVEVWAG EVIPGINEAIRTLCLAFDNVEIAHRKAHGITGNKRDQSARKTEYELFCAARRRELAHV LPPARDASKDDWHFYRVQKLLRLLPGDDELSKPGATVQRIHAFGGAEKCIRRHFYQLS RYRQELLSNGCLSIASIMLQCIYPMLLSC ANIA_01039 MGSTSSPTFPPLDPIVVDDDMVNPYRDTDMDFLDTARLQFSSEN AGQDFDDLFSRASSSRTVAESNPPCLSPSELSLKRSYQDHEPLRHPEVFTSDSPAESP EDSSRSSSSESPRNHLRNPSVASSTSAVHSENTVMPFGYTAEDWVNTDYDHVKDESLF GFDASLPNIEGPYSAETDLESSNKAMDAAFDFESAASSPSPLKTGSISQSRVHKGSRS RLGGAPGSAKRKSASPRIPQTTAPFISHISKDTHSPFQASRMSQVKSPLSPWDGKSPS SYLEESFGGFNMNGGSQPNPDINFSTNSLPFGFGFVPPPAQKALNPSPAHQPILTVHP TSLKSRVETQIPIKLTLYPLPYGVKKLRLPSHTISKPKFLAPPATERSADTVELHTSL FCTSALQDKEKLKKALAKARGEPIYRSSSSSPMGSDEGPDDEKPLEGGEVKICAGCIQ RERKRAFRKKQRKPEEDELFQKHEDKRVIVFNTHEIKDWAEPSKTTSSSEGSVTIPGA MQVELPMRIACYCRHQNEKIGFQVIFTLKDYKDNVIAQAITNSIMITDDHKTHAPSGP PAPGPPPALADGTELPGVGVFPSGPALDAGKSPASTKQSTGSPSATDLQGLQQRLNSQ YQPSPSPFMDSTTAGPSSSSQASRSLSRQASPNDFQGPMSKRRKHSNSSRLPSELTMT KLEPGQSSARASSNEPQFPAPRGFASPSERPFVTPAAMSNHLGNGPLTPTSGDSNPFL TSIQQQNLDNFIQQQLMSAPNSAQPSRPGTPSASGRNSFQDQNLNIAIGPSPSTQIWP GITNAGNRLPTVIHKLVPAEGSVTGGTEVTLLGSGFYPGMEVVFGDTLATTTTFWGDK CLNCLTPPALQPGQVVVLFKHEHPSFNQLQSQSQPLLPKQQQYFRYVDDRELQMYRLA LGILGHKLGNQADAFQTAQQIMGSDYKGAFNLQKDFQGSSGTQRQVPGLESQGKLGDM DSRMLTFLEFIDLDDNPRPPRYNMRCATGQTLLHFASSLGLTRFVAGLLARGADPDVQ DNVGNTAMHLAALNGHAHIVHRLRLAGSSPNARSIRGFTPADLASTLPAHQAALIPAR HYRSRSVGSLASRRRHSSSASLHSLWESSSASGSFDYAVDDSEDIEDDDEPVLTISRR SSVHHDVSVALQTAEQATSDGDARAFSPPAALVAWRNQLQAQINQFQQSVANAFPNLP ALPPMPALPDYHAMRRITNLVPHRPTSSRGAKEGWWDMLTGNSSPGATELPSYDELYP RKEGEDEEEATRAKKTSMVQAAAEAALDQHFEAQAGSSRTTSEQKNEDIKDIRIGRNV ISREQQKHLREQQARRMKGLASDRNLYFIWIPLLLLVIFAWARSYIPGISQGFSDAYD FLKNRSTQRVLDIGA ANIA_01038 MDSLPQAASNSVTVEYTDPSGLFSSVQPIIAHKLPLRNLHWKSP TRPVRSIESLRIGFTPAKTETDERKPSSDAPGGTVTHRRHQIPGLRQTPYLKIYILRC DDNDTYKNSARKALREWIKSHGSSSTSSTAATSQEKHDAFEWLILHVVQDGDGTEKVA TSKWGRTTTTVLEKVKADFNGTSKTAIDRVAQLRLPKPGNTQKSPELADQIEDFVEKV KNGILASFDLRVAQYEEDIKEKDSQRSLPGWNFCTFFILKEGLARGFENVGLFEDALV GYDELAVGLDAAIQEQLAGSSEQHGSAFLTYSKDWRERAKAALDAQANVQSPDDGDEV APISDIDPADFPLDPNRKPYREEILSSNISIFDFRTYVFSRQLTLLLRASRAPSIVSD DADTNQKSGTGKKKPEDLMLLAEVCERATEFISLAARTLRSDLEGGLAEVEHAAKSEV INNLVSSWAYAAASQILVQTFTPALTLPESALHAVDGPEQKQGVPKRTSSLVMPNNRQ SRPVKSEMLPSNALSSVHEQVGYEGPKLTPKTGSEQLASGRGELFLLARRFLEEIAGR CGWKETWTDLGLLFDESSESGNMAEIALDDEDSKPTKELQPVNTLKGIDLSQLKEALK SRKAFRAYYEELTDQMYRHHIAANRINSTHTALADMAVLRFRQSDYGAAASYCHQVAP FYGNKSWTILEGVMLEVYARCLKELGRNDEYVRMMARLLAKYAAHTQSRLSTRQKTLD ASSIFSEQELLSEYVEELFRAAGELQKEVSAPLTDFFGELDVKPGILHYKDRDGFQLQ LHLRFLLGKRIDVDSIKIRLVSANASAQSSECWIETSTKTTIKSSPTKILVDSSTTLQ GKYYVDRVETRTGNLLFSMSGGANANLPLGFREDVDEDEDTRSYILCYPPPEGLQARI EAPHLVNLEAMRTLELELNSGWNDIKTGVLRVRPATAGLRLRVTEAEVVEGDIDINAN NESGNIEFSHLRPHSVVRCRIPYTVEEHPATLSARAEVTYQTEQGRFSYSSAHNVVSA LPISVNVQDVFKQDVLFSRFTVSPATMIPLWLSKCSIPSSDVYEVQSNVGDNVAMRVF PKQPASLLYKIQPRKDIVASPGSRRALRLTVDFTCVDDECFDTVEKTFKESISKSEFA QYTSLLTPHLVEAFRSQLSPPEMEVIGLVREIETLPYAAVRWEGLLSALKEPLDGLRA WLKQWHDNHLILSLPPQPSIRRRHIIIPVDIPEIQVVLTAELRLTNLPSQAPYAAVGQ TITAELRLSHTRRWCSPDQRENGDGPLEFSYELHANPDLWMVGGRRRGNFTASEGETR TFAIMLLPQKAGHLLLPGLEIRSFVPSPLQSPSTSAATVAGTGAVAGPGTPGPGHGQN VQVQRRPIGSEVNYRNHGETVLVLPDLRGTTVSLSGGGQGSWLIDSERRVHQPTA ANIA_01037 MSSTALPKRVALHRNPTTDSSVPSSVSVSPLDSPRQSPSSTSLS SMASDAGKGDLGKMLDTYGNEFKIPDYTIKDIRDAIPSHCYNRSAIRSLSYVFRDLAV LASVFYVFHKYVTPETVPSYPARVALWTLYTVVQGLFGTGIWVLAHECGHQAFSTSKV LNDTVGWILHSALLVPYFSWKISHGKHHKATGNLARDMVFVPKTREVYASRIKKTIYD LNEVMEETPLATATHSILQQLFGWPLYLLTNVTGHDNHERQPEGRGKGKRNGYFTGVN HFNPNSPLFEAKDAKLIILSDIGLAITASILYLIGSKFGWMNLLVWYGIPYLWVNHWL VAITYLQHTDPTLPHYQPESWTFARGAAATIDREFGFIGRHILHGIIETHVLHHYVST IPFYHADEASEAIKKVMGSHYRSEAHTGPLGFLKALWTSARVCHWVEPTEGTKGENAG VLFFRNTNGIGVPPIKLTKPN ANIA_01036 MGSTSSEPTYDSEPIAIIGLSCKFAGSADSPEKLWEMLAEGRNA WSEIPESRFNHKAVYHPDSEKLGTTLDPQFRFQLESVYEALENESTAGLTIPSIAGTN TSVYAGVFTHDYHEGLIRDEDKLPRFLPIGTLSAMSSNRISHFFDLKGASVTVDTGCS TALVALHQAVLGLRTREADMSIVSGCNIMLSPDMFKVFSSLGMLSPDGKSYAFDSRAN GYGRGEGVATIIVKRLADALRDGDPVRGVIRESYLNQDGKTETITSPSQEAQEALIKE CYRRAGLSPSDTQYFEAHGTGTPTGDPIEARSIASVFGKNREQPLRIGSVKTNIGHTE AASGLAGLIKVVLAMEKGFIPPSVNFEKPNPKLKLDEWRLKVADTLEKWPAPAERPWR ASVNNFGYGGTNSHVIVEGVPKRLYTPANGNETGQIKHETESKVLLFSGRDEQACQRM VASTKEYLKKRREQDPPMTPEQVKTLMQNLAWTLTQHRTRFSWVSAHAVKYSTSLDTV IDALESPPPASRPVRIPDSPFRIGMVFTGQGAQWHAMGRELIAAYPVFKATLDEAEQY LRQLGAGWSLIEELMKDAATTRVNDTGLSIPICVAVQIALVRLLKAWGITASAVTSHS SGEIAAAYTVGALSLRQAMAAAYYRAAMAADKTLKSAEGPQGAMVAVGVDKAAAQAYL DRVEKSAGRAVVACINSPSSITIAGDEAAVVAVEKLATEEGVFARRLRVETGYHSHHM EPIASPYREALRAALAQEDAESGTKDQTDVPGFADATKPGSLDHTVFSSPVTGGRVTD AKVLSDPEHWVRSLLQPVRFVEAFTDMVLGSTDSSNIDLILEVGPHTALGGPIKEILA LPDFSSRNVSLPYMGCLVRKEDARDCMLTAALNLFSKGHSIDLLRLSFSSGIPELQVL TDLPSYPWNHSIRHWSESRRNAAYRKRSQEPHELLGVLEPGTNPDAASWRHIIKLSEA PWLRDHVVQGNILYPGAGFVCLAIEAIKMQSAMSGTNDVTGFRLRDVEIHQALVIADS ADGVEVQTTLRSVGGKVIGARGWKQFEIWSVSADSEWTEHARGLITVDTETKASTLVA STLDESGYTRRIDPQDMFASLRAKGLNHGPMFQNTLRILQDGRAKEPQCVVDIKIADV SSSKDSGRMSLLHPTTLDSIVLSSYAAVPSSDPSNDDSARVPRSIRSLWVSSMISSAP GHTFTCNVKMPHHDAQSYEANVTVVDEAGARAESMVEMQGLVCQSLGRSAPAEDREPW TKELCANVEWAPDLSLSLGLPGSSDAIDRRLNTLRDQNPDERSIEVQTVLRRVCVYFS HDALSSLTENDVANLAFHHVKFYKWMQDTVNLALARRWSADSDTWIHDSPAVREKYIS LAGSQTVDGELICQLGPLLLPVLRGERAPLEVMMEGRLLYKYYANAYRLEPAFEQLKS LLGAILHKNPRARVLEIGAGTGAATRHALKTLGTDEDGGPRCESWHFTDISSGFFEAA RAEFATWGGLLEFNKLDIEQDPEAQGFKLGSYDVVVACQVLHATKSMHRTMTNVRSLM KPGGTLLLMETTQDQIDLQFIFGLLPGWWLSEEPERHASPSLSIDMWDRVLKGAGFTG VEIDLRDVNVDAESDLYGISNIMSTAVGTAGSSPEKVDAAQVVIVTGNKTGFQDDWVR GLQAAIAQDSGSDALPEIISLESPSLGAEAFQSRLVVFVGELDRPVLASLDSTELEGI KTMALACKGLLWVTRGGAVECTDPDSALASGFVRVLRTEYLGRRFLTLDLDPAAHSPA SDISVIVHLLSSRLQPAVETAAPADSEFALRDGLLLVPRLYKDVVWNALLEPEVPDWA SPEKPRAYGLNFRDVMVAMGQLKERVMGLECAGVITRVGAEAAAQGFAVGDRVMALLL GPFSSRARVSWHGVASMPAGMGFADAASIPMIFTTAYVALVQAARLSQGQTVLIHAAA GGVGQAAVILAKEYLGAEVFATVGSQEKRDLLIKEYGIPDDHIFNSRDSSFAPAALAA TAGRGVDCLIEVLAPFGHFVEIGKRDLEQNSLLEMATFTRAVSFTSLDMMTLLRQRGD EAHRVLSELARLAGQGIVKPVHPVSVYPMRQVDKAFRLLQTGKHLGKLVLSTEPDEEV RVLPRPATPKLRADASYLLVGGVGGLGRSLASWMVEHGAKHLILLSRSAGKQDSSAFV NGLRDAGCRVAAISCDVADRADLDRAIAAASELGFPHVRGVIQGAMVLQDSIIEQMSI ADWNAAIKPKVAGTRNLHDRFSQRNSLDFFVMLSSLSAILGWASQASYAAGGTYQDAL ARWRCSKGLPAVSLDMGVIKDVGYVAESRSVSDRLRKVGQSLRLSEESILQTLATAVL HPFGRPQLLLGLNSGPGSHWDPSSDSQMGRDARFAPLRYRKPASTKSAQTSSSGDGEE PLSSKLKSADSPDAAANYVGGAIATKLADIFMVPVADIDLTKPPSAYGVDSLVAVELR NMLVLQAACDVSIFSILQSVSLAALAGMVVEKSAHFEGSATGTVVVA ANIA_11287 MPQHEMTKSDSERIQSSQAKSGGDMSLGGFASRALSAGTAGSIP SPRPLLPATRTRVPDLQADLIRPHLAVMRGREEDKIDPEAEDSW ANIA_01035 MRFLLQSITLVAAARAASIDLESLFGPYVSPETEIAEVGDADFD EVVSPRWSEWRPPTWTGAIKPQTEEDLQEIVRIAVANNVSFMATSGGHGTSLIYGTVK GLDINLANFNNVDIDLESNTVTVGAGAKLGDITEPLYKAGKAIQTARGNSPCVGVIGA TIGGGIGYETGLFGLGVDALVSVRIITATGELITANETCNSDLLWAIRGAGANFGIIT AATFKMFDQPNNGDAVIGTFVYNSSKSLGVFEYLSVLDNVLPPELGVQLSIGYDRTIN ETLLTVDIKHFAPWATFVDHWEHAEALGPISRNVSNVTLVELYAGLDGPCQTGAYVSG GTVGLGRTDAATMQEVFDDMTAFYEQYPGYLGQSLFQRYANNNTLKTPAHTAVYPWRD TKMFWLHENIFLNPELEAPTNELLVSLREKLHATSGFPADQPHIYVNYAFGDEGPEAW WSKENLPKLSYLKRKWDPKGVFGKGTPIPRF ANIA_01034 MTRASASGSGHEASTVFLFGPHVGTFTKASMDKLVRPLSQSPQR DWILRTIADLPTYWDALAAKMPDIARDIDGPTSLSELDRWLRHSLDTAGLSVSDDESL PSILVGPLVVLIQLTQYWRHLEMIRDGSAPAVDLQAELVQQTQSGSRPTVILGFCAGL LAALSVASARNQAGFEEYGAVAVRLAMLIGALIDAQEVWDKASGKGSSASYAVAWRGQ KQEDEMNRIIGDLSNDAYVAVRYDQARATVTASETIAPLLMKRLRAAGVTVAEVGIKG QIHSPNADRKQHTNALVELCASLPGLQYAEVSKLALQTYDNQGSGIPVSGSGNMTEMV VRSILVQQCRWFDTFSAVADALPDPYVVTFGLERCVPPTLMRTLGGRQVFYEDLPKDP EKPSFWLTPQSSPPPQPQLQPVLQLQQQQTTRVEPVMPVSPQSEPIAIVGMSVKTAGA DDLDEFVAMLKTGQSQHIPITRDRLMHDMLFRENADADPKRKFYGCFFRDGDAFDHKF FKRSPRESAAMDPQSRIVLQAAYQAVEQSGYFVEDHNGYTPDGRDKMHVGVYLGSCGV DYEHNISCYDPNAFTATGALKSFITGRVSHHFGWTGPCMTFDTACSSSAVAIHTACRN LLSGECTAALAGGSNTVTNMNWFQNLAAGSFVSPTGQCKPFDDDADGYCRAEGAAFVY LKRLSDALRDGNQVIATIAASAVYQNENCTPLFVPNSPSLSHLFKDVMRQAKVTANDV SLVEAHGTGTPVGDPAEYESILAALGGPSRKKKLPIGSVKGHIGHTEGASGAIALVKI IMMMREGFIPPQASFKTMNKKIPVKADDNIEVVTRLRAWEEERKTALLNNYGACGSNA SMIVTQPDLRGPHSRSHAVAGARYPFWIPGLDTRAITAYCAKLGPWLRSRAEEPTLAD ISFNLSRQSNRGLPQGFIFNARSLAELHEKIEQAVAAAPSSKDAAASVGIAPVKAERP VILCFGGQISRFVGLDRGLFDAVALFRKHLDAVDTVVKAQGLVSIYAAPDIFSREPIE DTVKLQTMLFAMQYACAQTWIDCGLNGKVQALVGHSFGEITALCVAGTLSLDETVRLV AARAKLVRDSWGADRGAMMALEGDEGLVHQLLSEANGASGSDGSASIACYNGPRSFTI AGSTSAVDQVQQTISRPEFGSIKGKRLNVTNAFHSSLVDKISDGLDSIGKTLTFNSPL IPVERATEVASARATDASFVSQHMRQPVFFNHAVQRLAKRHPQAIFLEAGSSSTITVM AGRAIAQGQASSESHYFQAVSITNETALDSLADTTTALWKQGLRVTFWAHDAVQTAEY AHLLLPPYQFDTSSRHWLPMKSPVEKVKEAALALIAANGGSLAGAGLQGQQAGTPQDP RTLPVWEFVGYQDDETRQARFRVNTSADKYNRYVLSHVIAQTAPICPGTLECDIVIEA LFSLEPGWRQDGVQPVVREMINHSPICKDPSRVVYLDLTATNKRRTNWTVRIFSLDDD ATKKTPEIHAEATVEMRSSSDQAHVREFANFERLVSHKQCTDLLRLSLDQDTDEGVEV LQGRNVYRAFSSIVDYGEVYRGVKYVVGKGTECAGRVQLPRSSRGDTWLDVPLSDSFS QVGGVWVNLMTDLPSSDMFIATGCELSMRSPRAPPREDADVWHVYARHSRQGDKAIMT DLFVFDAVSGQLVEIMLGVQYMRVAKASMSMMLARMTKDDSVLRTKALVPGPTPAAAF QAALKTAPEVRASSEPGAKVKASKTSKKEKKEKKPVTKAKSKSSKPSGWRDITEEVRN LVATVSGIDASELELDAEMADFGIDSLMGMELGKEVEAAFKCTLDQNEQMEATSLRKF VQCVSNALFGPNAGPAEAEDDEDEEKSDNSSSESASESDDAGSESSDTGILTPTGEEE QPLPLKAVAIHKSAGLAAIAPPVESRLALSSSDILASFGQVKMQTDTLMKEYGVDKTE GVMLSGSNRLCTALVVEAMDELGCPLRTASPGQPLARVAFLPQHGRLMQWVYEFLERD ARLINIDPASGQITRTHITAPRKTSQVILQEVLASDPGFAVPNRLAYYAGQQLAGVLS GSTDGIRVLFGSPEGRELTAAMYCEHTFNCMSYAQMREVTNLLAERIGRTGETLKVLE MGAGTGGTTLIMAPFLATLAESGALPIEYTFTDISPSMVANARRRFSKQYPFMRFAVH DIEKPPADELRNQHLVLASNAIHATHNLGVSLSNIHQALRPDGFLMMLEMTEVVPFVD LVFGLLEGWWLFDDGRHHAVVPAEHWESELHRAGFGHVDWTDGNLPENTFQKVIIALA SGAQGARLPKPGPVQTLIPELNRENVEARTATAESLVAKYTAGWETPKLRALASRAEK ESGKTQAPHAAPGRRAHEAVVIVTGATGSLGSHIVQRLAETPSVATVVCLNRRSSSTT PEKRQQAALTARGITLSPGARAKLRVLETDTSKPQLGLPPLEYGWLLENATDIIHNAW PMSGTRPVSAFEPQLQAMRNLLDLARDIAERPFNGSSRVGFQFISSIGVVGFCGQSRV SEDRVPLSAALPSGYGEAKWICERMVDETLHRHPGLFRAMVVRPGQISGSSTSGFWNP VEHFAFLVKSSQSLRAWPDLQGQMQWIPVDYCAAGVVDLLHLTSRGDEAYPVYHMDNP VGQNWQAMNHVLASALDIPASNIIPFKTWISRVRRSPLPMETENPAARLVDFLDDHFE RMSCGGLVLDTSKAKEHSTTMAGVGPVGTELARLQYQARSSLLISLEKLQCVYHSVAN YSVLVTMGLRRRSSIATPYTPQI ANIA_01033 MADHEQEQEPLSIAIIGGGIIGLMTALGLLHRNIGKVTIYERAS AWPDIGAAFAFTGIARECMQRLDPAILSALSKVAQRNPHDKVRYWDGFHPKSKEEAQD PEKSVLFEIEEKNMAYWACLRGVFHAEMARLLPERVVRFGKRLVAYEDGGDQKVVLRF EDGEVEEADIVIACDGVHSTARRVLLGAEHPAANARYSRKAVYRALVPMPAAIDALGT EKAHVQIAHCGPDAHIVSFPVNNAQIYNVFLFTHDSNEWTHGHTMTVPSSKEEILSAV ENWGPHIKELASLFPEQLSKYAIFDQADHPLPYYAAGRVALAGDAAHASSPFHGAGAC MGVEDALVLAELLEKVQNGSAFKEKKSNIELALKTYSDVRIERSQWLVKSSREMGDLY EWRYEDIGGDGVKCKAEWERRSRVIWDFDVQGMVDQAREAYERAVVKV ANIA_01032 MPALDIASAPAAVYQQQLHLPRILCLHGGGTNARIFTAQCRALR RQLTDSYRLVFADAPFLSSAGPDVTSVYGEWGPFRSWVPVPAGVDISAWAAAGAASRI DIDVEAIDECIAAAIAQDDRAGATGDWVGLLGFSQGARVAASLLYRQQKQQRMGLTSW SRGRDRKRGATSSTNYRFAVLFAGRGPLLDLGFGSGSLAGSSAASSSASASVSGSESA GEEEEDGHLLSIPTIHVHGLRDPGLEMHRDLVRSCRPSSVRIVEWEGAHRMPITTKDV GAVVAELRHLAISRKYESLRC ANIA_01031 MAETDSSHTRGPVDSIQKNDASSDDAEAETKIQYPSGWRVTMIL TSVTLAYFLFFLDLAVLSTATPAITSQFDSLVDVGWYGGAYQLGSAAFQPLTGKIYSQ FSIKQWTFLVFFIVFELGSVLCAAARNSPMFIVGRVIAGVGSAGMSNGAVTTISAVLP TQKQALFMGLNMGMGQLGLATGPIIGGAFTTNVSWREDAPADDDAGFYINLPLGAVVG GFLLFNTIPEPKPKAPPLQILGTAIRSLDLPGFMLICPAVVMFLLGLQFGGNEHPWDS SVVIGLIVGGGATFGVFLVHQWWRGDEAMVPFALLKHKVIWSAAMTMFFSLSSVLVAD FYIAIYFQAIRDDSPLMSGGAISAVGYGLLSTLSPTTSVAKWVGYQILYGVASGCTTA APYVAIQNLVPAPQIPQAMAIIIFWQNIGAAISLIAANAIFSNSLRDQLAQRASQITV SPGAIVAAGVRSIRDLVSGSALAAVLEAYAEAIDRVMYLGIAVSVMVIVFSPGLGWKD IRKTKDLQALTSDGAQGEATEKETVPVALG ANIA_01030 MATQDTTAATAAAPATNGNGTPKPKNRAAWIPAKKVIPFKVGDA PYTRPGPGQVVVKNGAVAINPFDWVLQFIGPALAGYIHYPFIFGTDVAGEVVEVGPGV TRFAVGDRVCGSATAIAKEVNDPAEGGFQLYTVLRVHMLTPVPAGISDAQASVLGLGL GTAAFGLFHKDYLALDMPQLAEKGRGEVQRGRSGSPRAVIITGGASSVGSNAIQLAVA AGYEVLSTSSPKNFDYVKGLGASHVFDYRSKFLAKDLLSALKGRELVGAYTIGAGAVE ACTLVMRKHDPALTRKFIAVAGEIIPPEKLTTFVGKGTYLIGMLGGMVKSSIRQRRTG VIAKFILVDGLVDPDSVVSRVYMDFLPQALERGQFVPAPPPLVVGKGLEKIQEALDIQ RKGVSGKKLVVTL ANIA_01029 MACPTRRGRQQPGFACEECRRRKARCDRVRPKCGFCTENELQCV FVDKRQQRGPIKGQITSMQSQLATLRWQLDRYLRHRPPPSITMAGELDEPPADIQTML DDFDVQVAALKQDATATTTMSTSTALMPAPAISSKDAAPAGAGLSWPDPTWLDRQWQD VSSTSLVPPSDLTVSSATTLTDPLSFDLLNETPPPPSTTTTTSTTRRDSCTKVMLTDL IRAELDQLYFDRVHAFCPIIHRRRYFARVARDSHTPAQACLQFAMRTLAAAMSAHCHL SEHLYAETKALLETHSQTPATPRDKVPLEHIQAWLLLSHYELLRIGVHQAMLTAGRAF RLVQMARLSELDAGSDRQLSPPSSSPPSSLTLSPSGENAENFVDAEEGRRTFWLAYCF DRLLCLQNEWPLTLQEEMILTRLPSLEHNYQNNLPARTPFLTEAMAQTGQSTMSPFAE CIIMATLHGRCMTHRRFYANSNSTASGSEFESGAATRDFCIRQNWLSNAVDRRVQMLQ QVSSPAVDSDPMLLFTQTLGYRATMHLSDTVQQVSWRALASSPVDQQLLSPGATMSLS AAAYHQMASHAAGEIVRLAKAVPSLSPFKAHPFLPDTLACAATFLSTGSPDPTGGEGV QHLLRVLSELRDTHSLARDYLQGLSVQTQDEDHRQDTRWYCT ANIA_01028 MDRTPTHQSSTYGQACTQCYKAKCRCVRTPSGDSCERCLRLKKR CEPSESVRRRNANSQTASVSDRRIARLEDKMESLLSAMQSFIGSNGAAVNMHMNGDSV HSAYRYQHSNGALVTPPSTTLGLGDGPAFAPESVAAVSPNSNPAQNQVFLSTPAPSPN QADRRLDFFRSRMLPSFPFINLTPDMTSWYLRQNRPLLYQAICTVTTFSTQDRLVQVE ELKRLLFSSAFLKVESTIDLLLATLTYLAWSTDPFLGRADLMSRLMMLAISLVYDLRL FKPSSKDVQLIMTITQGGTAENRPSHDETPYGLLERQRAVLACFVLSSNISSHLGRQD ALRWTPQMEEALRLLAVSDACPADRLFVCQVRLQLLKQRADDVRQQDETRTGAAAASA PGLLYLRTLRRELHDLRASFPMEMDQIDILATHAQYVELYINQLAFTISKDSPLAAPG NSGTRNTLAFRRLECLWQSVENIKSWLDNFYDIPSSKLAGQPFHFWSQMILTVTLLKY LSTLKDPDWDCEAVRNTVHLISTLDRMLQRLDMASQEPELQECGDHLLMFLSKLLRRS RVWGEARWNLEQGPCTLQGQSQMDDVQASCLSTAPAPVNADSDATGQTQTYNYYVPDL DQMVFMQSMDLGDDTWFENVSSSTSLGSSGTGSRNCASGPSSMANVVDPCDLQRSGSR LLALPPTISATTKDPSVDEP ANIA_01027 MPTPVAKGIIIGVAALVAAGIAVYESPQFRRWVNNSRRKLAMTL HNLGDDIHPGDGDSRYRNDISMTEEIGPAADERRRIAREEISRRRSILEERRKRRSNS SLGTFDALVDENGRLLDLEDQGNPAANSTALDLGVSQPTHRGHQSDDPAAQSTVLFEA EAAPEQSKLQLSIPTPRSRASSVAGADFTPVSDVSEVMASAVSQQSGDAARPKSSASS YTEGAFSEVIYAHPDHMTSGHAEDSRSPFSDLEGLRFPRSRPVTPPTPSTTDNFSHVA VDASSDGVLSEFEGSIGRVVTPASWSEVGSDISSDEYRHRGF ANIA_01026 MPEDESGNISQSHLTENESYDNQSTAQLDSDRKPDDLELTSLSL SSHSTPRAALRENARNDSTVTSSIAHPSPYDQGLAEDDEHYQQDDSYLPTTPGKQTSR GTYNRSYADNLLSSSPFVPPVSNEVLTSGNHKGPILHNFGDKTYRVQATPMGKAYDPS RSRFAVTPNAASVKKYAFEDSPISSPEPEAPQLNSELFSSPLKPQTPGTGGRGRPDAN RSRAPPKPGTSVLTPAKGGFGKRSEWDSDDEQFTYDDDDDELGLSPPKTMQFHIPQSR LMKTPAREASRRIVEQLLFTAGANDTTEDLQDDQSPSVIRRVERLEDDTF ANIA_01025 MESPTSYPPESPMEQEDIPFPCKGCGEILEEGKAFELAGNRWHI DCFRCSSCSTLLDSDAHLLLLGDGSLICSNCTYSCSSCGNKIEDLAILTGEQAFCAQC FRCRNCKRKIENLRYARTSQGIFCMDCHESLMQRRRKKNRAATSKKHTGPGVKLDKSL PSLPPEEVEPHPQAADDLSVDGYVDSSMEAGSRTAAPALDAGTSSVDNQDNLILPSST YRSNRLSVVPTEPDADGGEFLIPLAFDPSEEQRPPRDHSTRSPERAPMENSSPHIAYQ EKGRERPEPESHRWRQDDSLNRAASSNSARSSRSDLPVGHKGSSSYSTYDKSSTAHGR DGSTVDPRTAATESTTTLPTRPSYELRRLHENGGSSDSSPAVAHPKRGDSLESRHHKL PRKETVQSPGATPAPQSDQWSEKSVDTLNKTTAQTGESPRLPRSDSYEQPKSRARPNS ICTPQQPDAFRSADYGATPSPLRYSGGGDFSMDEDMARIMGSEEQHSQNSESFLRRMS NSVRHGRSYSDKGTRLSKDAKWPKTPVNGSANASASSPEQMGTDEVTWLRSELRKERQ RVLQRDQKIAEMESVLKATADVKQVNTELNEKRSTMVVLDAQKEIVMRELTVLTEHLE SEKRGGSSGPLDLSKLTNKVLRDFVESIQNLKDSFTPQIEELIQKRNEAAEELAKINS QKDKSFQEFEQLSSKNAQLAELNNQLVHQIQELYKANNSDGRGANGLGIYSHGKEKSL SSIDALKATGELAQSVSTPNMSEEAEPATVVPGPQVVSIRKGQPRKFNWKKGGQNVAK GVTKGLKGAFMSSENNTSTADGVPGLPRSQTQDPSRQGFGFFGNQRNKQTGTRMPQTD SVPALAETSPAGLFGTDLEQRMEHEKSIIPGIITRCIQEVELRGMDMEGIYRKSGASS AIQTIREGFERSPQDYDISDPDLDIHAVTSALKQYFRKLPMPLITYDVYEKIIETGEI TSHSGRVEALQKSLGELPRVHQDVLEFLVFHLKRVVEREKENLMTSQNIAVVFAPTIM RPQSLAREMTDVQKKNEVVKFLVDNCQEVFMGMQNNN ANIA_01024 MRQDSAQPSVADVNEDVKMETETGNDGQSEAVENEEDMDVKTKA LMHLLNTSEVFVAIMAEKMKKQQEEARLEAAKKQEQQQKEQQADTSEESRKASAQPTE RRGTRASTRQAAAAEATDNNEKKEEPAKSKRGRGRKAPAKGNTISNYFKKADLNVDEA KNTTVQEALEHAADEFEAKPTVLGEQELVATQQPAPVTGGKMRKYQLEGLEWLKSLWM NGLCGILADEMGLGKTVQAISLIAFFKEHNVSGPFLISAPLSTVSNWVDEFARWTPGI KTVLYHGTRDERAQLRKKFMNLRDQKSPDFPVVCTSYEICMNDRKFLAQYQWRYIIVD EGHRLKNMNCRLIKELLSYNSANRLLITGTPLQNNITELWSLLHFLLPEIFNDLNSFQ NWFDFSSVLDNNGQTDMIERRKRTLVSTMHSILKPFLLRRVKTDVESALPKKREYILY APLTLEQKDLYREILNGTGRQYLEEKATERLMAKNGMISRPRSLKRSASSSVVSTPNK SVRSSRDSTPGSRASSTRRRKAPQTYKDISDREFNSKLRKLEQGLEEDLDIEESIDES EQEEIERANTIKLAKREIAQKKMQNPVMQARLACNSPHNFYWPWAEDPSSIDETLVTA SGKMLLLDRLIPCLLNKGHKILIFSQFKTQLDILQDWATHLRSWNCCRIDGAISQADR QAQIKAFNTDKDYKIFLLSTRAGGQGINLVAADTVILFDSDWNPQQDLQAQDRAHRIG QTKPVIVYRLATKGTVEQTLLEKADSKRRLERLVIQKGKFKSLLSESTMSRDDVDELK RALGEDEFERFEASADPASILSDEDLRVLTDRSEEAYALAEKGLDVDGKAFKAVETKR DGDNLMDQLKRK ANIA_01023 MSNKKIEQWEVERYWEIFSSLAGGQPHLNNSQAASVLRNSRLRD DQLEKVWDLADLDGDGELDFEEFCVAMRLVFDLVNGELPQVPKSLPDWLVPESKAHLV QATRALSGGQEQFERIEDEDSTPGLKDGFDWYMSPEDKAKYEEIYSANKNQRGEIAFG SLEPLYESLDVPDTDIRSAWNLVNPSAAPEINKDATLAFLHILNYRHEGYRIPRTIPA SLRASFENNKIDYQLDSARPAQKWGTNGDTETSTGRKAKFGDTYLSRLGVAGKTSYTP KGTDFSDTIQDEEWEKVRLRRELAELDAKLQAANKAVEGRKAGNRNDGRPNWVLIKKE ALQLLEYKERELRELREGSGRAKAGGDVERLREDVRTVGEQVDGLKNHLIQRKGVLED LRREIEDERASR ANIA_01022 MAMRLFVVPISTRRALIYARLLRKDVSKERSILDRVTDKAAETW AKWEEADKGWKTWVVSWGNRVQQRIPYEEWGLKSIPSLNFQRRIDESHGSNKVEVLFP GNAIKEEKLIPMLHKLSKERQELHKRRMWWSLIAAPFTAPIGLIPLIPNIPFFYLVYR GWSHWRALNGSRHLQFLVEKDLLKPISYSGLVELYAKRVSRTLEESDREDPVEEMVED VEKSDDKILLRMKDAKKLATILDAPGLALEAERAIAQVSEQLKQPHSTQPGKDSEKTT EKKQQ ANIA_01021 MAQDVGEVRVQPPNASLHPDDALTEKSSVAEWSSSPLKHSPGGH ISDNPPMEASRAESTDQTAGLPQITGDEVAENAGPSQAWGRKLRTLPAWIRSLEYPVD DIEATATSRLLPSQPNEAVVAQHNHSPYSTSKPGLGADRGHSMDGEPARESRWKSFSK TIAYPREPGLEEKLVTPEWLHENHGNYALPWRGQLEPDEDTEDPLKKKRRREMWFKRF HNTLLQSPIVPLVIRLTVWSFSLTALALGGSIQRLSSDFPRPQGPSALMAIIVDAVAL VYLVYITWDEYTAKPLGLRSPAAKARLILLDIFFIVFDSANLSLAFESLSSAEGACTY AEINQTLVPKNDNICRRQVALASVLLIALIAWLVTFSISVLR ANIA_01020 MIRPSSEIQVTSRQIPQWERIPNTSIQHKPLIIYHQAFSASAEE LSKHLDKIGEVSARWTYSMYPQTHFHSTSHEVLGVVSGSAKLCFGGEGNPDRFEPEVS EGDLIIVPAGVGHRLLSETDEAQRPFMMVGAYPRGKSWDMCYGRPGEEHNLRNIESLE WFQADPLYGKDGPALKG ANIA_01019 MAPLMPQAPHKDDLEETWVFLENGINNVMVKLEDGVDMKNYMAL YTAVHNFCTSHKAVSGQAIQAQRGAHLLGEELYRKLGEYLSRHLEWVHGESMGHTDEA LLSFYIREWQRYTTAAKYINHLFRYLNRHWVKREIDEGKKNVYDVYTQHLVKWKEDFF LKVHEKVMGAVLKLVEKQRNGETIEQSRIKSIVDSFVSLGLDETDPTKSTLEIYRYYF QRPFLEATRIYYEDESRQFVADNSVVEYMKKAEIRLEEEKARVGLYLNNDISKDLTST CLDVLVTAHSELLRDEFQPLLDNERQDDLARMYRLLSRIKDGLDPLRTKFEAHVRRAG LSAVEKVAAEGDSFEPKMYVDALLSVHTRYHSLVKEAFNGESEFVRSLDNACREFVNR NKICKSGSTKTPELLAKYTDSLLKRGSKAAEESELEEMLVQIMTVFKYIEDKDVFQKF YSKMLAKRLVHVSSVSDDAETSMISKLKEACGFEYTNKLQRMFQDIQISKDLNSSYKD WLEKSFLDDDDRKKLVDSHFQILGTGFWPLTAPSTSFLAPPEIVKTSERFQKFYCDKH NGRKLTWLWQLCKGELKANYIKNTKVPYTFLVSTYQMGILLLFNESDTLTYSDIQKAT TLTPEILDPNLSIFLKAKVLNISPEGSKPGPDSTFSLNYNFKNKKIKVNLNIQIRSEQ KVETDDTHKTIEEDRKLLLQSAIVRIMKSRKKMKHVQLVQEVIHQVKSRFPPQVPDIK KNIEALMEKDYIERLDGDEIAYIA ANIA_01018 MSHTRVYKDPIVLVIDFHHARGPEIELCIGPEGTDPAAENDWSL LPFMALSDGAHMSTEEFSYFTLRRRGTDTEPPTSLFGIACSRQIDSNALIYKPPDVTR STVQKAVVVVTENPKCLGQLREKLSVVTSAWFAQRDFSDLDILKKFREGLVISLQKDE GFKDQTLGLSLREMIHDYKYQTLVLFKALLLQPKMLFFGSRCERLCMIQFSLVSLIPG LMNHLQDCADPSFDTYAQTVKKPTSLKTSDRSSLLAYMGLPLQIFGKGSMFGPYTPLQ QLDLLADDGTKSYVVGSTNSLLLQQKDRYSDILINLDEDTINITSSSLRNALTLSVAD RRWIDAITQIVNDTWDEAHPNQPKTHGYMGSEEFIRLQFEEYLLALLSCMKYHEELNS YLTGEPSRRNRAQLDSYNIEGDPALEFNQEFLAHWRTTSNYALFQRLTSDALLFSVAE PRHPSAGGLTIDDVQRRISQQVADLHLDEKVREGREALNRHLSTGQKKVSAAFNSFWA DIEAMREAQRKRNEEKAATQSPRPSLDRSSTLPTSHSDSTSNSSTSASSWFPNRKVAV PAVDMTQAQASVSAVGQKAGAYFSSWGTWASEKRKEWQEKKSASSSPTASAAVTSPST PTLASTTESIDTTNTNTDKDRRQSFQSFRSEASSTISRSGSRRKRLSNLFFKRESLDF NVGAISRDGDDHETVYPKSPLSREESILGDDIPETSAEQYKGERKLDIHPKTEADVAE RKDTTVNNVPSDGTRTPPPQQPAAALDSIELEPRANLPGSESEKVVVQSSKSTNENEP PLK ANIA_01017 MAEFVRAQIFGTTFEITSRYTDLQPVGMGAFGLVCSARDQLTAQ PVAVKKIMKPFSTPVLSKRTYRELKLLKHLRHENIISLSDIFISPLEDIYFVTELLGT DLHRLISSRPLEKQFIQYFLYQIMRGLKYVHSAGVVHRDLKPSNILINENCDLKICDF GLARIQDPQMTGYVSTRYYRAPEIMLTWQKYDAKVDVWSAACIFAEMLLGAPLFPGKD HVNQFSIITELLGTPPDDVIQTICSENTLRFVKSLPKREPQDLAKLPKFLALVHPDKK PEEDEDYKNTINLLKAMLVYNPKDRISAEAALAAPYLAPYHDETDEPVAEEKFDWSFN DADLPVDTWKIMMYSEILDFHNIDQGGDINPALVEGAGLNQQGFQ ANIA_01016 MGSCFSSESAGDVEQKKRSQAIDRKLEEDSRRLRRECKILLLGS GESGKSTIVKQMKIIHQNGYTVEELALYRLTVYKNLLECAKALIGAYHQFNLEPTSQK VRDNIEFLANYNIDPDPNIPLDPAVGDAITYIWNDPCTSTALERQNEFYLMDSAPYFF EEAKRITSPDYIPNVNDVLRARTKTTGIYETRFTMGQLSIHMFDVGGQRSERKKWIHC FENVTSIIFCVALSEYDQVLLEEGNQNRMMESLVLFDSVVNSRWFMRTSIILFLNKVD LFRQKLPRSPLSNYFPDYSGGNDVNRAAKYLLWRFNQVNRAHLNLYPHLTQATDTTNI RLVFAAVKETILQNALKDSGIL ANIA_01015 MSEGRHERRPSVGAPVSDLKGPIGPGFSRPKHKRNYTGFGKAEI RSVEASIPEALREAWRKHSVSGFTNKEEFEHELVRHVETTLARSLYNCDELAAYSGTA LAFRDRLIIEWNKTQQRQTLNDQKRVYYLSLEFLMGRTLDNAMLNVGMKDVAREGLSD LGFRIEDVVSQEHDAALGNGGLGRLAACLLDSLATLNYPAWGYGLRYRYGIFKQEIVD GYQVEIPDYWLDFNPWEFPRHEITVDIQFYGWVRTYEDENGKTVHSWQDGETVQAVAY DVPIPGYGTRTTNNLRLWSSKAASGEFDFQKFNAGDYESAVADQQRAETISAVLYPND NLDRGKELRLKQQYFWCAASLHDIVRRFKKTGRPWSEFSDQVAIQLNDTHPTLAIVEL HRILIDIEGLDWDVSWEIVTNTFGYTNHTVLPEALEKWSVPLLQNLLPRHMQLIFEIN LYFLQFVEKKFPDDREILSRVSIIEESHPKMVRMAHVAVIGSHKVNGVAELHSDLIQS TIFRDFVAIYGPDKFTNVTNGVTPRRWLHQANPRLSDLIASKLGGYDFLTDLTLLDQL EDYADDKDFQREWVEIKTSNKLRLAKHIKETTGYSVNPNSLFDVQVKRIHEYKRQQLN IFGVINRYLKIKSMSGEEKKKVQPRVSIFGGKAAPGYWMAKTIIHLINEVSVVVNNDP DVGDLLKVIFIEDYNVSKAEIICPASDISEHISTAGTEASGTSNMKFVLNGGLIIGTC DGANIEITREIGEQNIFLFGNLAEDVEEIRHKHMYSGFTLDPQLARVFDAIRSGTFGE ASHYSALLASIAEHGDYYLVSDDFNSYIKTQEMVDEAFKDREEWVSKSITSVARMGFF STDRVINEYAESIWNIEPLPVRD ANIA_01014 MAAQSKLPRERSVRQILSSLASLYLRHRTNISRAVYLALFAALA KRIHNAISEQKAASQRQVDLRRKPGTSSLGDGGDKPRKKVGVNREFFRNLARLLKIVI PGWRSKELRLLISHSVFLVLRTLLSLYVAELDGRLVSNLVRGKGKDFLLGLVWWMIVA GACDVHKLYVVLSSVQACTQLPQAPYRSHSRQIPIKHDLLCDIGLDDRVKNPDQLITV DVSRFSDSLAELYSNLAKPILDMMIYNYSLSKSVGGEGLFIMSLLVQLSANVMRALTP PFGKYVADEARLEGEFRFLHSRLIDYCEEIALYHGHEAEKDTLDKGYFTLIKHVNRIL RRRLYHGFMEDFVIKYFWGALGLILCSVPVFFRISDQVTQTMGDRTESFVTNRRMLLS SSDAFGRLMFSYKEISELAGFTARVSSLLDVMDDLLAGRFEKKLVSSASTEENAAVLS GRGIVEESDAIEFTDVPIVSPNGDVLVRKLTFTVHPGDHLLIVGPNGCGKSSLFRILG GLWPVYGGRVKKPRFEDIFYIPQRPYLSRGTLRQQVIYPDGVREMRAKGITDDDLYDV LSVVEIASVVDRPDGWDAEEEWRDVLSIGLQQRIAMARLFYHRPKFAILDECTSSVTL EIERVMYETAKKLGTTLMTVSHRRSLWKYHKMILQFDGQGGYIFTGLDWEKRMKLEDE KEELDLQLRAIPELQKRMAELTST ANIA_10140 MEGVETVDVSWLHHSQKGTYLQALAWAFGPPNLWQTTIFLAADP SRRSSKPDKVTSTDKTTSSSQDTLVRSNSVVKSDAHNVSSRSDKESRDGESATSNGTP PASDSSPKSAPKPIGRRGSWISSISSKFSSGSTPPSQSNMKPSAAPKASPPASKLDMH NPFGAAYSLKDKEEEKKDENIPFTSSSPRGPSFLQTAFRKLSSSGSSGGKPAAGHGIV CERRTLNIDKDRDRCKVPNLNQAKLRRVAFCVDVEIAGIAPRDSDEESPSTTRRHVID VNGHKLKRTNSKSKSKDEAESPKRSQGTQSGKGTSTQESPARNISPTTPTNGDAPNGE IKEPTRKQEKKKRSEEERKERRERKRRLAEANGSIPMQLTADDHESDSKSTTSSGARP GAQSHPTTDPIRIYRRCCQLRETPVLKRIVDEISSPSSTLAESPGTVAVLNLSNFPMT SEDIATFSDWLAVVPVRKLILEKCSLTDDAVRAILSGLLSTKTVEQMRRRRGKNRNGN AKSTEKIERFSVVEKLSLKDNPKIGIEGWRHISLFIHLSKSLKAIDLSGIPLPRAAPI PLNDPTNVNPQRTNSTPANPDVATVFANSLSNRFGGDHLEELLLSECKPTMEEVKTIC EAATTVGLRRLGLANNGVTREGLKHVVRYLESGKCEGLDLGGNPIGEDLDLITDAIES HLPLYALSLADCSLTPSTIYPLLQAFTQLPNIRFIDFSHNPALFSTQPDALSTLRRFL PKMPNLRRIHLADVNLSSDHTIALAEILPECPSLSHLSILENPAIVALASATDPEAQE EACAVYASLLAAVRVSRTIIAIDIEDPSAENNEVVKALASQIVAYCLHNLEGGALEAE LSENIDSSQPRNLVPIPEILQHIVGHGTAEEGAEDDDELAPDEDYVIGGTGVVKALGV CLGNLDQHLPGDVSGPPSGTTTPRHRKSRSFAAKRPRDMSKNLLDSARGIRSRIESAL VREDRAGNDANYRRLQFLDSTLQKMIQRFEDEYPETRIVPQPLQPHQDTSSQNSGDDS YLATSLGNLQSAGAVADNENAVDDDEGDQYAVRLSRTSSITSLYSRAMTSEEGHVHRL GQNIRRDFLPLDQAEEAESSEIMDESQLAALREKLDRLHEEQTRSHFESVGADKAFQE LGSTVEELWAIQRQDSEAYERFRQSQIAAQINSGRRTPSVSDTSRARGSNIGREQPSA P ANIA_01013 MAPYSKTVKSSAYYSRYQTKYRRRREGKTDYYARKRLITQAKNK YNAPKYRLVVRFTNRDIVTQIVYSEITGDKVFASAYSHELKRYGITNGLTNWAAAYAT GLLLARRTLKKLGLDEDFTGVEEPDGEFSLTEAAETEEGTRRPFKAFLDVGLARTSTG ARVFGAMKGASDGGIFIPHSESRFPGYDIEAEELDAETLRSYIFGGHVAEYMEGLADD DEERFRGQFHKYTENEIDAGDIEELYAEAHKAIRADPFKKDESEGPKKTKEEWKAESK KYRKTKLSHEEKKARVEAKIRELAA ANIA_01012 MTESQRPLGLLFDIGGVCVVSPFQAILDYEIAHNIPPGWVNFSI SRTSPHGSWHKLERGDIKMDADFFAGFNKDLRDPKLWKLFNEGLQKKDPSKVLEANPP LPEIDAEWLFWEMMRVSRTPDKSMWPALKKLKESGKFIMGALSNTVIFPDDHEFNKDT IGRETMFDFFISSAHTGLRKPDPKIYQVALEEMNAAAQRKGIKQPVRACDVVFLDDIG QNLKPAKAIGMRTIKVNLGHIEDAVKELEKVTGLQLLENQAKL ANIA_01011 MFLPLLYTSIQQLRPTARRFLVLPITHYGAMESSRSIPELKSLF IRAQIRILSESLEAPEDWRSYATEPVEEDLSDKVVGDVLQKLNAALKQHNRVIYSSQA IQHVAQQIASLYWASVNQATREVTSLERGVDKTVDLSSHPNITQLPRELYDQSASEEE QLRYKRLRERLANLDNQRQQRQRRLDQLRLLQRLLEPFREPQTNVQPNLVTKDGELVQ ELEKMRMLVARVSGRIAQSQAANNDDRDEVSYVLDTEQKLAALLDMD ANIA_01010 MEKSEKDDSICGSFENGARDLSSETPALDCFGEAVPPVPASDSA SPLPESQHRAQQYNAIAKPVSTSLRDSSPNSRFTPPLPPFPDDEHALVPDTIAASTPS SASKAPFVRGHRRRSTHVSRRDLEKFRKEVLGVESTGFEYDDEGAFNQNTKEYESQLA ELNRAFDAASMSMSSSSGSNSGSGMYSSYDNSNSGPSNIPGVPRQPIPSPMATPPPQV NGGGMAGMNGGVPMNAGHQMDLRHLFDMVLELSDVLKNNRDMTKSIVSSAEEIMKRSA SEGTSPNIQQVNGEISSARIAELERALAKERRLVEILKNEQIENTKLIGEYEAAVGIM VEQIRNYCHNNNMHYLAQKRHYNNLLQAERDAHLESRLDRDHWHAQTLKCAEMIRTAY RLRCEEDELPIRIVAGLQNEVRAYRNALGMEPEKPEEEYGWEILKDVPGGSE ANIA_01009 MARRIAQIVHLKPSAVAAYKECHANVWPEVLQQIKECNIRDYSI FFDNERTLFATFKYVGDDYEGDMEKMRANPMVREWWAMTDGMQESPISGAVSSAEGPG WWKVLEEVFYTE ANIA_01008 MDFAKLLVASPEVNPNNRKALTIPVLNPFNTYGRVFFFSWFGFM LAFLSWYAFPPLLTVTIRDDLDMSQTQIANSNIIALLATLLVRLICGPLCDRFGPRLV FIGLLLVGSIPTAMAGLVTSPQGLIALRFFIGILGGTFVPCQVWCTGFFDKSIVGTAN SLAAGLGNAGGGITYFVMPAIFDSLIRDQGLPAHKAWRVAYIVPFILIVAAALGMLFT CDDTPTGKWSERHIWMKEDTQTASKGNIVDLSSGAQSSRPSGPPSIIAYAIPDVEKKG TETPLEPQSQAIGQFDAFRANAVASPSRKEAFNVIFSLATMAVAVPYACSFGSELAIN SILGDYYDKNFPYMGQTQTGKWAAMFGFLNIVCRPAGGFLADFLYRKTNTPWAKKLLL SFLGVVMGAFMIAMGFSDPKSEATMFGLTAGLAFFLESCNGAIFSLVPHVHPYANGIV SGMVGGFGNLGGIIFAIIFRYSHHDYARGIWILGVISMAVFISVSWVRPVPKSQMRE ANIA_10143 MAHKNGTGTVPVEPCTEVAFYCVFNAHMDESRPITASSVWFQVL NTRGIRGQLHPQPHHIHADGLDSSAAY ANIA_01007 MPLLDGPRNGETVTASAHNGIPIIDGVDPSTLRGDIDQDPNRRQ KIVVVGLGMVAVAFIEKLVKLDSERRKYDIVVIGEEPHIAYNRVGLSSYFEHRKIEDL YLNPKEWYGSFKDRSFDYYLNTRVTDVFPQHKTVKTSTGDIVSYDILVLATGSDAVLP TSTPGHDAKGIFVYRTISDLERLMEFAANHKGQTGVTVGGGLLGLEAAKAMTDLEDFG SVKLIDRNKWVLARQLDGDAGSLVTKKIRDLGLEVLHEKRVAKIHTDDDNNVTGILFE DGQELDCCCICFAIGIRPRDELGGSTGIQCAKRGGFVIDESLRTSVNDIYAIGECASW ENQTFGIIAPGIEMADVLSFNLTNPDKEPKRFNRPDLSTKLKLLGVDVASFGDFFADR DGPKFLPGQRPSAESIGAADPNREEEPQVKALTYRDPFGGVYKKYLFTMDGKYLLGGM MIGDTKDYVKLNQMVKSQKPLEVPPSEFILGAQSGGEENADDLDDSTQICSCHNVTKG DVVESVKSGTCKTIADVKSCTKAGTGCGGCMPLVQSIFNKTMLDMGQEVSNNLCVHIP YSRADLYNVIAIRQLRTFDDVMKSAGKCPDSLGCEICKPAIASILSSLFNPHLMDKEY HELQETNDRFLANIQRNGTFSVVPRVPGGEITADKLIAIGQVAKKYNLYCKITGGQRI DMFGARKQDLLDIWTELVDAGMESGHAYAKSLRTVKSCVGTTWCRFGVGDSVGMAIRL EQRYKSIRAPHKFKGAVSGCVRECAEAQNKDFGLIATEKGFNIFVGGNGGAKPRHSEL LAKDVPPEEVIPILDRYVIFYIRTADKLQRTARWLESLPGGIEYLKDVVLNDKLGIAA EMERQMQELVDSYFCEWTETVRNPKRRKYFQQFANTDETVENVEIVKEREQVRPTYWP KDGANEDFKGHQWSSLSWQPVIKADYFSDGPPAISSANIKRGDTQLAIFKVKGKYYAT QQMCPHKRTFVLSDGLIGDDDNGKYWVSCPYHKRNFELNGEQAGRCQNDEAMNIATFP VEEREDGWIYMKLPPVEELDSVLGTEKWKVKKGEAVDPFEAYDKKYSGMKGKRAGAKG IEGSKPTRSPSNTIDW ANIA_01006 MSTTVTQVRTGSIPKTLKTSQIRVEEQEITELDTADIPLPPPSK EPTEVLSLDKTTPDSHVPRDPRLIRLTGVHPFNVEPPLTALFQQGFLTPPELFYVRNH GPVPHVRDEDIPNWELRIEGLVEKPITLSFKQILQNYDQITAPITLVCAGNRRKEQNT VRKSKGFSWGSAALSTALFTGPMMADIIKSAKPLRRAKYVCMEGADNLPNGNYGTSIK LNWAMDPNRGIMLAHKMNGEDLRPDHGRPLRAVVPGQIGGRSVKWLKKLIITDAPSDN WYHIYDNRVLPTMVTPDMSSQNPSWWRDERYAIYDLNVNSAAVYPQHKETLDLAAARP FYTAKGYAYAGGGRRITRVEISLDKGKSWRLARIEYAEDKYRDFEGTLYGGRVDMAWR EACFCWSFWSLDIPVSELASSDALLVRAMDEALSLQPKDMYWSVLGMMNNPWFRVKIT NENGRLLFEHPTDITGSSGWMEQIKKAGGDLTNGNWGERQEGEEPVEAEPVVEVNMKK EGVTRIIDLEEFKKNSSDERPWFVVNGEVYDGTAFLEGHPGGAQSIISAAGTDASEEF LEIHSETAKKMMPDYHIGTLDKASLEALRKGNADTTDSSSDPRPTFLTPKAWTKATLT KKTSVSSDTHIFTLSLEHPSQALGLPTGQHLMLKTPDPKSSSSGSIIRSYTPISPSDQ LGMVDILIKIYAETPSIPGGKMTTALDTLPLGSVIECKGPTGRFEYLDRGRVLISGKE RFVKSFVMICGGTGITPVFQVLRAVMQDEQDETKCVMLDGNRLEEDILLKNELDEFEA LAGKKEKCKIVHTLTKGSESWTGRRGRIDEELIRQHAGTPDRETMVLVCGPEAMEKAS KKILLSLGWKEENLHYF ANIA_01005 MDYQDYGADPFSSDGLWRISKFTLDSLQPLESLPWDEKLPDISE GFFKTPFHLLEKEDTELHKLDIFGADLFEPSVFAESTTDASSEGQQETNARAQDIGNE FDNIWKIETIDSLQHNNAPRSWERYHDRQFKEPASAYFSESGATGFDAAIELHSKPED AGYSKRTVRNDVFFQSLFRVGLGWSSMLFRFNKQRQKFEKVVKDIRISGVSTLALSGI IDEMLQCGNNMQRVRTFIGRVPTAAAEPSALSAFSTAASVIVYTLEKQLLHSFKQISS VLQIRALFQRCAELIGVLVNMMDAVETAGSEARIISSVFKLAAHYAQIYGQMESLFRE IVFKVAQPWLTYVETWIGFRPETSASIELLTNGRSFVSLEKSESNGKISSQERHEYAY LPEQMPSFVPPDQAYLIYESGRSLRLLKRYHPHHPLAGEQVRIDSPKLACAGTWAELE RIQMKARDYEARLRAEVLKYNRNGPSEHVMNIEKPNTIESKELPDAFSLFDINDAQHM TGLLASDASLEKAKLGQLLNEADHDIEKQSGKLETNFAPEVTSSLYLSLAPLLSSQAL LIDFSCLHLLFKEHNLKDHLLLQWRFQLLGDGYFMSRLSQSLFDPEMESGERKIGVVR SGVHTGLRLGSRDTWPPASSELRLVLMGILNECYSGEYADGSGNPEFEKEKELPGGLS FSIRELTEAEIAKCKNPNAIEALDFLCLQYKPSNVLEVIITQRSLRKYDQLFKQLLRL LRMVSVVKGLIRDSTARNSLSGDTHNLLQKFRIDSQHFILALSDYFFHLAVGSTWQRF RDTLSQIEACLDRGDIDATIEAAHSIPTLRAYHENTLDQMLFALFLTKRQAEVARLLE GIFDTILRFAPLSRKDGTHGVRHESEAMVKRLYAVFRKQMAAFVGYLRSLDGARGAVA SSSKALGTGRAGMAFAARGGSANVFDHLLVRLDMKRYY ANIA_01004 MHKHFRMVAISEFMKSQGYAPSHAEHTRIPGIWKKLGTLYNLPA LDEREDSLITDVSDDVDGREVYCPFELPEDEYGDLMFERRLAMEGSPSPAPSGHPESR RGSTVADTDEPRSSPVPTRGRKPGRGRPAGRGTRSSRLQVKVESRQESSEIEDEDAES EEENEESEEESSDAKDESEEEDADGDTGSSPNGRSTRARTTTSRRGRRRGGGASRRGR RRQN ANIA_01003 MFAARNFATPARQCLRSTRVAPNLASTRLQFRCYSAAADERVAK FKGQKDTDGKYTVTLIEGDGIGPEISQSVKDIFSAANAPIKWESVDVTPILKDGKTAI PDAAIDSVRKNYVALKGPLATPVGKGHVSLNLTLRRTFNLFANLRPCRSVAGYKTPYD NVDTVLIRENTEGEYSGIEHVVVDGVVQSIKLITREASERVLRFAFQYARSINKKKVR VVHKATIMKMSDGLFLNTAREVAKDFPDVEFDAELLDNSCLKITTDPTPYNDKVLVMP NLYGDILSDMCAGLIGGLGLTPSGNIGDECSIFEAVHGSAPDIAGKGLANPTALLLSS IMMLQHMGLNEHASRIQKAIFDTLAEGKTLTGDLGGKAKTHEYADAIIKRL ANIA_01002 MTDADIAHFYNLPSAYPDEWPAELDDEDEEEGEALQRRGSKSSY HVLDRSNSRRGPNLGSMKGNNSRENLVKVDEPDPLGSTSSVLNALKKRGLPVAEDSRL RNRFLLSSTGFSPALFLSQVHSDASIESLINGLNVLSQSIDQKSASLKVLVEANFERF VRAKATIDSVYTEMRNQGREQEQVAQRRSIGHVRSISGAKQGPLSSINSSKFRKNALL KESDYGVKGIWAPLTEASVKAEEVWGPALSGREREQMLKSVIDSMERRREVYEIGGHL SKSIKQKDYESVFEQYRKARALTQEAKNIADIAGSEGRPLTDEETYVILALGRMWIDV DQQIQGFKRDLWRRLSEAPSTSTRITTSGPIEEYMELIGALLELGVDDNPIWVWLLSR YDYLRAKIKAFCERGKVEIEILRRRLASGAEPTPQEVASYLRRTPQDSSTGPAHLPDT DQVIELWECVHTYLNRLLSSQGGILGEILDFWEVAQSFIDGNKQKLLPVGFEGESRKH HKFSSSDVRDLQKGLIELISLVREGVLSLFAEAPVEDVSLLTSPISPSSPSSPVSLGV TPTESRFKLDPKNIPFPTPKRGEPWEDYAFWPPFSNSLSGVNYLGQFLIIIGAAAGEM TTLEPVSSSSTSQELLRGLVSIIRERAVRISCSAWAKDAEVCRLLEDWTRDPKRRDLT KMPALFVNFQNAIVSGLQKILYMSEAMAKPGTVTVVTQPPTKLLQMVRREFISSIEKA LGGLVETAEHPTTREENDEWSVSEATAVVRNSNGSSASLAADAVDSQNRNVRILLTLS NIKAFQMDLVPQLIANFEASFSVTLTDEAKLIRQVLDEVEQRLFRSYTEPTITNLKTI ITEGVTSPTWEPTTSRPEQVRPYVYNALLALVLVHTEISTTIPSTSSSTSSRSAASSA SGQSPLLTIVLTHLLTQVCTALVNAFNLRASYSLNALIQATLDTEFIAQTMSQYSSEE ASAVQSQIYVELDQRTTHEARARLQSELGEMRGILKRLRERTKGEFACFRKPRSGTSQ KSGAA ANIA_01001 MPIFQDSESRQFYDLWSDDPRMTQDDSHLKPSWPDSHDVYAGSS DQRTSVFYGRHPRSPSPTRLNAHDREELIHYIKAAETTKWSQYCSLGDRVGHHVLHSH EVPVTREQSTGNSLYQDFRDHDSELASPAEIERPRSALHSGDFREGTPQLERQQHSPQ SPIPDRGINNGLPFFSSSPTSPWFAAPSFPPRTQTAPLQALEARVTEANRTPAPSLAP FSSSYVLKAPTSPLVHQANNTDLDFSTRTDSNNRLTLFDKTNRRRTLPPDSFRDLQTD SADSGANCPIRWDSLSSNSQCSRRSLASSYSLQLASSVQGHYTKSRRPSISAELISRT HAPMVGSYEESILRGRMSTCPSKPLDFTAQIGVLGKGKTKGSLKCPPHVTVRFPAVFY SYPTSGNGRTISDDSPSPYVGMIDLENSLPKDTSCHSRRRRRHQDPVEISSSHNNDES MRTKHNDREAIRRRDKRHRRAESPKAPAGGCYRIPQQGQLQVMIKNPNKTAVKLFLVP YDLSDMEPGTKTFIRQRSYSAGPIIDMPLSARSNYGTDRPEASLTNSDDPNEKPVLRY LIHLNICCPSRGRYYLHSSIRVVFANRVPDGKEKLRNEIQHPEPRYSPYKPSREPTSL RVSTKFSADKGEQRPSPRPPSYRATDDCPPHPFRPIPSIRADVVGLENLVPAQTSSPG PELYNKLGRGDAGYGGYQVGSIASPEGESLLARKLRGLDVQKSDSS ANIA_01000 MTSKRSVDGTSPSKNPQTDGQANKKRKGFSVGPANLPDGTYRRK TQKIKADLIQKAKVKKAYAKIKAAELAAPQPKPNYLQREQEGHEGNNEQTTEPPASLE LHPERQAMLNTRDAEPEQADRKPGDRRIQKPKRGAFAKELEIAEKRRQAAEKKRELRE LRAKDREAMARAKRPDQNGKRRLGRESKVLLSRIQRMVGETA ANIA_00999 MAATSHMHNLTTLIKRLEAATSRLEDMAMSLDEHDAQKKTADNS AALEPQASERPTPTAPAAPAAAPLPPQIEDFDTLISKDVGNFVQLGQKIGGLVAEQSN AVLEAFKAERTYLYVSTKAKKPEIQPPELMTGLHTASDAINNLRESNRASPLIDHLSA VAEGIVALGWFFDPKPADFVSEMLGGIQYYGNKVLKEYKEKDRTHYDYIQAYYQIFKS LSSYLRKHYPKGLTWNDKDGIDAQEALRQVKNSSDSAAGGAGTPPPPPPVPTLNIPGG GAPPPPPPPPPPPMISEQQSSGASSDMSAVFAQLNQGDAVTQGLRKVDKSQMTHKNPS LRASSTVPGSPSSSSRSKSPAPSKKPKPESMRSRKPPRKDLEGTKWFIENFDNPGDIV EIPAKQNQSILISRCNKTIIKVSSKANAIAIDNCHGLSIIVDSLVSSLDVVKCSKFAL QIDGVAPTLLMDQVDGATVYLSSQSLGTELFSSKCTAVNVMLPPKEGTDEDTKECPVP EQIKTSVKDGVLVSEIVEHAG ANIA_00998 MSRESSIAGEGKMSRVEFCTLGMFILDDIDFEGSRPSVKNVLGG AASFAVIGARLAAGKEYSRSVSWIVDVGSDFPPEVLDVITAWDTNCVIRRDPGRLTTR AWNGYGPNEKRAFKYLTPKLRLEPYMLSDSQVLSKTFHMVCSSSRCVSIVRHILQRRE ALAGGSNERPIFVWEPVPDMCTPEEQLKFLEACREVDVVSPNDLELGMMFGHPCWNEG SAEGKETVNQILDSGIGSRGEGYLVIRAGKDGSYAYSRGLRLWLPAYHQPTASGSSPV VDPTGAGNSFLGALAQGMVSEATASCGKYHSIPPALILATVAASFVVEQIGVPRQSTS HEGKELWNGIEFTERVRLYTHKFCRTLEESPQNHLQIN ANIA_10142 MGGGGKIPYVPQGSLVSRRRLVRSARELEGQYRYYRRGDAWNSR CSLEHQCRTRIPGQDARAGQVLPKSILEQADYRTREATGRQKRIIRTCGKTKKTAGKA MVWQGLCSIIMRAVRQ ANIA_00997 MKRLQLQRWSSSALSSRARIGSRLQRQLCSSKRFQSTDATPLQP GSDQIIAEQFPRPTPTRRLPKVSKYNLPSPPVQAARESAKLAALHARLYLPSRLPLET LARCLVDASADPNPQFNNAPFSVLGHDLLTNYASEHLICTYPRLPLTVIFAALYAYVG PKTLTAMAREWGVEHAALPGGEVDPGLLQFKRVEPGTDINAEPLKGTTRPNEDQKHWR KSISSSVVYDNEFGDPDLAGVPPSESQPEALQDVKPVTVEQASAAFVRAIMGAIYLHA GRAAAKRFFEQHILSRHLDISSLFNFSLPTRDLAQLCARENYEPPVAKIISETGRRSR HPVFVVGIFSGQDKLGEGAGASLPEARSRAAVAALKGWYLYSPLEVRVPSSMEEEGAA PWKPVYVDLGEVVA ANIA_00996 MATPSAIPAIISSRDAPKEPSSAADSTADCSKLPAPKFQLHIHD LRHPASQFFLTSIPDLASTLETALSAIIQNLYSSPKSGRAINGATATNSRNRKHLQTF RPSVPPTRSVTVLLRDIGGVAYTTGKDLDNDHKEIHVSLAYIQHCRTKTDPVAELVGV LTHELVHCYQYAAPRATLDGGLIEGIADFDTIEIMN ANIA_00995 MSLFTDTDLAAYASLFILIYTLSDAGVFLFNVFFLVARSSVYFP FAFHFSKLALPLFKLLVARMDYKARDILSVLKNVNLSVDAKVTHLLGLKSDIKQKNVP EKAVPVIFECLRHAIASPFHALYSAGFSTLGHFLKRLYIQEHHQLVAAMSDRILPTLV DRMGDNKDRIRQQTTHALADLWEGAGPQIENLVLSVGFQGKNPFQKQTCLNLLSIAFT RSEITAAYAEATAKEYLPQIISFVEDADPTVRQGARALLVQMFIGERDSSKHELKRAL EQYKVRPSHAREILAGIGLDPELYDESHSSRQHSIQQRAPSRAATASRAPSRAGISRA PSRAAISRAASRADISRAPSRADTFDERSGSTTTHVDSSSAADDGAPVLHHPKPVRTS PVTGGMIAASDNRIAVTDSIPANDFDSPKQKNTLRTNASKASGMVNAPAGHAAAPSAL GHAVEKAKRAVEPLDIEPYDLSSSQELDRLQATMAPWFEGRETEMNFQRREEYTILIR RITHGNAPQRWPTAYLGFVKMTLPFVFITANSVRTSLQTAGLRAVQSLARVNKERLDS SIHIVLQNVLKLCANAKGITSQNSNLTIVAILENVTCNQRVLNQITGAAKDKNQNMRI FSAGWLEIIIDGQNRHKTHAEGVNSIAACIQEGVEDAKEDIRRAYRHTFFRFSSVWPA RAKKILDAVSPKTQKLIEKDMLKMSEDPFVSNSGSSATGLFSSTPARAAAKGVTTEKG KASIAVPKAMSSPKLASRSTQPVTTKTNTLQKKPTSTLSSAPMRPGAAKPRPATAAGF QLKKKENESPRKKQTPSRVPEPGLDTPITRRRQNSDPTQNESPTKSGWVAHAADDHHI GPLTIPKRRSTRDTETPRAKASKQADIEVEMDDKNYDGRADEIVQEKVNETVYETVDE MVDERANERVDEYFDEHVDERIDEMVREKVDEKVDEEVDEKVDEKVDEKVDEKVDEKA DEKVDEKVDEKVNEKVDSAADDNLQEEVAEKRSMSLSDEIIASAQRPSDEVKERSSEA ERASMGKGGSPRPEREYVDSTIVSESPLPKRAVSLWSQNSINAHGILLKGIERIQTRT MATDGYRKLQGLIQHHPDLFADEEQFAVLLIGLLDELAEQTPPSKSVLSLGTVSDHKT QVLFTVKYMFENFKKFFAPHYPGTVVALLEAEKSAEMGGHLIKAIENFIDDIVNASRA HYEIIGAVVTHLGPAVQNEAGPTEHRMLRKGLDVITHGLTSMKEREWELPPDQLERLG EFVRQVMTLPSVALKRRIFALCIALRELVDNEERFWELVGSKTKGVDGLLFYYRTRQE AQAA ANIA_10141 MHMNLRKNIRLPQHFNPDHFYGPMSQRSLRGDDKKRPAYTDYNP NLPPAAFPTLERPRGARYGQDIHQRDNDEDRLNKASRRNSRRSFDDLCASVNPNGKRE PSPTAHVTEIPLDQLDNYVASNGELNPIWVSNMARMAAAGKDADVDMDMEDTDLEGTV TGECRSISPGPQNPTWADLSPRMRAEIFQNLLEHHSYPAVCRMLDLTVEERDDIADIL ELRRNQIEQEDMQLDAMRAKQLRELSKVDNSFKTQKQSYQLVFRKTSRQTFRALRDFI EPDRDFFACKSSELTVAKIFLHKRGIESKFVGIWGNGSASIHTSDGEAPPLGRVGFDG ASGPKPVFGTIKEAHAHRLITVPESQSETSATSKTTFTDWLGNSSAGSLLENVQPNGK RSRPGKYLAHFKGSIDQEKGRCTRNRRQISAFGLHESRPVPSEIPHARSSSPLDRHSS ERLALKHRQQQQPQRQNNHSPRPSSTPNCLPKNKKAFLLPLPLQSTDGELYSHRVKAR KIPYFQPSTNGRSAGTRQVQNIQQQKLIEIQAPQASTADTISDPSEWLQEEPLSTAES SSSPDTRLSQFSDLPTPQTVAINTSFSSTRAGYRGRLVDEDERGDEFMHWDEMILLPS D ANIA_10138 MEPTASGLETSLSGNAKSQYIRNHQYTKKIAASYYPDIHYHGLN QPAPEPIGPGSHQFREGEFVSPGFFQHIDPSIFTLPRSEVEWTYNMRRTAQRMLPFLY LGPWNALSNKQWLRDEGITLLLGVRDQRLAQSRLFSGQKAAAEVGIEADSFDIVDGQD LIARLPETIRRINDHIYPSAGFAAGAPTPKKVLVFCETGNGLSALVVVAYCMVMLNMS LIQAMNFIHSQRFCIDMEDGLRPMLLAFEGLLKAKRDVEKANKAAGANFLLTTPAMTL SKKRSFADHEEDDTMEDDSMDLERKPTAPFQDRWA ANIA_00993 MTDVKEIKASAPSPEREKYDIYPAEDHVAAEFTDINEKSLLRKL DYKLLPPLTILYLLSFLDRSNVGNARLEGLTDDVGMSGNQYLTGLTLYFVGYVLFEIP CNIILKKTTPRIWLPTLTLIWGVVATLLGIVQNYAGYLTSRTALGVAESGLFPGVVFY LSMWYKRNEQHYRVALFFSAASLAGAFGGILAWGIAHMDGVGGYAGWRWIFILEGLLT VVMSVVAYLWVYNYPATAEFLTEKERSFITFRLKHDNDATREERFSWAAVTDAIKDPK VWLYGLGFHTMSLPLYTLSLFLPTIIKELGYSAARAQLLTVPPYALGFVTTITVAILS ERTKRRAPYIMGSSAFACIGYIILLAGRKPGVSYTGTFFCCAGIYPAVAIVLSWPANN VSGQTKRAIANAMQISIGNLGAVIGTQLYRTETSPRYFLGHGFSLGYLVANIIVVYIL WQVLNRENAKKAEIRERDGLLPLMGDIGDGEGDFQGDKDPRWVFQT ANIA_00992 MGPRKVIVFGATGDVGSAAARTAHSHGAKVFLALRDITKPVPGL TATEEQSAGYERVQADLTQPDTVRTAVSKTGATHAFIYAALGSSSDHWLSTAEALKAG GIELVVLLSSFTVQGDIRAIPQSDFIGYAHANSRWWQKQIVEDGEVKWAFPDLKVDYI SPDDIGAVCGTILAGAFKGEHETSVFLCGPETDLSVADAIETIGRAINKPVKVTKVST DENIKVMVEKSGTPEPLARLLTDGFALENQHQGMLRAETPEIRGNIERYLGRPAMRFS EWVERNKDKFSA ANIA_00991 MTSAVLHRDTRFVPKKAVGGKGSYLLLEDGTKFLDSTGGAAVSC LGHGHEKIIQAITEQFTKVEYCHTAFFGTEASENLASLLVDSTGGKLSKLFVVSSGSE AVEAALKLARQYFLELPTPQPQRTRFIARKPSYHGTTLGALGVGGHALRRQPFEPILS QNVSHVSPCYAYRGKNDGESDADYVARLAGELDAEFRRVGPDTVCAFIAEPIVGAALG CVPAVPGYFAAMKTICEKHGALFILDEIMCGMGRCGTLHAWEQEDVTPDLQTIGKALG GGYAPVSGLLISDKVVQTVDKGTGAFRHGQTYQGHPISCAAALAVQTVIVEEQLLDNV KSMGEYLEKRLRGTLEGMQYVGDIRGKGLFWGVEFVKNKATKEPFSSETALAFKIQET GMKPEFGISLYAGTGTVNGTRGDHIILAPAYNVTKEEIDIIVDTTAKVLAEVFAQL ANIA_00990 MAAKRKAAAMNAVDDEPVDPSDELAFYCLGGGNEVGRSCHIIQY KGKTVMLDAGMHPAKEGFSALPFFDEFDLSTVDILLISHFHVDHSSALPYVLSKTNFK GRVFMTHATKAIYKWLIQDNVRVNNTASSSDQRTTLYTEHDHLSTLPLIETIDFNTTH TINSIRITPYPAGHVLGAAMFLISIAGLNILFTGDYSREEDRHLIPATVPRGVKIDVL ITESTFGISSNPPRLEREAALMKSITGVLNRGGRVLMPVFALGRAQELLLILEEYWET HPELQKIPIYYIGNTARRCMVVYQTYIGAMNDNIKRLFRQRMAEAEASGDKSVSAGPW DFKYVRSLRSLERFDDVGGCVMLASPGMLQTGTSRELLERWAPNERNGVVMTGYSVEG TMAKQLLNEPDQIHAVMSRAATGMGRTRMNGNDEEQKIMIPRRCTVDEISFAAHVDGV ENRNFIEEVSAPVVILVHGEKHQMMRLKSKLLSLNAEKTVKVKVYTPANCEEVRIPFR KDKIAKVVGKLAQTTLPTDNEDGDGPLMAGVLVQNGFDLSLMAPDDLREYAGLATTTI TCKQHITLSSASMDLIKWALEGTFGAIEEIGTDEDAEKEDQQSESEEKQRMKEEADEE IPMEKPQAYLVMGCVVIRYHPRTREVELQWEGNMMNDGIADAVMAVLLTVESSPASVK QSAKHNKHHHHHHHDETDTLKFLNPHAAQDAEERFARLLMMLEAQFGSDIAPIERPRV PSSTESATTTTNGNGNSKSDSEQLSSLESKTDGATPQDPDTLSELEAAELSRLHALGI PVPGIEIKVDKHVARVWLEDLEVECANAVLRDRVRVVIERAVETVASMWSVGRSSKTI TNGGGKEIAGTGADDVASKPGLEVAARA ANIA_00989 MAQTQQFQQSFSPPGSSPSPAPSPMNGSVPPPNKRQRLSPHPQS AQSPYASPSFGTLQLPQTQSFNANGTNTNGIAQSPAPPQPGAMGPPSRPVDKPTDAAD LTDVLASSGIDVREEEAFLTSSYSGPGVQAQQQPRAQQPLPQQQQPQAPPLNTSFASQ ASTTGTASASASFSEPSQYKPPGTQESFYTEPSSQPPAPFVDSNEPTREDTEAARRAQ YHLQEPFLLTKVLEQRLQRRGFDLGVRIPAEGLFHPVPGRPQPIEVTGPDGSSVVRTG QTILNQEGAPLVDILNLMSIACEERLRTVIDYASTLARSRRAHSHGTVPADWKDLALT GGENTNGDTGGPQTPSLKRPHPDTESTAKSLADRYRLLVDKDASYEEARAAKRAKRSA SAILGEGGTPRPDSMDVPGSGASTPIGERAPSIGKGGLTKKEARKLADAKQNEAQQHQ QSVETARMATQTMMSGGMFGKKKSYSWLQRGPTTGSGFSTPTRINPPTPSASAEKTAR SGESAAIPTKRLGAWREDKEKGAGIQVRDILFMLESDGRAARHIQKAYSKDLKEDKAD ANIA_00988 MSTPSTATATHPVTHHHQHYGFPHHQTYLPNPSYPTTSSPHLNS TVYPYAVPSTTAALPYTQSPHSAAAASTATSTAAMPQPPKPASTGVSTQNGRRKKPDW GEFYKNGIPKEVIVIDDTPPPDQSAAASRTYPTASTAQVANGNTAQPAGKRRRTGIET AYDLGYFDRPSFSTNPQHYGEESSAGSLSTDRTASLHTTAPTSLSQGSSGASNGAYYE DANVGQKRKRVATRKSVRDQQKKLEQETATDAFLSYIPPPKPPIKAKDVPVPVVRSYA NRGEKYDDDDGHYIVNPNTPITDRYSIIKLLGQGTFGKVVEAYDKQRKARCAIKIIRS IQKYRDASRIELRVLSTLASNDKHNRNKCIHLRDCFDFRNHICIVTDLLGQSVFDFLK GNGFVPFPSSQIQSFARQLFTSVAFLHDLNLIHTDLKPENILLVKNAYQTFTYNRTIP SSSTAISRNARQRRVLLDSEIRLIDFGSATFDDEYHSSVVSTRHYRAPEIILNLGWSF PCDIWSIGCILVEFFTGDALFQTHDNLEHLAMMEAVIGERIDPKLVRQVMQGGRNGNQ NQAAKYFVRNRLDYPNEETTRASKKYVQAMRRLTSFMPTNTKFYRLFLDLLQRIFVYD PKQRITAKDALKHPWFKEPITDDGTEALRIGQQLQQRNNGQQR ANIA_00987 MSPKARSTSTDSSLNLSFQTARLSFMRLCLADTLALHELRTEPE VMKWTVQKIPDAGLQQTENWIRATMTTDTYPFTSSSDREYAEEGSPVMDQTERQSQSN TKSRSKEKWFCFAVRELAYIQSLENKDDPRQDRIVGIVGIKEAGSPITKRLQHELGYM FVPRVWGKGYASEAVKGIVRWWFGYLDSLNFSGLEDSRAKGGKEDGGEGEAVDNERVY AIISKKNGASRRVLEKCEFQVVGRRETDDVGSGDGEELIEFYISRADVMTERT ANIA_00986 MSWDQPIHSFSYPNGEPQTPTRTPPTSFFSDATFQTPKLESSFF DPRVTWDTSDPYASSPEFLRTPQRFGLNSPRLPDKKPAQGLGSPGLHNAKSSGSQVET VRKRRPSKLNATGEDGSKTVESIKSAASMQTPPPSSASRTKVTEFGNLSSAGRHELTS STAGGHLETPSRVMGASPRLFGDLQSSPDPFQLGSIDPSASPFFPQQRLFWDHGLDQH TSDLPLHGNEGGLFDTDTADTLHANTTEAPQDLQIPQLPHIDRNMELSGLNNTMYGLS SGVPTDAALFPAPFSTSPRLPLPKAEDPSLFLSSPARRFGGPQPTPDKRLFSRQHRQP YHHQTEEFKREELRRAQGVNPHLSDDETDEYTPRQNRPALTRSLTVSAVSASRPGSGG TMSSASGIRKSPSKGRSSPIKSLRAPLPRSNSLASVFPRSQSVVLKIGKDGRAKTEMQ PVLEASTGLTDPLNSMDMDGSATESEYDSAEFPEYPPSSSRNPSFVFSEAGRSTIARS ESGSRPPSMGSYTSSHSGRVSPWATAPRGPARRPPLKATLEDWKRTPKRQSSRLPSDL SYSSSASIPGSIADVEEDTGDAQHALRKVLQDKGRIPRSQTIASFGSRSNRSLQSLAQ LQSSPPRFGTERESDAREANTSPTTVTDPDLATPCTDRYSNPSNGTRCICNSMDNGGH LMIQCESCSHWLHTKCVGLERSNLPSVYVCVFCAQTPTRKNRVRVPVNGVGHAPTSPL AHKSYRLR ANIA_00985 MAPIRPKASTKGRKRWGRGKSNHFESRTKRNRKIPRLGINRIER NRTERSSAERQRAERNKVEKSHAVNSIAEKYRAEKQLPWRPPRPLAILAQKHELALSR KALFPQSGPSAASKKPKPVITKSRHGLAKYKPVAQWPSPEPLEMNCLERAPLPPNYVF VPRGDVYVTRHCRSKTKEDHRLVYKVYDNTGKKALGIRVPADVHAAVLRSAEETAESR ANAVRARDERELAHNRELLRKQFPLMPAQSLNTILQHAFLKGSGRVGRTTTTPEKEKV ILAVEAHIRHVHTPYEELLRSGMPRLEARNKLSRKPCHTTLTIDLTECIRRTRASIAT AHAFLSRVFSGSGQRC ANIA_00984 MDISDLIEPPQKRLKTEDISSADEVVLPAGGITPQTDNEIDEQL SKEIEVGITEFVSADNEGFAGILKKRYTDFLVNEILPSGKVLHLTNTTAPNTNDEATP VQADKKPAEDKPKEPETPAEKLPAPVEFQLAEEDEALLDTLFGTQNTKKIVALHKKAL ANPKTKPSDLGRLNTVVVNDRDQRIKMHQAIRRIFNSQIESSTDSEGMMVISVAANRN KKNPQGGGGGRERPRVNWDELGGQYLHFTIYKENKDTMEVISFIARQLKMNPKSFQFA GTKDRRGVTVQRACAYRLQADRLAKLNRTLRNAVVGDFEYQPHGLELGDLYGNEFVVT LRECEVPGINIQDPASAVAKTKELVNTSLKNLYQRGYFNYYGLQRFGSFATRTDTVGV KILQDDFKGACDAILDYSPHILAAAQAELGQGEGEGATPTNISSEDKARALAIHIFRT TDRVTDALEKMPRKFSAESNIIRHLGRSKNDYLGALQTIPRNLRLMYVHAYQSLVWNL AVGERWRLYGDRVVEGDLVLIHEHRDKDGNSSYTTPAPGAGASGETTTIDADGEIIIV PQEHDSAFAVEDTFTRARALTAAEANSGLYSIFDIVLPLPGFDVLYPPNKMTDFYKEF MGSSRGGGLDPFNMRRKWKDASLSGSYRKVLSRMGRDYSVDVVLYSRDEEQFVRTDLE NLTLKTRDGGDVDLEKKEGKSEGDKLAVVLKFQLGSSQYATMALRELMRGKVKAYKPD FGGGR ANIA_00983 MAGISDVDNIDWGNSNGDESAGESAGEGTQPDVNGQSNPMSSIS EWADATATEATTITALDNPSASTTQATETSIYTSASTTSFTSDSTPSSTSIASADSDD GGGGGGLSTTTKVAIAVPIAVVGAAILAAVIFFLLRRRRRQRQRTSAPGILTRQLDTS SSAFLPSQPQIAPVPAPGPVNRNPFPSNNDNLPPPPPYLRPPGTEPGTGVDSTSSAAP VAGAAAGAGVAVTDRDLNWRTSEERAREAAARPRSPFDRPNDNDDNMSVVSEINDREA MMRDRGLRDDDDDDDDDDISSMSSFGADDHEHRQAAHRGS ANIA_00982 MSGFKGIMKDGWHPKGREGRKESWRNDFKGVNQVAGWMGKGKDP KDEDRENHVSRPLSSLKDPSSFGPPPKHIKYHGAAALPNETTPDRSGSGAPLSREQIN NSYTRKQQEEEEERRKAEEAAKRPPVPYRANRTGIDPSTLPPPPVRRTGSVAESAPAS AGPRPVPSVPPRVPPRTNTITPASHTPSPPAYTPNPESAEQSRAADDYLNQAATSRLG QAGVSVPALGISGRPSNPTSPAPSYSQAPVNELQSRFSQMRTNSASSPSPAPSPPVQQ TQSPVNSASNFSGARSAFSDFRSKHSDQIESGKQKLNGLNQKYGITERINNTLDSKSG NTGQAPPIPPHPNLSRSTTMSSSDTASLAQRKAPPPPPPQKKAALRSAPVNTGSPAPP PLPLGTKPR ANIA_00981 MYDLALDTGSGPAFVGFGLPPASLLKFPPDELPATVPAPLRDEP TWYQPFNIPPGLYNQLLDIRVPITIASVYAVTVVILNRVNKSRGYKPYAFSQTRSFKI FVILHNVFLAVYSAWTFAGMVRAFRYTLPAWQENGLVGIVDALCKCNGPRGYGNAATY DTTINKWSIQNPEYRLAEGGVPDSTDVGRLWNQGLAFLGWIFYLSKFYEVLDTAIILA KGKKSSTLQTYHHAGAMMCMWAGIRYVAPPIWIFTLVNSAIHAMMYTYYTLTALRVRV PTIVKRSLTTMQITQFVIGSAMAASYLFVYYTLPPVRFVPTTSAAPVVAATGLSWVKQ VAFRAAGAEGIAENVGHVENNLGAPREVVQPGPMVTCMDTSGQGFAIYLNVAYLLPLT YLFARFFVRSYLYRKEPGPQATHIHAAEKAGLDALKGVSREIQKAAEMSGETSETTED EAIAKSRSTRSHVQDTVDNSPIRTRSSAAKKARATESQREPEQGFSTVPAGKGAKRIS KEETQSAGGPAEVKTSNPFGVLGNSN ANIA_00980 MATAFWLLLFLLGSLHVLTAAQNSSQSEWPIHDNGLSKVVQWDH YSFYINGQRIFLFSGEFHYWRIPVPALWRDILEKIKAIGFTGFAFYSSWAYHAPNNQT VDFSTGARDITPIYDLAKELGMYIIVRPGPYVNAEASAGGFPLWLTTGAYGSTRNDDP RYTAAWEPYFAEVSEITSKYQVTDGHYTLCYQIENEYGQQWIGDPRDRNPNQTAIAYM ELLQASARENGITVPLTGNDPNMNTKSWGSDWSDAGGNLDTVGLDSYPSEVQPTMPFF MPEFQGGSYNPWDGPEGGCTEDTGADFANLFYRWNIGQRVSAMSLYMMFGGTNWGGIA APVTASSYDYSAPISEDRSIGSKYYETKLLALFTRCAKDLTMTDRLGNGTQYTDNEAV IASELRNPDTNAAFYVTTHLDTTVGTDESFKLHVNTSKGALTIPRHGGTIRLNGHHSK IIVTDFNFGSETLLYSTAEVLTYAVFDRKPTLVLWVPTGESGEFAIKGAKSGSVAKCS GCSNIKFHRDSGSLTVAFTQGEGISVLQLDNGVRVVLLDRQKAYTFWAPALTDNPLVP EGESVLVSGPYLVRTARLARSTLTLRGDSKGETLEIFAPRKIKKVTWNGKAVEATRTS YGSLKAILAKPPSVELPTLNGWKYSDSLPERFPTYDDSGAAWVEIDANHMTTPNPNKP ATLPVLYADEYGFHNGVRLWRGYFNSSASGVYLNIQGGAAFGWSAWLNGHFLGSHLGS ASIQQANGTLDFPANTLNTEGTPNVLLVVHDDTGHDQTTGVLNPRGILEARLLSEASD NNDDDSPGFTHWRVAGTAGGESDLDPVRGVYNEDGLYAERVGWHLPGFDDSKWATVNG TSLSFTGATVRFFRTVIPPLSIPENTDVSISFVFSTPNVNNTSAGNTSAFRAQLFVNG YQYGRYNPYVGNQVVYPVPPGILDYNGENTIGVAVWAQTEAGARLNLDWRVNYVLGSS LDAGRLDLSFVAIAYVYIFECLQL ANIA_00979 MAALVQTIPQQSSAVPVLQTRPSSSSGAFTTSQSLQQTDSRNPA MSWNTYNTTGNSGGYRPGHQVVAPYAFTSTPNLSNSPNLQNRQSWSPSLRPEHRTSSA PSAPQLPANASLVGNNSRPVHHTAAGSVSTSSSNSSVQSHMSKDDTAIPSRQLRGDPS IRPLSTANLPSPTPSFMNISSPTVSRPSPDRYRRGNRRSDASAGARSSPPILDENPQN TTSAGLSGVRSLIPEGKGHTRATSADDNTRSDKPQPELAKRYRRRSWGNMDNTGLINL ELKLPAASPIPMPSGQDYFNQDRPSSAQSHRDISGSIRSARSSTSSVADSGTVPPKPA TKSEDTKRTPKPSPLSQPVSTTPTSPETSQSTQREPPKLASPASQRLAELSKNDSHRP GKSRLRRAFSFGSASELLKASQNSHRKDGLSVDKSRRELLKEELGAEQAAIAEQQEAS GLGESIYSHHQGRFFNSSTDNLSISSTASSASIMLRKMGKGMKRSTRSLVGLFRPKSV IASSPDDITAEPMAPQVSVVNIEAERKGVAANADPTDLPHGGTVFPKVDSTVLPASGQ DDLTEALQSRKSIVGGDRERAEVLAAVRKGILKKTNSDIALSAAAKSGNVTENGTDSP QSSAPSTPEDQPRTGIRRPDAVKIAGEDEVPEAKNGSLGPPAVPSKSLVFSPRIQFHE TWPSGEYDRRGDIATCNRLTPLLAQQIKEELNSFKMEMEVHETSKIYTHFL ANIA_00978 MPHSVPVPPTGFQALILCGPGVSLNTFTSNPEEFPKALIPIANR PMVWYPLDWCYRMGITNITLITPPPSQAPLEAALSQNPHLTSLPSPSPSILAPADLTL TTGTAELLRLPEVQACIKSDFLLLPCDLICDIPGESLLEAWMVLQSALGGSTLAGGWN TNGPKTIGMGGEAGGRRGGLAVYYQTKGREESVKGEVTDFVATACLEQDEAPAVSHPT DGPAAIRYGLSKLVLSMPMDTVKEKMEEDKGLLIRHSLVKKHAQVKMLTSYRDAHIYV FPYWVKEMARLNEKFESVSEDLVGWWAKAGWQTGLAEKLRLSEIFRKSRSVGESGSMD DESLEEEIDIHAMSTTKTGAGNSHNTSASEPPAEFQFASRVRSLGPDSDIEPPQKEKL TTPPVLAYMHSSLSSAPLIRRVDASPLLLSVSLRLAKLESIEEVGKVAASPFAHNQKV AYPAGVAQRCTVTKSDCLLADNVTVEEKCVIKESVIGVGCHIASGARLTRCLVMDGAV IGERCQLTGCIIGRRSQVGRECVLKDCEVQDGHGVEEQTDAKNEKFMRFEGLDEDADD MDISEDIGDDGSDLGF ANIA_00977 MPHSQDARHRRVGTGFSSSGRRTVMGYWAPLALTVGIAAIGVAA WIWTERNEEDDEDDHDDTRAGDQPASAPSGVSFPRGDYGGDYARATGSDALAQEDASV MARMQGALRRTPSPQQIFDGASKRVAAGVAAAGAFVGGALTSIREEGRGDFEDHSRWS EEVESRNQQRSQAETTTPSQPPTRGVVGAPAATDKKRKTVAIVVSSESSHLPEDLTSD HVSILSHLPEHINPDSAKIFVLIYAPGLKHAPNQGSSSHALSITSSYSNIAPEEATSS PELSTLEPRQVDDLEGAPPLFNTLYTQGQAIVEKDTMIMPFNSLTGYVHLVRHLSPDT VYVQESLSGQDGSAVNHIAGWVRQVVVVVGDEGGRGGLIDSDDESVLANKEEKWWRKE GVTGIGKRIDVVDVLRVGDDWRRRISGND ANIA_00976 MIKRQQQEQERERRRIAEQRQAEEQAKEENRRQHLAAQQAQAQL AAQQQQNRHVMAQANGVSQGPQSSPVVRNQTPLNTSSPLVGNAMATQASVPMAMTASM QGAGSPQRPPSALQHAHPNMMSHPMGPSRSQQGQSRHGTPQMTQGTPAMSQATPIMRN VTPTQRMSHASPPRSSMAPTPVMNQAVMATPQMGSQSFNHQQQQFLMQRQQLLAQQGQ HLNHSQLTPQQFAQLQANMLAQNNIQNQQQQQMMQQQQQQQQQQQQQQQQNHQNQQQK FANPQTYQAQMMRAQLMQMQLAQQQQQQRQQQSQQQQQAQPQGQQQPQHQQGQMLQNS PQLNAQQQQMLMAAAQANGGQLPQNMQGMGMQPRMSTPARYNQLYQQRLLRLRQDMAT RLMPQYGPPTQYPPQVAQEYSVGLENAAKGFVQDLIRRERVEFAAAQQRQAQAAAHAQ AVQQQQHNMMQNGMGK ANIA_00975 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEERGAAPTKAHVREMANILLAKPKQENPKV IQAWFNTVRATIEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNR EWVTAIESISASGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWL QKQFIPSTEHRTRGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLD IGCFAVLKRSYASLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLV PLNPEPVLSKLSIQARTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSK SPPSPSHNALNQLIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGL SVQEATELEEAQ ANIA_00974 MPLSLCSALHTVTPFYHFGLQSQSLDHPSHRSASSARVYYL ANIA_00973 MRNQSSLSDRLTVEVDCSSLGSNECPSMTSSFSPLESPTPTPTS IYSQGSLESPGWHGAGSLPNNTYERTPGSASMRSAFRLAGMASTESLGLPYGSMEGQE RMPMPDFLSGYDENIEQLWMPSEAPKSYDHVAQGLAYHQGMHQYPTMARNTNNNYRHQ AAAYLPESTTNPCLSRSIFHQPERVPSSMSSSMSMNNMLPWMNLGDSIAPQTIAPSQV GPVTPPPSYTDFPTSLSAFKQHSPTTPIRSCSLGTGSGADTPLSRLSGGPCEYMDDFQ PSPVYRDGFQRPHRVASRKMLRRQTSKQNLMLENLPQVIKQVQFKCKEPGCNGRFKRQ EHLKRHMKSHSKEKPHVCWVPGCHRAFSRSDNLNAHYTKTHSKRGGRNRYVATLDENS PDYDPEFRGQLTPDGRPIYGSKLDDPIPGAGDMSLDGWDE ANIA_00972 MNCMHNLARTWKHLGHLDESIALFEECINLSNKVLGPEHQSTQT TLNCLLRTKGQRGDGDFHLGNKE ANIA_00971 MQGSEHQLTINSMHDLASTYTEVGRLTEAEELGLQVVEISKRVL GPEHSDTLGSIALLSSIYKKLGNLNKAEEFGGAVVEASNRVLGPEHPETLIRMQNLAW SYGSAKQKNSNGSWWETAIRTS ANIA_00970 MRRALWSYRGAQLIHSPEKKAVLSNLTTLITSIRRIGRSRRSRL ATFCCSSNELTKTRLYTFALVSFATMIVSMTSSIFSTLVPTVSSKYGISRAVGNLGLS LYVLGFATGPLIWAPFSELKGRRLPFVLSMFGFTVFSFATAASNELQSIFINRFFAGF FGASPFTLAGAVCSDMLSAQAFTTSMVGFGITVFSGPLLAPTIGGFIVMEEHLGWRWT HYLAGILGVASFILVLFFLTESYAPVILVSKARCLRQESRNWSIHAAHEEIELNFHSI FQDYFAIPLKLLALDPIILCICVFGAFVYGLLYLSITTYPIIFQQIHGMNPGVGGLPF VAVIVGQVFAGIVMYGRQRSLSRLIQENNGELVPEWLLLTAIPGSAAFSTGLFWLGWT GYRSDVHWIWPTLSGIPTGFGLITMFLPSVQYVVHVRRNRAASAIAAHTFLRSLAGSG FPLFATCMVRNLTLQESGIALIFFL ANIA_00969 MGKSGRQTRSLFGGILSINHFMQNREHVGKRLMTTSRVQAQVGL VHFIASTWKSLRYGLGLFSHLCDNYRAIYTMDNTKFRIVSAQTAEDIATARDLFTAYV AWLNIDLGFQDFQSELDFLPGKYGAPYGDLLLAYSIENKPLGCVAVRPLDDSKQLCEM KRLYVSPEARGMGLGKALVAAIVQRAKDLGYKEMRLDTLPSRMQGAIVLYTRVGFVET PPYYETPMNETVFLALDLTR ANIA_00968 MAPKLSSVGMLALPAMLLIPSVVADAEVCDNDTPLSCSSSSVEP SCCFNSPGGSLLLTQFWDYDPSTGPTDSWTLHGLWCAY ANIA_00967 MNEYWKDYSGDDESFWEHEWNKHGTCINTIEPTCYTDYTPQEEV VDYLQKAVDLFKTLDTYKALAAANITPSSSATYTLDEIHSALSDIHDGFAPYVGCDGD NLNEAWYFYYVRGNLITGDFDPAEKR ANIA_00966 MESLTIRRQEERKPLAKIDGATIDVDAIWAQMNAPDSKLGLAPI PDAQKQDETPASDTEVRDQENANPTEPNGPAEKGFQQTSEMVKIKRTYKFAGEMITEE KIVPRDSAEAKLFLSGNGDGEVIPVTEDEVANMTGKGNENTSTNNTLRIRRPLRKISR FDPNPSGMIKKSWGKQSLTETGARTGAGQENIRGPKINTVEKSRLDWVAYVDQEGISD ELRMHSKAKEGFLGRMDFLNRVDAKKEEERRNARLRGV ANIA_00965 MDLDDEQYNSAEDEDFTLDQAAEDDDAELSSSDDEPTTEPVAKK RKTKDKSGDVTQVDAELDSGDEAMIRKAKEKKLKQKHGKKGSDDEEDMDVNFDDDEGG TGGFVRTRAMKMQMYVFFL ANIA_00964 MASASLAESYHGSYHASCASDEDESSFLKRIRLLHWVRLGVSSL ALGIAIAIIACEAVPYRHYRVTSSFENIGLYLWPLNLDIRPTIAILACGCVVAFLSLI FIVVALLPSPHSHIRRANLAAVATSSSGFVATLVSLVFAIYLPGSSYPKNFGNVETLH SWTCKWKASHNLPLGFSDESSETISPAPVHFARDCGATHAAFILLGILVGVEVIMGVA AGVGTWLELSVARRRGQEQYQLEKVETSFGFKR ANIA_00963 MMPRSTAAVAVFSPKKKETIPREHGTEGNVCPRSTQGPSKLILT RWIATQNASNQTHSDKDTILRLQALNNTQAAEFEPTVFVTWDMKDLAKLPSILKRLIQ AYIATARQIVRVETDVVMLTHLILYFTTSVPSALYLFGPGNFTWVHGILHWIMQSYYV GTYTLMMHQHIHMGGILKKSFWWFDGLFPYITNPLMGHTWNSYYYHHVKHHHVEGNGP GDLSSTIRYQRDELIDFLCYVGRFFFFIWAELPLYFWRKGKFGMAAKAAFWELGNYLV VALLWHYVNWRATLCVFVLPLLQLRVGLMVGNWGQHAFVDEVDPNSDFRSSITLIDVP SNRFCYNDGYHTSHHLNPRRHWREHPVSLLQQKDRYAAEHALVFRNIDYIMITIRLLR KDYAYLAKCLVPIGEQVGMSMDERAEMLRRKTRRFSEKDVRAKF ANIA_10131 MTLSKLQGVKLPASADFHVHLRDGDMMELVTPTIRQGGVNTVFV MPNLVPPVTTVDRALEYKQRLQAIEPNVNFLMSLYLHESITPETIIDAKKRGITGVKS YPAGVTTNSSAGVVDYEQFYPVFAEMERQGMILNLHGEVPSQGDVTVLSAEERFLPTL VQLHEKFPKLRIILEHCTTAAAVEAVKKCGPTVAGTITAHHLSIIIDSWAGDPFCFCK PVAKTPADRDALLRAAASGNSKFFFGSDSAPHPAASKRGGEKIAAGVFTQPYTTQLVV DAFEQACRNGVLKEEDITPEIIEGFMSKFGRAFYGLEEQKEFIILEKKGEKVTNILKS DKVDVVPFRRDQETWSLAWSA ANIA_00960 MDDLTTSLLTEHFSYTPLSLIDDIINSINNLIYQAISSLESGLL STPAERLGFAHANNGSTIPDTDEDGNVVYPEAQLEIENGLHQLETLLEATVDKAFDKF EIFVLRNIFRVPEDLMGWIRLKHYECVNWYSLQNISLDPPPDAPTIDTILVLRQKLQE TKKLNRALKQESARNEVMIAQLKAILSATQASDAATDTKESGTTSLTKKDLDLSFLTA SPAAQQSRVGVATGSNQQHTPLTTKTTFILSQLPALQAMLKELRPKLAALPESGLAKD KEPKSDERRDYIESRIRLHLERSGQLPGNDGNITVGGRRVNISEAQALEAVTSMLTQA DRKEE ANIA_00959 MPQRRHRIDFSLLRRRQNFSSASSSDLSPGIPVLQSPMSFHAMA SPEVPEATLKRNKLDRAPVKPSMFFEDMDEEDDGPVTKSTAHDHKDVPAPRTAQKVIS GESPPKTQFFEDSFSSGVSFVPPVARIGQDSLVVIEVLVNVSVSRPSQL ANIA_00958 MAQIYQRSESFMFVSMQVIPSLRFGNSTVPAYSMKVFALPYLIA PITNLRSTILIQAALHDILHIQPSRGIILYIPVAEENMATNNTTMMGEVARLQSDTHG CGRESGIFKSLSRSLSRRKKSSSGASAPLSVATTSSWAARSDVHEAKPAAISESEGTN TSADEGSVRPRQSRTLRLFGSRRLTEPSEHSNAGSGIGC ANIA_00957 MDTDSISSKVIEVEGDLFDAPDGTALIRSHEANSFILTQYPAAY EIYESYCRMYLEKPQYRKINPTDTVTGSSQIPARDIRLPEGSTLVIPPQKRDYEKPGG KKHWVICLFTSRGYGRNVSSVDIILQNTELAIADLKDQLDELKQMWSKADDANIKGLK ACRFNSGLFGVDWALTRRILEDSGLEIIVVRPPGENDPIPPTYSLTNVPKYFVGKESG VRKSPDLASGSRDARRFNRQHLFHIYQLQSTRKKQIERRTMPVERQAPQKRRTLPFKP PSRASGSAVSASTAGSSKAKATKPATKTKNTNAATTFFSNNKPAKATTSRQRPSPSPS PTNSGTDSTSSTSDDSSRASPASASDDESEANYILAEITHAKPEHEDVLSSEPLIPPK LLTRLLHHHFRSEKTKIAKDANAVVAKYIDVFVREAVARAAFERSEAQGGASGGVGIA DGFLESCADIDMVLIIYLGKMAGFVLGVGCSAGVPLGHGMFFACTRDTSRVDF ANIA_00956 MAISETVPAISNGVAQIAPEKAEPVTRKKLHGRAFYESIGSPKY IVAPMVDRSEFAWRMLTRSFMTPEESKSVLAYSPMYHARLFEEHAGYRAKAFHPTRAW GDTKCKGDDSPYLDGNPAIDRPLFVQFCANDPDDFFNAARHVAPYCDAVDLNLGCPQG IARRGHYGAFLQEDWDLIYKLINKLHMELEVPVTAKFRIQESKEKTLEYAKMILSAGA SIITLHGRTREQKGHNTGLADWSYIRYLRDNLPPDTVIFANGNNLNHDDLARCLEATG ADGVMSAEGNLSDPSIFAKPPPVGSEGREYWRGRDGRGGYRIDAVFRRYLDIIYKYVL EQPVPERKPLYIPSDPEEEALPQSNTTTNTTAPAAEVEAHGGEEKEEEEEGPPKKKQR KQKVPHKFRHGNPNSPSTKFMQAHLFQLFRPLIATHTNVRDALARSSPSDMSTFEHTL SILEEEVRKGLKEYKQFPERFEHKPDPSLTGSKATIAEYGRPFWVCQPHIRPTPEEAM EVGALQVKKGEKQKKAEVENEQDKANDRMEAVSSVHTVEAADTPTTAAKDALLSG ANIA_10137 MSRLCPTPTSSIDTAGSPSSSIALSQDGEYAAHFYGKDLLIHLN PTSSDFQEVQLVKVKDTGCKFLRFSRKQDTAEARRVFCASDSRILIWDLYPLRQHAEI ENVEPGAVNVDFGADENEIVAFHAFNTKLTVFELDSGRSLIIKSPKFSHQNGYGYRPK TGQLAILLKPETSDLLTVHEPLTYEVIGREVLPTVDAQGLKWSPDGHWIAVWEAASAG TKVLVFTADVQLFRTYTGLPESDGLFDLGVRGLEWSPVVRDGLSQVLAVGKIDGTVDL LGTKTFSCSSTLSHIFPIDQSPPSLWRERYAAGGMSLEYAESSSSSAFSTVPEPTSVS RGVSLMGFSFDGKLLSTVDQTRPNIVWVWDLESTPVLVSVLVHEHAVRQVIWHHSSTQ MLITTANNALPGVRFWSPHRPPCIFRVPISRSDSGRYDVRWLSSDMGQKSRFWFGSQE DYVLGFIELDEHGAEFKALNTLGGKVLSGSHGTYTNR ANIA_10130 MAPAGKQKKKWSKGKVKDKAQHAVVLEKATAERLNKDVQSYRLI TVATLVDRLKINGSLARKALADLEEKGQIKKVVGHSKMNIYTRAVTAE ANIA_00954 MMSEVRQRAGVPKDASRSTSTTKDRGNDAQSRTRSGISILDIFR VMVSLAVVSCGLSYYLTETVTWGYNPWFTNWSQLVRYVKGPIALTPSELSLYDGTNPD RPIYVAVNGTIFDVSANPRMYGPGGGYHFFAGRDATRAFVTGCFAEDLTDDLTGVEEM FIPIDEPEELEKLSSGEKKKRREQDMRNAKKRVEKQIAHWVGFFGKHQKYFEVGRVVK DDTEKDEMKGKRELCEAARKQRPKREKSKSA ANIA_00953 MEVATTASGQATRVKSNKPHDFKPRLLLMGLRRSGKSSIASVVF HKMPPNETLFLESTTRIQKDSVHSFMDFQVWDFPGQFEYLESSFDLEEIFGSHGALVW VIDAQDDYLESVTRLNRTILAVQQYYPNINIEVFIHKVDGLSDEFRTDTFQDIVQLIS DELSDAGYENAPVHYYLTSIYDYSVFEAFSKVIQKLIPNLSTLENLINTLSNNCGFEK SYLFDVLSKIYIASDTRPVDMSCYEMCSDYIDVIVDISELYSWDHPDRKPKGEQNQEA ESHVALHDETMIHLMEMNKYLCLVSVIRNPEAKEKKGLIDMNCRTFQQALNDVFSRSW EQDPETERSQGQAGQAQLEEAPTNNFLSL ANIA_00952 MTTLIPRGPYTQDEVEKLYPKELKLQLVQVFLRHGERTPVSSRF ENAGLPPYWPYCNVARRMVQMAASNEDLSSWNAFKWRRKFETFGDRDQTIVTVGAGGD IEGICQHGELTDKGRETTYQLGQRLRHLYVNQLGFMPKIKSDTEDMYLRATTIPRALE SLQQAFWGMYPASARTEDFQPPVIIARSVSEETLFPNESSCRRFRQLARLFADKAAKK WNNSEEMDYINSVYGKWMPENSPRVAVDSHPRLSGIQDTINATDAHGPATRLPSEFYN NKARAYMEHIAVDEWFTGYNESTEYRKLGIGALMGDIVDRMVATAVDGGWRSQTAASG SSVEKGKAIKFAMSGCHDTTLAAILGSLGTLDNRWPPFTSSIAIELFSRADSTSESTD TALPAPKQGSGLFSFLSGSSSANSRKTHLPPSSTARTPLESLPESARQALQKNYVRIR YNDRVVRIPGCAVKPENHLPGDDTFCTLEAFKEIVDKFTPKNWKNECVQNLGEGLYGK DEKEKAISGF ANIA_00951 MATLAENPVVAQTSYDQDLDSFLNLDQLGYTPSEPARSKIGLPT SHPALPSSEYVSSDARSSSFASSSQSPVAFPAPSHQYEEHKQQTGIPPGALAQAIPFN QVMPFGATNPGFAVNGDMFQPQIKRDEAPLDFNSTPTRNISEMDLESDSIMATVPGFY VSQNSTNNQFVDPNALGGQEVVPMGSSTQVGRMYPGMHQQAAMAKAAQQQRQNELLRQ QQQQQQQQQQQQQQQQQQQQQQQQQQQQIHHPHAPNASVDERISRLLQQMKSASVTPS DSSPSPSAAPLTRTKKDEQDMDEDERLLASEEGKKLSSKERRQLRNKVSARAFRSRRK EYIGQLENEVAQKTNEAHELRQQNRALCDENARLTDLVRQLLSSPSFSHYLDEQPNVN GLPSAQVPLPHLPQQSQNSTIPQSALQQSNPVKEQTPNHGQQGYQMQQQNSQMGMMMV PSQGIDVSAMNMNNGGWNSGIDFNPSVFAVLEVPEPPVLDFDTLSGKPSGLEGISFTD VSSKRDASFLSFSPHEEQTAVDAGEVNVDVDESDPVLALFADQPRRSVSTIAQDPSLD GIEVGKASNYELVVESDFEAAASRLAYLCKTPAASIVRSLKPSEKDNSKHYTKKQKRS FVYNTSPILEPPQIPKIGITQMKFYYQILTTPTADTPGSALLLHFPGKRYLFGQIAEG FQRACTERGTKLTDVSDVFLSGRMGWDTTGGLIGMILTKADARASSKEALEALEREKE ANRQKRGWTKKDTKQTPANAELEDPQHDLTVHGSKNLAHTLATARRFVFRQGLPVHTK EYDAESVAKRLRTDQSDPFEVPTFSDENIKVWTMPISPSSTTPRSQSPKKRSLDEFRE DVRGLVEVDQQSKDQLVRQSVVSHMFDSTWTLDALEETRLADVKMPAQIFVRNPETKD LDKYTGPLPGGDEEVPEMTVLVRKPWPGASISKIPTTTPCVESLCYIIKNHDLRGKFD AKKAIALNVKPGPDFGALTRGETVKATDGTMVTPEMVLEPTKPGKGLAVMDLPTSDYV ESLLNRPEWKSPSVASNLAAFFWILGPGVGEHPRLREFVASMPNCKHIVSSTDYCPNY LTMQSVAGSAIRMARIRPDNYLVPIHDNNSVPQTQSSLEDRMAVDSQNHSFERAEPGL IVSMEPEFKINSDEVPRRLNAGSILTKMPQSAVRRARLVTKRLQHPLRQEKIQQFVSD IPGADAEIITLGTGSSAPSKYRNVSSTLVHVPGRGYYLFDCGEGTLGQLKRMFSPEQL REVLQNLRLIWISHLHADHHLGTVSVIKAWYQENYPSGVAQLSEPEKDIGKILEEKRL FVVSDLMMIEWLEEYAGVEDFGFAKLTPLSAYLYRTGDEGMKWSFKYRHCRADGSYRG REVEHIKPEQSELKFNCKGSPGEELSAKLRKATGLSDILTAYVSHCRGAMAVSLIFPD GFKVSFSGDCRPSPTFVTIGQDSTVLIHEATFSDDMVGSALAKKHSTAQEAIEVGRKM RARTILLTHFSQRYQKIAHFNQPKELVQDKSVTARDFRAHKSIRRKQETAAAEAASTD IPFKNDIDAQENIALDEPAALNPDATESLELSEPSELPEPDAPPRPIVPIIAAFDHMR VRVRDMYTLEQYAPAVERLFDIIERASKLEQNQAREKRRREVEENEKRKEFRRENKFK TKQEQMSQEQREMAKAEKEARVSDRSSSQSRPQSPDAKVSIWDAPESESGWSSDESGK DA ANIA_11285 MDGTVFCHLYVVRALTSGRARKQPLSDRSETRKRCKTDASAKIV GTCPGSHKITQPRKLESYAFGKW ANIA_00950 MNRNPNLNTGRTGNSSFTDQFNANVDYTRSKMGQGQDDTTGMGQ SETQTYGQNLAQAQADAQNEAQAGTAPASRGPAVGTGSSGANVGDDFSKGPSELETSI DSTNAGVDHRRTSYSFTAATLAHPNDRAGPDE ANIA_00949 MATVNPKDSMKSTWRDGNRDEWNFYHHIIDFLNVYHVDRDREVP VHSKDDKIPYVPQWSLHRFVLIYSAVPLLIHQVFSSYTGYTLGPFAAFNFYLFAFNAI IIYEVHILRRLGHKWGFLDGDKHERDGIPDVGIAKVVQSLYKTTGSRLAMSIYFSYSA DCLPAQMNWAWLPLEIGLYGIVLDFWFYWYHRAMHDVDFLWKFHRTHHLTKHPNPLLS AYADHEQEFFDMVGVPFFTYMTLKAFGLPMGFYEWWICHEYIAFTEVLGHSGLRIHGT APSTLGWLLDFFKADIVIEDHDLHHRKGYRKSYNYGKQTRLWDRLFGTCHDRIESAKE NIDFDITAPMPLS ANIA_00948 MTHSIIATCKQTRFHIDDKPSREVDIEGLTVAVSSVPESVEDPS RTKAKGKSKSKAEARELISDAHLRLKAGVHYGLIGRNGTGKSTLLRAVADKLVPGIPH STRIAILQQTDTASEDGYAPFYDRTEDQGASEGKFVLDYVMSSDQFRNEVTRKMNFLS KCFETEDPLEPVRGIRRIRHEDTEKQLFLARKNASLRSGARGLQARKELKAVEARFEL SRELLEQAKEDIDAEIIKQETQAAIETLQDLQSQFEAMKLVDIEQQASQILTGLGFKE DALSKPFSTLSGGWRMRCMLASVLIQNPDIMILDEPTNFLDLLGVIWLEEYLKQLRDS TQTTVVVVSHDRDFVNAVCEEIVILRDQKLTYFKGNLSAYEQDFEEQKLYWGRMKEAQ ERQIAHMEATVREGIKVGKKTNDENKLRMAKSRQKKLDNRMGVQVNARGGRFKLNRDL AGWHSSARAEIEVPQDEKGALIALPDPPELRFPGPLISLEGITFKYKTDASPVLKGVD LVMHLGDRVGLMGLNGCGKSTLIRLVAGISVPTQGKVSSHSRLRMGYYAQHSIEELKT RGLGDPSLTALGLMTKDVDGSLNEGQLRGLLSSLGLQGKIVSDVPILRLSGGQLVRLA LARIIWNAPHLLVLDEITTHLDYHTVTALATVLSTFKGAILLVSHDRFMVRAVIEGKR DLDHKLDDDFEGVEEESDMELPRRRVVYVMKAGTMTVQDDGVEQFEKSLVKRVQKMLH SARV ANIA_00947 MTEPMLFKRGAAQATSSFSRFPRIHTRTRLPTWRRLSPSPSRYV TTGLNPQVEVQDEPTRSPSPTPTSSTRWNALKTAKPFSAFLTDTFNRQHDYLRISVTE RCNLRCLYCMPEEGVPLSPPAHVLTSPEIVYLSSLFVSQGVTKIRLTGGEPTVRKDIV PLMQSIGELRHHGLRELCLTTNGISLHRKLEPMVEAGLTGVNLSLDTLDPFQFQIMTR RKGFDAVMKSIDRIQELNKMGAGIKLKINCVVMRGLNEREIIPFVEMGRDSPIEVRFI EYMPFDGNKWSKGKMVSYQEMLALIREKYPTLEKVVDHKNDTSKTYRIPGFQGRVGFI TSMTHNFCGTCNRLRITCDGNLKVCLFGNSEVSLRDIIRQQNNGEPIDETALQELGLL EAARTAARVHDEGGVVSQRERELLDVIGMAVKRKKAKHAGMGELENMKNRPMILIDKT SDAQRNIRYFASMSSMMSKGQVMNVSTHSLGLGMPMATQVRLYHRERTTTSCEEPSNK DSKFASLPTSDDPDLPHLNRSQNVHMTLIDEKPISKRLATATCHVRFSNRRPWELLRQ GPGSRKGDVFGIARIAGITAAKKTPDIVPLCHPGLGLTGVEVDVKLLDPSADDAEMKH GAMHVTATVGCVGRTGVEMEAMTATMGAALTVYDMLKAVDKGMVIGGVKLLEKMGGKS GHWVREENVKDE ANIA_10136 MTRECFLSSPGIGGANCTVHKARRCLRLIASGVSTLLPPFNFLS LHYTYFIVVSLISSLIFWGASNPPRSVSYTDALFMCVSAMTGTGLNSVEASTLTTFQQ VIMFVLLMLGHAILISITVLHVRKRAFQHKFKGISHALAQRTAQEAPAPNRNEDKSYP AADNVPMEPPTISSAEINVHFTNTGAQGVSHDQPRKAMVDQPSNLKSENERHRDNYQP INKAQLLQLGIWRGTQKYFESKGLISRNSQFHGLTLEERDRLLGVEYKAVSFLSVIVP LYWVLFLCCGILGMGIWLEVNKPQVPRDNGLSPFWTGAFFAVSAFVNSGMGILDANMT ALQTDAYPLITMGLLILAGNTLYPCFLRLIIWSVRRMLPDQQAWKTWRSTLDFILDHP RRVYTNLFPARHTWYLLATVVIFNGIDWAGFEVLSIGNKEIEGLPTAYRIMDGLFQAL AVRAGGFSVVTISDLRQGLLVLYVVLLVSAGPAVLIARRHTNVYEERSLGIYAEDETL YGHDKRPPNTVTNFLRRHLFLNKEGTRRHFLREQLKDQLSHDLWWIALAVFLISIMES SNYTKDPVGYSTFNILFEVISAYGCVGISTGYPGRDLSFCGVWHSLSKLILAAVALRG RHRGLPVAIDNAIMLPSESNAQAWAEEENVVSGREHQQVGHLGPGIV ANIA_00946 MAGSQKKSTKKFEKKHLKDVLERRKAAAKIKQRNQLKEKRKSDN TKSRAERKDDSEDDAEQVKKQNAFAEMNVDDFFAGGFDIADPAANKKKVKKDVSPKIG KRKRSETQEEEEEELSSGDEDFAESQDGDGGSEASELDDAETHKKDIEALKEKDPEFY KYLQENDAELLEFGDLAEVDALSEGEDEQDEEPAKKKKKAAKEEEPASNLTVASVQKW QKLMEEQHSIRAMRQAVLAFRAAAYLDDPDAQEQKYSISDSNVYHQVLVTALNNVPKV LSHHLPVKETASGKVRVSLDSKKFKTLTPLIKSHTSSVQKMLANLSDEQTLKLTLSSI EPMLPYLLQFRKLLKVLIKTIVGIWADASTTEATRIVGFLLLRRLMVIGDSGLKESVL KASYEGVVKGSRNTTVHTLPGVNLMKNSAAELWGIDQNVSYTTGFNFIRQLAMHLRSS ITNTSKESYKTIYNWQYVHSLDFWSRVLSQHCDGLVEAKIGKQSALRPLIYPVVQITL GASRLIPTAQYLPLRFQLTRSLLRLSRASGTYIPLAPLLLEPLNLTELRKAPKQATLR PLDFTTTIRAPKSYLRTRVYQDGAAEQIAELLSEFFVLWSKHISFPELSVPIVVALKR WLKQVSSRSGGNRNQKLNQMILLLVQKVEANAKWIEERRAHVTFAPRNKTEVEAFLKD VDWESTPLGAFVKVQRKLREEKAALLEEGRREEEKRRQEERDGGDDVVMDDVASENDH SGDDEEEEEEEEEEEEEEEEEEEEEISEEEEDDD ANIA_10129 MYAQRPLAYAPTPYSYTPNTTRSASINLDEEVKLASSSAERDLY ESLAEIYSIIVTLDGLEKAYIKDVVTEAEYTETCTRLLKQYKSSLGDDTVARAFVDLE TFKRTWDLECPRATERLRIGMPATVEQASHSGPSTNKAPGTTGSAGGTSGSLILTATE NFITFLDALKLNMVSKDALHPLLSEVIQSVNKVTDADFENRGKIIQWLITLNQMRATE ELSEEQARELSFDIESAYQGFKSTLE ANIA_10134 MSCPPDGHLWAEWTDKRLAVLDLPQIYTKPSGTELLQTLDLLRI KPRNFGVSPHESVKRQTVESTGLTRYLTSIIASPLSWLDTDELREAIWDAAAARLSER SGRTAMPAMSRVFAVPTSSGEELTLTLHEPSLTADNLGMKTWVSSYLLSRRLHTILDS TSQLVPSTSTGPTLNSDRTLRALELGAGTGLVGLSFAALRGNSAKIHLTDLPEIVPNL AYNAALNVELLNRTAATVTTGVLDWSDSPAMLPTAEEQYDLILAADPLYSPEHPRLLA DTVAIWLSRGLDARVVLEMPLRDAYLPQVQELRQRLGRVGLAVVQEGEETGYDDWETA NGDAVAVRCWWSVWGWSEKL ANIA_10135 MLFVFQNNINTVIVNLNLRSLSEALPFCLYIFCSYTYTNNIIGR FDPTIHLGPPTNKQAEYRYNKMVIGLLAITAIPTVTGIALGCSEQRKQNARQDDEKRM AKFYTDVVCTEDVEEADELDEKRVVLRNNKVYIDDPNPAKRKVESHTGQAFYIDYPEP DHMKDLKRGLGLVSTIQDNPPMLNWIYCDKETHELKYGNRTQSCEHVPAPWDWTDNEM TIILERNWGFYAVKEKDAEGRWSWAVYLDRDGDDLQRVLGDDVVAVPVKLKRTLVGTV PADSNQTGGS ANIA_00944 MDAFKLLTRSTKLKSATTQSSTLPSTGKAANPQLFRGAAAEKLE KGSGKKRKRAHAADDEAVVNEDALNLDFFSQGRSSIPKSSDAATTKGSGAPAGQEGAS ESDSDADDEPMDEVQRRTILNAHKIKVTDMRDLEELAPAQVQSEEPKKKKKRKQQEEA EKQQPQTLSKKEQKKARRLFPQPLVSFKELRSKYKISRRLAENIAEQGFTVPTEVQLG SLPLLLGNSAVPGGSSDSTSATEPDLLVVAPTGSGKTLSFMIPVINKIVRHHHTHPEE RGIFAVVVAPTKELASQIVNEGRKLALGTGVKVTLMKKGMRVVERENEDEDVLDESNS ESSESESDERTPNNKNKGPVPITKSDILVSTPLQLVNALSDNKTKPLATLPLVRNLVL DEADVLLDPLFRDQTLDIWRSCTHPELRASLWSATMGSSIEDMAKTTIKERKLSLPQT KSYPLLRLVVGLKDSAIPNIKHKLVYAATEQGKLLGLRQLIHPTAATTSDVRLRPPFL IFTQTIPRAIALHSELLYDIPPEAGGSARIAVLHSDLSDTQRSDIMKGFRKGEIWILV TTDLLARGVDFRGINGVVNYDIPNSPAVYVHRVGRTGRAGREGGVAVTYYTKEDIPYV KSIANIIDVSEKLRGENGERSVQKWLLDALPDLSKKNKKELKKHGVKARQTGLKGATD EKEQRRTRISTKSGFDRRKEYNKKMMIAASQKKTQARKNGADSDSAGDDDDEDGWNGL DD ANIA_00943 MPATATRAVLRQSQFLTRTAVRHSSSTSQATSKATETASSTASK AQQGLSRVSSSAGPAISNAAQGLGNTLKKVGGRTGKVVSFIESMIPPTIYYSRVGLEL GKLVFRGQNMTPPSSATFQSYFQPLINALRNPASLQNANFSPQNILARVRNANKKEIA LAGVTAAEVIGFFTVGEIIGRFNIVGYRGEAGHGHH ANIA_00942 MATATVLEKPNIGVFTNPKHDLWVAESKPTLEEVKSGESLKPGE VTIEVRSTGICGSDVHFWHAGCIGPMIVTGDHILGHESAGDVIAVAPDVTSLKVGDRV AIEPNVICNACEPCLTGRYNGCEKVAFLSTPPVDGLLRRYVNHPAVWCHKIGDMSYED GALLEPLSVSLAAVERSGLRLGDPCLITGAGPIGLITLLSARAAGATPLVITDIDEGR LKFAKELVPEVRTYKVEIGFSAEETAEGIINAFNDGQGAGPDALRPRIALECTGVESS VASAIWSVKFGGKVFVIGVGKNEMKIPFMRLSTQEIDLQYQYRYCNTWPRAIRLVKNG VINLQKLVTHRYALEDALKAFETAANPKTGAIKVQIMSSTADVEAASAGQKN ANIA_00941 MLGFLLFLPLVGAAVIGPRANSQICPGYKASHAKHNSHTFTADL TLAGKPCDTYGTDLKDLKLLVEYQTDERLHVMIYDANEQVYQVPESVLPRVGNGNGTE KDSALKFDYVEEPFSFTVSRNGDVLFDTSASNLIFQSQYLNLRTWLPNDPHLYGLGEH TDSLRLETNNYTRTLWNRDSYGVPSHSNLYGAHPVYYDHRGSAGTHGVFLANSNGMDI KINKTLDGKQYLEYNILGGVLDFYFFTGSTPKEASTQYAKVVGLPAMQSYWTFGFHQC KYGYRDVYEVAEVVYNYSQAGIPLETMWTDIDYMELRRVFTLDPERFPLGKMRELVDY LHDHNQHYIVMVDPAVSTSGAVWPGVTVYPDWFHPAIQDYWNGEFNKFFDPETGIDID GLWIDMNEAANMCTFPCTDPERYSIENDLPPAPPAVRPSNPRPLPGFPDDFQPGSSKR LSKRAHGDKLGLPGRNLLSPPYSIKNAAGALSQNTIQTNIGHAGGYVEYDTHNLYGTM MSSASRIAMQQRRPDVRPLIITRSTLGDNLSTWKLYRASIAQVLAFASMFQIPMVGAD VCGFGSNTTEELCARWASLGAFYTFYRNHNEIGNIPQEYYYWESVTESATKAINIRYQ LLDYVYTAFHRQSKTGEPFLQPLFYLYPEDKNTFAIDLQFFYGDAILISPVTEKNSTS VNAYFPKDIFYDWYTGAVIQGQGANIILSNINITHIPIHIRGGNIVPIRSSGAMTTTE LRKKGFQLIIASGIDGTASGSLYLDDGDSLEQTDTANIEFEYRSGVLYIKGQFIHDVP VKIESVILLGQTTTAGAGRHNQKQVIETNLELTAPTRVKLA ANIA_00940 MHLSTSAAAILALSLAGPTMAGRPYFCPLALDAKFLQVPYCCEG FVPARDSKVSFEGVNCIDVSSDEDFVKTCPKGGTPKCCYSIGPKVICTTEVGDGVDK ANIA_00939 MPTVSAMVSRHPKSFLGTESSMRLTKSKPLRKWMPSGAWDCHMH VTDRKYPVVAGAAYQTRPGQGIDDAMAFESTLRIKNVVLVQPSIYGTDNSCLLDALRR VGPSRGRGVVDIDPRETKVSTLREWHSLGVRGVRVNFMSKGVTPVHQQLDDALSKRAR LIRDLGWMIQVHTPMSTMPHLEEIIPKLGVKVCIDHFGCPDLPKLKWDQGAYFDPYLL RGFPSLMNLLQGGLTWVKISAPYRFSKDEKLRDMDVLAREFIREAPTRVVYATDWPHT RFRNVDIEPFTEMCLRRCGSWAVAKRVFRYNTEELMDCRLNI ANIA_00938 MRRLELDRSRWTTPSFYAFVILVIACGSIPKGYDEGGYSASVRL YSFKADFNLLDSNWTNNETGLANRVANITSFNVLGAALGALVSLDLNDRLGRLRSWQL ACAVWMSGTFIQVFASGMYGLLLFARIWGGLGAGALTVATPLYLSEIGFFINYGANIH MSPTRSQYRLVQSIPLIPVGVAFGASTMIPETPRYLVSKSRLEEGRNVLARLRGLDAL SPKIEEEFSLITTQARFRADTLSSISNWTAFKETQSNPNYRQRFWLLMAMQTISQWTG GNGITYYVSTIFESAGVTGNATSLVSSGAYGVVKLVFTMAFTWGLIDFLGRRYCVLLG LTLQLAAHVYLACYMGVLRPSDDTELVNKPASNTAIAAVFIYAIGWSIGLCTVPYLYG TEIFPTRIRNVSYAVSMSLHWFFQFAVVRVTPNMFVSLHDWGAYMFWAIICFVGLVVL GIWMPETKGVDIELMGELFEGPWYLRWRARVRPKNGEQTGL ANIA_00937 MADAPSKSNPSRKSAFSCEGCRKRKDRCRIGVKCNGASPSCSRC AARGTPCVYSLAPTLAYTKQLERRVAELEEALAKHRSQQTSDAAAGTPSSAASTNENS LNSTSSNHKDDEMSGDQGLSRDFEGLKVEHDGRVSFHGPTSLFQLPSGALNPAVPNPQ LATRVGGRKERLVNNAWRERAIEQMATMPEPFQYLLDSHWCWIQPLFNFVYRPVFTRD MKLNGPYYSDALLNAILSHSVRWCKAEPRISPLLEQYEGGAVFWHRAVTGLHDSLRDG HVDIPTIQTMLLLSARECGQGNRTQAWLYSGMAFRMLDDLGITIDSRKYSDSAQLSDE DIEIRNRLFWSCYFWDKMMSLYFGRSPTLQSSQGCPPRTILDDTSETESWTPHGVVFA DGSEYPPTQARSTSCFIEMCGLMEILNQILVHIYDHNRRISEAEFHTCVREQSRNLSG WWDELPEHLKLIPTDLPPYSPPSHVVTLNCVYHTINILTHRPILCSKWSREAYDKSHL VHCMTSATAILSIFTMYRRTFGDSHIVLSIAYSVYTAASIFLLEIQALKFAAPGSLEK LKICISALEAVSVSSPVITTALSLIYQEIQRLRVDHKIELSIPERNALHPQPQQPSIT HRHSPADQYPLSNIPPTSHPVSTHHRTPSTISHARVDISASTNQFYPYQPSMYASEFG TSHHDVPRSAVTHDFNGLAEPLMSLENPASYEFTPEVFEAFSYAEPITTNMTPAEGGY IATPAL ANIA_00936 MRRIYPLLRNTIPFTKPVSRRYPSTRVQWLSSTSLRPCSCSDQQ AQQQPSENQAASSADYRALGTAQDLFTTSIYSPGSPLFLPNGTHIINKLISFLRTQYL QYGFREVLTPTIYKQSLWEVSGHWQNYKDDMYEVRGRGAMGDTEGEAGENESYGLKPM NCPGHCLLFKSQNHSYRELPIRYADFSPLHRNEISGSLSGLTRVRRFHQDDGHIFCRP QQIKGEIKSALGFVDLVMTTFGLGPYRLVLSTRPEKDFIGDLQLWDSAEAQLREALDQ TGKNWAINEGDGAFYGPKIDIQLQDQAGKYHQLSTIQLDMNLPRRFELEYQVAEGEED YNPATPGRATPVLIHRANFGSLERFLALLIEQYSGRWPFWLSPRQGIILTVNQDDAVV KQAHEAAAQISGFKALDQNAADGIPQPLSATDSTFLIDVDTSSQTLSKKIQRAKQMKY NLIFILGPKDLAEGRISVDITGQMNSKPDHNAEKLQAVLTQRVGKEALRKPRAIPLPV DDVHDLLVQLEKHFV ANIA_00935 MSRLAITNLLGASVLKYDRYASCAAALQDSLIRTMEYLIFQAFA HEITTGLFKAIVDNQLFAMGVFDGFMLLAAPLTALCAEIGNQCKRTAGDSQIYTWQKG LYSFLLKPTLSRHASNMLRSLTEKQSSRYMDGRWIKVHVLPLQWKFG ANIA_00934 MQIFTLLLKAVPLITLWAGDYQDFSLERDFWAQDWITPISTLSA NSMSASVLGESWVVASAHTEGEDAKGGSCSEVPEQTRSVIESGCKHGSESTTSSVPGP ELIMPSIYETPITESSWVLPSVRAKAEHTTLRRRHQSSAEPARAEKAAPNTPDQNAHS TAACADQPRSRLALFEAAIRAIINIILCAAISHLLVLPELVQQYQAMCSIGAISALYP SSCISPHVPHFSSHKNSQWSTPEAVLSSQARLELLFNATLREMAPLDNALKQTESQLR TVEQELRLAQPGTKHELDLEFESCWRVIRIAAWKFDSLKVDLRSAVDSLVSAGNVKSN FAPSESQASIAHDARLSTQMLRREAYVNQLMTRMRSKADSLAADLATLDDHLESIENI VDREIKHSYFPSQLKDSSSRLLAFVDVIVPPGVALPSFLSARRAGRPDDSNVDPASTT PSPKLTLSEIFGEATRHHRSVARVARNLSKQLQ ANIA_00933 MMYLRYAAALASVLPLAVAQTFTDCNPLEETCDPDPGLNSKTFS SDFTQGESALDGWVKASGDVTFGPDGAEFTVAKKGDAPTIDTDFYFFFGKAEVVMKAA PGVGIVSSIVIESDVLDEVDWEVLGGDTTQVQTNYFGKGDTSSYDRGTFEAVATPQEI FHTYTVTWSPDAISWIIDGNTVRTLNYADAKGGSRFPQTPARLRLGIWAGGDPDNAPG TIEWAGGQTDYSAGPFTMYIKSVHIENTYPGSEYTYSDNSGDWQSIKVDDSTPPQETT KTSSTTTSTAEPTSSTSSDSETTTTTTSATDSETETETSTPTSSSETTSSSSESEPAS PTATETGSGSGSGSSSESDSASSTPSDSGSATPTSNETSEDPEFTGAASSLTRSAGGL FTVLGMMAAMLQL ANIA_00932 MLSRSSLRSLSAQASKIRLTRSSLVCSPITQSNRAYTSYGIATR NQKRGVLDSSSRSAISTPTGLRLASLTRQFSSTSPAANSSNMPPVETKQYDYIVLGGG SGGSGSGRRAAGWYGAKTLIVESGRAGGTCVNVGCVPKKMTWNFASITESIEAGRHYG YDLPHNIDVNYTHFKKLRDSTIERLNGVYEKNWGNEGIDLVHGRARFVEKKTIEVTNQ DGSRTRYTAPHILIATGGRPSLPDIKGSEHGISSDGFFEIEELPPKLAVVGAGYIAVE LAGVMGTVGVDTHMFIRGETFLRKFDPMIQKTMTERYEAVGIHVHKKHPGIKEVQLLR DGKGKDKLLKLIMNDGSEMEVNELLWAIGRVPEVEDLHLEIPGVELNKSGHVVVDEYQ NTNVEGIYAIGDVTGQAELTPVAIAAGRQLGNRLFGGPQFKNAKLSYDNIPTVVFSHP EVGTVGLTEPQARERFGDENVKVYHTRFPAMFYSVFPPEEKAKNPTEFKMVVAGPEEK VVGLHLLGLGVGEMTQGFGVAVKMGATKKDFDSCVAIHPTSAEELVTLR ANIA_00931 MSAEHDFAAENPPVSPHSDGDSAPVTLEDEVSSPNTKTIPVLRS TSDKVSGITPSSTHIGQVNAARYGGGGGGINTRPQASIGSASQDILAKMKAFQLSRQG VPPNLNQSVSTGAISQGGQQSTPSVSGPLSGNNSPSAMNGPLAPTASGRLPPTHRPGP PKNWASAPTVGGGSPVSGSPKGGLAAKRMKPGLKLSDATGPSNPAAGGQSPAGGPIGG GESAFSKYSEYIDTKSGTLKFKNKAVLHGGGIEFSSGQSFSISLDEVDRMDELGKGNY GTVYKVRHSRPHMRKPGQGLSGIVSRPQGSDGSDTELKPQDSLTGAVMAMKEIRLELD ESKFAQIIMELDILHRCVSPFIIDFYGAFFQEGAVYICVEFMDGGSVDKLYGDGIPEN ILRKVALSTVMGLKSLKDDHNIIHRDVKPTNILVNTRGQVKICDFGVSGNLVASIAKT NIGCQSYMAPERIAGGGVQQSGASGGTYSVQSDIWSLGLSIIECAIGRYPYPPETFNN IFSQLHAIVHGEAPNLPESEYSEDAHSFVRACLDKNPQKRPTYNMLIRHPWLSSLMQP PDSDNPDVPSVSLADGASGDVTPPVTDDQEVADWVKDRLEKRLNGLLKDETKPALHAV PLDAVPGSPLLDDPPIANLSLASSMPE ANIA_00930 MAFFSSPRSFQNAQSLTSRQFVAQTQMGVNPGILQDISSPHSNH PPFLHLVLLVFEAVLEVVCVSLPGYIAARVGMFDADAQKFVANLNVALFTPCLIFTKL GSQLTAEKLTDLAIIPLIFIVQTAVSYSCAFVVSRCFRLKKRPSNFVAAMAVFGNSNS LPISLVISLSQTLNGLHWDRIPNDNDDEVAARGILYLLIFQQLGQLVRWSWGYRVLLA PKERYIEEGERDNGETVVAQGRERYTDNPEQVDPDEPLIRTRDSSDGSTEQATGSNDD SDVFHSGEATPVNTRSYSYTKLPHSGHEDQAHDQSPILGPPPSGPFLPRQDSRGDILY FPNVEVNPQDNTLEQGPLSRFKTSMGRLRVRIASGWARWTSALYSRLPPSLQKVLSKC SDSTSRFVRGVWDFMNPPLWAMLVAIIVASVPSLQRLFFDDGTFIPNSVTRAINQNGQ VAVPLILVVLGANLERNTIPQEALEDTEDAETEKKLIIASLLARMLLPTIIMAPFLAL IAKYAPVSIVDDPIFIIVCFLLTGAPSALQLAQICQINNTYVGAMSKLLFQSYVVWIL PSTLILVMCALEVVEWAA ANIA_00929 MPSFTEHELQGHYLGVETRLPSAQNPSQDSAATSEANTTRQRRV SVSKVTVRQSFHYPTSAGALNHQVPNANESVTKEDIDRWLKQSEEESRHNLFSQVYEW LSREKSKRKHSNKSNGSTNGSGNGFDGEGDAHGDGAQLTRTVSQGSDTTLALDALEKI LLQYASRYDSRTMSTCSSRRSTRRRHPIKGLRRGSVSESDYELDPITPAVDAVLDNSR TLSYTGGGVESEDGDAASITRAKDREAWVVFKSELLRLTHTLQLKGWRKIPMELASEI DVVRLSGALTNAVYKITPPQNIPPPKAEDGSYTLVPRRPPPKLLLRIYGPQVDHLIDR EKELQILRRLGRKNIGPKVLGTFNNGRFEEYFEARPLTPKELRDPSTMKQIAKRMREL HDGIDLLDEERENGPMVFHNWDKWVDRCEQVISWVDAEIKSPLNEGKAASEPWRRRGY VCGVPWPKFRKAVEDYRKWLIVDSGGVHEIKRQLVFGHNDTQYGNLLRMEPSQQSPLL LPQNEHKQLVVIDFEYASANTPGLEFANHFTEWCYNYHDAEKPWACNNQLYPTPEQQH QFVTAYLTHRPGLGSRVSPSITPIMRPLSASTPTMTPLDLNATSPDLAPQRPPDNIER SAQDTLEAETQFLIRQTRLWRVLNSAQWVAWGIVQAKVPGMEDDGSSTPTPPVDSDVD ESDEFDYLAYAQDRAFFFWADLVALGFVQKEQLPESLAEVVDGRILEY ANIA_00928 MAGPGGGPPRKSHTKSRFGCKTCKRRHIRCDETFPQCRNCTKHN CRCDYMDIATVHDESTSVRKVPDLLMSTEIEMEIKNWQLTGLPPFPELMHFPRDCWSK LSRTDLRLIHHIIGLSIDLHRRGLSSCTIWAQKMPLFLSIAMSNDFVMSSILTLSATH LAWITHNQETKQLAFHHRGISIQGLQKAISTFSKDNCDGILAASILLSWQDSEWSSWV SLQQGVTSVLDSMPQLWRQESELAMFLENQRFLASANSLVVSGLRFQEEDLASLDHTI ITLQTIQKRVAHNHEHFRRLGELLEFVRHLQRDILSLTPAQAFERVQPLRQWLFFLPP AMLRGGDGDIGALAILAQFFGVGVALDSLLPDLGGAYLGPMSVGPIEEIYRTIYARNA TTPFNPDVQLATSIMDLPRHLAAKYRARLQWSPRTSVEYYSPPPPSPFQTVQDFRPAA SPSPSSVSASYTAYTPPLQSPPAVTIASSPYEVSASYATAPGSASLYPPAQLLSDTRE EPSDCGHPGSLQHSPPYPPSYLEDIVCGARVDGGLALSPLELYEDPHSVVHDYGTPES MWPGGICT ANIA_00927 MPDIESDWIAKLYSSALQTRKMADTAGWSTIESDEGVFTSLVEN LGVKGVQFEELISLDPDTIRSLGTVYGVIFLFKYLRQTPDINTSSSADGTPTDPSTLP PSFFFANQTIQNACGTQAILSVLLNHDTPSPENNNDPITLGPELSSFKDFTTGFPSDL RGEALSNSEAIRTAHNAFARASPFVDETVRPRDEDEEGDVYHFIAYTPVNGTLYELDG LQASPISHGPCDASIFPEKVIEVLQKRIARYPETETRFNLMAVVKDLRIRAAEMGDQE ALNTEERKRAGWAWENSLRRSNFVGFIGEVLKGVTKVKEEEGSVEEWVKRAEAETARR LRR ANIA_00926 MQWQPEEGPLGQLAYCFRDSLNSHNSAAQKQAEQMLVQATSSPD YVKYITYLFCTPQKPSVLNMQPAEYDIVRVAAAMNLKMKIHVAYNTIPQDSLAYIRSA TLIGLRDDNGQVRNSAGIVITELIAKAGLLAWPEVLHELLTLVENPAGDASTTTREAA MSALFKVCEDNRKILEREYSGQCPLDVIIPKLLSFSSIDSFRIRESALKAILIFLPHR PKSLIAQMDVFLSQLFQLSNDPSLEVQRTVCQAFAQLVDFAPEKLIPHMDGLVNYIIM QQQSQIDPELNLDAAEFWLVAGEQAALQQPLAPHMPKIVPVLLQSMVYDEEEAIRLAG EGEDADVEDREEDLKPQFARSKASRMDVSKAGGQQNGNASAQAPEDEDDDLSEGEIED SEFGDDPEDEWTLRKCSAAALDVFSNVYHEPIFEIILPYLKETLRHEQWVQREAAVLT LGAVADGCMDAVTPHLPELIPYLISLLNDTQPVVRQITCWCLGRYSEWASHIADPAER ARFFEPMMEGILRRMLDGNKKVQEAAASAFASLEEKSDANLIPYCEPILRQFVLCFGK YKDRNMYILYDCVQTLAECVMGELGKPHLVEILMPALIDRYNKVSDQSRELFPLLECL GYVAAAYGDTFAQFAPPLFQRCTKIIYENLQEYVASVNNNTIDEPDKDFLVTSLDLLS AIIQAIDPNKSGELIVNSQPRFFDLLCFCMEDPNYEVRQSSYALLGDCAMYIFPQLEP FINNIMPILIKQLDLDMIRDDDRHTGFSVLNNACWSCGEIAVNEKAALAPYAEKLYHG LLAIINNEEIIDSVNENAAMALGRLGICCSDQLAPRLAEYAGTFLKSMSRIDFTREKA SAFLGFNQVVMKNPAAMESSLVDYFQAIAAFPSKSLHQDEYHDIQSSFQQVLQGYKNM IPDFDSFMSQLPPPVAQKLRSVYQI ANIA_00925 MPSLLRRIVIFAAVDGLILQSPGHGSRYNGNGDYTSIRIDYKTN RIASLSGPASDLSVRKDFASLETYGLVGLLSVASYSFLIAITQRQQVAQIQGKPIYSI AHVAVIPTSSQEDASRAILQAKESILQGEESDYNDTASEEADVSEAETDGSDAEEIST APASPIRETRHPRNDSISSNITENMIGKKVRFGRFAANWLSRKAMGLPGLGTAELNTQ EDTESVGIPMVEFKENDPVVARSAYAANTEEPIASASYSSSPKNSELLPPTETKPIEL LPKLLRYSKLIFSSSNFFFSYDYDLTRPISSQPSAGSGHLPIHKVADELYFWNQNLML PFTTAGMHPFVLPLVQGFVGQSEFTVAAKTDPSSEAEPSEARILGEKQEVEEVKIAAE KRNYLLTLISRRSVKRPGLRYLRRGVDDDGNTANTVETEQILSVPDWDPSHNVYSYLQ LRGSIPLYFSQSPYSFKPVPVLHHSPETNKLAFERHFRTISRRYGRIQAVSLVDKRVP ELKLGEQYEKYAQGFNQSGGIDGVPLGFEWFDFHNECRGMKFENVSRLVDKLADTLEE FKSIVVNNGTIIQDQTGIVRTNCMDCLDRTGVAQCAFGQWALERALKQEGIDIDLGGD SSTRWFNILWADNGDAISKQYSSTAALKGDYTRTRKRDYRGALNDFGLTLSRYYNNIV NDYFSQACVDYLLGNVSTQVFQEFATELQTADPGISVQKLRQNAIDTSCRIVISDQSE EFLGGWTMLTPRQPNTLRTLPFEESVLLLTDAAVYSCRFDWNTDKVLSFERIDLRSIT RINYGTYITSTLTEAQSDEQHNVGLVIEFREGDNNALRVNTRSLQSEVDPKALDNQWD MSSWFRGPQRVKPRIMAFKALPQSNSVTQTRRRKSATVSEIDWVQTICEEIERAMRAG EQTPANEPRPSVIGPAEIISLAEAKKRTGLLEHLVHDIKKMVWA ANIA_00924 MISDKYASPRSLLLPVLGGRILTDDSINRYIGLLLAILSTMAIG TSFVITKIGLNHATERHGFEGEGFSYLKSPTWWVGVSTCTEQPHLKNREGEADNFAVA IGEAANFAAYAFAPAILVTPLGALSVLIGAVLGSYFLKERLGVLGKLGCAMCLLGSVV IVLHAPPDQPVDTIDEILQYALQPGFLIYCLAVAIFATVMIYRVAPIYGKRNPLIYIS ICSTVGSVSVMSVKAFGIALKLTLGGHNQFTHASTYVFLIVTAFCILTQMNYFNKALN EFSTSIVNPLYYVTFTTATLCASFILFKGFNTTDAVNTISLLCGFLIIFSGVYLLNIS RNDPDGHSMNAKYDDEGVPTDGIAGFQTRRSMQARRSGEPHRRSSSSIAFLSGRGDRE GLIRSYDVENQNFGLSELTEESDGEPGPTFKRSEEVDRTSQSRKKNDDL ANIA_00923 MTTTSSLTFSAMEVPTMDDTMEMASPYQGHADDFDIDIDVMEDQ ASNADRDMTAADDYMDNTHGENHGQDGFPDEDMIDEVAEPSMIDADEYPDTNQNIDMQ FEEGKPEDESKIYEAEMLEDEYVEDIDAPVLEHPGEAPETLEPEHAKSEGAPRAYQSD KDLPENGSENHAEPHTGATAKDLEESQSDSRPDSRQVADRLDGEQPAEEPETVHVEPQ DSEELANNTNRAEQTQQAEQAEPQPLHNSNDQDNAEDLQNGTDEGEPGQTQSTNDECR ESEVQSREQLQVSETNDQETEQDHESTDTSLHPVKVYYQDNEISLFPPREGDASETFF LEDESLAYESFGKLFGACREVLKNHITENEVLVIDIEILNFQVTEVSQPMLMFYVQPA DEGYQDSLETHNFTLKQIIDVYLQLCHNDGIVEAEALYLNLSTKLTLAAELSELLIAA SEGKGLSEIQSWEIYPDAEGAAETEETVQESYSEEQPGSLEKGTMENKSNHGSSQEPG SDVTSHEEDASNSPQGNLETEENRSVGNADDGKVTFNASDLEDGNNASPQAASHNLEE QNTDSTGTLEPLPINDPSEERLQPGEVDEQSHGDENDGEDYHEDDEDDEEAHPEEGFW AQATASAGDPEEPEVDDTGDLEEHPAEYNDLESYHQQPPLEEDVKTDVADAADAASED DLALKPLPEDSSNTTEPPLDGNSPEKSDHQHEVDDSPGVADHANSPDSGSKPVDLVGV GEVDEPGHLDQTPENTVSLPANDDEGADLPFEDEEDYLDLGSANDANSLYEDQEDASI SHVSGKRFREPDDEIDFPQSSTPEVKRSRSS ANIA_00922 MVVLAASICTRGGKAVLSRQFREIARSRVEALLASFPKLADSGT QHTTVEQDNVRFVYQPLDELYIVLITNRQSNILQDIDSLHLFAQVTTSICKSLDEREI LRNAFELLSAYDELVTLGYRENLSLSQIKTFLEMESHEERIQEIIERNKELEASEERK RKAKQLEMQRKEAARNSRNIAPRAPSYPVYTPPSRPAVPDTYDSYEAEKKKTFAKPLP TRGKGMQLGKKSKTTDIYEKVRGDLGPEAEESSPLVTPQASTPVAERVSSARPSLSAD RDPIHITIAETISASLTRDGALKSFEVKGDLQLRISDPAFTKLRLDLLANPTHGAQFR THPNVDKAAFSNSSIIQLKDTSKRFPANNSIGVLRWRVAGSGSDNADVLPITFTVWLN KGSDSTTVTVEYELTGSDSLRDVVVTIPYGTAEPAVSSFDAVYEVSGDSLDWNIGNVD ENNASSSFEFESTADDENEFFPMTVRFSKASPFVEVDVTNISLIEEGESTGYSKDIKS VAEGYLIE ANIA_00921 MSTVGGGLLSNYLNGESRSQSNGWEREANRATIQNGLTDSMSAG PVKLAGRRKRSAQERKLVVGIALVLRYPATTLMGKGKRRRSAPLLHMAELVKRVEILE NAMSGLSSKYGVPVEELAQNIIRENLDGTTREDVEAKCQELQDTELKHEGMEAQDAPF KDLSSAPSPGPATQPYADMPSGNQMTSGISSSSVSPASEVQDTPNPYIIPDPQFVHPS APDMLEPQGFFRQEYNESFILNNDRTLQSNELTMHHSTMDTFTTMADSWEQHF ANIA_00920 MDSEYPPLPSSKAFNASLQSISLSDGEGNTQVLSGSETPTSMTS GRGQGSSYSSTQVIVHSGDLILQYTSLKHAETTHTRWRVSSESLMQSSPYFRALLDPD KFSEGRNLVKQRELHKLDVNTTVSGDVAGFSGNPSSDQDALPTLRLPDDHLPPRFGPD NIGLFLKVLSFNSFTEAERESFEAEVRAQKPSFIAGLIEIADAFNSPETVRECLERAC YTLGKPKLPFTKFTASMLKLNENRIRQSIFIAKFLNHQTVFKMLTHALVVGGSRFWVN GIEPPAPDSPGWHYLSDGLEEELYYRRQSVLNTVTDLQAHFLRIYGALGEPTPPSKPG TLPLPNPSPASRQYQCRCGLGNSSACDIFHLGQMTRFFSLRTKTIFIGSTLLDPDFNP DIENAVIEGEVGTRPTDITSVISLLKQCPDYQIDSNHMACGIRRRFLPALDCIEGFVG DERGLLGVNLSYWRKEGNDERRGGESNWPHFQGSWANRAHRRALLVEIRLSRIMGIPL MSPGSSAAEYREEDARLLFTAKKRNWEA ANIA_00919 MDSSTTSALPGNRLIAYIQSLAKAKKGLPYGLPVCVAPSHTVNS TDALLRLASLIGPYITILQVQADIIDDWSEDTIRQLTLLAKKYAFLIWEGGRILNATI GVVGRQRAESREVRNTLVDLIRKKYTKGVVKPASWANISTAWASGVAVNNQAADILIP TLKAAAREAVADAVQTIRTEITAVIDSNRPFSPHDSFGAQTNGETSHQRQPSHYAIGE GEHNSLALPPRKASTISLTRTITQHTEESTDALMGTESYEPEDVWDFGVAPPPTIDTD LPPPPLLARGILLCLPSATDSAFTPEYRKSTMAAAYANQDFVLGFICGEPWHLVSQRD DQILGFDALMDTLTPTEQDQLSHDMDGIEDTPSHPLALLSIIPHTLSSANDQQLGDTT PTTFETPEIPTDSLNPLAHRLYSLVGESVRARDACTSQREKSTRKQATKRSYRRPDLL HIPLVYLP ANIA_00918 MPGQTLPTFTPAEVESHNSAKSCYVTIGSKVYDITSFVDDHPGG GDLVLEYAGKDVTEILRDPVSHTHSESAYEILEDNLVGFIGSESSSKCANGSANGKPV YASTGMSTAEDLSVETDAVQDYQKHKFLDLNKPLLMQLWNSGFSKEFYLEQVHRPRHY KGGDSAPLFGNFLEPLSKTAWYVVPIVWLPPVLYGTYLGASGLGRAPAAAAYWLFGFF LWSLIEYLMHRFLFHLDKYLPDNRVGITLHFLLHGIHHYLPMDKYRLVMPPSLFVILA TPFWKLAHTVFYYNWNAAVLAYCGGVFGYICYDLTHYFLHHRNLPSYYKGLKKYHLEH HFADYDNGFGVTSRFWDWVFGTELELPPPKVLKTQ ANIA_00917 MSGLDVEALLESTAAPATQRPDDRSKAENGDRRDERDRSWDRDR RRRDRSRDRRRSKDVDGDDDMRSPRSEHGSANGSHRSRKRSRSRDSDRRRARRDRHGD DYRSNGDYYRGGGRARTRSRSPYDDRYYRPSGRSRRDERDEERRPRREREPRKRSDSR DRSPELNEDERDRRTIFVQQLAARLRTKELIAFFEKVGPVKEAQIVKDRVSGRSKGVG YVEFKDESSVAPAIQLTGQKLLGIPIIAQLTEAEKNRQARNSEASSGNKHSAPFHRLY VGNIHFSIDENDLQSVFEPFGELEFVQLQKDETGRSRGYGFVQFRDPNQAREALEKMN GYDLGGRAIRVGLGNDKFTPENTQRTQSQSANQSNFQGSMFSGSGGRGVQAGGTSNFD RAGGREPEKGTAASALDDTDVAGVNFNNFSRDALMRKLARTDEPAEPSADDKQQKILL PKTEPKPLPVNVNMASREEGESWVKELEDDVRAECEEKYGHVVHIALDPNSQGDIYLK FDRVQGGENAIKGLNGRFFGGRQITAQPVVDAVYSSLFSRTKAI ANIA_00916 MAADTSNSNPGSPGPSVQNVDIDYGQHYSHNFNDSSAHLIIQRL RRELDDALLRIDRDTDVISGLHDKVTSLEELVKKQQITINTQSKTISDPRSLRKQHSQ IQVHVQSPMGMGLFPITPSHHQHHVHHQYQCPGSGASGASCGSGVCVLQTTPSPLDCQ AQSQAQVHPQPAHSPEYNPQNGTVFDQPPPKFEIPPGAYASFAQISPPGLVPNTGRTS DVFSPFSSTSVSNGVDHTSPTTVYDAADCHKRMADFSNRFQTLMRMSEIFGHAHASLP NVFMDSHMDDHVKDYLMAISRGTKASDLLGNAATRGFFVAKAINWYLVEKILNASVTS GFDAAADLEINQIQEQMTSKTPLVRHLMLTAVATHIAKLTKKSGFTEYNQQKIYNHLH TLWAYIGPLGHDAANQNGPMWNDLHAIVSEAQSLAIDMYSMPLEYKFEFPEQNEPFDP HTMINRDPWVLADPSVLQNTDTRVRLGITPITRIRDNSQSPGDVQMVYMGHVLLKGPR KQML ANIA_00915 MSGWERQHEPESTYRYARLRHIPPKAHHLGPVSRHPLSKPNVPR KKNTTGTTHMRDTERRLDGIHVHQPGPICSLIWPPGKGKQEGVTKQATRLPPDAAQIG RSCHKMIAWIHATERGATIQTARSSNYS ANIA_00914 MTLAPSKRVTVRVWTLIDSQNYIRFYRCRYSSTTQAAESTRTTK RREFHDYFVTHLPSSSLHPDPRGPLTSFHKLPRSASVPHTGESTHSPTSFQALTSRET TVVRIPLRSAKHHFGAATSRGTRPSNEDTYQAGVIDIPAFAKRPPASLTIRNRSARVA GLRENRGADSASGDPQVFYFGIFDGHGGSECSTFLKETLHEYIQDTAAEFELQSSLRK AGENSASPDAESELPIRQGSNVARVQRLEKSLVQSWRNLVGGYFRRFVPPNFSHLAKH TAEESSSVPENNKGVTIEEILEYAFLRADLDFVSAQASREDDELSNVCRPLYQDDILY GPSRSQSLNIAGLRRFKGGSTASTVLISTPTPAPFWHPASPSSLLVSHVGDTRILLCS TVTGEAIPLTSNHHPSSPIEANRLRRYAATFVTDSFGEERISGLANTRAFGDVQSKRI GVSAEPELRRFEIAPAEYSFLVLMSDGISEALTDQEVVDIIKEAKTPDEGARHVVNFA TEVTRTGDNATCLVVRLGGWERRLEGGLGSLGTKESREFRRQEATDPRRSRR ANIA_00913 MSARTRRQKAALAAQTEGSDDVSSTSNGTIQRPPKQSRSASPED DGVTENVYLFAPNIIGYVRVVLAIASLYYMPLHPRTCSLLYSVSCLLDALDGYAARYY NQSTTFGAVLDMVTDRCTTACLLVFLSSAWPRWALVFQSLISLDMASHYMHMYATLSM GGANQSHKKIDSSRSWVLYLYYNSRTVLFICCALNELFFIGLYLLSFSSPTLSSSLLQ PPQGVTVGGTPPPPASTSVFASPWSAGALELARANKIDSFWPWVITAVSFPVMAFKQF VNVVQLVKASNWLVEGDLDNRRKARKARDN ANIA_00912 MPSYNIVVFGGDHCGPEVTAEAVKILRVIEKSRDDITFNLQDHL LGGCSIDATGSPLTDQALEAAKNADAVFLGAIGGPEWGTGAVRPEQGILKLRKEMGTF ANLRPCNFAAPSLVESSPLRPEICRGVDFNIIRELTGGIYFGERKEDDGSGFALDTEP YSRAEIERITRLGAHLALQHNPPLPVWSLDKANVLATSRLWRKTVTEIMAKEFPQLKL EHQLIDSAAMIMVKDPRKLNGIVITSNLFGDIISDEASVIPGSLGLLPSASLSSIPDG KGKVNGIYEPIHGSAPDISGKGIVNPVAAILSVGLMMQYSFALFEEARAVATAVSNVI EAGVRTGDIGGKASTKEVGDAVAAELEKLLKK ANIA_00911 MPRHLLRRHPTASSDSPPRQPQQRQRPSHMPAQSQFQTPSPRGI PFPQLHPSAGAAIDTSADVAGPPEPVRRAAQAQAALFSLFGRGVAGRPQGRGREPMIG EDVDEEYEFDEDNPFIEDGDDDEALNDAEVEGRTDLSAEENEVDLSEEMEGIVDGEIE EEGAEEDEDELMHDRDKSPTPLSNDLREISSLASWTVSTHKPGCGVAALRNPDHSQYW QSDGPQPHTLTLHFFKLVAVVKIRVYLDFSLDESYTPTKMTFLAGMGGNDLVEFATWE GEGPCGWVDVPLEGVGGQNGGWVHQRCKRRRVRKSSSRYRGKKDKGKGKYVGSILADD PLLSDAEAHHNSDHETSLYGEDNIDDWDDVDDDDTEDDDDPYSGSVLKAMVIQMRVME NHQNGKDTHVRGFQVFARDDNRRRIGNAPSASADGRVRRHSARKSLRGANDDDGRGEG TGAGDRAKVTGLEEPDWMGDPVIR ANIA_00910 MASGLFSYSAPLRRNLACLSKERIALSDMVSFGPPERLRAGVRF FSKSRPSYNNNQKQNSSTKSSFGSRLRFALRNTKVEWYPIPVGLGIGLLGILHYYKSQ RNERIRQEREAEAAGESFDFSKPPPRPKIRPSGPWQVQIMSTLPLKAMSRLWGRFNEI ELPYYLRVPGFKLYSWIFGVNLDEVAEPDLHVYPNLAAFFYRKLKPGVRPLDPDPHAI LSPSDGRILQFGLIERGEVEQVKGVTYSLDALLGSATPSQADHSKKFMDHHNEPSQKD AASIAADEQFATMNGISYTLPSLFSGETGGLRKRSSSKDASTESQITSETLVREDLAR GDGTPWYAPKPTSNNALYYVVIYLAPGDYHRFHSPVPWVVESRRHFAGELFSVSPYLQ RHLPGLFTLNERVVLLGRWRWGFFSYTPVGATNVGSIKINFDSELRTNSLLTDTAADI AAAEAARRGEQYPGFAEATYLHASRTLGGHPLQRGEEMGGFQLGSSIVLVFEAPMGTR KSFDAGWKEGQRDGGLNWTIEKGQRIKMGQKVAYVDIKE ANIA_00909 MSLLEAEGGNGSGGFILHVLCPSLPPPNRFTFTNLPLSSTIADL KVRISQTVPSRPVPDNQKLLYLGKLLSNDSVTLQSLFEPVNGSEHSIHLVLPPTPTNS ADPAKTVANASYHSNRADTPRDNQSRNPELHAPQLRYREPNPLRRDEIAQSLHENATR RLAELQHDNAYSQASWTRTSAWTSQQLHRPPSYIPGPMGATTPITSPFTTLLNLPVGD FGEGIQLPEETQARLRLLKQHISLAEEQINCGIAPAIDHVIQLRTHLFKILDDQLRRH PSERGEFVEPLITRVFDISTRADELRRRHLLPHNSRPSHRPTAPLYLISSPNGYQAVC TPYVATDGDRTPVTVSTAETANSAEGQHANAQPNADAAVMENVVRRAVLNQRPVADGQ FGMGRNLRRLWLFMRLYFFCHMFSQPGSQTRLLYVTLSVIAAILSETNIPSRVYEMIL VPVQRHLEGLVHFTPGEQAPLRPQGTGTAGGGAATNQQVGIQPGREARWAGALYRNLR RVERSAALFIASLVPGVGERHIEVRNAAEAARNAELARQEEERRRQEAAAAAAAAAAN TDEDTAGERSAQATTAAETHELQPSIPQEAH ANIA_00908 MPLDTIYLTRHGHRLNWTIDYRTGTYSSQFPTPTGNPSDPTLTS HGVQQSHELAAHLVSGDVMPKPFRVYSSPFWRCLQTIEPAVRALKGAKEMSKGNEVGG ETGGIDMNAEFEIRVENGLGEWFGSTTFFHHPTPPSLSTLLSLPKSNFEKSEPPFTLD TTRSVPLLYTSTQGESIPQLHNRLATTLAGIIREVDAEVFELEASLPPEQRSSKAILI CSHAAPLIAIGRVLTGHMPEDSSEEDFHVFTAGLSTFRRRRNEATYRLGYVAQKREGK ALAEGTRITDPTTTAVPEWEGGKGVGGGWDCVVNGDCSFLSGGAERGWHFNGEESFDT GPMADTASSAEGEMRLGNKL ANIA_00907 MVKTSVLNDALNAINNAEKAGKRQVLIRPSSKVIIKFLSVMQKH GYIGEFEEVDDHRSGKIVIQLNGRLNKCGVINPRYPVQLGDLENWAVQLLPSRQFGFV VLTTSAGIMDHEEARRKHVAGKLLGFFY ANIA_00906 MDVTQVLANTISSDANTRSNAEQQLLHAAEVDFAGYLVTLGQEL ANENSASHIRTAAGLALKNAFTFRDRERLNEVQQKWRQQITPDIKAQVKELALKTLAS KDGRAGQSAAQFIVSIAAIELPQNEWPDLMQILVQNVASGSDQMKQASLVTIGFICES QEMELRESLAAHSNAILTAVVQGARREEQNMDIRFAAIKALSDSVDFVRSNMENEGER NYIMQVVCEATQAEDLRVQAGAFGCLNRIMGAYYDKMSFYMEKALFGLSIMGMKSEEE DVAKLAIEFWCTVCEEEIAIEDDNAAAQAEGLTDVRPMYGFARIACREVVPVLLQAMC KQDEDAGDDEYNISRAAYQALQLYAQCVQADVIQPVLAFVEENIRSEDWRRRDAAVAA FGAIMDGPDPKVLEPLVKQALHVLVSMMEDSSIQVRDSAAYALGRVCDFCSETLDPDV HLQPLISCLFNGLASSPKIASSCCWALMNVADRFAGDVGAQTNPISKYFEESVKSLLA LTERSDADNQLRTAGYEVLNSFVTNAANDSLPTVAHLSDVVLQRLERTIPMQQQVVSV EDRIMLEEMQTGITSVVLAIVQRLEAEIKPQADRIMQILLQVLSTVPPKSSVPDVVFA TVGAIANALEEEFVKYMESFSPFLNGALGNQEEPGLCAMAIGLVSDISRALNEKVLPY CDTFMNHLMNNLSSATNQLKPAILETFGDIAQAIGEHFDKYLTVVGQVLKQASLVTAS NDVTIEMLDYIISLREGIMDAWGGILLAYKGKPQAQALKEFIDPIFELLRLISQDPAS RSEGLMRASMGVLGDLAETYPDGSISAYFRNEWVTSLVRETRTNREYGQRTIDTARWA REQVKNQINMQGGGMS ANIA_00905 MAELGSPIQPQLTPVGEKSLPAKCKTDMITYCPSMDLIAVVSDD EELRVFRLNGQKVFGGSYKGDPYLDNDDGSGEIRAVRWKIDGHFLAVVCADSTVRIIS AYSGKMAHHYQAHGGEQSPKVTCLGWGMNFTDSEAAKRQIYEAAGQLSVEDLLSLDMQ PSKTAALLKADLPRELALLDIESSLPRLSTLPSTGGDDDVFSSRASIDAIFHSSQKDT SGAVDVLLVGFEDGTVHLRIFDCFEIGSVRFTAPEPCAILQHASHPLSSTHALVASSG NDLHLLTLDLRFITRSGRYLSLLAHKTTQLQSLLRYICQVQRQIEMEWKNAQELPARY MRSVNQDLEEKCHCDFVTAAYHLVVTGDCFEPLREFLTVIVGERGHKRWEKAVASGYE IVRRLTHECLLPALERCEVLLSRLIGLSKFQKLSEVLGLETSDLNAIVETLDCLHLLA HHILITANEELTQFHAFSRWLRHQIEMLSAEPMSQTLEELMEKTDLVEYPLTLKYIRG ALTKSSLRNYIQQLPMMGFARPPPPSEDNWVPTDGDTRSFYDKFKKLLNQQSKASADA ELVELPKLNNLTKRLGLQFDKVFSQIAVTQKRGILHRSPLTLHHECDKTVFDSTMRYE DVGSGEQSSVIYVASRLATSKHLLHVYRVVLNMENGVSSTREARVGVINLHEGIIRQV HFAEGNNMMVLWSNNVGTSYVLQLPFQPPRHVYPPGSAYQFTINYHNVHHVDAVKERT DVDISTLQLVKHQFAAKARPIRIDVNGRQGRRAICVLYAGAIRYEVLDLDAELGDEDD EGDE ANIA_00904 MTSTTLMTFLLCTSPNVRSVTLLGSWDNFSKPYVMERDKRVGAG HWRGCHTFTNITCDGLVNNTVSARSGGLKMGATYWYYYLLDDDIEYYNEAEPMTTHCP FLPGQPVNVLQVPIILPDTHLNRTRARSPSAHRTVQTMNPEDKYMNPREPPKPKLRLR TSPPLLQTHTPNWPFSTSPLGASTSRGPAQPGHGTQKVKHPRDEAKASRSVSPPRSKG LRAAFRQWNTSTSSFSPTNDQHGGFERQARVHAHLRPPVDDRYHVRSQSNDSYMRSPS MGNLPEHHREDGLPFRRPISAGTHGSGSLSIQERRALNTKSPHDFTPRIPLTLRPKQP WVTPVNASDHSMPSPLMRRPLSTPSTPSMLRRPSDDSQSYKESMTPTPVAVMNKRLPT LPNSPSSVMDEALRDLDEKERALDMEALASHFSTFTEPDSVDGDGPCEKSRFSEWSTD TDMVSPESVTSCLTFNEKEGSLNVPAIGLSELSDASTDNAPSDPNTPHLTVGSKSPAH HEDSPRMELPVPRLTIALSPSDLNIPGLCIDEEDEELLESNPKRHAAFFGAMELKGLG LTSPPATTLHFPEGVQDASPDRNITPTNDPSRYSVLLSQSATMKEMIDELSYLKNMIQ SGSVPDLTED ANIA_00903 MGNCHSAQDHQHTVPAQRKSVGSRSRHSYVQPMQPYQAQNHDQP NRGSGVDVWSPPPYSSITPPAPAANSSSLTGAILDTAHSFLSAFDTIFLVDDSTSMHG KRWREAEDAIAAIAPICTHYDADGIDIYFLNHRNHSRSGSEGGTAGGYKNVTDAPGVR EIFKSVSPSGATPVGTRLHQILTPYLRDLKRLASQSRESELKPLNIIVITDGAFTDDA ESVIVRAARQLDDPSIQAVPWQVGIQFFQVGDDQQAKEYLQALDDELVRRRSYEGMRD IVDTVPWKGDRGTVLNADGILKCVLGAVNKKYDNREASH ANIA_00902 MVFCGKPSKGCGECRSRKIRCDQGRPSCAQCAKGNRACPGYRDE LSLMFRDESQQVVRKARGGTSRRRTKKTTGASKKKEYAVAAANSKSPGGVSESHSNSS LSPDESLFVGKAGTPTQSSPSAPSVYTASDIIDFNDFEEVKLINEEQLQIQQLAHEPW NAQPSWQLTVNEAVSYFLWHNVWPGEMFMVNFKLKSPGHPSATLSEQAQMAALVSVGT AMIARVRRTPDLDRVAAEKYELATTLLLRAMANDKESRANATLSAVLLMAIYEVITSR SIKSIEKWTMHIIGATGLLQLRGPEHLQSEEGLKLFVQLRFQIIISCLQRGIHVPKDL LECSKIAMYLRPQIDAYCDRLIVIAGKLSNLRADLIRTKIMTDPNETLSAAYAIEAEL LAWVAAGPPDFLYTTYECSSLEEWVRMFGNKPFPYNNQYHVYRDLWICHTWNQYRCAR IIVCEIILSCFRRLSASSPTMAMSKELQSHCARIRNVTRELASDICASAAYHLGVEGS TASEFTVPTNYCYVGGMLLLWPLTLAGGSEPIDHPLRKWTRDCLRLIGQSIGIDQALA LVDVLETEAGVFEGLEETESGIVFPTGGDASAFNQVLIPTWNV ANIA_00901 MGFLRRRSMMQALLLTIIFSAYAYGANVKTTGQMVSFQVNLTWS DYQPAGIPRKMILANGQFPAPALRLKQGDDVEFLVNNEMPFSTTVHFHGIEQQGTPWS DGVPGLSQRPIPPGESFLYKWRATNYGSYFYHAHSRGQQEDGLYGAIHILADDSIERP FALISEDEQEIQSMRRAESESIPIMLSDVRSLTSAEIWDAEKAMGRDALCANALLVNG KGSVTCLGQQTIDEYTSVAQRGVLGNQSLTDIGCLPPDNLLAQGPWSHNLSAIPPTVF SDCIPSHGLTERFYPDATNRYASFDLVSAALVSTITFSIDEHPMYVYAIDGSYINPIE ADGITITNGARYSVLVKLDKPRGDYTIRVVNTGLNQILHGNALMTYHNGIGPIQQHPS TPSIDLVGTPTSNHTLLNESMIIPFAAQDVSRVDVAQTLTLTLERYNASYTWTMGDSS FPLQLEEESPLLFYPQTASKNFTATSKNGTWVDVVFDVANAIQPPHPIHKHSNKFYVL GGGNGKWNYTSVAEAAKHMPESFNFETPQIRDTFATLPAATGPTWLALRYHVVNPGPF LLHCHIQIHQSGGMALALLDGIDEWPEIPEEYQLA ANIA_00900 MPYDFPPPQPLYPHVPFAADRGSEGPFRRPVHHGYQEFQTRLFL DEYRFPGSQQTYQPPHLEAGIQTPLAHPWEGENAYELEGPPVAQNIQLRGDAPEFVPG WKPSVTEESESKPKEPCWLIPYQCLCLFYLRTVYIKQNLALESIQTQTEAQAPQLRST VWVLILPSKHH ANIA_00899 MSEAGCCSEEGHVAVTQSTVNGGGSADTATRTVEYNEDENRGAN TLNIKLQISLVNRHTQPHFPAAQVSPTLCLSIIAVKSNQDSNTSLDTKAYGNPDTEPS SRGDENCTNSSIPRGASLKPAANSSSVKGVRSSSLIPVPIQRRSAEQLGATLQKRQSE TCFSGARLVEKPRGPRPRPPSEQTTLVHESTEGMERKPGLTPPSASSSTVSSWDFRDI GDPERPPTVFTGEHRTRVLGTPGHRSPGPTLKIASSAEDVIMGGASGEASKKVDAQPS TPSLFQRLDKLTPNTPKDTNKIGDTALESVETPHSKGSGGNSQGSTPSTRKLCRPQLP LDSIQKRDISGKEMSISRKTVNKPSLSSLFSPSSRSLRPVDEPIVPKIPERYHTDPET GIRQVPSKMAESETPVKVASEFKDTTKSEPESTPMPVTAIKVSAMSPHPPRTSSLQAL TNIPICSGSASSSAVTGEASTVATNLRRNVTFNDIAPFASHVENLSQSPDKSRLFESR SNHLLGSFRNIFRSRSGTAEKERVRKVDVDAPITLTENQAPSTEGSAAKDYHLHNNLE KGLKLKPKHTRLSSGVSWNRSSRNPKNAESPTTPTPSVPRLLAPPHRDSEGNIPSFAR PTRSTRIKANSGSKEQASGTLQGHGRRSHVRTASTGSPQRLTHGSRRTTGNLLMLSTQ KRAIQSPSSVSGRTVGVLDLSIGCTQPKNLDAFRACLETLCKKVGEALTSQERDRHLR LALSLQQQLADYQGIEKAALDTETLAKEKLLERQVAEEALNTSLAEVQSQIEMD ANIA_00898 MEHKEPLRGSCMCGRNQYLIRIPDNATDHARVYFDTGRDNRKSY GTPLTAWLRVPLDWYESYTQSFFPDETHGSIRRIFTPHHAPHTQRVFCGFCGSPLTYW SENPREEADFMSVTIGSLYGDDQRILEDLHLLPRWEESESPDISPPAAEQSPTTLVAD APTSQSTVVVPPGVFTEGLSRTVRHGNTNGIPWFEEMIEGSGLGRLMKARYGAGVSGD RSTTIEWEVSDWTITSELGSPSSQSGGKRKLEELHND ANIA_10132 MRLVGEERLGIGFIPVQRNSEPCDPPLFCYARYSPPVSRSAGNM PSAQPPHILASSSEPLYSPIAASGSTNAEDRHDRSSSTPTNSSRQGGERDKPRLTEQE KKNNHIASEQKRRAAIREGFDRLTELVPGLEGQGRSESIVLKKTVDFIHAQLQERKNL ITEIERRGGRVSDDMRP ANIA_00897 MSEKEGPLLSGTNPTEPPPSYESTTQTPKRLPPPPPLSLPFLQT LRTRRVILASQSPRRRQIIAYLGLPHVEVVPSNFAEDLSHELQPIEYVLATATQKAQD VYAREIVNEEKGEPALILAADTIVVEPQSGAILEKPRSEAHHIAMLKSLRDARTHKVF TAIVAMAPLNSARDPGYAIETAIEETEVRFDGGLTDELILAYVRTREGADKAGGYGMQ GLGSILVEGIQGSADNVIGLPLKTTLRVIEKVLIKADDDERLGSDGEDEDEDEE ANIA_00896 MASLMRQSCLSAFRAPLATRNTVGVSQVVAFHASAKKQILPPLP QTIQGTMNDPAPIPKPHPSHGSYHWTFERLVAVGLIPLTIAPFAAGSLNPVTDAVLCS LLVLHSHVGFQASIIDYFPTRRVPKTSTLLNWLLRGFTLTTAVGLYELETNDVGLTET IKRVWKA ANIA_00895 MAANKALVFKKIPDGYPVPGEHLTIEPAAYDASAAAPADGVFLQ SLYTSFDPYMRGRMRSAEIKSYAPPFYLDKPIESASIAKVIRSNNGSYKEGDLVIGRL PIQEYVAVEKDELSRIRHLENPLGIEDIRVFLGALGMPGLTAYSSLYEIGQPKKGETI FVSAASGAVGQLVGQLAKHEGLKVIGSVGSDEKLNYIINDLGFDGGFNYKKEKPADAL ARLAPNGIDIYYENVGGEHLEAALEAINNFGRVVVCGMISQYNSAPYPIKNIAYVLTK RLTMRGFIVGDAGMGDKYTKEHQENVQKWIKDGSFKTLIHETEGIENAAEGLVGIFYG KNLGKAVLKF ANIA_00894 MLGAFRRSAVSHALRSCPRTLSARSSPQRLQFLYSSISGPSSTS KSLFHSGQFRFSAQVNAVAENSSQNVSVEELPTRFAELGERNILPRNLVENLTKHMKL ETMTEVQRRTILESVKGGDMLAQAKTGTGKTVAFLIPVVEKLLGDRSLLKTSYRNGRK IAPIDIRAIVISPTRELAEQIAVEAKRLVARTGLQVQVAVGGTMKRAALHQLQREGCH ILVATPGRLKDLLTDPTSGVRAPKLNTFVLDEADRLLDEGFAPELMEIQHRLPDPAEV DRQTLMFSATVAPEVMGMVRSTMKRDFRFVKCVRDDEVPTHMSVPQKAVILQGLENAM PTLLELVKKSYDPRSTFKAIVYFGSTRETNTAFEAFDQLLAQRTRVANWFRKCQSGIL FSTDVTARGMDFPNVTHVIQIGVPKTREDYIHRLGRTARAGKTGQGWIFIHEQQMGTL RKLLRDIPVEVDRETLTIPSLKLTEESPSTTPEATETLLQMKSGFAQVSRATKSETCL AQLSNLVGSFRNRSLLREAITNLAIHGYGLRDAPHIPSSMAEKAGLDRESGFSIRNYK PSQRHRQDSAQGHNNYGDRRRSFNDRRPIRNNDFYPNRRAARSSGRQGSGARERHGAP SAERFDKWLDNRKDEWA ANIA_00893 MAVTIVLGSQWGDEGKGKITDMLSQEATLCCRAAGGHNAGHTIV HGNVTYDFHILPSGLVSDKCINLIGAGTVVHVPSFFKELAALEAKGLKGAAARAFISD RAHVCFDLHSVVDGLEEKGLGGRKVGTTGKGIGPCYSDKASRRGVRVGEILDEEVFER KLRTLHAGYTARFGQLDYDVEEEIARFKEYRKLLVPHIVDQLAFFNKHKDSSNTLVEG ANALLLDLDHGTYPFVTSSSTGLGGAVQALHLNPTSIKSIIGVVKAYTTRVGSGPFPS EQLNEAGEKLQKVGREFGVTTGRKRRCGWFDLVLLRYSQSINHYTALNLTKLDILDDF DEIKVAVAYTLPDGTRLENTIPADAEVLNKVDVEYVTLPGWKSNTMGVKKYEDLPENA RKYIEYIERELGGVPVKWIGTGPARDDMICRE ANIA_00892 MVKLDVRRDYYADLGLTPSADAEDIKKQFRKLALKYHPDRNPGR EVEANAKFQAIQAAHEILIDPHQRLKYDTDRLRNGYYGPTKPSPRKEPTTSYSAARPN TKASFTERPKSFHHGPSTGAQRYASYARAAPKQPWEKAPDQTQTRADAYRGFQEMKGN AMPGWSSFDPRGRAGQSGAGTRPTATTNGSSARPKSAYEHVYTNTKPSAADFTSHAQS AKKKQGFAPRAAGGDEPMAANTASYRNGTRADRFSFAATPTAKKPTAGFASGAENVAT PEFERTRSTYATTGGEKTFFSSSMLGRSGSARTSPKNTNSRSHTNPPSPDPQQGGRHR SASPSLRADGAPSYDSTSSSGLSDDEDTFKPKAMPKSRLRQQQRFSNFRSTNGLFSGA AHDSDSASSARNNSDSPIFSKKADFSFAKDFPQNGTFKSSSHDDLKKSSRRTPFGNSP SANNRGRTSTRDGSHSTADNTPKRETSTSEPEAQQQQPFSEKNFLANDWVAAFQFKNM SDALPTSDTSQRQPNSQRTRSPRKQTRPGVRTWTAPQQASVATEAEEAKSTVGGTGPA PSIDGEAMDLDDESPVKPTSATAAPQKPNSGKEKVHPPSSKSRPISKSGDKDIAGGSS NPLNLKNLGGVFPFTATNSGGLDDLKDISSTLPFESRPKAPKTSKSDTHPRELVCPNP PKRPEPPQPVPLSAGSKEMAIPRAALDRYIAEMNLYIREWGAFDGRMVGHFLSRHKSN QTEMAPNWLGGIGDSVRLGLGDETGGKISGDESDDTTVGAGIGTRSTARRGFTSYKNA LIQDEKVMKHWEVAREMHLECVLKFGQMREWVRNGGKII ANIA_00891 MLNPSTSDIHTSPTAVGNGRKRPHPIADSGSAMPSDPSAQQLPH PANESAAIPSIASSSSFRNVSACNRCRQRKNRCDQRLPRCQACEKAGVRCVGYDPITK REIPRSYVYFLESRVAYLEKVLMDNGIEYNEAVAFDEEEAVKIEAGYEAYAGSANGPA AGEIAAQDGSNDKSVRIKKEKNGPLGLEKASRYDHDPEVKQDSDAEDGWRIQNLVSNI GMVSVQGTSDPRYLGSTSGISFARVVFAAVKSSVPGNSSERGPSRPKERLPHSATGTE GSTTRDSFFGLQTRPMMKCAAFPDRELAERLADLYFEHANPQIPIVHRVDFMELLDRT YSVDEKSRSPRSLYFLNIVFAIGSGIIFEDKPAEDQKEGRDHSPSATKRQRLSSRQYQ PEEYHASAIVHLESFLSTSPTTDGFGALEELQAVLLLASFALLRPVAPGLWYIVGVAM RLAVDLGLHYEDGAGIDGPENDNMNRTNNKDGEKAKLRIDDHERGRREWVRDFRRRLW WCCYSFDRLVSCCVGRPFGISDQAISTEFPSILEDKYITKSGIIKAPEGAPSYKHSAF HYFKLRVLQSEIQDVLQHQQARFARQRGPPGARSFMRLDVVSPFLQGFDSFRSWRRDV DRRLLEWKNSAPMPSENGVRFPLEFLELNYWQAVIMLYQQSLTVPAELADELSPAEDV SSPSFSQVEEDEHDIYYKVAEAGQKVIRIYRQMHRVRLVNYTYLATHHIFMAGISFLY AIWHSPYVRSRLTLDEVDFTVLAATSVLRDLMHKCPPAEACRDAFERMSKATVEMSLS TTGFGPQVELNRVQTSTSGSRQFNATQSRSRPYSRQQAEQRQRQSASRRQLQMRQSRP LPRFDMNLEDLFGDNRAVAERQGSGGMGKLAQPYPVSETSDPNFARPQSHRNPSMEYY GPFENPVSPQQPQPQPRYYYNNSPQQSGSPGSVVAASGIPPYQVTPTEQENPSGMGLD YLDYDPTGIERQLSLGSEENSDFKFQGGAQSLGHGAGHNFGIDLGFGMAVDFQHDWSE NANYDLLEGYFFGGAGATGPGHGHGHGSGI ANIA_00890 MFKRPAFLQSRRHEERSGDWSAFPIRQLFVLALCRICEPIAFMS IFPYVYHMVESFHVTSNDRKIALYAGLITSSFTFAEFSAGMFWGRMSDKIGRKPVLIM GLVGTAISMVVFGFAPNLATAMIARALGGLLNGNIGVLQTTVAEIVTVKEHQPRAYSI MPFVWCLGSIIGPAMGGALSQPCDNYPWLFSGESIFKKFPFLLPNLVCVVVLMTGIVV GLLFLEETHPEKKYRRDRGLELGNWLIGRCWGSRVQAVEEQDVKADSADAGYFDDYDE VPPPAYRSTETSPRLGPVREVDDLAVDDDIEKQMSGGKPKAFTKQVIFNIVGYGILAY HSVSFDQLMPVFLSTPKSKEDAVLPFKFTGGLGLSTKTIGLMLAVQGVYSMIAQLWLF PFVVRHFGTLRTFRFVLLVWPPLYLLVPYLILLPEKLQMTAAYVALICKITFHVIAFP STAILLANAAPSSKVLGSINGAAASTASLSRAFGPSVTGFLHSKGLDSGYSVISWWAC GIVCLIGAIQSFWMEESEPQRFMDEKKPEPDTSHTRNVLRGSFAADREDGTADEVRRL LSSARTSVDELEVPSIAADLRPVRG ANIA_00889 MATQRTSLRTLTSLFAKRSVCFCSPPNRLSAIHPYSRGYATDTE PKESIDAAIASDAPSHLNPSPEDYSRFIFQDRCRSTIYAGDGGNGCVSFQREKYIPEG PPNGGDGGSGGSVYIQAVEGLTSLHKLARSGVIRATRGKNGQGKSKGGKRGEDVLIQV PVGTVVREVDRYDPVAEEQRRRALERKMKKEKAKSGEGEDEDERQDLDFQPIRHDRWA LYPGANPSDFLTTVFPSNPPRRQNIAALEPRAPIYLDLSQHMDKPVLLAAGGAGGLGN PHWVSRENPRPKFASRGEGGKRLELEFELKLLADVGLVGKPNAGKSTLLRSLTNSRTR IGNWAFTTLEPNIGTVVIDNDKGRPLVELKNKPPRKRFTIADIPGLIKGAHLDRGLGL GFLRHIERAGILAFVVDLSAGDPVQELQELWNELGKYEELRDMGGTSNESGDDPTWDL PMRSLPELQGDSDKAISQPKDRLPELDFPANHTKPWFVVATKCDLPKTRERFKALQEY LCGVEQGKHEHPSGYAKGWKEGISAIPVSAINAENVQQIPKLVMQLL ANIA_00888 MALHKVLTATLSAITSPASDDPILQCFDNDHQALHENLLNNTDE TLRLSDAKLRVFPFKDVQPCWRRLYTDASILKASLSICNHLGLIDQDTDNTQTSGQLL VSQLIQLLNSYAGDGLRVDPAAPWLSPVIHLVDKALIMTGAPLREDLIESLLSALQNA TRPRTLDKGNVLNSSESGDSPSRASKRRKLSPPLFPPDAVPPPSLKYPVTRVSNPSFD YMEEHIQNVKTPLVITDAVEHWPAMSNRSWASRDYWLERTFGGRRLVPVEIGRSYTDE GWGQRIMEFKEFVDKYIWRNPSTSTARLRSPAAEVGDQVEGQDLDEREDANKTGYLAQ HDLLSQIPALRKDISVPDFCYIDPPAPDPGTPVYLKKCQEQEEERKRKATTVGSNTDE AHDNATGDFVTDDILTPPSDPIINTWIGPSWTISPLHHDPYHNILVQVTGAKYIRLYS PHTPASQIHPRGMEAVASDKERRNSHSSPGNGAGRVGSDGDDTSTARMIDMSNTSQVD VAAIELSPAESEQWEAMWPGFQQAEYFETILREGESLYIPVGWWHYVRGLKAGISVSF WW ANIA_00887 MEALKTLLIANRGEIAVRVLKTAKKLNIRTIAVYTEPDAASTHV HLADEAILLSGPPSKAYIDGDQIIDIAKRKGADAIIPGYGFLSENSNFARDVASAGLA FVGPSPESIEAFGLKHTARELATKAGVPIVPGSQGLVTSEDEAVKIAQSLGFPVMLKA TAGGGGMGLLTCNTEKEVRESFQTVQSRGEALFKNAGLFIERYYPSSHHIEVQVFGNG QGKAISIGERECSIQRRHQKVIEECPSPFVTRNPELRKGLCDAAVRLAESIDYGSAGT IEYLVDDESGKFFFLEMNTRLQVEHGITELCYGVDLVELMLRQADAQLSGRKGLEAEF LSSIPVGAPQGFAIEARVYAENPVRDFAPCPGILQDVDWKETTGSRIDTWVYRGIKVS ANYDPLLAKVMYHASSRQKAIEGLRDILTGSRICGPPTNLGFLAEILANKDFNAGNTL TKFLNNFEYNLAAIDVISGGAYTLIQDWPGRPTVGRGFCHSGPMDSVAFRIANALVGN PVGLEGLEITLSGPELRFLGPAVISLCGAPIDAKLDEAPVPMWSRVKVSAGQRLKIGK TTGGGCRAYLAVLGGFPNIAEWFGSKATAPMVGVGGYQGRQLTSGDYLTISAQIPESD NELSLPEHLIPQYPDSWELMSMPGPYDEGYLAPESIDMLYNAEWTISHNAARGGIRLL GPKPTWARPDGGEGGAHPSNLIECGYAIGSINWTGDDPVIFPQDAPDLGGFVSSHTIV KADLWKLGQVKAGDKLKFRATSLKDTLLARNELERFISDIVQCCQKGEDFGSITPLAS SLPPAMSSSTRVSGIVHQIPEKGNQPLVSYRQAGDDYLLIDYGVGAFDLNHRYRVTAL KKVLSEAAGDISVSNGLINLVGCGNYLPKALMIYYDGTKIPQQKLIDYLCTIETQLGD LSRAKVPSRRFKLPLTFESKRQTDAIKRYMETQRPYASYLPDNIDFVARNNAFTRAEL ENIYLTASFMVITVGFFTALPIALPVDPRQRMNCPKMNPSRVFTPAGQVSWGGSCLAI YTVDSPGGYQMNGMTIPGVDILGTKRGYAPEKPWLFEDFDQITFYKVTEEEYERQLAL FQSGRYEYEWEVVEFDMAEHNRLLKETKEEVKAIRARQRKAQAEMDLLEKELLERWAK EKAERGVSMDTVEELLKDPEITVIEAPLNANVWKVEVKEGDKLDKDQVVVILEAMKLE IAVRAESAAAGAVVEKILAQPGKSIEAGKPLMLVRRG ANIA_00886 MAPIKKKALINCDMGEAYGNWTCGPDLELLPLIDIANIACGFHA GDPLIMMETVRNCKAHNILVGAHPGLPDIQGFGRREMKLSPEELTAITIYQVGALQGF LDREGVRLNHVKPHGVLYGMMCRDYEVAKAVMLGIPKGIPVFGLPGTNMEKAANDLGI EFRAEFYGDVKYDSNGMLVIDRKKKPWDPADVEKHVRQQLEDQSVTSVDGVVVPLPIK DYDVSICCHSDSPGCLEIIKTTKKVVDEFNKKYGF ANIA_00885 MEFFDFNEAASGSHVPDDDVASDHIEMDENDVVETYQSLLQDRS EIPDFLPGQSASEEVMSETPDPEGIYPMGRAKEPCDFCRNMGLDCFIAKRGVMQKSGC TCCISLYRECSFTQTMPQGRFAGVDTLHPISENIYIPTGGLTGKKALKSFSGIAEDVD ARARKSSSRLSREAVRILKAWLNDHSDHPYPTEEEKEELKLRTGLKRTQITNWLANAR RRGKIRPSPRSSSPVAGAIEIPRQPVIDHTLMTPLERWKYSPPENEPAALSNILRALE DTPLEIRQGSHSGHVRSQSRRTGSSNDSSHANSNVFDASSLVSSHDASQSASHSSVSD LSFASAFSHRSSLGSFGSMERKERRRRRKPSLPTNTFNQQKAKGARIYQCTFCADSFQ TKYDWQRHEKSLHLALEKWTCAPHGGVAFINGANRCVFCMAVDPDNDHLESHNYSTCA EKSSAERTFYRKDHLNQHLRLMHNVRFHPSMDQWRSHTTEIISRCGFCGITLTTWKGR ADHLATHFKNGADMVQWKGDWGFEPVVQDLVENAMPPYLIGHERNTLDPYKPSAHKTA PGLAVPMDANCYERLRMELNAYIQESVTKGIVPTDQMLQDHGRRVIYGTDDPWNQTCA DNPVWLSVLKRDAGLEPAQGSEHIQFSNLGMQPPYASQEGLRRPPACARQPYGSGYPT SGFQSPAIPGTGRSSAAPSIPGSSAGSFSGSAGMFTAPGPSGLSLDWGSNASAGVSSF STPLSTSADPFVQMGFDPEFLQQLNHRYEEEVPLESLQGLSFGVDDGGGFDSIDPVGT AAVTSSATSPIPIPASKQPDIRLPDAVPPDLHFEGTGRTGIYMMNLGYFR ANIA_00884 MAEVSMGDTSGCPPTFSLFPPFIPTVIKQCLTASRYAIVKTATT NSPSASRASTISFSTNSGTGDNTPRPSSSGSASTARNIEDLFSDEDLGNINRDVLALG APGSCEVGSGVQWNRVDVGLYLLRQAGYEAQNPIRESHHVRSLYIDSMAYFLSALPED LSSEETLTVRRMLPEKLKPAFTPSAGLELSESTPHSHVLPANTYPAQRSYLHRLLAAS IIYFCMLLQYIMPYIKDVLIHLYRYDRAHRVTERVTAATLYVVEKVGRGSVNLGASFL NMYDGKPGSAVSGAAEWWIEGVAGGIYEGVGEGMAILGIGLGPVGGGKGVRNGVGI ANIA_00883 MSTTRLPNIPSLRKYQLIQEHASLKHAAPPGVYVSLSPGDPSLW SCVIFVRSGPYASAILRFRIRFPPSYPDRPPLVTFATDVFHPLIVPLTTYTFSTGVSN EDPVSATDEERLPPGGFSLRHAFPHWFGRGRHAPSSRTVSLNGSNKGGAEVNLHKDPT QETSAPNPDESEGGEQDDKEGEGEERTSVDIAPAEAPKMRISVPVLEILDYIRTSFDD EAVLDSVPLEAAGNPSAWHAWRAHRKEATNSTETESTKRDNPQARLPGDWHWDGIWAK RVQPEIEASRSDATLFGSASRGPDETVFG ANIA_00882 MNPKQKTAIIVVALVLVPIILVCCAFALALGCAEFWSSGRFTLI KPWIRRQLATVRKQLKPPRAPHTLRCIIAETDSHTLNQRLTLVSNINLMRNNRVLRLT PLPSDGLMDAFYRLPRSTTMDKYRIELDKTSDPMTLTTELAPTVAMHSRKTLLQLGYR GLPKSCM ANIA_00881 MDRYLSRKRPHSPVKSRPATPDYDDASTDIKLAQLLSLFPETPQ DTLLDILVSCSGSVEAAAATITEQKQLSFKRPRTTATAIQTSLKSHIAVSPGKGNENG KDIVLKKSRNPVVQKGRALYLFSPEDVAAHTSCTIIHNFLPPEEANALLLELLEESQH FSRYQMQLFDRTVESPHSTCVYVSTAEEEAQHANDYTYGGTYHTNIRQATPHLRAISK RVQEAVNEEIRKRIRDVYPGGKKLKYQSPKEWVPNAAFVNCYDGPAESVGYHTDHLTY LGPRAVIGSISLGVQREFRVRRIVSDEEEAGARADAQGQISIPLPHNSLLVMHAETQE EWKHAIAPAQTVSPHPLSGNRRINITYRWYRESLHPRNVPRCRCNAHAVLKCVQRKHD SRGRYMWVCYMGYKPGKKGCGFFQWAEFDDDGEPLWKQPQPKKEDVNAPELINFAG ANIA_00880 MLHFRTEGYQGYAVKYSPFFDNRLAVASSANFGLVGNGRLYILE LTPNGIVPAKWFTTQDALYDLAWSEANEHQVLTATGDGSIKLFDSTLNDFPIQNWKEH NREVFSVSWNLVAKDTFCSSSWDGTVRIWSPQRPHSLVTLPTHSCTYSASFSPHSPDI LSCVSSDSYLRVYDLRTPASASNHLTLQIPIHAAPASPIPGNPGAPPAACPPSEALTH DWNKYRPSVVAVAGVDRTIRTFDIRAPQQGPQAIMIGHDYAVRRVAWSPHLSNVLLSA SYDMTCRAWSDQSPPGVVGDTDLMRSGPSPVMGAELGRMNRHTEFVTGVDWCLFGSEG WCASVGWDESVYVWDVRAVMG ANIA_00879 MGGKKGGGENSKKAAGNARKAEAAANKKAIEDQKRAAEEDKQWA KGAKSSSKKEEAEAKKAEAARKKAERDALLAAEEASQPSKPKNNKSAAKKNAPSRGTL NLDQLDDAPSSRASALNASGIDNALDALSLTSKDTSKVDRHPERRYKAAYAAFEARRL PEIEAENPGLRRQQRIELVKKEFDKSPENPFNQVHVAFDASKEEIAAVREAERKKTEA RLTR ANIA_00878 MKGLQHGLSLLVILCLAHWVSSRAVRFDLRLTWEDRNVAGVVRK VILSNGQFPGPTLRVKQGDEVEFRVRNLMPFSTSVHFHGISQLGTPWSDGTPGLSQEP IEPGHQFIYRWTADEYGSYIYHSHSRGQLIDGLYGAIYVEPRGSVEKPFRLITQDATD LAAMISAESKTEPVMLSDWRFLTSEDIWQVEIASGVESVCSNAILINGKGSAWCLPQQ RINDLTSVGQRQLLGNETMTDIGCFPPIDSFFGNYPRDPSILPKGFNSGCIPGYGPEE IVMVDASTRYISRDLISMAGSASLIFSIDEHPMYIYAIDGRYIEPVLVEAVRIVPGSR YSVLIELKQNRTAKDYTIRVAQNGLNQIINGSATMLYTSHRPQQNASIPYITETGDAT TPQTVYLNESLIVPFPVQLPAPTVDQTIILNINHYHAAYRWQLGNGSFPMELEEVRPA LFNASAIPYPYSVSTLNDTWVDIVFNVSGANQPPHPMHKHSNKYFVIGEGSTPFIWSS VAEAMEEMPENFNLVNPQLRDTYPTPFVPEATGVWLAIRYKVVNPGPFLLHCHLQMHQ SGGMTLAILDGIGDWPLVPAEYQLSAAAAL ANIA_10133 METSVDSQTIHLKEKANPSMSDHEATTISAAISDPANSEKQSEH SDESSFDRSMQVAAQDSGYNSSGSSGHHSPVGTQNGGPEEGELVRIRSRTSSCTSISS IPASTLTSPAGENRRMNIREGQDYMAQPWDHHVPQLRHTIRQREGTFRKPSSVRALQM HTEDEGDDYYHLTPPKRRGSQRTSDISIRSAGSSPFKRSPFYSPTGATAKPKIKKEYP LVLLHCTLLPPSLPVSGLIEHPNRQNILKEGLPSVYWRRWKLLEEKTGSGVIRDRGIL ISHPEDGYDLLEERLLESLELQHPRLDHGHFIGHDETESDGEDRLVPEDSGTEDEGEE CPDCGARVVRQNTTRKWEVKVFAANGLMRAGAWAAAWKEMEKVDVEVGLWLPPDIRAD LEKRLIEYPSHMNIANGPPIALLQEPENIPVDPSVRALTTKPPKADMLSQVTQARGGD LAPASPGVTASRSQDNQKWTQNTPKLSEDIDLRLLLVNYIYILASDRRNITIVFLSIL VIFFALNPRAAVYESDLRPFPTYIPEYTTSPVVSLQQPATQTWTVHTSSQTPSVAISD PAGILASAVESVPFVSSDDIPTAAAGLSVTKREEVPPTETVTVSAKSAKIVSEEQEEP IPSIELGRESPLGAESCDLFAEGTRLVSKLREQSVYPRGNEPDGPVQIETDSSSMGSA ESGSGEQEQFPHSSESALEKPQPTDTGDSPMKNTEAVPEEQRCLAQLSESVLEESLLT AVESPSIDDIHHTPEERPLQFMETVSMTESLSTAASINHSDADQTLPTDDQHNENEQN GQNGQNEPHELHELHEPVLPNEHDDDEMHEQ ANIA_10128 MSPPPSRKNGGSHPSAPKQIRFVATDGQPQTKRRRVNAACLTCR KRKTRCSGEQPVCRTCVDYNHKCLGYSESSHVRAQSDTAPCTPTTTASQATPVESTAS RGLLKTENQPLRSLRRNTQTTGDDSFDKSSERSEPLKIERRSPLEDSLRRTANENATK ENDQQAAGESPESSMSQKQTVNKLFASVSSLAHYFLFSDRTSGSSSGRTHVPYFRYFG PTAIVPGFKQMVNTSSIFRLSKYGVHGKVMHHCHRVNSISPLRSPKLLDNSVNPIADS AHNRDANTIPFYDRDDALPVGNLVTHLCELFFIHLGCSFPFLRRDRFLRDLKEKKIDT MLVDSVCALAARFSPHPLLSPPQAPPIDASEPQLDMKKSDRGQPFANRAMSALVDSLA FPTLSVVQACLLLAYEEFGSNRDSGLWMYLGISIRMAQDLGMQKLQGLDYSYGRSGVT PSAVMTGQASKLREDEYDEPQSGSGSIKEPQEGGDRRAWERERVDTFWSIFFLDRVIS SGTGRPVTLRDEDIELRFPLQSESQLPNGWPSPFPPLIRIIHLYGRVTDLINGMQDAN HITPDTLKRLAGMESDLTGIYQRLSPKLHFNAANFQAYVKAKEGTNFILLHFWFHTVI VLLHQPTLLNSFGGTMQHLYPNSRELSMSSAKTIADILAFSELVDGKSFIGNPFTSQP MYIAACAFLMESAYYSSPSSRSASPSHQPLLANQTSGFFMDSSGSSERKPTTKYSLLA SAAWENYQRCYKALKALENYWEGTKYILTVLDQKAKGIVDPLLYTEEEMEGTAETSSV TNFTGSNWRQPVHAANTSDRSASGHVSSMDGGRSPRMDPTQAIGWSLSNATNSSQPNL SLLYQMPAAQANERVSNSQSHASRTRHGYPDVSVQSDIEQSSSSYARNTERTNISNVA HDHLVSPRRVAASEVNLLGVNSSYPHPAPRPPNSQSAHNQSIQISSSSGLNPQVAAYS YLPSTTADHHTSDSHMASRHSDTHSSMNPQAGDFLIESQDIDMSALHQQDQLPLPFTQ LPWLEYLPQDVLLYFGEHQNFPLMSTNEGAPPPPQ ANIA_00876 MSCVAFSPRALLALPKVSPVSSFRHLNRTPQIHGLLFSGRYCPK STMTTTSAFFKASDDFDQVQASRPDFKRDAEVKFTKPPKPDWKEGDGGNDGGESLNKK HIEIDPYAEGRPVSNNYKLLISGMVPRPIALISTKSKDGKTENLAPFSYAQVINHDPP LFTVGFVGSLEKAKDSLRNLTETGECVINIISEHFVEAANATAVNAPYGVSEWEISGL HQAPSSIVQAARVKESMLSIEGKLVETKEFESRGTPGKKTGVLAIIEGVRFWAREDAI DQETNVIDLKVLKPISRLGGLAYGRTTEAVEIPRPKF ANIA_00875 MAQQDYETFELGDWELQSGSKLINAHIAYRTFGDPKSPAIVYPT WFSGAIADNLWLIGEDKTLNPKDYFIIIPALFGNGQSTSPSNYPVEGEWPEVSFYDNV RAQYKLVTGHFGITHLRAVIGWSMGGAQTYQWATQYPDFMDLAVPFCASAKTSLHNQV FLEGVKSALLAAKGIQSAGSGQGGVLVSGHTLRTWNDAEKRVGLKAFGRGYAGWEKLY ETALGYADLEDFMQNFWEAWALSKDPGNLLAMAQTWQNGDVSKQEPYNGDFEAALAAI RAKVLVLPAKTDLYFPSVIPQMKRQELTALNRDSLCLPVDLGPLGGRARG ANIA_00874 MAAAPSTTRQWGVTPPISTTLPTAQELSANDDLIAELKLQNNFE SPAETERRKQVLQLLQRVTIEFVKVVSRKKGLSQAAVEAAGGKIFTYGSYRLGVYGPG SDIDTLVLGPKHVVIDDFFAEFPPLLESMAPQGAVEKITPVPDAFVPLIKLELSGISI DLIFARLIVPSIPLNLDLKNNDYLRGLDEKEVRSLNGTRVTDEILELVPQQKTFRLAL RAIKLWAQRRAIYSNIVGFPGGVAWAMLVARVCQLYPQATGSVIVGKFFRIMNKWAWP QPVLLKPIEDGPLQMKVWNPKIYHGDRFHLMPIITPAYPSMCATHNISMSTKAVILRE LQRGGDIVDKIWAKQATWNDLFKKHSFFTNDYKYYLGVTASSRTKEAESVWSGLVESK VRHLVGALDRKPTIAVAHPFPKGFERIHIVSNEEEAEAVKNGSLKYQDKGTKTETTDE IKDAAHQAAAQSGREDTDVPGAANNTAANDGRIIYTTTYYIGLELKPVEPGASRSLDI SSDAQFFKSMCTSWPGYQPGINDLSITHVRNYDLPEDLFQEGEARPTRPKKKVVKKVP AGGQKRSFDSVDDPSNPAAKRQVTDSYATATAQPA ANIA_00873 MSTYPPLPLTQTLSTLPPEYSTDTLSQIATYLFSTSTTPRRLVV LDDDPTGTQTCHDISVLTVWDVRTLVAEFSTNSAGFFILTNSRALPPDEAELLIREIC QNVLTAALEMGLSRESLDIVLRGDSTLRGHFPLEVDVAQSVFYSVSDENATAPTWVLA PFFFQGGRYTINDVHYVLEGEDLVPAGQTQFARDATFGYKSSNLRDYILEKAPGRFTA EQIHSVTLNDIRTGGPEGVRDRLLSFPAGGIVIANAAAESDMHVFVMGLLLAEAKGLR YIYRTGAAFVSTRLGIPHKPPITKAELQLPNPQQTGGLVLVGSYVPKTTAQLKVLIER RGDLLSVIEMRVEDLIESKEKAAEVIQSVITQTESYLNAGKDTLVMTSRALVKGDDEI SSLKIGSRVAEALVGVLEGIEVRPRYVIAKGGITSSDAATKGLRMKRALIVGQAAAGV PLWRCYEETSRHRGVPFVVFPGNVGSESTLYELVEAWS ANIA_00872 MYLPRHLISHLYLQLLRSHHPLSPPVLILAALEPDALCACRILT ALLKRDYIPHKIHPVSGYGDLARAGEELVRPMQTSNGGSGGVVVCLGVGGLVDLAEIL GLNVGEDQEGADDMGGVEVWVFDARRPWNLANVFGGGEGTQQQDSMRRTPGVDKGCIT VSYKFGSGRGGVVVYDDGDIEEEMEREREAYCALLDMPEVDDDGDDEDEDDEPPSSTD SKKRKSWSREDDEDEEFDEDDGPPRQRRRSNSGSSIASSPTRTRARTRHDSSNSSRSV TPVSDSPSPAQPKEPSARSLRRRLLRLKHKHESILHQYYSSGTSYSEPISSIMYSLAS ELGREDNDLLWLAIVGVCSLELSGRTMSGVGVSSASESGGIAGWGGERGERIRQILRD EVHRLNPPDPNGDIRGEIAGVIPTTARSPTDKSIRLSPEPRFILVRHWSLYESMLHSP YLASRLHVWTENGRKRLHKLLAKMGISLTQCHQNYTHMDMELKRVLRQRLLKYAPMYG LDGLVPPEAPGHAVSREGWGFVRCWGWKACLSATDVGVIVGAILEVGPEEVPGAWDAK RIPRLRRIEGSSGSDEANADPANLLSRFWTAYDALSLTSESPTLLLEALPLAQHLHRA ILRTGTSLLSKHQIRHLRAFRIAVVKDGPDVKLFTNPGALTKLALWVAEAIRVQERDR GDAIKIGKRRAAGTPLVLAGLDEDRGLYVVVGTGGGGGVIDFAAMHKRREERWRKREL KEKKRKEKEEAKAKRAAERAARANDGEEDEEEESEEESSSSESESDSEDEQDMRGNKH LLRNRFGIAFQEVVQETNTRVRIDSFEHCVVEVQKDDLGGFLEALSFRSVVG ANIA_00871 MSPYFGLQALPFLLSLLFAVFASAEHTSNWAVLVATSRFWFNYR HLANVLSLYRTVKRLGIPDSQIILMLPDDMACNPRNAFPGTVYSNADRAVDLYGDNIE VDYRGYEVTVENFIRLLTDRLDEDVPRSKRLGSDAGSNVLVYMTGHGGDQFLKFQDAE EIGAWDLADAFGQMWEKKRYHELLFMIDTCQANTMYTHFYSPNIIATGSSELDQSSYS HHADNDVGVAVIDRWTYYVLEFLENRVTSLDSKQTLGDLFDSYDETKIHSNPGVRWDL FPGGEQEGRLRTVVDFFGNVQSVEIEKADADEPGSLKEDLIEIAQLVEKWRTFSQDYA VANSTSQQEETRSAPAYEVKKRNVGPARLTDETPWEKRLIGLSILGACAAVWFTGSTL GKTMA ANIA_00870 MAAKIDAPAAAPAPQLSGLQLYSRFAFAGAVCCSITHGALTPVD VVKTRIQLDPVTYNRGMIGGFRQVIANEGAGALLTGFGPTAAGYFLQGAFKFGGYEFF KQQWINQLGYESASKNRTAIYLASSATAEFFADIALCPLEATRIRLVSQPEFASGLLS GFSKILKNEGVGAFYSGFGPILFKQVPYTMAKFVAFEKFSEAIYGVVDKNTLSDGGKT VVNLGSGLLAGFAAAIVSQPADTMLSKINKTQGLPGEGTTTRLIKIAKELGLKGSFSG IGARLFMIGTITAGQFAIYGDIKRLLGATGGVEIAPSK ANIA_00869 MATVSHYHEIGSPGQPARQPPGQGSSWRGLALASSHSALVGFLV MPLAFGDAENPSAAHANRTAAVVVASVLIGLAYSSSLVLFLFHFNERMLLIQWLLVPG VICNALALFNVLINILCRDLLPLHLLEILSLGLSTAAAFMYALGALWLYSHDANRTNA GNSHGGTILLTDEEMQRQQLQRLLEQNSSRKSLSPRAVQKTYRVNHPDRVNSFLPPPH EDGGYWS ANIA_00868 MAKRVTLFEPPQLDPSKAQIENVLELTPVLDIGPDVFTNTRPLW HPPGARGIYGGAAIAQCLSAAMRTVPADFAVHSMHCYFVLAGDSEIPILYHVERVRDG RSFITRTVQARQRGRPIFTTTLSFSKANSGGKKKLEHASSMPSAPPPSLPKSAESRFN PHGGGPFESVRDEIVNRASKPEDKRVRRWVRCKGRISDAGAQHAHLSALAYITDSFFI GTVSRVHEIPRFSSAAELQRALKALKNPSDLDDDDIVRALKELKEEEAADLQRRLEGA LSKLEQRKKREDHKEIGMMVSLDHSIYFHNPWKFRADEWMLTEMESPWAGEGRGLVLQ KIWAKDGTLIATCTQEGVVRLKQDELVRAKM ANIA_00867 MKTLASVGLAILLLTFLALRVRKEIPSWLAATKRIAQSAGCNDI NRMAPYPAQPIKGRDRYRVMMDVRKLDVENWLTLDKNYMDEHVVRSQLLAREKQKVLQ CLPESYEACLEALEEVVEFLTQRFSNMFDMKRHGEETTVYNKVTGETFVFGGGRNEQM DPLEIAVRLTMEDLSILMKNEDGEYYLAASASLFPVGWTVAERIGWTISQLHNPVPLW HQQVANSVSKFLCRLTPESPMERSNYFVEVKRPDENLFEILYRPTTLSEDNPDPSPQD IVVRRERQTFRRLPRTGAIVFGVKTFLTTLDELPMQELENLAREVKSWPEHVGEYKGR EIWGAKALEFCEKRRQQQIWQQQEKNGEKMEV ANIA_00866 MNNPNQLGQPPSYTYNNVAGRPTSPMPPGNQPQMPHPLNTNMPY PGQQTLGAPPVYGMQQPVMGRGLPSSQVPYGARNPAHEVEGAGRSKASLIVGIDFGTT FSGVAFAFATNNEAREDIITEWPGAGTHTKQKIPTVLYYDQYQEVVGWGPDIANALAP TGYPKQGVQKVEWFKLQLMLSGNTYIDPINLPPLPPGKSEIDVAADYLFKLRQAMRSQ LQKTLGEVFTREERNIKYYLTVPAIWNDAGKAATRAAALQAGFLRDENDNRLTLISEP EAAALFCAKTGLLNLKVGDAILIVDCGGGTVDLIAYEVEEEQPFSVAECTAGSGDSCG STALNRNFSNILRAKIRKMKLPDGSRTAGKVYAKCIMDFENRIKADFRNNGQKWAVDV GIEADFPDAGIEEGYMTFTNEEILQCFEPVVNRILELVRNQIIAIQAQNRNLQNVLVV GGFGASEYLFQQIKLHVPPQYQSKVVRPMDSVAAIVKGAVTAGITERVVTHRVARRHY LMATLQPFKEGYHPEQYRVPSLDGRDRCKYTRQIFVQKGERVKIGEPVKVSFFRQVAP GATLMYEDILYACDEDVCPEYTKDPRIKEVVTLTSDLSRKNLETDFERMDTPQGTFYR VYFDIYLTLDGSEFSAELVCQGEVMGRCRAKFR ANIA_00865 MASTYPDQSEETRSWAGDFDPLGEESERKVLFSAFDSFMQYRRS AHLNTTHRRRQAFYALPTKHWQMLAEPPFSLLDNFNKVDDAIDANADIADAIIGTGLK SFGLPEQPDPSNPLQNWRGVANSADINKAHSTIRQFYRDWSAEGQAEREACYIPVLRD LDLEFPDKLEREEFVKVLVPGAGLGRLVFEICRAGFAAEGNEISYHQLLASSWVLNHT EGAQRHALYPFALHFSNILSREQQLRKVMIPDVHPATAMLEAQASGTPFGTMSMSAAD FVVLYSSPSQTDAFDAVATVFFIDTAPNLIRYIEAVRNCLKSNGIWINVGPLLWHFED GHQRTRSGDGSSSRDGGDSQGIGEPGNVELTEEEVFLLIERMGFKIEKVEAVEERVEC GYIQDPNSMLRSLYRPSHWIARKLKN ANIA_00864 MVDIQAPAPARASTELSFPLPKALHTTAHIHLTLLDTCVMVFLA TSTAGDSTGSTKPMGSFIYAMPDRTSRSVISTILYTTASTEEYATRVAKILTRRMGVP VYLGCSIDPIALGLLAEEEMEGLTSIVDKVMTKWEARDRVTGSQQRRMGTAGLGSCSD ARMDVRSTQKNSGSLNRRMQIPGSIDHRRVQKRSTHTPVSAGILRGLNSVVWLDPASG LRLSKQYPDSSDGSVDYTTQLIHNAVTQLTATTAGAGPAHQLLTPLGTAWRLINLGRP GGSARHDTRST ANIA_00863 MEPHYSRSWTNQNTNGAPYELPPVQSVTSSMPFQPGPQPSAFLS TQSARPSGGLPMSHILQPPPAPTSTAKYSPYDSSVSPSEAGAATFQDASANGSGVSAG GIFRHGGGLAQHQQPLQQKRAYRQRRKDPSCDACRERKVKCDASESASCTECTNRKVR CQFTKETNRRMSSIKPPRRPKAPVSQDLSNARANLRRYGRGIWKVPTPYRQSDSISLL TADPPPLPPQDVAHHLLAQYHAHIHTTFPVIHWPTFMKEYEEVYRRGSLIGVPREWAA VLFSVFALGSLHTSDPNREEKGKEIMALEMGKPVLINDQDCDTDLPCTVDEQFISEGG CVPENQQTTPLLATIHVVRSIGQLTRILRSTAIGTASLQTFERHFTTCLSIFPEQYQP NSDQYIEPRTLAPIIYLQNARLMLHRHNISPFCPPDVRSSALDYCASVCQDTARLLSR CMRPSPITGNRDWRALLAVSGSAMLCAHLWRCILLLLFRQEYEAALICVQASSAMRDS RAINAACGRNIVFFLKCLLGRLHRSGPVELDGDEEMMAYVSGDMQGTSNGSWVWESSE TGSQLEMASPQNSAAQPSLEDSKLSQEPNGWEGWDWIEQTVQYLLAEKEQRQQKEAQS LRLPTEPSYRGSESATGSPDSAPNQSTSSHSRMTIASII ANIA_00862 MMNHRMLSKEDEAAIGNSEDTEVRREDQEKINRFSRLHQRETVL EEKLKGKQKDKEDLEEISTELELADEDELIPYKIGDSFVHLPLEEAQTLLASSTEQID SEVAKLEETLSDLRDEMQQLKVALYARFGRSINLET ANIA_00861 MRIFSSPLISAVSLLPCLSFAVSFDCSSIHVDNYNYNLKALGGV HEIYHAEQVGDLIVNTTYVLNLCNTLKGASIRGNLKCGTSKNICGFNYTYGADGATEN DFAFPIVGLEPMGQGAKDAEITRLKKEDTEKEGLRVKLSGGGEYPEPGSKKKKKAGAV IEFECDPGRSGLEGLVKEDTKRLLRAREEGLDEGEGDGEGDGNGEDKEPFYDGSDPDR SLQYKSFGKADDETYILSLSWKTRYACDHYTEDRGSSSGHGGFFTWLIVIFFLCAAAY LIFGSWLNYNRYGARGWDLLPHGDTLRDIPYLFQDWLRRVVNTFQGAGSRGGYSAV ANIA_00860 MAQQKPIFVATHPRACSTAFERVFMTQRDTIKCIHEPFGDAFYY GPERLSDRYEADEKGRIESGFSESTYKTILERIEREGRENEGKRIFIKDILHYLLPPH GKPASIAPSLNRIKRGVGTETATAEHPTAVGSDGDSNANGHHPAANGATNGSANGSEI KKGNSTAPYPYDTPSEPGNPTVIPKEILETFHFAFLIRDPHYSVPSYYRCTIPPLDAT TGFTYYDPAEAGYDEMRRFFEYLRAEGLVGPHVATHEADADEILASAQDGQQKPTHKP VSSPDAGIGAEICVVDADDMLDSPAAMMEAFCRSVGITYTDAMLNWDNEEDHEYAREA FEKWNGFHNDAIDSRGLTARKHPRAPRSEEQFDAEWREKYGEEGAALIRKTVNANMAD YLFLKQFAMKV ANIA_00859 MGDCRNTTRHRVITAKIVIYSCYSAFILSSLEAVGRGWGARLQT VPGNAGLKPSEGIVRAVQARSRDRFSMEQAQQFQIQQPPHSQLPQPQPPQQPQQPQSH QLSQQSQQPTEIQPNPQTQQSQQSQQLQQIPPSPQAQPPQQPQQPSQPQPPGQSIIEI PPAYPPLPAPPDATYRTETEAVQAIKTFAQDHGYAVITKRTNKGREGKIEAIYLTCDQ GQVYQSTAKERQREPSRRTGCPFSIRISHHKTDNLWHVKVRDPSHNHGPSRPGSHPSI RREEISQKTQYIKALLDINMPPSQILKQLRHVDPTTVIQIRDIYNLRHRIYQGKPPVP AVVISDFKQSPLKKRRGQANSDNLSSGEASTSGAVESGLGDDQGVATPVSGVRVTQDM HTPEQLLYDTLF ANIA_00858 MNTDKYTDKANKALQDALELTIEHSNAELYPVHLAVALLDPSQN EDDGPSLHPSHGDSTSLFRQVVERAHGDVQLLHRGLMKRLVKLPSQDPPPETRSISAP FRKVLTAAADLSKTQKDSYIAVDHLIQAVCQDSTVQAALKDANVPNVKLIDNAIQQIR GTRRVDSKTADAESENENLKKFTIDMTALAREGKIDPVIGREEEIRRVIRILSRRTKN NPVLIGEPGVGKTTIVEGLARRIVNADIPASLSQCKLLSLDVGSLVAGSKYRGEFEER LKGVLKEIEESKETIVLFVDEIHLLMGAGASGEGGMDAANLLKPMLARGQLHCIGATT LGEYRKYIEKDAAFERRFQQVLVKEPTVSETISILRGLKEKYEVHHGSVRILDAAIVT AATLAARYLTARRLPDSAVDLIDEAAAAVRVARESEPEALDNLERKHRQLQIEIHALK REKDEASKARLQAAEQEAANVIEELRPMRQKYESEKQRNKAIQDAKTKLDNLKNKQEE AERSGDTETAADLKYYAIPETQQHIEMLEKQRAEADEERRNRQGDAGEPLLADEVGPD QINEIVARWTGIPVTRLKTSEKDKLLEMEKHLGKIVVGQREAVKSISDAIRLQRSGLS NPNSPPSFLFCGPSGTGKTLLTKALAEFLFDDPKSMIRFDMSEYQERHSLSRMIGAPP GYVGHDAGGQLTESLRRRPFSILLFDEVEKAAKEVLTVLLQLMDDGRITDGQGRVVDA RNCIVVMTSNLGAEYLARPTTKDGKIDPQTRELVMGALRNYFLPEFLNRISSIVIFNR LTKKEIRKIVDLRLDEVQRRLEQNGRNVVIECTEEVKDYLGQSGYSPAYGARPLGRII EREVLNRLAVLILRGSIQDGEVARVVMRDGRIDVIPNHEMAIDEDQDMTDEDDFDAMA EMEDNGGDMDLYE ANIA_00857 MLHHDKMSSLGAEFGRSFARETWTLYAVGMLGVVLRFIARIRRL GIQNLQWDDYVMVFAVIWYTILCVALNEVASGGGSNLMTQEDIDNLTPEIYDERVKGS KWVFVSEHAFILAIWSMKTCMLIIYARITEGLPQRKWVNYLAIYVALGFIAVELSLFL ICRPLSNYWAVPTPNPQCSTFQYYEIIQGCVAITADIAMLLIGLPLLMQVRVPLKQKL ILVIIFGMGVFVIVAAILTKVYCLVPELISYVYMNWYFRETTVAILVTNLPLIWSLLR DVFPALKSWTGGSKRGTNRYRSGPWNSNPSGLKHFGTGTGTTHLRSGNEFPMHKYDRS VVVTPQKDMSEVSLEHTYSRGQSDDGSERALQIRQDVTIEVMRESRPPANYHLHDPQP ANIA_00856 MAEAAEKMPAVDSAKEEQGSSVEVPVNASGHRQELQRNYGLLSI CALAITTGNTWIAQGGSIATALSNGGPSGVIYEFIAVSVCYWMVAASIAELASGMPSA GGVYHWASITAGKYGRVCGFFAGWWNCLAWVFGGASVSAILGQQTVSMYALMHPGFEM KAWHVFVSFIICTWLCCSIVLFLNRFLPQLNNLGGFFILSGVLIVIIVAACMPHVNGV PYATNEDVWRTWTNSTGYSSNGFVFVAGMLNGAYAVGTPDCSTHLAEEIPKPSRNIPK AVLAQMSIGFISALLYMITLFYAVNDLESVLAAPFPLAELYRQATGSKGGGLGLLIIT FLPTVINCAGCYITAGRTLWSLARDRATPFPNWTGRINSTMHNPFNATLVCGGVITIL ACIYVGSTTAFNAFVGCFVQLSSLSYFAAIFPHVLTRRSSFVRGYFWMGNIGYVINVL SCIYILAFVVIFCFPFALPTDAASMNYASLMTGGLTIFVAINWFFRMGSYEGPKFVPL TDRALAEDAK ANIA_00855 MVFKPFKPLSIRKPPQPADPTQKPSIKPLETDDGPPAKRPRLFS QDTSNTENTRTTLANQRKPLIQVTNVSEASALTSGGSGDGSAYVDSSLPEARRKPTTK KNKIWDGDGIVSVRDGYVYLQDISGRAMGRAMYGSVLKPGVILSVGGKEVEIDTEIPK EEYLSGKRSLMTKTEAPTPPSLTRPLPSKKTSVPVLMSREKDISRSEISAPSPGLAET RVKESQAANLASRGSISGAYKRPLLESTVMPAASLDEPTPRHDPKQPGALIMKRPGSA PKGKRIVDVVVDPILAKHLRPHQREGVKFLYECVMGMRSFNGEGAILADDMGLGKTLQ TITLLWTLLKQNPIYEAAPVIKKALIVCPVTLINNWRREFRKWLGNERIGVFVFDDKR KRLTDFTMGKAYSIMIVGYEKLRSVQEGLARGNGVDIVIADEGHRLKTLQNKSGQAIQ SLNATKRIILSGTPIQNDLKEFFAAVDLVNPGVLGSFKSFIKEFEGPIVRSRQPEATE KDIEKGEARNEELRELTSQFMLRRTADILADYLPPKTEYVLFCKPTNTQAKIYQNVLA SPVFQGALGNSESALQLITILKKLCNSPSLLSLKDANEKPSETIAAILSSLPPNLLRH FSPSCSAKIRVLDQLLHYLRTSTSEKVVLVSNYTSTLDLLANLLSSLSLPFLRLDGST PAQKRQSLVEDFNRHPASTCFAFLLSAKAGGTGLNLIGASRLVLFDVDWNPATDIQAM ARIHRDGQKHHCHIYRILLQGSLEEKIWQRQVTKIGLADSVMEHKDSVAQFSRDELRD LFRLDVVSKCQTHDLLACGCGGKGYSTASGNDHTPQQEPEGLSEDDDESDISCLKFPT LMKATEVNMEEQERFFATGGRGPRASVLTSKNSGSGNTNSSKQKIKESLSHYVHIDPS LFMPSTSTLENFGAGGLDQVLGDEVLRSLLTDEENRVQFLFKKSTEPEVKQTPFAADD GAGATPKSRTNSTCRSEPEDGAEARAGNGTADSPVDLSD ANIA_00854 MPQPANSNSPAGRGVSKNPPIKSKPLDIGKPLGLYDTNSVRAKV RKWQQQGGGVITADDVIYYEEDDENASTAESKPKPTNTTTRKRSKSTPRKRLVSDEHW KLNRSSTQAPKAKPPPPRRGRIVPEYTTNEPLRPARSAKGDDKEPPLLSPRLSPRKRR DDIKDGAVSPRALRERRKSHASGDIDSIIEKLEKEHASHNNSSKPSSRPSTADKKRSS TISEPAQSPKKKESTLDYDSEWAASEADFSELSRRRARGAPHTSKHAPAKPPKGGILG QIVDESRKMFSKPEPRPEPPKHPAPNRGAKIEAWLSNTPDPFLDDKNSDVEIPAPLKT RRKKHIEIVDEPTEGDQTRLSSPEPSGGSDLPQKTSKRRVKSGETTSSGERPGSRDDK HTTKGLQRDPSPSLRTRKSSERKTSEKKQGRSPSGTSKDGSRKLDDKERASGAGTQPF ESEIPSKDTSESLVQKRQGTWDGSAKTSEGTEKKRTPSQTTFASEERDKVDPDSLAIV SSQMKKLTTHDDLMSVLSTSTTRSRSLRSARSLRSSKDRKPTVADILKELSLDEAKYM RELKTLVGGVIPVLLTCVLSRSDSAIAAGLFRPSANPQDELTFTKPIVNMGVAIERLK LLHKRIPLDAADALLQWAQGAQKVYREYLKAWRLGFKDVVVNLAPLEEGEEVKDADTK SLDDGMSRDENGDIVDGDGQKVDVAYLLKRPLVRLKYLAKTFKGINMLEPSPKAEEIS TNYQNLVVEARRRAREERARLEDESAAAIDPSRARDPETLGALTGVTIDKTRRVRARD FFNLSLYHSSGQVIDCRSEILFRDNAAEHGPGGDLLICEVDHADRWLLFPPIDIGCVS ARNGDKKGEIVVMIRSPPGRERRFQELLSLEIDEEDVGMEWIQMLGRTPIPPAISRSQ SFIERARQRQRAQTVSSPNEVSLAQRTPPSPTNVAIPIGEKPTSSHASRRSWTAKESW SDPSAIDSFAATESQVSSHTDITRQSDCDLTEKESSKPFANTQSILHSRDPRKLVTSD DKSPQGLKRSGAKRISKYGELGPQSPLSPTGASPSTPSRNREEIKRAEQPPSHHQKQA TPKTPTRAESTESPRVSSVPSADLPSIPKLRQGSSTSYIPDPEPEEEYDIYYNLLPET PTKSKRHSCSGSESDASNGDGPPPPPPHSRSPSSTGSSLPGTPILSPTAGRMRRRGSS PLKHEYEPSTASDSYSDSDTSTVRRYDMYSDSDSVTDSSDEDSEDEIPSRVRSRTSRG VSRLEEQESDLSTLSLTNSTSQAGYRSVPSQPSKSSSAFATVFAWSDKGSWESIFPDE CKVIISPGLVEAYEMKDDPLANGDNAPNNVRPIIALELTPLVPIRRGTAIDISIRSPP TPRSRVSWSNNIMFRSRNAEECEVLYGLINQARTNNPTYIALQNARGPLDDIPAPLER ATKSSGFFGWPRRRKSYRASASPRSLADNSESSVGTMSSAFSALKRFGAGSKMFSISR SSVSSRKKDAEGSLYSSSAASGGFNSGGIGRIAAAIKGVDGIGLSNAKIRLYARETQS KWRDMGAARLTIMPAPSRRSSAEHHQADTATTTPDENPEASTDAISPPSSGAASPQRV MEQRNKKRIIIRGKTRGEVLLDVCLDESCFERVARTGIAVSVREEDEDGPPVTEKGGV TIGSSRVYMIQMKGEAEAAYTFGLVGKMKY ANIA_00853 MAASLGSTRVKQSLSEIAPKPPSSTSPSNASTPQDYTVLKPKSC LACRKRKVRCDRRRPCTNCSRWSVECIFPSPIRKCPRVRTKPKTGTSNDQALHDRIYT LETQISGLTQTVNAQAERIRSLTAPGNSLFPLSHTWAHATMSLHPSLALGRKYWQLFL EKVDPLIKVVHRPSVSRILRGGLNDPTSLGEGDGALLQVFYLACISAMDAMDVQSSLQ MSKSTALTIYRLAAEQALARSGFMATSNWTIMQALVLFIALDRLQDNQKSAWNLAGLA ERLDVSLEEDNSLFGAEMRRRVRWHLWYLNRRIRGDRGQSPSLPSQPMPSPSVVELPL NCHDSELRTDMTVSLTNQPGWTEMSFCLLRYDLATTERIVESDASWLFKTRAVSECQH RLHFKYLNYCDGSESIHWLASHIAYVMITEMWMKLYSPQFAAVDSTETLDHDVRDQLF DAAVDILDTQKRLEVETAARKWEWTLGGYFQYVPLTFLLNELYWRRNDSRVDAAWDVA ERSFQRLSEHARKSTHGVMLTELMSKALSARQETADIQPSADTYLVSEQAIDDIFSVG PEYTGTIKTMPSDMPQLGLPADWAFNVQPLSEFVTDTGGLVREVEFTCKYVEYMTLWA ATIGRTRPLDSIQTLVSFEAGVIFVPYGPVQPDSKSITQPLQSNRWTSAKDGGC ANIA_00852 MARSSTLWAISLPPQLPPGIIYEDLHLVPTFAKAHGVAMGIAFG IIFPLGAILLRVLQLKYGVYAHIGCQLLAYVLMIAGLATGIRVGKILDRLHNNSHTIL GTVIVVFLLIQPFIGFWHHHQYKKTQKAGRWTHVHIWIGRIFLLLGIINGGTGLKLAD NTTGGIIAYAVVGGVFGVVYFSIAALHQGKVILGKETTPEMTQT ANIA_00851 MINHGRMGSAQDFFGQLWKEYALSDSRYLTSDTLVLCMETITVI IVCMAHLYGDTLYFATSIYDDHAHERPYCRPEPFYFWVYYFGMNFIWIVVPAIYLYQS MKAISYAMKKAEKISQSLKSE ANIA_00850 MGRPSVQRKSLGLDVPRTTAAEALPGIAALFVIHFDVKAGYTVT WKRTIPGVEVEGAVEYKSLPSGLHNVSEDLVYFVHDQYAGISAFVNQPADESERNAKM FSIGVLVPLSSGRLGKSWRHASKLKELAQRYSESAFSTNSLSEYWETHELSANETSAA PDSPLDSPLSLRMRAHSDRPDTLQRNRAISDAMVLETSRPALTPFHPASSLPDFLDAF GPLLFPLYRAALLRRRVLFMAEAPVQVPCNYVYDLSLLASLPNSLLPLLPSDRLLSLR PRALFNVGVYDIPYLSSFIRGSADSEHDSTWIACSTDSVLSMKPELFDVLVTLPPSHT KNAASRAYPTISVSSPLVDKKPPQRTELKATQRDVRRYIALRKGLRRVFKDECIRSNS DEDDSSDAASTFSSSPIVEPLSWTRLAYTSFIWWASAGEKRDGFSEEEEEEHEVEQDA RLLASVDNIPSPPSGSIRQPNMQQEQTPTQPPEIALIAYFRQLTTQIFVTLSDVIARQ DDHSGIDPEAASTISNEDQVENTETFTDDIPDDDPSAGDDTSPLLEPNRDPQVKAQAR DTDAIIINAEDMAEMGLDTWSAADRIFVEELVLTWWGRKAYVDSARIRCCGISII ANIA_00849 MDSPSSSRSSPSKALNPLSPERMNQQTIPNSPSTVSETLRMQRK SQRGLSDVQARVAYLNNLSRGGSPVGTSGSTSTGGAAALQRAILGREEAESALARVSS QLSEAQSRELRISERLESLLEELQNAKERQAHERLVFEKEIRKARKEAFRAGSALVKT QEELKHMRAEAKGLSQEVQSEREAKEKAKQEAFERAYAIAGLTEELEEIKGKLRAAEA KNQAITLQHARAREIRKQEVTRMSLAEGDLALLMTPSPRKPKRPSDALGDDLAQGPTQ ESAKLSPPAHQDTPPKKLVKLSDPLSPRYPHQFEENPPLTVQELVDRLQMELRWERNE RINAEKDVEFMQLQCMFKMCACRELENLENEAQARKQERTAQKQGAEETMKDCGIPEE DSHQQLNGEPTRIEPRDKEDRQEQVRMQTQERDSLEETQQQDVQEEPQESESQEEEIT EEQTREDEFMDEVQEEVGEPLITFSPVTGTFRTVPSPIRSPHKQLQNSNLHELRTSPI KIQTIPEAHSSSASPGQKDLTPEAHPSPVASQAQRQTEYENVHQNHVDHVNEIHNVKR IPLRSGDEASSLSVIAPGTPISREQALAQIRARRGRTSEMKRSVSASDAGFRAGGLNV TPARLARRIPGVQHATDPRGDGVKKSRRDMSAPMRVLR ANIA_00848 MHEILTLQLGQRANYLATHFWNLQESYFTYNGQEESPVDHDVHF RPGIGADGSETYTPRTLIYDLKGAFGTLRKNNALYELAEDLAPGQGLWDGKEIRQELV PIPLSDYQKSLDAGSPAPQLSSETVRFWSDYNRVFYHPRSIVQLNDYELNSAIMPFED WNLGEDLFNELDKEHDLLDRDVRPFAEECDQLRALQIFTSSDDAWGGFTARYVDRLVD EYGKKAVLLWAIEDGRRIHQTAKLKRETNKARSVYSMSPEATMYTPIIDPPSRLPSTI RVDNNSEWYTSALTSIAMESITLPTRLRPYQNFESLLAGDDSTRRIFELQASIVPPAK DHRNVQRQASKQPNKVESCKSGSMVRTEFDLDFTYDSGSNKSHVLNQVQVLRGLEPDD KEEELAEADLGFVRKMRVYKSEPMFHRFHTPLQYPLLDSFPSDMITLSMPENKLSIIS ALTTSTRTAEKIKNLESVAGRLITVDERENLVNGLGEIRESYETGWISDSDFDDD ANIA_00847 MAPGGRRRTNNLLPLFSSSPLLSLALLPFILFFLSFPAPASAVG SAVLGIDVGTEYLKAALVKPGIPLEIVLTKDSKRKESAAVAFKPTRQSDASFPERFYG GDALALSARYPDDVYVNLKILLGVPFNDGKNELIETYRARFPALRLEDAPFERGTIGL RSNRLGEAERKDAFLVEELLAMQLKQIKANADNLAGKGSDVRDAVITYPAFYTADEKR SLQLAAELAGLKVDALISDGLAVGLNYAMSRTFPSVSDGEKPEYHVVFDMGAGSTTAT VLRFQSRKVKDIGKFNKTIQEVQVLGAGSDRTLGGDSLNDLIVGDMLSQLLDDKKLKG RVSLADLRSHGKTMARLWKDAEKVRQVLSANTETGASFEGLFDEDVNFKYRVTRSKFE SLAEQHIARVGKPLEEALAAAGLQLNDIDSVILHGGSIRTPFVQKELERFCGGSEKIR TNVNADEAAVFGATFKGAGLSPSFRVKEIRAIESSGYPVVLKWSSESRERQQKLFTPS SQVGSEKQLTMKNLEDFEFSFYQQVPTGEDVVDVPVLGVKTENLTASVDKLKESFGCA AANITTKLQIRLSPLDGLPEVSSGVVSCEVEYSKLGSVVEDVKGFFGLGSKKDEQEPL REDGEPNESVTLEADEPKASTSSADDAKTTDPAKDSKKAASQPRQETIPISFTTFPLG VPAPSPAELERIQSRLAAFDASDRDRILREEALNELESYIYRSRDLAEEEEFVKVLKA DDLTALTERVAAASDWIYDSEDAKTPEFKEKLKSLKEIVEPALKRKQENAVRPARVQL LQESLKNAQMVISVMEKQIQQDEDIYSSSLAAASTSTTSESETSSSTPSVSADAGDLE NDAYSTSTSETSSAKSATTPAPLKYSVFQPSDLASLSETYETASSWLETRLANQEKLN ETDDPALTVAEIDAHLKRLERISNRIYEKMGAAAARKSSGDKSTKKNGKKDKAEKPVQ EKEAKDTEENKQDEKPNYNKKDEL ANIA_00846 MASTKSDLSKRRRFQPPITTFFTPSSDPNGCPPPSNLSYNHYSA VTNSPTPVVPPKVQASLLSVGMRVRKAIADGYKTHQAKADKYTTFSCDHNNNTLKTIS TTTISTTSYNASSARSELAPFCGVSKSNEYTTTTPQPLPSAYYDNHIPRTDEDDAFSL PPSSQESLDSALTPEPAFSQKKRSHHDFDFDSYKDDCEEINPLDPDPVTIISGRTILS PRSTYQRRTLAAQKYKPMHTMDLDDFEEATFLRRPEELDDMDIEGEIQMRRM ANIA_00845 MDLPQISSRLSSSPTAFPNCCLALSSPLVNRLASLLPTTPHFTL SIGSGAGLLEALLAYRHPNVLIKGVEVNSSVNLYIAEQDMYVVSGTWDLLPRASDAIA WMFVYPREPKLVTKYIELYGLGTKLILWLGPRADWADYEACFRDSPFSQLSFEDVGLA EYEMLVVASKRFRAAEVFLAVVEDFMFALLTMPESLGFAIAALANACALSPRSSRDGC RPEIEQGNHYPYFTYVAWIGRSGEAGLNCAKDTASQSTKLQRSGRRSETGLPISPERG FSTDLLTANFEDGILTLSDGPFQGT ANIA_00844 MVKRKLGALEKVEADLPNLQHKIRRDPKSYIEDFRAQHYQYESH REIFMAAPSSATDTGLISLRELIDFIAHVADCYPDITKDFGQQLIDMLSQHHQVFEPE LREKIVGSLVLLRKKDLLDSTTLLQTLFPILISTPSKTLRALIFQKILMDLRSSNAKT TNHKLNRTMQTVLFNLVTSDRTSSKGLWAIKLTRELWKRQIWTDAKAVEIMKEASLAE NEKVIVGGVRFFLGGDKEREEMEEESSDEEAIDLGRVKHQVGINKKTKKKARAIEKAK ATVQKRERKKNQPHPLNFSALHLLYDPQGFAESLFAKHLQSSKSKLNLEQKLMVLQLV TRLVGLHKLHIMHLYSYFQKYLTPRQPSVTSFLASLAQASHDLIPPDDLEPLVQKIAN EFVSEASASEVATAGLNAIREICARQPLAMNETLLQDLVMYRKSKDKGVVMGARGLLS LYRDVNPEMLKRRDRGKDASISLQHGEKKEKRFAAQEAGGIEGIELLEQWKEEERKRK RAEKGLATDDEDEENEDEDENDWTAWNVEDDEDSDDSGGWIDVQSDVEIDLSDSEDDE RPAKKAKQADDKENSADSNPQAPETKPDPRKPSLATSRILTPADLAKLQELRQQAAIN ALVPGPKRRGATSESRHKEDPLTAAEIEGLAALSAGKKTREERIAHAKEGKTDRSEHK SVTAKRKERKEEQGKSTTNKEKARKKNFLMTLGKAKSKGKRSLVETRAVLRAHHERAK RGGRRGNR ANIA_00843 MSEHGEVEVDIPAGVYDSLPKDALAEMGTVKLFNKWSYEDVEIR DISLTDYIQIRSPVYLPHTAGRYAAKRFRKAQCPIIERLTNSIMMNGRNNGKKLMAVR IVAHAFEIIHIMTDQNPLQVAVDAIVNCGPREDSTRIGSAGTVRRQAVDVSPLRRVNQ SIALLTIGAREASFRNIKSIAECLAEELINAAKGSSNSYAIKKKDELERVAKSNR ANIA_00842 MAEEHPELQSALRELDREFEEGDITEKGYQKRRTLLLSQYLGSK ALDFNAASAAEPAQSMTSSVRSPPALLSIRPPTADSGGPAHSFTGGHGSPSNGSFGYE QHTSNDGLSPYNGDGAMMSHHGRMPSASSYDSLFLPKPAPPVQQDSRAATLLSQNYAF NPPDPNDHFDESAGAYDIPPPEVSRQSTMLESQQGYFEDFAGQQQEELRDSYGGGFHR YSQSDAFSPTANMAPPLIPASELPQGAIVDHLLPLEPRDIPFAVHDPHDKSTPMSNFD NIPTVLRHRARTCGKQPAYWVLDQKGKEIASITWEKLASRAEKVAQVIRDKSNLYRGD RVALIYRDSEIIEFAVALLGCFIAGVVAVPINSLNDYQSLNLVLTSTQAHLALTTENN LKSFQRDITTQKLNWPRGVEWWKTNEFGSWHPKKKDDTPPLIVPDLAYIEFSRAPTGD LRGVVMSHRTIMHQMACLSAIFATVPSSSKQPSRGETIISYLDPRQGIGMILGVLLTA YGGHTTVWLEDRAVETPGLYAHLVTKYRATIMAADYPGLKITAYNYQQDPMATRHFKK NSEPNFASVKLCLIDTLTVDAEFHEVLADRWLRPMRNPRAREIVAPMLCLPEHGGMVI SVRDWLGGEERMGCPLTHEMDPIEDQEVKKEATNSDKAENSGFGSSLLGGGSSAPAPS EQTGKVELGEALLDKEALKNNEVVVLAMGEDARKYAGTMPNAVRVGAFGYPIPDATLA IVDPETNLLCTPNVIGEIWIDSPSLSGGFWALPKHTEAIFHARPYKFEEANPTPILVE PEFLRTGLLGCVIEGKIFVLGLYEDRIRQKVEWVEHGQPAAEHRYFFVQHLVVSTLKN IPKIHDCTAFDVFVNEEHLPIVVLESYAASTAPTTSGGPPRQLDSALLESLAERCMEV LYQEHHLRIYCVMLTAPNTLPRVTKNGRQEIGNMLCRKEFDAGTLPCVHVKFGVERSV MNLPIGVDPVGGIWSPLALETRQAMLEVPEKQYSGVDYRDVVMDDRTSTPLNNFTSIV DLLQWRVSRQAEELSYCSIDGRGKEGKGITWKKFDLKVAAVAIYLRNKVKLRPGDHVI LMYTHSEDYVYAVHACFCLGVVVIPLAPIDQNRLSEDAPAFLHVISDFNVKAIIVNND VDHVMRQKLVSQHIKQSAQVLRIGVPAIYNTTKPSKQSHGCKELGLTMKETWLQGNQP AMVWTYWTPDQRRISVSIGHDTILGMCKVQKETCQMTSSRPVLGSVRSTLGLGFLHTC LMGIYVGAPTYLVSPVDFAQNPMTLFLALSRYKIKDTYATSQMLDYAISAMPGKGFQL QELKNLMISAEGRPRVDIYQKVRLHFAGANLDRTAINIVYSHVLNPMIVTRSYMCIEP VELWLDLRALRRGLVVPVDPDTDPTALALQDSGMVPVNTQIAIVNPETCTLSQVGEYG EIWIQSDACAKSFYGSKQDFDLERFDGRIEDGDPNVSFVRTGDLGFLHTVTRPIGPGG QPVEMQVLFVLGGIGETFEVNGLNHFPMDIENSVEKCHRNIVNGGCAVFQAGGMIVVV VEVTRKAYLASLVPVIVNAILNEHQVVADIVAFVSYGDFPRSRLGEKQRGKVLASWVT RKLRTIAQFSIRETEDSNFGIPQHRMSKSSKAGSIMGHSARRSTIVPEEPVPRSPAMP AAPPLLENPAEPSPTLVNSSAATIPEVPQIAEPLAPVPPQPQPSAEPGTPPTSDGNQF SAHAPSNEATGGHRRDFSFDFGDFGQSTTAPSQSALPAETLPYRPSPGYSLNPNTGAP VIDFPRRSDSYQPSGTSDEHGDWPQEALIYQSAYDADDSRGQGARRDFL ANIA_00841 MVEDGQMEWRKGQKVNHDAPRASSIETEQTSSNAGELKVLHCLT PVKSPPKTLVSIHPDTEEVTTAVLLAASTAAQNICNPDSVGVGIAPGTATETFSLIVN STCGIIDSRVGNGEVCGAYNQGSEVTCVDENESAVVSVVTADGEFGNCVPAREVCYVQ PGYAIQWCCGV ANIA_00840 MPMLKDPSKKYRPFKPLSLPDRQWPNKVIDKPPRWLATDLRDGN QSLPDPMDGEQKLRFFKMLVEIGYKEIEVSFPSASQIDFDFTRSLVETPGLTPDDVWL QVLSPCREDLIRRTVDSLKGAKKAILHIYLATSPCFRRIVFNMDKQQSLEMAVRCTKY ARSITKDDPSTAGTEWQLEFSPETFSDTEPEFAAEVCEAVKAAWEPTAERPIIFNLPA TVEMTTPNVFADQIEYFCRNVSEREKYVVSVHPHNDRGCAIAAAELAQMAGAQRVEGT LFGNGERTGNVDLVTLALNLYTQGISPKVDFSDINAVIKVVEESNKIPVNERWPYGGQ LVVCAFSGSHQDAIKKGFKLREDAGASDDIRWEIPYLPLDPQDIGRTYEAVIRVNSQS GKGGAAWVILRTLELDLPRALQVEFSKIVQKRTEEANRELRPAEIVALFEDAYHLKTN PRFNLVDYNITTDRSQSPAPPEPGKALNTKNLKRRFTGIIEIDGLQHAITGVGNGALS SLANALATLGIDLDVIDYKEHSIGQGAGKGRDVKAATYIHCSAAGSQQKVWGVGIHQD VVQASLIALLSAASSFLTSRAGSPAPFRPIRSNTLTDEDLQALEQLASSNGAGVTVAN VSEGQSDSKPTVDLEALTKKAASQ ANIA_00839 MSWAALSPETPAFSGTRAVVPPTSVILTGNQEEWGYHSPATLLC IPKADSFDDRSLTLVVPSHICGILSTQEGVSSPFFFFCSIPESLVVLLVTDPAMYLPC LLLLFTRSLAYLFTPDNTETLIDHRIPVVFDVATSQTLSYAEAPISGFWITSFLTATD GSQYFLASGLLASESVSAYGASLLDLQTFQRHAFFDPATFTNANLESFNFTTQDYELS GIPPDNLAMKLSSDVPGASFDLILHATSRAAYYYGTGTYRYVNDTMYNWVFPATKTSG SITVPGQFGDDAEQIELDPAASLTWYDRVWGSAELRKGNSTFFVLYVDDSDLILWMNV VESLDPPFKSRSVNICARGKSWHHIHAIDVFEPDTDAVWTSPRTGKTYPQEWRLGIEG RGELHIKSVIGDQELAAKGNDGAGIYMGFSTFEGVLDGEDVTGFGVSELRLAGIV ANIA_11283 MCVPLASFLHGVFELSSFRITVGDDWEGALFVDSMEKKLLKKYI SISKLGGLQKDFTVEDPFPAALE ANIA_10126 MDSTKSNILVIGFGGIGTMTAYNLEAGGRATVTGVLRSNYDLVA SRGFRIWSCDHGQVESWRPTSGMNAARPVRNKADMMIVTKAVPDARTMASPFDYIVVC TKNIPDIPPTVAEVIAPAITPRHTSVVLVQNGINIEKPIIAAFPENVVISGISLMNSS ELRPGEIFHQDHDNLIIGAFRNPNLDIETECRAAKLFTDLYNASEKATGEYSAEVQFM RWRKLVYNASYNSLCAITGMDTSKLRLTHTAVTELLLPVMLEVKSVARAAGYQLAADQ EDISLNGDPLYGYFRPSMQQDIEKGNFMEVEVIVGEAVREGQRLGVPVPMLSTVYSLL KVLQFRTKVNKGMVELPPMKDFGAGNIVEVSKP ANIA_10125 MAPITKQRPSAKDDDFVLTLSDDENDRFDEPQDEDATSMSSSKK RKREAGENAAPTNKKMKQQQHGKQQKGKKGKQASMEKEARSDDEEEEEQDAGEDDGAL DSEFEFDVGAVVNQVVEFDGWGGNGEDGEKKNGNKKAVDIDDIIERRREKREKKAEKE KKKKHLDECEDEKDEDEDDAMSVDFGDDELLAKDGFGMGADGVDEEEEEEEEEEEEEE TEGNDESDDEDEEEGEDDDDDAASDSDSVATPVHHPDDEASEPDSDAESEVDAEEEAK RKAFFAPEEKTDEDAATNSAKRSFQEFNLSRPILRGLAAVNFTNPTPIQQKTIPVALL GKDIVGSAVTGSGKTAAFVVPILERLLFRPRKVPTSRVAILMPTRELAVQCYNVATKL ATYTDITFCQLVGGFSLREQENVLKKRPDVIIATPGRFIDHMRNSASFTVDTLEILVL DEADRMLEDGFADELNEILTTIPKSRQTMLFSATMTDSVDKLIRVGLNRPVRLMVDTK KNTAVTLVQEFVRLRPGREDKRLGYLLHLCKEVYTGRVIVFFRQKKEAHRVRIVFGLL GLKAAELHGSMSQEQRIKSVESFRDGNVNFLLATDLASRGLDIKGVETVINYEAPQSH EIYVHRVGRTARAGRSGRACTIAAEPDRKVVKAAVKAGKAQGAKIVSRVVDPSVADDW ASKAKDMEEEIDAVLEEEKLEKQLAQAEMQVTKGENLIKHEAEIKSRPKRTWFETERE KRVAKKIGAAELNGPSKKEKVKLSNKDKKRLDAAKLRQEEGLGRKKSKAEREDPRAGK AKLGKGKGKGKGKGKK ANIA_00837 MTSTPDAAEGSEDVNDFLQRIRELGEKRDKEDEERTKKLEEEIL QGRKERQARRAERARSISPTKDSSPILDPARLSMSSLSHRAIDPPEQLEPTTPRTPVH DAHSVSNTFRDDKVASTGSSPAGSRLEASEVGVSPKASPTLRSRAGTLSWQQRPSSRD LSGNRTFFSTSPSRETRWRAMSNASATETGALASPLASSSPLREPSSLRQPEVDDTPA QETPFKEGKVDQSENTKTKAPEAMEQTESAKENGHRDTTDEQSPPPSRASSSFADSSL GNRYSVSSVSTATGLGSPLPLSSAQKFEPPKQEGESDSHMAFPVSPRRLSPERSTSPT KGLGGFVQSAMLRRSDSVSKRWSAQLPSGLSRNSSFASNRNSFAAPSNTDLTATPKLN REGTSISSPRPSSSHSEATIVRQAKAEQRPATPPASSRSESLSRPPLTVHARSSSIMS VESQGEGGSRPTTPVSRTMDQRRWSPTKSTWLESALNISESPRHKRQPSQQSISSLNK DRQSRTSVDLGRRNSFKEVNTVGLMRTTPLGGHVKKQSLSGIPNVLKTPDLSPTKEKP LNDASLIKEEPSLEQGPLPIETTVPGSPLKTDGVETTFEMPEAPEALNEVSEVPEVAK DSAVPEAAEKSPDGDLKHKNIPPALSPTPKANTDVPVLSPRQPIRDPLLDRPKPQSPV VDFRANLRKREVVKDQSSTAEPEFKNVFGKLRKAETSNYVAPDELKNNILKGKAALNM TSGPKKTQRVDELKESILKQKEAMKANGGSVRRNTLEQAEAPTEAVPEAIMKRRHLAK SSIDRTNSPVGSLSPTAGEGEAPVSQLLRQPSPMLSSDEESATTAQHAQSAVDELRSP SSELPEKNTAPITSDTGVRDEAARHDTKELVEVNSETGQKQPTMEAIEPVRPLPPANT TRSSLPVKEDVVTKGSLAGRINPALAGLLSRGPPAVSDGSIKPKVLPAARESALPSDT AISAPALTHLTKARVKGPKRRPPKAIPVADENTEPTDLSVSAKTTPSSSDLDTPATNF SPNEKPLPDTRSWPLPEQGLNDSKAAPGADSPQSSLPVPSVPEEPRDVLPPVPEKDKY PDPLLSTPSKRSPTLERKVYEAENQGKSPSISAFTPSQPASSPSSPQNNQPRTSFTHY SSPTPSPLRTSFRENQPVPSPRMGSPYNFLNRSRDSSPFRKSLPSPPVPPKGSYASTD QLSSPRSSVSLVPQADESLEAISHFFKTLPRSSDRVNIDPQLMLTDKGDGLSIRTLRK QIWEITGEGNKQDLPVNQEYILYEGAMYLCVHSFEEDGSPRTEVQLWRGDDIWGGAVD SALPFARRVARENSCKLEIISQGKEPARFIQALGGILITRRGSSSRSSSSAIFMLCGR KHLGQMVFDEVDFSPRNLCSGYPFVISAMFGKVYLWKGKGSSAEEIGAARLIGMDLGL TGEFEEVAEGEEPSSFYEVFPQHKETEEYAQSEDWLLKPAHDHFRHRLLRVDHELGQR SFWIRRPGSSSPVIRPNDTVQEVEPFSQKDLSPRGIYILDTFFEIYVIVGEQASNRPA EFASAVVFAHEYGILAASLQDRPFIPRSFISLGGTPETCRSAFRKWTRRPLERPPQVF PLNAAIDAIRS ANIA_00836 MDPAVARELSRLDPAVPFRASSGHLHHTWARTFYSRPQLYIQPQ SLAEIQKVVNLARRCRRRLVVVGSGHSPSDLTCSSAWMVNLDKFNRILNVDRETGIVT VEAGIRLRDLGKQLEQEYGLTLSNLGSIDSQSIAGVIATGTHGSSLAHGLISECIVSL TLMLANGQLVRCSADSNQALFRAALVSLGALGIVVEVSFRSEPSFNIAWKQTRRSLSS VLDEWSSGLWTSHEFVRVWWMPYGKSVIHWYADKTDLPLKPPPRTFYGESIGYHVYHN LLALSNYFPRILPWVEWFVFGMQYGFRAEKTVTEAVEKGRTGLLMNCLYSQFVNEWAL PLEKGPEAITRLSAWLHGDTETARIPFPVDGLWVHCPVEVRVADTTLNKYPRPFLDPS SADGPTLYLNATLYRPYHKDPPCKDRYYEAFEWLMRDMGAKPHWAKNFKSMGQELRQM YGENMNEWLKVRQDVDADGMFLGEWHCRTLALSDDRAPGSGSSVTSGLPLMEREKARR QAKFRGAGDGLEWIGDNQWHTDAKETIGVLSTMEEKHNSSSPTTATSEESFDLLASSE ASVLIPEP ANIA_00835 MIFLGDWQPKLRPKSHIAGFPDAIYDFSTVSSLSQLESRIASSE EKHDQRVFRVKRKHVLKACDRCRVKKTKCDGKQPCNRCSSYNHPCLFRERKATQTKVY SRGFVEMLESHHSLVIKALQRLYKLCASKEGFPGEPLVETSDGYPLTHAILDRLGLIK QAEDSSQEARSEETEDLHYLRMLSGSTDCSATTDPSPEPVTPSDPVPRPTSPIQLSPV EHDPIKWEFQSIQPQTVRPEPPAYHGYPQTEYRAATLPPSILDIPALATESKCATVGP VAGLAQPYLYCCSPQSTDNRLPAVTTGPAVLSSVSAAGIPLDLVEDYGLHIPEHQPIY QLPSQSAWAYPCE ANIA_00834 MSTDYTYDEQGQFFPYFVLTLTGLVTFPLTYNLLKPPKDLENTA PRIRSDFKPEHEDLIEAQKRKRLRKERRIKRIVTVVLGYAIMAYMAYLIVITARASPK IWDPYDILGVSRSANERAITKHYKRLSLLYHPDKIRPDPAKNETIELLNERFVELTKA YKALTDEEVRNNYLQYGHPDGKQSFSIGIALPQFIVTEGNGKYVLLVYGGLLGVLLPY IVGKWWYGSQRYTKERVYVASAGNIFREYKDDITDGGIVNALSSGDEFKEAIPAQKAE TGLAKLEQKVLADDNKFLTDQEREAIKGMDDLSRRKALALLWAYLGRVELDDPILNGE KYEVAPIALSLNEAFTAVSLAFGNLRPLLGSFRTSQNLIQAVAPGSSPLLQLPHFTDK VVRSIEGEDVKNHFSVQKFMDMPEEKRRSLTVGAGLLSEQQYTTAITVAKQLPVLKIS KAWFKVMGEKVITPSSLVQLVVKARFIPPGSKDVPEPDPADLEDIDPEEGDLDALMGR GPSRNRSVKFADGQNNVNKKVQPPLAHAPYLALDHSPRWQIFLVDGKQGKIAVPPFTF TTFEKPMLDEAGNPTYNVQTLRMQFQAPPQVGDFHFVMHMVCDSYIGLDSKLDITLHI DDPAKAAALEEEDDISEPDEDSIAGQMQALKTGQPPKKKAKKPADDSSDEESDTEGDE GDTSDTNTETDVDD ANIA_00833 MGQRHNRRRTRPRSRNSVNKTLTPSAISPPADLLCTSSYRYSLD CLENRPASTRHYRGLTWQPSEYLKPDMIIMETEQFRLFGGEPGDDVDLCYRMLEYFGG LDFIDPLQEFKPLPG ANIA_00832 MRVSDPAKLDILPDDACEIRLTIAASECDKYPAKQHARKVASKL GVRDGLIYLVGKATINWGDSDQPRPFRQRRYFYYLSGVDEADCYLTYDIRNDLLTIYV PNFSLQHAIWMGPTLTVEEARQRYDADRFRYYAALRSDLNSWVDQYNKDSPIYVLHSS QKPEISAKELRSREVKDEYEIRMIRKANEISALAHRNILQNIHRMSNESEIEGLFLDT CVSHGAKNQSYEIIAGSGPNAAVLHYVKNDEPLNGRQLVCLDAGAEWNCYASDVTRTI PLGKDWPSSHAKDIYAIVEEMQEECIRRVKPGLRFRDLHELAHIIAIKGLQELGVLKA GTVEEIRRSGASSIFFPHGLGHHVGLEVHDVSEQPITANGHLSREFVPQMSTPLLQEG MVITIEPGVYFNKLALENSRSLPLAKYIDFDKAEQYIPVGGVRIEDDLLVTSTGYENL TMAPKGKEMLEILRGRSKQ ANIA_00831 MRGSEASAPGAFWDAPSTLATSIRTNPPTLETDINTPRLLDSQH VASKFARGMPTASWDQGNGGSISVSGNSTGKGILIGVLSAFGSAAVAVLVLAAFFFFK YTERGRILLDRMGRPGEFDDEQAFLREEAEALEVMDDMSRSEYLRSKAFVEANPPESV QTDISLSQFLAIQEKGVSAWEFQPELEIANCFVEARTEIEFYDSICSVQTNLPVPKQN DVYYWEAKIFDKPETTLISIGMSTKPYPLFRLPGYHKSSVAYESTGHRRYNQPFTSLP YGPQLTQGDVVGVGYRPRSGTIFFTRNGKKLEDVVHGQKSQNFFPTVGANGPCTVHVN FGQLGFVFIEANVKKWGLAPMTGSLAPPPPYGSEQGSILLESGRESAAHVAHRVYQDP SNAQTNSTVRIPPSVSPGPIRSPTDISLAPLAHIPSHDDAGEGSSRTGPSNPEQVPLL NPDELEQVPPPEYTSPDGSPRASQDFSREGQPPIPSYDAAVGNPADNTSHTH ANIA_00830 MRLSLSRLSPRDLKPYAAEFLGTGLIIVIGDGVVAQALLSDYQY GTWLSINLAWAAAVCLSGYLATPSPACNPAISIIMALIRPQPDQWKKIPGKLLAQFLG GFVGALLVYINYRSAILAWDPEYTIPGGSILSPRGHHSAGIFCTYPAAFFSSNWEAAF NEVLGAAVLMFGVLSVSDPANAHRFQSPQLSMFLLLVAIGAALGWQTGYAINPARDFG PRLFSAFLYGQEVFTAANYYFVVPLFAPFIGCFVGASVYDSFLYEGSGSRIADALDKA ADRNGELRLD ANIA_00829 MPPKKNGRPLTGSESRRRPVRPRKSAQDAKGAHVDRAVHDKAAH DDTDLQPQINKGSKDDNQDSHRAPPPTEEERDYPPVFYPTSESSSLSTAQSIETLEDD ESSDDTGGQRNDYRGGREPALHVVVLIVPLSFGEKNSIKLIVSRQGPTGGPREDRVTG ILVRSTATNWAPNTMVAVDAGTLLAGIVHVMEKCRSKNGIVTSGPFAGLRLPYETSGA NAKHVFKKIIGRVLITHPHLDHVSALAMNIPILTKENGPKTVAALPSVIDAMKSHIFN NLIWPNFSDEDEGIGLITYQRLANGGNPMLGSGDEQGYTQAGEGLLVRGFSVSHGRCK VKAGTERRLSISSAKQAGRQPSEWDPIHSQPPSSSQETLWTTVESSAFFLRDQKTGTE IIIFGDVEPDSVSFNPRNRKVWEIAAPKVASGTLRAIFIECSYVDSTEDIYLFGHLCP RHLVDELTVLAARVADIKGLMWPPIPKRKRDSSSESSSQRGDSKGRAVSIRSSVRKRA SSGTRIRQATETSDSVPLAIERQGLCRQTCTTRDALEVEKPLAGLSVSRSKDS ANIA_00828 MASSKSDASFINYPEIREGPSVPYKNEDQSIPVFRGTPLVIGAS LISNISFVQSFFWRGAGFGVIREIPNLDQWPARYDPTVIPVHIDEQSTSSVANLPVPE GRRKGKAGYYTSADYHALYQSGELTPTAVVDSLLSVTRRDTKPPGKHSVAFLECAVEK VRAAAEASTQRYKDGKPLGPLDGIPVTVKDEVHMDGYRRTLGTKLDFTGEFAGSTSWC VKKWEEAGAIVIGKSTMHELGLDTTNNNPNFGTPKNPHNPNYYCGGSSGGSGYAVGAG LVPIALGADGGGSIRIPSSFCGVWGLKPSHSRVSGFPTVGLASTVGVLGPIAASIDDL ALAYRIMAAPAPPSEDAKSGAFPNPLSELNSHPAHSRPKNKTIGIMREWTDRAEPAVR AVFDRALDFYRKQNYTIIDISIPYIPEGARAHVLTIMAEIASGLSSPSQIKHLTAPNK VLVSMGMYQISGQDFLAAQRLRGLLMSHLGFLFQKHPGLLIFTPTSPIPGWKIAGGDA DLARGVSDGKSSVRNMEYVWLANFTGCPAVSCPAGYAEDNGHRVPIGVMAMGEWGSEE DLIAFARQGEGILDLPENKEPSPKSGEQTVGLTIPRGPESVWEDVITNAQLIG ANIA_00827 MDPDYKSRKEAFVSNLTGGSILEINAVTLVAPASVFLWSVLQSR LSFFTPYGPAALITDFLLNVLAILFATTFYSSAPWLLNLLLVSPAFLILMNSRSRRTQ TKAKPPQTATAQHGPGASLPIHPFLTTYRAAMMIITCIAILAVDFRVFPRRFAKAENW GTSLMDLGVGSFVFSGGVVSARSVLKSRERGASPKKTLTQRFTSSVRHSVPLLVLGLV RLYSVKNLDYAEHVTEYGVHWNFFFTLGFLPPFVELFEGIATLIPSYEVLSLAVAVLY QVALESTDLKSYILVSPRGPDLLSKNREGVFSFLGYLAIFLAGRATGMRIIPGGISPS NTPQQARKRVLTRYGANIPVSRRLANMPYVLWVAAFNNAQLFLFCLIETILFPSVHRA SGSGKNDEAKRTDFATSPILTAFNRGGLAVFLVANLLTGAVNLTVPTLDVDKTRAMAI LVGYAALITGVALGLNKANIKISL ANIA_00826 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPILIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHRT RGRYQLLVLDGHGSHLTPEFDQICTDHNIIPLCMPAHSSHLLQPLDIGCFAVLKRSYA SLVDQKMRLGISHIDKLDFLAAYPQARISTFKLDTIRNSFRAAGLVPLNPEPVLSKLS IQACTPTPPGSRGSQASTFCPHTPANVDELLKQASLLRDFLKQRSKSPPSPSHNALNQ LIKGCQIAMQKGILLEQENRALRAENAIQRRKRARTHRWIAHDNGLSVQEATELEEAH NASFQAIPGPCGPPAEGAQTPKARALPTCSTCHRIGHRRNACPNK ANIA_00825 MCSNQDPSQPKKKETRAGTRRVTSLTAEQLERKRANDREAQRTI RQRTREHIERLELQVAELKAKGDKFDEVVRRNALLETEIRALRHQLSMATGSPGYQSL EEPYSQQQASLIPSPQYPEALGTNPSSRTPSALSTASQISTSREWPPYGSARSPSKCE SSDSEYPVKVEPWGYESHSQVPAPMSVQHPHVGYHPQNTTHLPEPSYQPYQQMYQGPS PRAAGVEIPPQNQHHPDVSYESAQRPVSAPTESPTAPYPTLHPPTHYQQLPTHTAQIP RSGFEYDWVPRSLWRDTKPDGRAGQVTPCATTRREANPLNVPYSAGCFSVPEATSPVP TSDHPNIRFSASKLILRSGMFCDQRQARFANPDIWAMGSSYSENLFHIRAWGWRPLIG RF ANIA_00824 MTMASITRVLRPLSRTVPSLRPAAIRPLASRPLQSTYAFSTTCR RREADLTGLTPTPITHLSETESMMAETVSKFAEEQIGPKVREMDEAETMDPALVEHLF EQGIMGIEIPEEFGGAGMNFTAAIVAIEELARVDPSVSVLVDVHNTLVNTAFIKWGDA QVQRTWLPKLATGTVGSFCLSEPVSGSDAFALQTKAEKTADGYKLNGSKMWITNSMEA GVFIVFANLNPSKGYKGITAFIVEKDTPGFSIAKKEKKLGIKASSTCVLNFDDVVIPK GNLLGEEGQGYKYAIGLLNEGRIGIAAQMTGLALGAWENAAKYVWNDRRQFGQLVGEF QGMQHQIAQAYTEIAAARALVYNAARKKEAGQDFVQDAAMAKLYASQVAGRVAGSAVE WMGGMGFVREGIAEKMFRDSKIGAIYEGTSNIQLQTIAKLLQKQYTR ANIA_00823 MASTSNDPRLLYSINNVHAFHMQNGEESSLTPSGPQTLSLLMVP TTTSIAENQNTAFSSAQETQPEEDFYLHLHLPPELDLALPATTQIYHQPPSSYLIPRW DLGPDAGAFIRIQFPGIGDGPNKVTQEDIDTFETILAQCTAFHERAKVPNDHASYNPA SFAPGEGYVSSAGAAGPHGKTDPHGRIVLIDEENGSVVGEMEGYDVVENPDVKPGSKR PVQVQLPTEGEGNVVSVSNVSEEYLATARHPAYKNSSLVQSSATASRLIVTGSTYLAN VMTSGADAFTKKVKPNPKPATFSDATHTRIRKIGTFSSSAAEISSKTVGQVGRYAQNF GASLASRKDDGKARGDNSRVPPHGKPGILNKSLIAFSTLADGIEQGARNVLTSGSTAA STMIGHRYGAEAGAVASNLTGGIKNVGLVYIDASGVSRKAVLKSVAKGMIVGRMKNGQ QVVVGSGDGGDLSPPGPGLSPVGRRPSPSPTPPPAYGAPGTYSVGGASMSGGKR ANIA_00822 MTMIIAGVYTILVSADSSAMSDPITQALPHTHATPAMTSAALSS APSNSRNPVYPDLLSGPPIPPPRTSSNHRSRGSNNTASASSGERGSGSRYKGKGEERS RREKKGEESRSRHQGSKSSEDQSRGPSTYGQSSPQPEDLMATIPSQGTLVKESSTVIN QLLVSDPAVDIEREQARQQAVPAPNENASTSGLGLMSGENLDDGAGGNLPSRQEFPEH TVKRKETTFGQYILGQTVGEGEFGKVKLGWKRDGSIEVAIKLIRRESLGSNPTRLPKI YREISILRDLSHPNIVRLHEMVETDRHIGIIMEYASGGELFDHILRNKYLKDNSARRL FAQLVSGVGYLHKKGIVHRDLKLENLLLDRNRNIIITDFGFANTFDPNDELTEEIEYN LTNKEFVKKMRLDKPNAKGFRRGDLMQTSCGSPCYAAPELVVSDSLYTGRRVDVWSCG VILYAMLAGYLPFDDDPANPDGDNINLLYKYIVSTPLTFPEYVTPHARDLLRRILVPD PRKRADLFEVARHSWLSEYADLVSHITSSTTKVADIASATVPRGMEFRTNGPTQSPAD LISPEQPREGPSLARSASVREPPKTHHGSSPSIGGLVHHPGDISQDQSDRSKTPRDTK RRTVQVEYVAPQSQTSRGETSGSSATVQNVSPTEPISTRPKPTGQEHSVKTQTSPEQS ISSGSAAAPAQPISHLPRSTSDTAALTGTTTQTARPTTGASMASFKTGRLPSRGSYGQ PVAPTVAATNAEGRLAQPKSKQYVISAPIQQDAGQASAMGSPSVQNFPSKFNTTPRQE PPKGHKRSNTVSAIGEKLFGRSGSIFGGRGGQQSASKQKAGRRYPPTSMRDPYPGDDS RTSVDSRRSAQYGYGTRKASEAGGENRTRRFSLLPASFSLKGISSSSRSQTPDEESKA DRFSDNRVSQRPSTGAIRTRVRATSYGAHDTIASAATDGPSDDVIVHDEPVNYQARID QQFAALHSNNSGTYQPSPYGTVSAEQVHQNDNEHYYRNNYANHSTPNYYDEYNGVHDN ASGASLQTGRSSNRPGVLQKNHRRFADAYENESHHSGSSGAARKVMDFFRRRAKSRVG DDR ANIA_00821 MSIEVNWGTATSGPDGEALAERIRSFIHDKFQQVPLPRFIRSVQ VHSFDFGTVAPDLEIKDFCEPFADFYEDDEDGDSGSEISEELQHRTHDNPWDRTQSEL NETSFRDDRPVTSHHALRDPFDEDFRQHTSSPLRSPIALGDHLNPHFLPRAGTPGIPG GTSTLGYHLMSLGGLSGTQTPLAAVAGGSPFTTNWTDPSPMGQGNKTGIRPSPLHRAD ADIDSSNPASRPSTASTHPSGSNRSSHPDGHPEHNDDPISSSENPLLQNQPPPRMRER RPEDFQILCHVKYAGDIRLSLTAEILLDYPMPSFVGLPLKLNVTGITFDGVAVIAYIR KRVHFCFLSPEDAEALVGSGSYSGQQETPGPSTGSSGGGNPSPHQKGLSLLQEIRVES EIGRKEDGKQVLKNVGKVERFVLAQVRRIFDEELVFPSFYTFLI ANIA_00820 MYTYTPTSKFLVLAPIQSAPLAATNEQTETNISSVRERSDSSSS TTSSTRLSRGAAARLPAGFLFLGYDHPTALSPGL ANIA_00819 MTLYYSLVFCLLVLEMGVFMGLIVPLPFTVKRKLFTFISESPVI AKLQYGLRITFIFILILFIDSVNRVYRVQLEVSAFSKEGGNVGRGAALGTDRMEVQAR KFYSQRNMYLCGFTLFLSLILNRTYTMILETLRLEDRVKLLEGNKQAGGKDSARLAAA GDMGEIGRLKKELEEKERDIETLKKQCEGLTREYHKLGDQVTGKKSDDSVEKKDL ANIA_00818 MEGTAQNLDVEVPKQDLPNGTDSTGEPPLKKVRLEEPASDQQNG QAPPRLKGVAPIKAEFLIPKPKQGQAAVSSTDDAAEAAAYKDREDEKKGKKKKTTGQN KNRTFGRSQDSKGLCASRVYSPEFSPAECPFGDKCRFEHDLRTYLKEHKREDLNTFNG VCPVWSARGKCDAGWKCRFVGSHSIERVTEDGRKELVLVEDEERRKKAQPVFPSAAED GTVNTTSPADKIALAKRQRPTPRADAYSDWLDLTSKELEKYFHGGQQNKDAHPDTAQD KEEKEENRATYTEAPFMPSEKRRLYFGPETPALAPLTTQGNLPFRRLCVELGAQLTYS EMALSMPLIQGHKPEWALMRAHETEALPPTVSARASVVQDYDNSKDMKFGAQIAGNKY RWVMKATEVLSSLTPNLRVIDLNCGCPIDLLYREGSGSALLDAPSKLEKMLRGMNAVS EQIPITVKIRTGTRDNTPNAQKLVERLILGGHEASMLNCGPSGVAAITLHGRSRQQRY TREANWEYISETAALIKRLNEKSDEVTDTIREPEERMRPNGGKTWFLGNGDCYSHVDY EDHIKNAKVDSVMVGRGALIKPWLFEEIQAGQYLDKSASERLAYVEKFARYGMETWGS DEYGIGITRRFLLEWLSFACRYVPIGLLEYLPPKINDRPPYWRGRNDMETLMGSHDYR DWIKISEMFLGPAHKDFKFEPKHKSNSYDTEG ANIA_00817 MNTTTPIDIATRQTSVSPPGQQASNLTSALQKAGNTERTGSISH ANGVPISMFKASAPRKDSIGAASTQWGNGTKPISMSGSNRNQQRRESLAGSLVGGMSW GGVSVGSWIRDDIIMAGTSPFTTFQSPSFHSSSYLPKLEANFMRDFSCCGVTLPTLHD LLQHYEEAHATKSGHRPSQTDNRAALAAAAIAQQNQQNNNQNRGLQPDRTFDMQRKMN QSHTPQQHSDMDTIDDMELDDAMDDTDASSQFFTPQSRDPTQGGFGTPNRGVPNLNLS MLPSHQGFKSSQPGTPVATGLPLSLQNNPTVSSVNTPTLMPNPLQNSQFRGTPDSSTP GTPAELDDSMIGPFGELSMQNAMMQGQPQFSRFTGNNDMVDLCIDEPAKRLFSPTGGI NQSNAHFKLSGAQYGPNSDIARRIREQQLLAGVPDTTSILPNEEPKPYRCPVIGCEKA YKNQNGLKYHKAHGHNNQQLHDNADGTFSIVNPETSAPYPGTLGMEKEKPYRCEVCGK RYKNLNGLKYHKSHSPPCNPDFQLAAARSLNYGGGVMQGQNINVAGAGLPGIGEEGLL ANIA_00816 MSNQLVGISQGTVFERVIQEVCDASQVDFEESGVDQQTLSDLRK SWQKKLSSLGVAHFPWDPAPPQAPAQTQNQQVLPPTATVPSNAPRPPQASVHQQQHLP PQQSHTQHPQLQNPQHVSHPQSMPPNGPPLQAQTPVGPNGGHPMGHSPQIKTEPGVNG HPALPPMSHMMAVPPNAQSARERATNLIQQRYGAAAASSVSQMQAQSQSPMGLPRPSS MQHMQQMQQMQQMQQMPNGQNPQIKQETGYHPVSHPSVSNAQTDGTASDALSEWKAEV TRRREAAQDGEGDRALRNHLKQQMLRLEGGGLMVPLDERESQPKAPRFASADSSGSKA QFDGPGGDDTKEEDDEDAINSDLDDPDDLVAQDDEDDDAVGQVMLCTYDKVQRVKNKW KCTLKDGILTTGGKEYVFHKGQGEFEW ANIA_00815 MLNLTLYRGWLDPNRYVWSPFVVKLEARLRFAGVPYKTASGSVK TAPKGKIPYIEITDGDDGSTPASIGDSTLIIKHLIERGILQDLNAGLWRSDRAHDLAT RALLEDKLYFYNTRERWTENYYTMRDHVLSAIPYLIRVFVGLLIYRSTVQTLHGQGTG RYSADEIEKFREEAWGAVNDLLVASKVKAQDRAGPFWVLGGETPTEADATVFGFVVSA LICEAGPKTKELVMGLPVTREYARRIHDTYFPDYEWVE ANIA_00814 MATPLVSTPVKTHHGLFSTKMAGGRMPLTPSPGGRRDSIASNNS SPFTPPRLESESTKEQARSVYGGNLSSYFAKSVSRSSRAYRESPKSNIARVRKSPKHL ELGVSEWSLTGTGPSSNQTPPKERLRKEVPSRARAGKTTVRISHNAGDRFIPNRSASE GLVTAGAAKPEETQRPKTSSGDKGSSVLASAASAFDIGGRGTDEDLTAALENLGLDDD DNSSSYSKPAPDAVAYESSLANACGVNLNTRILAFKPPPPESSKPIDLRAQYNRPLKS TKSNSAQFRRRVQTAPERVLDAPGLLDDYYLNLLDWSSGNQVAIGLERNVYVWSADSG SVSCLLETSPDTYISSVKWSGDGAYVGVGLGTGEVQIWDVEEGTKLRSMFGHESRVGV MGWSKHTLSTGARSGLVFNHDVRIAQHKVAELVSHTSEVCGLEWRSDGAQLATGGNDN LVNIWDARSLSAPKFTKTNHRAAVKALSWCPWQLNLLATGGGSYDRHIHFWNTTTGAR TNSIDTGSQVTSLRWSNHYREIVSSSGFPDNSLSIWSYPTLVRNIEIPAHETRVLHSC LSPDGQLLATAAADESLKFWKVFERKPGTSASASREGGVGSKAQMTKSMTIR ANIA_00813 MATSTPAPQSSLPQSAVLMPPCSKSRSRGSAESRPSIHNVQGHV PACLVNASVTYCGNDQIYAFGGFDQYTDEVYNHVLRLNLKTLRWDLVDNYGDIPGVRM GHTANLYQDNKLIVFGGENEHGEYLSDVIIFDVPTSTWTQPEVRGQVPRGRARHAAVI HEDKLFILGGSESSGILDDMFYLDLKTWTWSRPWKFTARFDHLAWVWGGRLWTFGGLD PDMEKTTDIWWLDLQAIPASGTPDHQGTMDTPARIGSAAHSLDNNIYSNMGQQLPGRS GSYAANSASVQVRNASRRKSIAPGSISCLQFKSGPNVPSLFSGTHFQAFASGVLLDLI TPSETTPIRDCNLSSLELDSARWQKLVDGQEIFRPGYRWHYLTVDTSGTKAWLLGCSL DPGNTPGSGDENHMSQVLSIDLERYGLLGNEMSALSPDPRKAAVLGRAQVGPLSGLGA DLSTAFDRPPESGSGADFTITANSDDHIYTDSNAEDGFSERSTSTFLPENAATSPPIH VHKLILQLRWPHFKRLYSAQMVEYHTNRMHIPEPYSVVRAFLYYLYTDSIAGHPEYCA DIIDVAGMLVMANLYDLPRLRLLCVSRLGRELDVENAAIIWDRAGRTNEEWLMRRAAQ FCLNHWGRVVRTDGFRSLSRESIIDLCEVVDMEGRIVAGPELELVGTLGADDGLGSGR ELKRSSQLTLNGVTLDGDEADADEMDGVEDI ANIA_10127 MAIPRPSTPPEAPLEVTEISERSQSSRWLSRDDRIRILTLRDAG FTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKLSEEDMDNIITFISSSQRTRR LSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPPLSDDTKRVRLAWALEHVNWT IEQWNRILWSDETWVTPGFHTRIWVTRRAGEELDETCIRLSTPKKRGWMFWGSFYGDT KGPCLFWEKEWGSINVESYCERIVPIIDGYLRLNRQQGNYLCLMHDGAPGHASKDTIA ELHERSIYPISWPAFSPDLNPIEMVWNWMKDWIQERYPDDRQLSYDALREIVRASWDA VPTDFLKGLIGSMQARCQAVIEAEGGHTKY ANIA_10124 MGSVDSPVLPSDFLWGFATASYQIEGAVDEDGRGPSIWDTFCKK PGKIAGGANGDVACDSYHRTHEDIDLLKQCQAKAYRFSISWSRVIPLGGRNDPINEKG LQFYVKFVDDLLAAGITPLVTLFHWDLPEELDKRYGGLLNKEEFVADYANYARIIFNA LSPKVKYWITFNEPWCSSVLGYNVGQFAPGRTSDRSKNPEGDGSTEPWIVGHNILVAH GTAVKIYREEFKARDGGEIGITLNGDWAEPWDPENPADVEAAPRKIEFAISWFADPIY FGRYPESMIKQLGNRLPEWTPEEVALVKGSNDFYGMNHYCANFIRAKTSEPDPTDVAG NLELLLQNKAGEWVGPETQSPWLRPSPTGFRKLLKWLSDRYNRPKIYVTENGTSLKGE NDLPLEQLLKDDFRVKYFEDYIHAMAEAYTYDNVNVRAYMAWSLMDNFEWAEGYETRF GVTYVDYENNQKRYPKASAKAMSEIFAKYIQNE ANIA_00811 MHEIKDVKVEAQDQPGIEKNNELESGIIQDIGAQLFAEGDQISA EELEREGVEVRKILDRRVMPIIYLTYCIQFLDKLSLNYASAYTLIPDLGLEGQRYSWV AAIYNFGYLAFALPSNLLLQRLPLAKYMGVSLLVWAGLVIAHVGAKNYAGMLILRFIL GMAEACVSPCNKILLTGAVMSFTSMFYKRSEQPLRMAIWLSANGTATMVGALLGFGLG HVHNTSLESWKLIFLVIGLLNLVTGVLFLWLIPDSPSSAKFLTHRQRIVAVQRVSENM IGIKTKQFKFGQTLELVYDIKVLCILGMGISCGVINGGVSNFASSLIKGYGFSGIYAT LLQLPTGAIEAVVVPICGLVSTYVRDSRCIVLAIVSLIPFGGLLGIRFTDLSHRWTLV GCTWLQYIIGAPVIISWNLLSTNIAGHTKRSIANGLWFTLYASGNVAGSNIFFANEAP RYFSALTGLLICYAGMILLAGVAYAVMKIENVRRDRKMTAEESIMRQDRAVLDGFKDM TDMESKDFRYAL ANIA_00810 MKNLWLWHFLPLVLLFLCTFALDTHNEYTLSDVTRGSPHPSGDT SNPDTPPNERVQYALRILREAKIPVIPSAGKPSGFIGYTWYYAQEAFRILFMNGPPSS SGAQRKIHPSIARAVDALKIAAVEDHDPDAMFLLAEMNFYGNFSHPQDFKQAFHWYKS LASWDGNSTAQYMLGFMYATGIGGGVERDQAKALLYHTFAAEAGNTRSEMTLAYRHHA GIGTPRNCDEATYYYKQVADKAIDYYRSGPPGGHSMVRESYRWADEEGGVYGEGASAS SSGPSALRDGSSSTEASLEDVLEYLDLMSRKGEVKATFSLGKMHYEGTKGLPKNYKKA LKYFKQVTKRYWNKDNSLNPNHPAGIEKLASKAAGHVGLMYLRGEGVEQNFETAYTWF KLGLANGDALCQHQIGLMYLHGYGVQQDAFKASSYFKAAADQDYPAAETRLGALFLDQ GDVTTATKYFELAARWGWMEAFYYLAELANNGVGRQRHCGLAASYYKMVAEKAEVIHS SFTEANAAYESGDKERAFIPMLMAAEQGYEHAQANVAFILDEQRSLLPLERFLPGLRK SQPPLLKNAALALIQWTRSAKQANVDSLLKMGDYYLSGNGVDIDTEKASTCYHTAAEA HFSAQAYWNLGWMHENGIAVEQDFHMAKRYYDLALETSSEAYLPVKLSLLKLRARSAW NRLTNGKVNSIREDDEPKPRRTFSEWIAAFIENDEEEEANYRAQLYKHGEEDDDDILS GSEHHRLDDHEDGYYDDLELDIDESILEGLIILSLAATLMVLVYLRQQRNNRERPNDD QAANAAGQANANNRGFFPRPGDPEFAQWVAGGLRFRHPPSTDCVPPFHLLITAEMTSS IPNGKRRRLDQATTTLSRPFKSPLRRPTPAVKDEAASSKIEGMAASSSFIKTITPDGQ DNSTALSTSSTTNAPPTPPPTRKRTFPGQRLTPARKPVPSDPEIMDLQKRQRELQSRL SSLRSDLDTVQQALRIESSSNDEELEVLIMKWKKVSQDAAEEVFSGAQERISRMGGVK AWRERTNNNDARWEQEEMETWFGNVDADALDMDEDELQARKAELREEMERKNVKGSSD DSEEFTMDMMLKMLNIELTTIGYDKANQRWITG ANIA_00809 MSNVYFPYSKAPLRTIAEIQFGLFSPEEIKRMSVVHVEYPETMD DQRQRPRTKGLNDPRLGTIDRQWNCETCEEGQKECPGHFGHIELATPVFHIGFLTKIK KLLETVCHNCGKIKANTSDPKFLDALRIRDPKRRFDHIWRLSKDILICEADPPPEDDE YGKESSKPVRLHGGCGNAQPTVRKEGITLVGTWKPSKSMMDEMDMQQPEKKIITPQMA LNIFRNISHEDVRIMGLSNDYARPEWMILTVLPVPPPPVRPSVVVGGSTSGQRGEDDL TYKLAEIVRANQNVQRCEQEGAPEHVVREFESLLQYHIATYMDNDIAGQPKAMQKSNR PVKAIRSRLKGKEGRLRQNLMGKRVDFSARTVITGDPNLSLDEVGVPKSIARTLTYPE VVTPYNIEKLQQLVMNGPNEHPGARYIVRDNGERIDLRHAKRAGGQQLLYGWKVERHL MDGDVILFNRQPSLHKESMMGHRVRVMPYSTFRLNLSVTSPYNADFDGDEMNLHVPQS EESRAELQQLALVPQNIVSPQRNGPLMGIVQDTLCGIYKICRRDVFLTKEQVMNIMMW VPDWDGVIPPPAIFKPRPRWTGKQMISMVFPSGLNLMRTDSKGAAPSSEKYSPLQDGN VLIHEGQLMYGMLNKKIVGASGGGVIHIIFNEYGADAAVAFFNGAQAIVNYWLLHNGF SIGIGDTIPNDQTIQAIEECVRKRKLEVEEITATATQNKLEPLPGMNVRETFESKVSV ALNTARDEAGTATEKSLKDLNNAVQMSRSGSKGSIINISQMTAVVGQQMVEGKRIAFG FKYRTLPHFTKDDYSPESRGFVENSYLRGLTPTEFFFHAMAGREGLIDTAVKTAETGY IQRKLVKALEEVMVKYDGTVRNSLGDIIQFIYGEDGLDGAHIEHQKVDILTCSDEKFK ERFRIDLMDPALSLGPDVLEQANEIAGDVEVQRYLDQEWEELLKAREVVRHVAKGTED SMPLPINIQRILEMARTTFRIREGTMSDLHPAEVIPQVQSLLDRLVIVRGDDPISKEA QESATMLFKAQLRSRLAFKRLVTQYSMNKLAFQHVLGAIESRFAKAAAAPGEMVGVLA AQSIGEPATQMTLNTFHFAGVSSKNVTLGVPRLKEILNVATNIKTPSMTVYQEPERAH DKEGAKQLRSAVEYTNLRSITEATEIYYDPDIQTTVIENDRDMVESYFIIPEDVNDDS SRQSKWLLRIILSRAKLLDKGLTVQDVATKIKQAYPRDIAVIFSDNNADEQVIRIRQI QDYKEDEDDDDIEYDVTLKKLEQHLLDTLNLRGVPGVERAFINEKKKIRVQEDGALTD EQSDPLCREWILETSGSSLAEVLAIPGVDATRTYSNQFIEVFEVFGIEAARAAVLREF TVVLSFDGSYVNHRHLALLVDVMTMRGYLTPVTRHGINRADNGALMRCSFEETVEILL EAAAFGELDDCRGVSENLILGQMAPAGTGEFDIYLDQNMLNTVVSNNARYGVMGALGA KDAIISDGAATQYDTGSPMQESAYIGTPDPDSNFSPIRQAGAETPGGFTEYQPSGGFG GFSPAATSPAGYSPSSPFSANPTSPGYSPTSSYSPTSPGMGITSPRFMTSPGFSPASP SFAPTSPAYSPTSPAYGQASPTSPSYSPTSPGFSPTSPNYSPTSPSFSPTSPAFSPTS PSYSPTSPAIGGARHLSPTSPTSPKYTPTSPGWSPTSPQTYSPTSPNFAGSPTSPGGP TSPGYSPTSPAFSPTSPRQ ANIA_00808 MNLSLVDPFVLAQDYPDTLSEKLRSGHATCLRFNRKGDYLASGR VDGTVVIFDVETNGVARKLKGHIRQIQSLSWSRDGRYLLSSSQDWKCILWDLKDGSRV RTVRFEAPVYIAELHPYNHLLFVASLFEDQPVLVDISSPKPVKRILPSAPFRAAPSKD EEIDPAVAAKQAAQDAKHSTCVTIFTALGNHIIAGTSKGWINIIETQTCTTIHSTKLC AGVIILLRLASNGRDLLVNSSDRVIRTILMPDLSQLGIDLEPTNIKLQVEHKFQDVVN RLSWNHVAFSSTGEFVTASTFMNPDIYVWERSHGSLVKILEGPREELGVVEWHPTRPF VVACGLESGCIYTWSIVTPQKWSALAPDFGEVEENVIYVEREDEFDIHPAEEIHQRRL DAEDEEPDVLTIEPSKSGDDIESFRMPVLLDISDSESEEDIVAVGPGTMRRRSPGTGR DKSNANGDGEKDGRNGTTGRGAKGRRR ANIA_00807 MFEMGPVGTRLPAMTSPAHNHYSYHSPTSSDRGRSRQNSDAMDI QSITEREPATRYAVAGGPAPWNRNGSPSMSPMYSNNSERNQFHEENGRTYHGFRRGMY FLPCDEQEQDRLDIFHKLFTVARVSESLIYAPHPTNGRFLDLGCGTGIWAIEVANKYP DAFVAGVDLAPIQPPNHPKNCEFYAPFDFEAPWAMGEDSWDLIHLQMGCGSVMGWPNL YRRIFAHLRPGAWFEQVEIDFEPRCDDRSLDGTALRHWYDCLKQATAETMRPIAHSSR DTIKDLQDAGFTEIDHQIVGLPLNPWHQDEHERKVARWYNLAVSESIENLSLAPFSRV YRWPLERIQQLAADVKSEAFNKEIHAYNILHIYQARKPLR ANIA_00806 MHMLKQISSSSLGLPEDSYIYSIVPSSRTGFAAISSDDSLRIFD ANELSHASLVASNVHDGVTSLRTYDASNQLVVTGGRDGKVKLWDLRNGKKSAVVAVQS SNQAPVLSTANCSETNSIVAGTELHSHQAIVAFWDVRSPNQPRLEYVESHNDDVTELQ YHPNRHNILLSGSTDGLVNIYNTTITDEDEALVQVINHGSVHRAGFINERTIYALSHD ETFSIHPATDPDEEAQEPEPIQFGDLRQPLNCEYIAQLCLGSQGPYIAAGNKVDKRLD LIPLAQSPSWRFDEQNLWRLPGGHGEEVVRSIHIDEQNQSVFTCGEDGLVRAWKPEGE QGQEAQMGTPRHKEKKTKEKARYKPY ANIA_00805 MDAYAYLIRHGWSGPGNPLNPDRAGVRGGLGLTKPLLVARRSGN QGVGNKTTKDPTNQWWLRGFEDALKGIGTPKEDTIIGKGNALTSELYRHFVRGEVVPG TLGGKKDEKEGQEVSKKRKRGDHEEKQDKESKRLKKEEKKRIKAEKEERRARRREKKE RKKNRVVEKAEKKERKAKEKRVKKMNKEAEEPEKEKRPEGDYPTPVSMDSDSMDTQDG TSSLDTEKLKKKEKKDKKEQREKEGKKDKSSKDSKKDKKRELSSAESSKRKPKKSKTT ANIA_00804 MNAAAVEVLKDLPDLAIAYGVSDEYSFVFHPTCELFERRSAKLV TTIVSTFTAHYIYLWGNYFPDTPLQPPHLPSFDGRAVVYPTIRNLRDYMSWRQVDCHI NNLYNTTFWMMVLKGGMSNTDAENELKGTLSSDKNEILFKRFGINYNNEEEIYKKGSV VYRQYQLEERKPLKAPEEEGPTVQESISKSQQEKLRKLRRKAQVIVEHVDIIKDEFWE RRPWILSGKPGRLPAEA ANIA_00803 MALFLAASILWALSWLLYRAWQVCQTPNEVLIEKLGLDIPPPPE VTLEEITARGIRIAWKQPEFHNSIHKHIIQVNGAKVGESKRAETAVEILNLTPGSIYH ICVLSISAANFQTPSAVIHVRTKSLPASQAGDNASATGPTIRASIPRSTAGLPAPSAP LMAREHSGGPLQKRSSVGRRQSPAAGAADISQNHPDNTLNSAASYDQSEDISLLADRL KNLQHDNDAVEKQTLEEEEEHIALLKDLEKQRDDLRKRVKEKDEASGDLKKHVNKLES VNRTVQSEKAKRERVLQQKEADRKKRRNDLLRWQEQMPQISADTAQARQEKERLEEEG KKRADEVREKIAKEQAEMRAIDEEIQDKGGRVKKLEDERKGYTDEDGEDGNELDRIDN ERARQWEIKLSHLQARYATLVNLHTQAQQQYQEAQERLKWLTSQRAGTAPFSLPPMDL ELPSGATIRPPRRHRSSLNSNVSSPMNFPSLEPFPTSVTYNPPTTGSPTFAPASSFFN INNGMTLPGLTGEPESYRRGSDFSITNPQMSPRADALLPSDLLGDEESPELPRPVLRS RFSNIEQDTTKRDSFPADPSSPESSGSKPASLLGSPEEVQKGPQEANSQTAPSGDAEE APKSASRRLSGLFNFNRPRGKTLAEDPPLLGTLKQGQSQSFPREMDEIEPIGPRRRRL SYTTSWANPMSLLPRTHTAGATPDSSSDHLPSRRTAISSIFSPSRFGFGSGSGLSKGE GADSVSGYNQFSPRHDPIDPSSILGTVRRRGSLSPRPSSTFSFDNLLPHPSTDNRHFG WPSADKPGHRSPLGFDWTSPSTWSRTQSRRPSTTQYGSSGHLPLGFTAEPDFLDDSFE RQGRPLQAPIGTRPSSSHRPITPKLNPAAPTFKTIFRSKGSEKKEDKEKGQTTEEADT SFDMSFDHGSPSESRASRDSRSLSSFPGDSYESLERMPSATSAENASSKESFIRKITR KGSSSKFGSWKDRSGLFSRKSDASQGDVDEEGDSEAQLAKSIDSTVSSAPSRSSLSFF SRKSKKSDKAASETSERPSERISEYGDDEIPEEETI ANIA_00802 MQYVRSISGSVSKTWNSINPATLSGAIDVIVIEQEDGTLACSPF HVRFGKFSLLRPYEKKVEFKVNGVKQDYAMKLGEGGEAFFVFPTTDEIPESMQTSPLI SPMASPKMQSEENLSTLQEPEYLDLDHSKGANHPPLVSRTARATSDLGLVTPVSQSDE PPPERPARNSFGADVKLDRAVSDGILPTGSHSRSTSTGDYSLQLQRPASASSNEDPDL IRRSQSPPPISLKEAVSRAMSLSQKLSTSNIPSRVTDSGDLMLDMTGYKSNEEDALRA EVVARKILAEELEGNYDIGALIGADEHGNLWIYSSEEAKEAANRRATLNAMRPGSAMS ENAISDPGYHSDSDNSLRDPSFQMRHHRAKSDVQPGIPTPPQSPPNTAPAEPVRSYAK TLRLTSDQLKALKLKPGINDMSFSVNKATCTANMYLWRGDTPIVISDIDGTITKSDAL GHVLNMIGRDWTHAGVAKLYTDIVNNGYNIMYLTSRSVGQADTTRSYIYGVCQDGYRL PKGPTIMSPDRTMAALRREIYLRKPEVFKMACLRDILGLFNGKENPFYAGFGNRLTDA LSYRSVNIPSSRIFTINSNAEVQLDLLSLNKYKSSYVSMRELVDHFFPPVSLLVQAGG EEYTDFMYWRDVPRDFDDISTTDSEDESQADEEEEVDNEYDGELSDDGSELDDDEIAE EELGASFVSQATDSYEEAETQSVASDDEEDFEDEEDDEGPEVAVRGKD ANIA_00801 MTVLTSPLRIPIPVTDVASFVFSSGTPTTRQTPQYFDASNPSKN FSLAQAEVLVKQIAKGLEDLGLQPNDKVLLYSHNALFFPVLLWGVLAGRCVFTAVAGG ASVKEVEYQLRNSDAKLILAGSTQVPVALDAASRVGLPRDRVYLFCDPEDISNDSSLP VQPWTRIWRPADEVRSWSWKRIQTLKEAQETTAIINYSSGCISHYNAIANSSQLLAKR AIISNDHRGKSRKERLDMAGDRWLAPLPMYHAYGQTYYCLNAARLGAKVFIMKSFNVD QYLLYMDIYRINFMASVPAIMATLAKQPNTSRYNLRAVEIVTSGSAPLSAELGGIIEK LYLRPGVTVKQGWGMTEATCSITGFAPDEEDDGRSIGWLNPNCAARIEKLEGRDFSGV APDGADVGEIWVAGPNVMKGYYKNPTATNETIVEENGLRWLKTGDIGYFDQRGRMYIV DRLKVYHMCPNPRIYNKLTRIQELIKVKGLQVAPAELEQYLLTHPSVADAAVVGARIN GAEYPRAFVVRKDDTVAEHELFDMVKAHFAPHKWLTGGVYFIDQIPRTGSGKIMRRNL PVIDESLPRSKL ANIA_00800 MAEAMQWQIDIPSLSQLVFSAGAHGLKQLALAGVEPHTIGCMLM IAEYTPASQDFRTQLNKARQQQRMDRVWLFKLVEIGACTNFIADQMLKTRAGENVLAL IAAVATVMDEQSCTAVLLSLFEAANVSLDNTPGIMQLQRIRSCLAPLAGKTGFAEKTL QYHHFFLSLLEKKNNGESQRPRGSPYEGLPEVKDMPKMIRLVHRLISLGGRRCLVRYS VLRGAAWMATYASYILGLRMCAVKADGTPVPMTSSYEEAQVIFEVSAPESAGSLYLEG NLQDLITLETAQISIRNGWRVDCSMVDFVSLHHSDLRQSQPVAFSRISAFAAIEALNE TSTLSLSFDPIDSDSTWYRSQYSSSMGFLSFTLAALPDIQARALHILRILGFRPPEDG YRFRPHGGVATYSCHGHDSDPPPLVESGLEQLKNTYESLRGQYLEQDNLAIGKDTDLE RLRFYLDDYRNSALYEAANWPRQVLRELVSSITVAVHFASRLAFTDWDVNLRIMSALT MSHRELPPIRLRQKTEFEGHLCEAIALCSDSMSVDSIEQRLWNADWVGLDIDGIAILR NASMPESWANMKGAYLGFRRGRILHENQQYTKIRTDRIYTQSQNLLATKIKKCTAATA PINGCPSIQSRVLIMPIADTIFMRLEATPTPGAPVIGDGSLSATYAPDYLVTAPCQHG YDPRSATLTMEYPFLLGFAEGLFFDDHALQDIRPGNYAGMNVFYQLTSENHLAQWLAL QWQPANDPFRCLRIIQKGCCLQCLLGRLGKVLEQLQSSAHHQYQRLQGYPVCIIAGKE EA ANIA_00799 MDQGTAETVYDISPKLCSQQSWTGPAAAGPRPTIELVPIERKRP VLEAGLVRRNVVLTCPVHPQIRSQVNGKYDDDELMTVRYSALTCEPSGFHKERFTLRQ NLYIKPRRTELLIIIPLCDESGTDLGRTLTSIFANIQYISSQKRVKTWKRHGWKRCVV CILGDGRGRLSEEAKAALTLIGLWQPALAVRDVQGQDVRAHLFEYTTCARLRDSTLQP LPECPQTPVQMILCLTERYQGPQSCGKWLSEAILPELDPQMCVFVPAGAKPSADAIYR LWERLHLHPRCGGVVGQTEMRASLLTWALNPLAAAYGVHLKLASVLENPFQSFLGFAP AFPTQLSAFRCQQERKSQDQTSGGWEAASVRDEPKSITWGSHISDRRLWAFDILSRRW NRDRLDYVRKARAHVQAPPSIDAYIVDLQHYMQDRIVFMMDSWSTMQNIEGGLRKLKF AALLIYSCLNLVVSWFAIGNTFLIFFFINHYFASDSIIGRHSSATETGLLTLYTFLLM VSVLCGLTYQPHTNRLRIIRTVITVGWILLAAYILIAIIVVGFKTTRPTFHILSNNPT DFREWFDERRFSLAILPLVAIYAIRLLASMLFLDPWIIFAAVGFRLQSSPQSNSTDAE QSIQYVLCILAHVNFINITTFMYSKLSVSEEQNTANGGIPIPTNYFVRPGNKVHLDSP SDDDLNKAYDDSLAQLLDPPDLESARLPSRKCENTSRVYPDKVVLTWALSNILLCAVI LHAIPSVKGLAGDDLENMNRCPSTAYLLVIFWLIGSLEGVKFMGAILFIIKEVIYFF ANIA_00798 MPTATATLSWKFTNWGPLTTPWSLPDSCTSNVAIASTADTDFVL WAELCPFSFDSCYPSPTDSNAPEALSSALNTHGTDIYAPPFYSPAPACPNGWKTVGVA ARDGDATASRAGWFTTIYEGEQLDDIDSEVLTPAYNQVLAGLLDADETAVVCCPSSMT MLPGFYCSSSLPSYTVSTACEVFHRGDITDLPSLRESSVTWTTTTTTYSAEETPEFTG WSQVAPLLLFHKPTDVARGGDDDAGANDVVDSAGETNAAAPGYGRESFWGVGVKVAAS AVGSMLAGAAFILLR ANIA_00797 MATPFLVSYDPASASGGLSLQQIAYFGRVLIKATDLAQAETFIR QNFRLLDIYVDATGISATGDLVDILNAGAAKIFISLDQLNALSEEQSVPSSRLVVYTS SNDQVEAFQKWVVKHIEREEAGLCTDSAVVHSISVKLGLNPEAQLLYRTYSGDVTEDA VKDTMKQGGVSIVPAAALTISREESSGKIQAGSLIAARGVKDQGNGLYATTVTDERGT CLGFVWSSDESIAEALRTGTGVYQSRKRGLWYKGQSSGDVQELIRIGFDCDSDCLVFI VKQIGRGFCHLGTASCFGPYTGLSRLQKTLQARKADAPAGSYTARLFNEPKLTQAKIM EEADELCRAETKEDIAFEAADLLYFALTRCVAAGVSLEDVERNLDLKSLKVKRRKGDA KGPWAEKAGLAEKPAEAKPAPKPEEPKEDTSRIEMTRVATASTPAEKVQEYLKRPSQK SNDAIVGLVKPIIQDVREQGDAGVLKYTHKFEKATSLTSPVLKAPFPAELMKLSPEVQ EAIDVSISNIARFHSAQKGSNDALSMETMPGVVCSRFSRPIERVGCYIPGGTAVLPST AMMLGVPAMVAGCKKIVFASPPRADGSITPEIVYVAHKVGAESIVLAGGAQAVAAMAY GTESVSKVDKILGPGNQFVTAAKMLVSNDTSAGVSIDMPAGPSEVLVIADKAANPAFV ASDLLSQAEHGVDSQVILIAIDLNEQELKAIEDEVDRHARALPRMDIVRGSLAHSVTF VVRDLDEAMALSNDYAPEHLILQIQNAEAAVEKVQNAGSVFIGQWTPESVGDYSAGVN HSLPTYGYAKQYSGVNLGSFLKHITSSNLTADGLLRLSKTVETLAAVEGLDAHKRAVS IRVAAMKQEQL ANIA_00796 MSSMRNAVQRRQHRERGQLQGREKWGILEKHKDYSLRAKDYNMK KQKIKRLEEKARDRNPDEFAFGMMSSHSSTKGKHGTGMRESATAQGLSHEAIKLLKTQ DAGYLRTVGERVRRQIEKVKEDLRIQESMGEALGVQEDTRQEDDGDDFDDFDFGAAEK KARKLLFADDREDQKVLKRVLEQREQEGETEEEEESFGERAQQQQKRKTRKELEAEKQ ALVEARRARKLKKRALEVRQNKLKALQKQYADITSAERALDLQRAKMSNSVGGVNKNG LKFKIKERKR ANIA_00795 MTTTNPRYNLRNPLPLSAAQEAEVKQLYYKRVRAYCAPEIKAFA ECAVNRTVTATWVCRAQRLAMNSCMIEHAKPEEEDRAREEWFASHEERRRAKLEEQER VEKRREEVIRMMREDEQRKSEAKAKAAGKSS ANIA_00794 MASPSQAAPSTQPLTPPAEPSSTNNATTTNTTAAPSQQPTQPTS APTTTPAPASQPAQIPSTSLKDSGKSRRPRDVRLIHMLLAAQGVTAYQERVPLQLLDF AYRYTSGVLQDAVHLTTEGYAGTGADTTTSSGNKGPPEVNSVTLPALRLSIASRLHYQ FQTGLPKEFLMDVAAERNRVALPGATRGFDAGAGKPAASNSVLMAGMRLPPERFCLTG TGWKMSDEWESEGEEDIAAPEEKNDVMAGAEREEGEGEGDEDEDGKMEDIFGEDAVMG DDDGDKDMTDV ANIA_00793 MFRAQDYTVGWVSAIPVELAAAAEMLDEEHPDLPHDPNDDNIYT LGRIGYHNVVIACLPIGRYGTASAATVAIRMQAKFPSLRFGLMVGVGGGVPGTTDLRL GDVVVSQPSGEHGGVVQYDAGTATASGFIRKGFLNCPPPILLNALSKLRANHLRHRSK VMEHLSVFDSLPRFQRVNAGYDKLFTSTYTHVGGPTCDACSEDHLVVRKPRENQAVEI HYGTVASGNQVIRNGITRDRLSKELGGVLCFEMEAAGLMNGFPCLIVRGICDYCDTHK NKSWQPYAAAAAAAYVKDLLSVIPAAELVEAPTVERSLESYEPKPIHLTTHFQTAQQS GPRSVCDASHVTRLAQLAYALYSSFRGVEGPSSLVSELSDELFSLHCSLCHLAKYISI LGLTSNDPDSSTSNVFKRPGVLILRCNYVLKELQGFSDNNQHQAKQKESNTVHSRSRS LPPVQWASYRNKLEQMHAEVQWCLSSVDILVDALLQSKRSPKRPEGHPKSGASEPLRL ANYADGQSGHSNLISSRRQLLSAQNVLPPKPTPRGPNSHGTVFNLRSLAANIPSIAFH ATKLTNINHPESIDIAGTTTTQPMDGRIFSNRTGSTKVPRKTSTSTDPPTFSASTPPE VWLSTIRLQLAEWASTAAESSQDKRSRQRYLCRALMSLNSYIGSLEDVTSRRQLREKL EQRGLMQVILEMKAVVGDLVMLEKQVEVYFDDKMDDGVVY ANIA_00792 MVHITVKGLEVEKDTAGSSSTSFDLPAETTLADLVRQIRERGYT APEELARERDDGRRGRSYKPYAKYLLNHPTESLVVSGDCPSNYDERRINVHDTFLHFL SLQSPSKALSARLEGDQKILVDDRLELIFHRTLRMPDDDKIHPLPDSRGQFPLFNVEA FASQLPERITKRGGIFFPMWQREALWIQFSHSGGNTHYAIRVNIGHINVVSGLDIYEV SDKQDYLVVPGQQWLDGIAEAVGSGYTVEGQVSGKEKFGGIQIEVVPSYERDTHTFRC STEQGRVKYAAEHDTPGDYALKDGDKVSMALKPSTYRGQARLCDFLDDGESFEETENL CLKSVYTMPAILAATSSNPVPSKGMVRFRGSSEEKQKSGLGGFGSGVSSLLGKLTSIL NGVDLEYYDVAETIAETSDFLLNTRGGTLSGLGSRCAATEQSSKPITLREMGIAAGGK LMQEIVQDSSPKGIWNTARARLPNIHILQPSDFEAVTHIVPPKTPITTEEYIKAGIPF YAVEEDPDRRLDGSATLAGVKSISAMDNEVGVDEADTEFDPLKPKRIRPCNHQFCHMC VKAVAPAGKTSHDEQKRCPVCAAVVSHVAGFSAPMNLPGEETFKVEVPVAMLEVQDGR AAFESVVKMRL ANIA_00791 MSYQQPPYDQQQYGYPPQGPPMQYQQPPPQVIVQEEKKDRGCLA TCLATIFCCWLCGETCECCLDCLTCCC ANIA_00790 MSFFKELTKEFKELKASLSGEGDKEKEKEKEKEKEKEKEKEKEQ QQAHEREGQRAFDPSHDPGYGAGYGPGPGPGYPPQHQQPLSSPPPSGPPLPPGWVAQF DHASQRWYYIEQATGISRWEPPAYPAPYGQYGQPQVPQYAGAPVYPQGQQGPDPYYGG GPGQDRGYHPGYGPQGGYAPGYGYSQGGEHYSGEKSGKDKDKSKDDKKAMLLAGAGGL AVGAVGGALIANALDDSDDETRAAAAAASAPPEATAPPPVGLPPDETADGDSVSSSDR EEVLEARQEYERAQLAAQDSDASSSEEEALEEAREEYEEIYEEVYDD ANIA_00789 MFTSRSLIYQLALLVLYLPLRHAFPLSSVSGSAAGPDSNDSDLW FNLSAAVALVLIGGVFAGLTIALMGQDAVHLQVLATSGDGPEQKHARTVLGLISKGKH WVLVTLLLGNVVVNESLPIVLDKTLGGGWPAVLGSTVLIVIFGEIIPQSVCVRYGLPI GAYLSPAVLVLMYAFAPVAWPTARLLDYLLGENHGTVYKKSGLKTLVTLHKSLGSQPA ERLTEDEVTIITAVLDLKAKPVREIMTPMESVFTMPSNAVLDEKTMELILSAGFSRIP VHAPGNPGDFLGMLLVKTLITYDPDDAKRVKEFVLATLPETSPDTSCLDILNYFQEGH SHMALVSESPGSNGGALGVVTLEDVVEELIGEEIIDESDRHEPSSKPAFPAVERQPSL PTPAPALTLDARTLRRSSTAPLAKTGLISTIPPEQRQQLDNLAPSNLARSPKQTRFPF VKIKRAGSGRASDTANGVGKDSGAVADENTPLLN ANIA_11282 MAGGAGLHAYIFGSDRLLSIDAALTRGLTYGVAYHLSPYPVTEP DDQWSPTSSFVMPRGIKLCVPEA ANIA_11281 MPLITRGISLVNFTVASSALAFQVFVLYPWHNQLDDEFKALKNE HLRVLNKVERVLASEKFRLNSAQSQSQSQ ANIA_00788 MSSDLFPGFSSQYVTTAHGARIFVRVSPTQDKPPLLLVHGFPQT HAEWHKLTPLLTPHFTVVLVDLRGYGASSIPASANGSGYTKRLMGQDCLSVMDQLGYA NQRFAVVGHDRGARVAYRLAFDNPERLSKVVVVDIVPTAAMFARFGNPTAGLKAYHWL FLAQPEPFPEKMIGKEDKGRLFLEQALSSWTAAGTLQAFSETAMERYREAYCDEQRIH ATCEDYRAGAYFDRVYDEEDLKKGNKIRVPVLAVWGEEGGFTGPKKSEAKKVQEGPLD VWQRYCVDLRGKGLNCGHFIPEEDPQALADEILQFLL ANIA_00787 MRTLLALAALAGFAAARVPAYAITRPVMRSDSRADAVKEAFSHA WDGYYNYAFPHDELHPISNGYGDSRNHWGASAVDALSTAIMMRNATIVNQILDHIAAV DYSKTNAMVSLFETTIRYLAGMISGYDLLKGPAAGLVDDSRVDVLLEQSQNLAEVLKF AFDTPSGVPYNMINITSGGNDGATTNGLAVTGTLVLEWTRLSDLTGNDEYARLSQRAE DYLLHPEPAQYEPFPGLIGSAVNIADGKLANGHISWNGGADSYYEYLIKMYVYDPERF GLYRDRWVAAAESSINHLASHPSTRPDVTFLATYNEEHQLGLTSQHLTCFDGGSFLLG GTLLDRQDFVDFGLDLVAGCHETYNSTLTGIGPEQFSWDPNGVPDSQKELFERAGFYI NSGQYILRPEVIESFYYAWRVTGDGTYREWVWNAFTNINKYCRTATGFAGLENVNAAN GGGRIDNQESFMFAEVLKYSFLTFAPEDDWQVQKGSGNTFVYNTEAHPFKVYTPQ ANIA_00786 MCGPARASSGHRIAIMFPSPTSSPSSSLSSSPSSSPSPSPSPSL SPSPSLSDSDSEPYSLFFRQPTPESPEHTSAVFQIKDSSTINTFRSCQAIDLRIERHG DLTLSSSIHPPLHQFQLRPLLSFPSKAELGMNETGNEIEFSLPERLDLNISDRGIVGR QVTVVARGGNGEVLRMGRGIVGSSCRSSSLFTGQVSSQAG ANIA_00785 MSTQKYTLPPLPYAYDALEPIISSQIMTLHHQKHHQTYITNLNA SLEAQASATQANNVPLLISLQQKIKFNGGGHINHSLFWENLAPYNSPATDIVASAPEL KRAIEGQFGSVEAFIKAFNTVLLGIQGSGWGWLVKDSAGKLDIVTTKDQDPVTGLVAI FGVDMWEHAYYLQYLNNKAAYVEGVWKVLNWGVAEERFKSGVPIEVSGGGIKL ANIA_00784 MSASEQVQLNNFSSIFSLEGKVAVVTGGSRGLGLYAASGLLQAG CSKVYITSRKASACESAVAALNALPNKRPGAQAISIPADSSKVSEIERLVSEVSKTTS HIDILFANAGATWGEEFEKFPESAFDKVLDLNVKSVFFTIQKFFPLLSAKASLEDPSR VIITGSVAGITLGSLGKNGSFSYSASKAAVLHMTRNLAVELGPKHVNVTAIAPGIYPS KMANGLIEIQGGMKEVEAASPNKRLGRPEDIAGLVVFLASRAAGHLNGSVITTDGGAH LKGRM ANIA_00783 MEYSSIAQRKQDQLWERIPQEWRLAQDKIPPGMHSPAESVTNVQ YDRVNVMDIPRSCGLLSPKEIEITERWDITACSPTSSRIGRPPPPHRLPNWPSSGLPV SVKDSFNIRGVDSTVGIAALALHPSEKDAPLVSLLQSLGAIIIAKTNVPQTMGALDSA NHVFGRTLNPRNRQLTAGGSTGGEGALLALRGSMIGFGTDIGGSIRVPAMCNGLYGFK PSQGRVPYGGQTDGQPVAKGRISIQAVAGPIAHSVVDINAVMTHLIPRAQWFGEDCIP GAWPSPLSSFGRKSRPITIGVLPSDGIVEPLPPISKVLNEVAAALRRTPGVNVVQLPV PKALPKCQALAGRLMGVDGGIKMLDLVESTGETLIPWLKGRTKRGRPLLLSQLAELQA TRGDVELELRRELWMYGSTGHEQTVDAIIHPVAPHPVPELDRYNAVGYTSSFVLLDYP AGTVPVRNFGRDDLENGKEMEARVIGSWDKANRELWNEKTVDRTVYLDSPLSVQVITP KQHDYELYQAMELIDRAIKTDRSKL ANIA_00782 MANSNSRSRSRSLTSSTTDAHNDRLEPRLLKACASDSLKEVRDV VVTAREAGQLTDGFLRLGLLRACERGGIECVRYLLEVGALPDYSYNTITNANANADVQ GNGNKDGGGGGGNGGRVTSSPLLKAVERNHVRVVQILLDYGASPDSTDRKGRTALMTA AWRNHFHILQVLIARGAAVNATDNRGRNVLHNLAADKMCDWGEDVVSLLLGTVCAVDA VDELGRTPLHWACATGKERLAEMLLVRPLHVSGSGRATGGRVSGPVMTGADVNAVEGR NKTALHLAVAHGHERIVALLLRHGADINARSDGGWTPLHNACDRGSESIARRLLAAGA KINAQLLNGISPLHLAAQGGHREVVECLLERSDLKQRLRDNFGSTAFLRAAQFKRKDI VALLAPYNNVEALSEDARGACEGFEATVVDFGNFHNENRVKRTSVFNLLYGRDPENPR KQAFNAMPLNSKATEFRWIHLPANNMAWVEALLTKAFIEEGAHDVDSFKGLERSFNYQ HRGQKMHSNFMRPLCQSTHRAPKVHDDVHREPAEERAEVQSPKILINGLSRELLSSPV PPSPKLDGNKKKQKIGAKMERVDSQDDTQSASGKKGKKGSAGTPKGPKSPPIRREKSP HPTLCKEKPPPLESNICYFMPYLHFETAERRERMQEAIRNAQQAPTPQPGLDRTPTRD ELLLRAHLTGSSTSLHIRRTLDQFFYPNIDTQSRDQDQVVYRYQKKRSRVPEPKIFMV DQLWMWILGPSLIVTSFPQRWDQPKNDPLNVLDGIIEDINSKTREPVRSVYDLALIIT NRCSGVFDRHRMGDDEYQFLDMFESSIGIATDRESFLFNQFNRASAQASDWLKTHRKP SRIARRKEKDVAKDLNKEERKKQDSDEVDDEPLFVDNLLDIGQETDLLAEAKDIRDEL NMIRMVLHYQKNVLDDFHEVVGEIYLSQGQSQRDIKKRFGEQQRTIDIHLKDIDRMDK QAERIYSSITDLLDLKQKHANAFEARFARDQAAGTARQGKILMVFTIVTIVFLPLSFI TSVFTINMPEFENNLTMGYVAKYTFGIGFGISIPLVLLALVLDDIGDIFQEGLRRARG WVRTGRYARNQLLLERMKAQRQSRERTLLPTRERTREREREVLELEFDKTV ANIA_00781 MLSAPVKSAKRISSLFSLGSHKDASSPTSPRSPSLSRPSPDQSS HDTRPRSSSRPARLSSAPAVPNFSHPGHGASPSPMKDNFEDLDAPLPPPPSLYAVNSD LAESTSNSPDGRPQSRGRRLSSSRPGSSGGLAVPSGPDSRPGTPSKRRSWMPGRARAS SIDVRSSPQLPSAWIAGLEHKILYDMGPLGRGEQIPELWNETGDTYVYLFPQNTGRPP SFKIHSTVFADSASLNFLARGSDPRATGSLERQARSLSLNPNSTPTSPLTPHNWNDND NDSAGSRRMSFEDDTQEEPQELHLYLPIPLNSDVSSEGAAISQEDLETLLLFRNLFAF LLGQSLIATPRSSSLFTIFMDIATLLARFEFTNLDGSNFGEIATSSFSNYCDELALAD VRKSREKTIEAIVLGERLRFYPLYLEGFVHGVGKLDELKQLRSPKYGLISAITQKRLE RGFIDLDGRLKVLQSKLNDFEFPSIFSGSANSQTTAESKVVRYKAWKAAFLEFRRFLL QYYRQKYGSWPPKPSRKNHFEESGLNRKVAKEVFEDMCNVYDMLVDRTALTDRTLDVT PVADESASPAELQNRALRIVLSEYDRSTPPVQPPIPFDIPQYPSLQPLLRKPLDPKKE AKQMTKKLKDSDVNAVLMASYTRESLKPTPFVEAFMQFERRQGHGKSLNDLIDLRCGQ WILIYCIIQALPLVVVDVQDVRYTDDTEYFLCIAPRGGAPWVNSDGKVARSWFGVAGG NGLVSLPSDVVVNGVEGVYRRSHCWQMAEQWAEKDAILGPPTVDDPYDNESSISSPYP AHQSSTGSSSEHPAHLQQPMPGQQMPPTPLLTPGGGLAPPPAIPRMNSPALRARAEHR HSINPGLEALPLPAGVAPIDPPARPVSKFNPNMSFDDILKQVPNQKGKK ANIA_00780 MDYDTVDLFTGWPNPSLLPTGALADAFATVMADPAIRTPALMYG PDEGYGPLREHVAQWLTSFYRPADPVTGSRICITGGASQNLACILSVFSDPSYTRNVW LVAPTYHLVCRIFDDAGFAGRLRAIPQDERGLDLDYLRRELAAANDRGAKGDREPITP LRPWARLYRHVIYATPAFSNPSTITMSRSDREALVRLAREFDALVVTDDVYDFLQWPS DPEKPLDGPATALHPRLVDVDRYLDGGPKDEWGNVVSNGSFSKLIGPGIRTGWAEGSG KVAYGISQAGCQRSGGAPSQFSSTAVDQLVSSGFLQKHIDEVLRPAYASRYYRLMSAI KTHLYPLGVTVPFTGPSVAGGYFIWAALPGSLRASDLVPVALQDHRVRVAAGTLFRVQ GDQAEDKNEFGSFIRLCFAWEPEEKLAEGVRRLASAIRQMIGQRT ANIA_00779 MRFTNILLLLAGSAWVGASPVASGETEIVARQSSSEYWVGTIEN RGAVPFGDDADYQVHRNVKDFGAVGDGTTDDTDAINKAISSGNRCGQGCDSSTTKPAV VYFPAGTYLVSKPIVQYYYTQMVGDANNLPVLKASADFSGMAVVDADPYTDDGSNWYT NQNNFFRSIRNFVVDLTAMPQSSGAGIHWQVGQATSLQNIRFEMIKGGGSENKQQGIF MDNGSGGFMTDLTFNGGNYGMFVGNQQFTSRNLTFNDCNTGVYMNWNWAWTFKSITFN NCNLGLNMSNSPSNQTVGSVLLLDTEFVNTPTGVVTAWGSDSIPVGAGTLVLDNVDFS GATTGVAGVDGTAILAGGSVVDNWVQGGIYRPASVNKRATRIQTALPGATKPASLLSS SSGKIFERSKPLYESYASSSFISVKANGAKGDGSTDDTAAIQKIFDSATSDQVVYFDH GAYVLTDTVKVPSNIKIVGEVWPVLMAYGDKFSDEQNPIPLLQVGQPGETGSVEISDL TILTKGPAPGAILMEWNVAEETPGSVGMWDVHFRIGGSAGTELQSDTCAKTPNSTTTP NTQCIGAFMLLHLTEKSTAYLENVWSWTADHELDLDDHNQINIYNGRGILVESQGPVW MYGTAAEHNQLYNYQVSNAKNIFMGLIQTETPYYQANPNALVPFTPQDSWNDPDFSYC TTDACRKAWGLRVINSSSVYVYGAGLYSFFENYGQECLGDSCQENMVQVDCSDVHIFG LSTIASENMITNSDGSSLVPQEGNKSTYCSTIALFEQTSQA ANIA_00778 MKHATTVLAAAGLVSLANAHGYISSPQPRMPGSAMAAACGQQVY NNQAADRAGNVQGELQVAASQSDYDADACHIWLCKGYQFDDNTDNVQSYTAGETVDFV IDIVAPHSGVANVSVVDTASNSVIGSALKSWDVYASTETGVTEDETNFSITIPDNLGS QCSEAGACVLQWYWYAESIDQTYESCVDFTVGGSGNGSGSSGSSSSSAAAPSSTSPAA ASTSTSTTEATTAAPTSTTTTEAKVQVPTTLSTATRPAETTTATETATETAASSAATG VPTDGTTSEQLNWVSSIFKALYNRDCQVAS ANIA_00777 MKRLLSNINKRPSTSRLRGGTEYPQDSPESVVLREVTAFCEKGQ GPNQPQGDEFVHLPSIVESAESSPNAAREAAHLLRKLLSSPNSTAANIQYNALMLVRI LIDNPGHTFSRNLDASFVTAIKDLLRSEKDVGVQRFLRETLDALEFQRGWDEDLKPLV EMWKKEKAKMSKTYTPKSRSNSWRATMSRQNSDIQVRPERVDTLPPPDELVSRISEAK TTAKLLIQFVQSTPPSEMLTNDLIQEFSARSRRAQRAISNYIHATNPAPDEDTLLTLI ETNDELSVALSKHQRAMLQARKALGQQTPPAETATATQSPEHSDQSITGTSASRPVPP PPVPLRSQSPPLGEPVSPISPEATAHRSATTRTEQSDISVLSGTGPTARFEYRSEDYQ VQNPFADNYSIPSTIPTHTYDEREIERDRWNKTQQPGQQHQHY ANIA_00776 MVRYAAQDIPAAKSARARGSYLRVSFKNTRETAQAINGMKLQRA LAFLENVKTKTEVVPFRRFASSTGRCAQAKQWGVARARWPVKSAEFLLDLLKNAEANA DTKGLDTGNLVVKHIQVNQAPKGRRRTYRAHGRINPYMTNPCHIELILTEGEEEVKKA TVVERTRLSSRQRGAQIRKALTSA ANIA_00775 MPSALLIGDITHARKEWEDLSSILTLKEFPSGNREDFIRNLKDG KYDDLVAIYRSNTSTKFTGPFDAELISLLPKSLKYICHNGAGYDNIDIPACSEKGISV SSTPVAVNNATADVGIFLMIGALRQAYVPLTAIREGKWHGQTTLGHDPKGKVLGILGM GGIGREMANRARAFGMTIQYHNRSRLSPELEQGAKYVSFDDLLATSDVLSLNLALNPS TRHIIGEKEFQKMKDGVVIVNTARGALIDEKALVAALESKKVMSAGLDVYENEPIVEL GLLNNPRVMLLPHIGTMTYETQKEMEILVLDNLRSAVEKGELITQVPEQKK ANIA_00774 MATCQALVLHGAKDLRLEPRPVSSPSDGEVQIAIRSTGICGSDL HYYSHGRNGDFVVREPMCLGHESSGIVTAIGPNVHNLKVGDRVALEVGLPCRKCALCL SNPSRYNLCPEMKFRSSAKIFPHLDGTLMQLTTHPENMCHKLPDTVSYAGGALVEPLA VCLHAIRRSNPPAQSSLPPNYKSTALIFGAGAIGLLLAGALAAQETFAHIVIADIDDS RLKIASTMSLPNLSTFQIPKPSTPPPPRDAPHAEQTAFALSNAQATASSLKSAISSLD PSGLGFTRVYDCTGVPACVQTGIYAASPGSVLVQIGMGNPVQTLPVGAAALREVDIIG VFRYDGHAYPAAIELMASGKMDVVEKSVVTHRLGLQDGIRAFDIAGKGVDEGGRPVVK VLIESESP ANIA_00773 MEHLPGHLVPRGNYSTNETYVYAYSRGLTGVNVPRDVLFTRIIL VSAISVAFILFCGRIAQLSHAYLRHISSLTASRREQTFWSIEDSQLWANIKKHLLYAP IGRKRHNREIQLSSAINVGTLPSRFQTILIVLYVASQVAYCALLDYDENVKAALVAEL RGRSGTLAVLNMVPLFILAGRNNPLIPLLRISFDTYNLLHRWLGRIVVIESIVHTVAW AVNAVDETDVSDMLDRLCNTPFFAWGLVGTVAMTFLLLHSPSPIRHAFYETFLHLHQL AALLAFLGVYFHIDLDNLPQMPWITAIGIFWLFDRTARFFRLIYLNYSLKRGSTSLVV QALPGEACKVTFHLPKRVHVPAGSHVYAYIPGVSLWMSHPFSVAWVDPSSCVTNHGYI DNDRPPKYSSDLEKQLPTPPSTHYNDLPTNDRIQLTSVSLIVAARKGMTRKLYNKALS SPNSTYLTTGFIEGPYASHPSDPATYGTAVLFSAGAGITHHMLTVRDLLIRASQGRVP TQKIYLIWSVRSTEHLSWVREWMDSILRLPGRREILTIQLFVSKPKSKREIVSPSATV QMFPGRCRPDVVLDEVIPNRVGATLVSVCGPGAFADEVRDAARRRIGRGAVVDFVEEA FTW ANIA_10120 MDVREQDEQSPVQGAPVSKPKARPDHQMKRVSRACLHCRQRKSK CDLPGVPPCQRCIRDRRECILGGSNRGGRRIRKNKIKNFTPDTKATTQEPGVHSTSPS NSEIVQPASNSYPGPVVFVPSNPPAPTVATTTSVSVDEEDAASIGSVPRNPSDAWQCL TDIAKRGTDGPSPATTDSVRTGTGGYSTYSALQNGDVASLQTKTGIKAYRLVQSRSLD AGTVWQLVARYAENFHPYLPLVPRKYFHRAALDAFADNEKHLLTAVITIASKDLVERP EIHEYCSKYMHELISSIAAGADCDVEAVEALLLLAEWEPQGLRPKIERVGRGEEDRAA WMHVGLALRSGYFLGLDRTSFRGDSSGDTEAEARRRLAWTSCYISDRLISVRIGRAFW SRGPGPMTGLVSQDFPSLQPVKAGDEDYAQIFQATLDLTQLYGNVHDLLYSGMRTSNQ MMLMGDYVKYVDDFRLAILRWKSRWGSLKCSPPMQTTLQLSYEYLRLYTNAFAFQAAI SQSLSKQKNDGRSQREHLRATFDNVASMQDARFIIESLDAAKVYLTILVETADPEKHL RFMPLRFYLYGIYAAVFLYKARSFGVMLPAEEMNVRELVTRTTEVLKRASAGPDDIGA RYSRLLELLWRPKATAPASPAGTQQSNEIVMQNTNPLSQCVSGQDGYVHFSPANDFSW LDLEAVGDYVSGDPGTLSLDALQNPGLYQSGADREWQIPMWSGDMTSALLF ANIA_10122 MAQLNEIRGRLALITGASGGIGAACARQLAEKGVHLALTYASNL SAITSLTEQIKTTFPDLRISIHQVDVASAEQIQDMFLQIDKEHGQRPDILVSNAGYGK RVPQVWDITLEEFDYTINVNLRASFILVKGVVEHMRNQRWGRIIFMSSIAASGGGING CHYAASKGGMTGMMKNLSTRLAEFNISVNDVAPAMIGDTGMIPNAQAIPEVAAGIPIG RLGVPEEVANVVTMLATTGYMTGQSLLLAGGLK ANIA_00771 MSSFLGTGTFNTSVSPSQAVESRGIENHGNAITETETLHNESHA ESPGEKLASSSNSILSSTETAREKDERDYELDAEEEVTRLAQQLTHQSTKYSTHNIEN PFLEVGEDSTLNPHSPNFKAKNWMKNLLALSSRDPERYLPRQAGVSFTNLSVHGYGSP TDYQKDVFNSVLQIGGLVRSMMGHGKQKIEILRNFDGLVKAGEMLVVLGRPGSGCSTF LKTIAGEMNGIFMDEKSQLNYQGIPAKQMRKQFRGEAIYTAETDVHFPQLSVGDTLKF AALARCPRNRLPGVSREQYAVHMRDVVMAMLGLSHTINTRVGNDFVRGVSGGERKRVS IAEATLSASPLQCWDNSTRGLDSANALEFCRTLNLMAKYSGATMAVAIYQASQSAYDV FDKVTVLYEGRQIYFGRTDDAKQFFIDMGFECPERQTTADFLTSLTSPAERIVRKGYE GRVPQTPDEFAAAWKNSDAYAQLMREIEEYNQEFPLGGESVNKFIESRRAMQSKNQRV KSPYTMSVMEQVNLCMIRGFQRLKGDASLTLSQLIGNFIMALVIGSVFYDLDNDTGSF YSRGALLFFAVLLNAFGSALEILTLYAQRPIVEKQARYAMYHPFAEAIASMLCDMPYK ITNTFTFNIPLYFMTNLRREPGAFFIFLLFSFVTTLTMSMLFRTMAATSRTLSQALVP AAILILGLVIYTGFTIPTRNMLGWSRWMNYIDPIAYGFESLMVNEFHGRLFPCSESEL VPSYGDTANRVCAVVGATPGELMVNGTTYLRESYQYTKSHEWRNLGIMFAFMAFFLFT YLTATEYISEAKSKGEVLLFRRGQAPPSVNDVETHSPATAGEKVDQSTQDVANIQRQT AIFHWKDVCYDIKIKNEPRRILDHVDGWVKPGTCTALMGVSGAGKTTLLDVLATRVTM GVVTGEMLVDGRPRDQSFQRKTGYVQQQDLHLHTTTVREALRFSALLRQPAKTPRQEK LDYVEEVIKLLGMEAYADAVVGVPGEGLNVEQRKRLTIGVELAAKPQLLLFLDEPTSG LDSQTSWSILDLIDTLTQHGQAILCTIHQPSAMLFQRFDRLLFLAKGGKTVYFGEIGE KSSTLASYFERNGAPKLPADANPAEWMLEVIGAAPGSHSDIDWPAVWRESPERQAVHQ HLAELKETLSQKPTETSASDPSEYNEFAAPFSVQLWECLVRVFSQYWRSPVYIYSKAA LSILTSLYIGFSFFQAQNTRQGLQNQMFSIFMLMTIFGNLVQQIMPNFVTQRALYEVR ERPSKAYSWKAFMTANILVELPWNTLMAVIMYFCWYYPVGLYRNAEPTDSVHERGALM FLLILAFLLFTSTFAHMIIAGIETAETGGNIAQLLFSLCLIFCGVLAGPDVLPGFWIF MYRVSPFTYLVSAMLSTGVSGTTAYCEQVEYLTLYPPSNTTCSEYMDPYISQVGGYLQ NPDATSECTFCQISSTDTFLSAVYSNYDDAWRNFGLMWAYIAFNIAAAVFIYWLARVP KGKKN ANIA_00770 MSSSGPDISELSDSQRSALETYTTVTGQEPVEAIALLSRSQWNV QIAIAKFFDGEGPDPVEEARASLESASPARPNRQTQNLLHEDLTARFSSASAAADPAP RIATQPGDQPVYRPPFLLALVFAPFNLLYRLFLGSFRLFGSLFPFLPRLLNTTASPAL QGVGRNTNGRRPLAPKDTAARFIREFEEEYGAHSLPFLENGYNMALEKAHRDLKFLLV VLCAPEHDHTDAWVRETLLSKEVTDFINDSQNNIIVWGGNVQDAEAYQVANSIRCTKF PFAAAIAHTPSVSSTAMSVIARISGVTSPAEFVEKLRTAIAQNKEPLERIRVTRAEQQ ASRSLREQQDSAYERSLAIDRERARQRREAEAARQREEQLAAERQAAEEKRQRDLEQW KRWRAQSIPEEPDMGVKDAVRISIRLPSGDRVIRRFAPDAVMEELYAFVECYDITKEA EAATPTPVEKPGGYDHTYGFRLVSPMPRVVYAVDAGSIRDNVGRGGSLLVEPIDDESD EEDS ANIA_10121 MASVGKPSLEDARRGTGSPKMKARENAKDTLCRNVTIYGRCRYE DKGCAFNHDPHKNSNQSDNASKKRFNVDSPSFTPSLLPSNGSSPTSSSSSLKKSSTIS PKAANAAPFQPRTAASSK ANIA_10119 MPLEVQYHLYAPIGPHNQNALAYQRNVHDLFLPNDFREELQKKA AATLQTLPNTQLPAQVDYFHSLVPLDLNHQKNAAIFGYPSWVYKAQSTKDGSYYALRR LEGFRLTNEKAIRSVQAWKRIASGSMVTIHDAFTSRSFQDSSLIFVTDYHPLSKTLAE QHLSAGGPRFQARHNAHVPEQILWGYITQIANALKCIHSAGLAARIIDPSKILLTGRN RIRLNACAIMDVVQYDAQRSVADLQRQDLVNFGQLILTLGANQPNVIHNPSKAMEHFT RAYSPQLKNTVMWLLGGMQKDQERNIDILINGISSQLMSTFDSALHLDDQLTSDLGRE LENGRLVRLLTKLNFINERPEHEHDRQWSENGERFYLKIFRDYVFHQVDASGDPVVDL GHVLTCLNKLDAGTDERITLVSRDEQTCFVVSYKELKKGLESSFQALLRPSRRPH ANIA_00768 MKLQLHLTLAGLFCSVLAIQDVLSEVKDSRNGLLEAGAKNPLDS ALELSPTFSPAEIFDTTEITKYLNSINVDTIPNTDSWISGFLASNLFNEITNAPSDFV NEIDARTETHPIQSDKTIYQLISESKYTNILAKIIDQDPKLVEFLNSTHHKITVFAPT DDAFRKILHHHHHRHHDGHDGNGHERDGDGDKDHHIPKEVIRYFASYHSSPEILTAAK LFHAHTVNSALNDSLLGTDKHDNGLPQRLAVRAGFKGLTINFYSHVVAADIGASNGLI HGLDSILLPPPPALLLLDILPTKFSTFNLGLIKTGLTQYLNTTKEESAHGFTIFTPSN RAFDHLGLRINAFLFSPYGIPYLRALLKYHIVPNQTLYSDVLYTSDGQIKPFGVKGST HLDLETLLDDHEISVDVARFGPYTGFKVNGWQRVAFADVLGKDGVIHVLNRVLIPPKR VEGKVIFGDEGELELEEFMERLRPWVEEDVGNEKSDGYATDL ANIA_00767 MSERGSFRGGGRNRGGGYDRSGGRGGHGKSGGAGGGAQQQQQEK PKKENILDLTKYMDKEVRVKFNGGREVSGILKGYDQLMNLVLDDVKESMRDDEGNETT RALGLIVARGTLIVLISPADGSEQIANPFVQAEE ANIA_00766 MDSRTMTAETPGKRPRSDSGEFPPIASKVPKTHSNHLQINYLAR QYADNLPLVSLDDTMPAIIHLIGEYDGVLQRHESIAGNLGACPLGPILIKRFERLFDG PPRVLKSHGKDTPNITWLDVVEFAKNKPEQFNLEKTRNGVRVCQFYTKQSRVEISEED FVLIASGMPQKMIPPQPIIEDEEKELGALEILEKNLQSIIQVADQVSARARQLNHRLK NRRTAIVTRRENDVNLHNSRASQPLSQSQHLPQQRSMSPAWRDANGVPHSSLNSNGNG NGASNAQSPSTGFVAVNASRPGGEAPPEENLLSSQFLFSHSNTDNVTIINGTSIKGAS PTTRAELMKKFFTTQDRQVRGSYEEAAAAAAAAAGSSNRQSSRPRPRASEGGDYNVYA PTPATVAIPNTPTSLLPPPKSHHHEKDDGGPFKIEMVARMEELQRGERIMPPCDRCRR LHMDCLKNLTACMGCTKKHAKCSWRDVKEEELREGRRADRGPVEEPHSKDTTASPSTA PASEQVPPSTAVATPASAPAPLPTSLPGSATAASDPERPREGALDVMVRRESAPIAAP VSRPSAVREVSPRRAVSEIHNSHGHSYRHNQSDRRYSFNRNNEPNRDDDGPDALSQAI MDTYNAAAAKGTVHEVSNERERDMERDQDRDRKLVRA ANIA_00765 MDIKFVLVTGATGFIGAHIVDALLGHGLRVRGATRSLAKGEEML KARLHYKEQLEFVKINDFENPGGLAEAVKGVDGIIHTASPFTYDTKDNEKELVIPAIN GVKAVFEAAAAADASTTKIKRIVLTSSFASVIDVNRRAGPGSGPDGYFTYTAADWNPL SYAEAIDTSTNAVVAYRGSKKFAELAAWEFIRDRKPSFDLVTLCPPMTFGPVVHPVPS VESLNESNAMLWKVAVGEPLPVARVPFWIDVRDLADAHIGALLKPGVGGRRYTVAAPE RFSYGLAAGIIKEEFADLRERVTGTRQDVDESHGLDGETAGRELGISYRRFRETVRDL VRQGVERGW ANIA_00764 MDPSQVKIPPMKNLTVENITENVIRINSLCEDERMKYVLERLVT HLHDFARETRLSSAEWMTGLKFLTEVGQICTDVRQEFILLSDVLGLSILVDSIDHPKP PGSTEGTVLGPFHTHEAEELSQGGLMSKDPAGEPLLVVCTIKDTDGKPIEGVKVDIWE TDSTGHYDVQHADRSGPDGRCIMHSNKDGVFWFNAITPVPYPIPHDGPVGKLLKKLHR HPYRPSHMHFMFEKQGYDHLITALYLRNDPFETSDAVFGVKDSLVIDIGTAGKEIAEK YGVEEGHKLLTYDFVLVSEKETSELRERNSKEALDRLGRKVKIVNGLPIPDLD ANIA_00763 MASEEEGINSRKRRKLDPPKATPYIFKPLFDQVPVTADDAAEDV HITCVEYWNDNLYIGTSAAEILHFVCLPPDPSDSNEPSFILASRLPIHYSQTSSVPTK SGIQQILILPTANKACVLCNGTATFYMLPELSPAFGGNTQVKNCRWIGGLDLNIGPAE PENPVVMISTHSRLMLVKIGDGARLVRNIECGTPAEDSSIPDILCRRDAKPRSNRGYA SSVCSQITAIKSEFATGRESRSWTELQFEYAGGDVAAFGTSTVRVERSIKVPDAGAIG VRDAQKISVKGAWQAVADEQKPLPPLPKQPSTQLRPHILSPTPSEFLLVRGSDESEPG VEYDEDGQTRKFLEVQPWDVDPAEAEDYKSWVEIPSIQADRTKHVGISHTLSPTQLEI QNLAKLLRMVRLKTPALAVYAPAADPRTQASIEQLQKEKELFESQELTEASEPKKATD WEAERNAEEAKIAQNIGRTQSSLVLWAGNQIWRIVRNPLTTQLNDVLQNAQVVVNDGH TLDRKAVWHVIETVQQTEPRSEAEFLGLSLLKQKSSLLLFGDLLFMDPTARNDEVIDT TEKALIVGDLDPRIAMILIPLLRTETLQSPQGVWVHAGLAETAEAYLRKTDEAGMSIT GFFDARILDMMKRFLLSWQQKRGYGSISDETYVFDSVDAALLRLLLAQWDSTTKEQAA SLGLRTELNKLVDNWKGNFDHAVSLLESYHRLYALSRLYQSRKMSGNVLKTWRRIIEG ETDAGGEITPAGVEVQMRRYLVKIKDVQLVEEYGSWLAQRNPQLGIQVFADNSSRVKL DPSDAVALLKERAPNAVQDYLEHLVFSKNYVQYADDLLQYYLDTVITVLETSPEARAS LSESYSTYRALQPPKPTYMNFIKENLPSNPWWQSRMRLLQLLGGGNTTQFTSAPTPSL NYSIPAVLARIEPFQNELVSESIILDGLQGRHREALRLLTHGLGDYDAATRYCLFGGP RSTSSTGAPLEFAEMSRQSELFRYLLDEFLQIRDLSERIERTSDLLGRFAAWFDVREV LDIIPDDWSVEILNGFLAHVFRVLVSESREVRIERALSAGQNLRVAAEYISGVEKIGG WVEDEEGLKQLRGGHVQTNAGRETTDSDFGEMVEAGN ANIA_00762 MDSFAITEGIIPQSEKQDAQAPESGLGPALPEGANKFQRAIAAW RGIDLSNTLAKLDSTASDIVAEQRDALVQRKDLAQKTKDFRKLDDASKLAEYKGLLKA YQGFIDLLTNQGKSSSSAFLQLYSSLSEAPDPYPLLEASIDSLVVAEETVPKLTSERD QLQSSVDRLTSQLEDTERRLEEERAARKKLEDNQDAKIKEIETSWSAVLTEKTNNWTS KEKSLEEKVENQERLIKELKASYEVSQRLGQTDESGNHPQGGATAAELELVSSELEKT SLRLAEMEGRNEQLRLELAQAVSHSKEERTTSIDDDPGYLRLQSENSSLLRKLDAARF DRESERHTWEAKLLQSERQFSKVNAEKEELKTRLEKVADYEDIRRELEMIKSIEFSAG DDEEAGDLNDGTNGTVDKAKEGGKNGSLEQLLLARNKKLTDELTVLRVSHRDLQGQLE TLREDLSTTKEELEKSQNLSTTLENDLLRLQQEAANAFPSSAMSVAGTYVSKYPHSSR RGVSPTSSIISGFDQSAASNNTMDAIRAGEAVGGGSGLLPMIQAQRDRFKKKNTELEE ELSKLYSTVKSLRQEVASLQKDNLNLYEKTSASSIHTSADTPSGLSIDRYQSAYEAQI SPFAAFRGRESTRAYKRMSLPERVVFSLTRIILANRTSRNLFAGYCFALHILLFIMLY MMSTMEIESHSAASLGAAAGAAMNAAGNGNAYSGQLDGDDWQQEGFNHAG ANIA_00761 MATVLSDLATTIGTALSSLPPPDQIQDAERMQVLGAISRLQEAL EPPAMAVQRMGLSKTRDEQYYHISVIRIAQGMGIFDAFAESGGAEMTVQELYSKTKGD ETLLKRMPSDPPERVMRVLCAHRVYEATAAGTYRPLPLAMALATGSMPENFMKHMHTA MQVSAKLFEYFENRGYRSPEDAYDGPFQFAYGTSEHYFDWLKKHPEPQHAFNVTMTAT EQDGADYWFDVYPVKETLTSPDPDRVLVVDIGGGVGHTLTALKRRFPDLSGKLILEDL PQVIDDIKEPLSDNISAIKYDMFEPQPVRGAKVYYMRRVLHDWPDKQALMALSRIREA MAEDSVLIIHDYTFPDGHDGPDVLPFAAIVDFQLMELMSSHERTQQQWVALLEKAGFS EVNIYKGKSSAHPSALFEATL ANIA_00760 MAMSFLDSLTMTEQKNEATVSRREPAKEEDRGYEAQETRIILRK FDLRLLLLFTVYRRHELGFRTSIYISASSASGAFDGLLAIGLSMIPQWGLISSWKNIF FFEATIIAFLAIPSGSGTARFLTESQSKLAAERIQVDAARTSEHSRTRLRHIKQGLTQ LPIVACALGFFFGKLLRCARFSTCAQSFLSSPRPLSKQWATLTNSPSSFPLGHT ANIA_00759 MSNEWTNSVWGCFSPIETCFMSWCCPCMVYGKQSERLQDPALKN GSNVNGDCCLFVLANCCGLAWVLSMMKRRDMREKFGIKGSVGEDCILSCCCPCCVLVQ QEKELDAQASRFQSATGYQAPTSMAYTPA ANIA_00758 MKQKAPLLPLPIGVKVSEVFGGFKFTTKDHAQYNSFPPEWTVCL STKVARPPNSREPETRAFTTPTLENDRLYLSSLSLPSCEDLKPGSASTRIAAMVLWVT FFWYFQEPEPTPDPSEPPHPSTGPNPTGSWHLIIEPRGILSRKDQIVKVERLGVFASK DSSVGLKKDTIELPQMFISQKAFWELDPRVHLFSISSVMPNPGKSYPAPSGSLDSLGV GFPFGAGPNTSGCFMPPYYPPQALQYTYTGDILHPLRPKAYKQGEVFYVRHIQSGKDY LTLRVPVLPTTDEAPRNRPPGESNGSAPGPDLCLDSEQANDLRLVHEWLRTRPQDTAL PRPASIDEHESFLEDRMCSQSSFPVMVCWDSAPTGYCELFWVLEDPVGRVLGDAGGFD RGIRCLMGDKNFLEPKQLKRNISSLIHYCWLHDQRTDTVVLECRANNIEYALSTRVLS NPMLTYCSMISTLETIGLSKVDNVKPPSENNIIMRIHRREWLAPVI ANIA_00757 MLLVGSFRSSKIPRLLQQRLAQSFSILAMGKRDKKKFGKGGGGG GRSERQNWQDIPKTNERFENYYNAQGFIPEEEREIFWETLRRELPNSFRFTGSKGHAL FVQERLKNHYIPEITAVQYEGNNVEPPRPVEWYPDRLAWSMTTPKQVIRRFAPFASFQ KFLVAETDVGNISRQEVVSMIPPLLMDLRPGMTVLDMCAAPGSKSAQLMEMIHAGEEE AMLEVAKKAKEGTIGPEPAGPEGLNDDGRTTGLLIANDSDHKRAHMLIHQMKRLSSPN LIVTNHDATMYPSIRLPSRPSEDGKPTPNRYLKFDRILADVPCTGDGTARKNYGVWRD WTPQNGNGLHMTQVRILVRALQMLKVGGRVVYSTCSMNPIENEAVVASAIERCGGLEK VKIIDCSNELPGLKRVNGLRSWKVADRENRFWSSWQEVEEHRAQSGIAGLGRLSEGMF PPITDMPLERCMRIYPHLQDTGGFFITVLEKQSEIRAKPENPSKAIPKGTVAALAEEL DSKQKNGTGEPLEKIEALDDLVPHDEQADLEKDKNASVAETTHQPPYSVTSQVPSAKR EADGVDGELPFKRTKLDSGAEVVIGDRPIHQPPAAEEPDVATSDVTSTPVPTDTPQAS APETQPKPVKRKPGQPIEEPFRYLDPNHEELKPIFDFFEISDRFPRDRFMVRNAEATM SRTVYYTSALARDILVANQGHGLKFVHCGVKMFVKQDAQRLDVCRWRIQTDGLRLVEP WLGPARAVTLTQKETFRKLLVEMFPKVDDGGWQNLGEIGERVRDIPMGCSIIYITPEG DDKFSERMVLPLWRSLHSVNLMLPKEDRRALLLRIFNDTTPVLNTTQKRTQTAAQSSA ADAVATEEEALKEENLILGQDEQEQMDTRETYTKANEEEDRANTTWKNGATNTILLAV VPASVPNVNVERSWLFPFVALYYHLPGVTLEVTTESLQVITKSLRTGQGRLFPRLERR SNPGGIGDELRLTREWAV ANIA_00756 MRLLPVWTAALLAAQAAGVALTHKLNGFTITEHPDAEKRELLQK YVRIILLVTWDDKSLFINGERIMIFGAEIHPWRLPVPSLWRDILQKVKALGFNCVSFY VDWALLEGKPGEYRAEGSFAWEPFFDAASDLGIYLIARPGPYINAEASGGGFPGWLQR LNGTIRSSDQSYLDATENYVSHIGGLIAKYQITNGGPVILYQPDNEYSGGCCGQEFPN PDYFQYVIDQARRAGIVVPTISNDAWPGGHNAPGTGKGEVDIYGHDNYPPSTPYALVE YQVGAFDPWGGPGFEQCAALTGYEFERVFHKNTFSFGVGILSLYMTFGGTNWGNLGHP GGYTSYDYGSPIKETREITREKYSELKLLGNFIKSSPGYLLATPGKLTNTTYTNTADL TVTPLLGNGTGSFFVLRHSDYSSQASTPYKLRLPTSAGQLTIPQLGGSLVLNGRDSKV HLVDYDVAGTKILYSTAEVFTWKKFHDGKVLVLYGGPGEHHELAVSSKAKVKVVEGLG SGISSKQIRGAVVVAWDVEPARRIVQIGDLKIFLLDRNSAYNYWVPQLGTETSIPYAT EKAVAASVIVKAGYLVRTAYVKGRDLHLTADFNATTPVEVIGAPKTAENLFINGKKAH HTVDKNGIWSTEVGYSPPKIVLPVLEDLKWKSIDTLPEIQPSYDDSPWPDANLPTKNT IYPLRTPTSLYASDYGFHTGYLLFRGHFTANGRESNFSIQTQGGQAFGSSVWLSGTYL GSWTGDNDYQDYNATYTLPSLKAGKEYVFTVVVDNMGLNENWIVGQDEMKKPRGILNY ELSGHEASDITWKLTGNFGGEDYVDKVRGPLNEGGLYAERHGYHQPYPPTKSKDWKSS TPLTGLSKPGISFYTASFDLDIKSGWDVPIYFEFGNSTTPAPAYRVQLYVNGWQYGKY VNNIGPQTRFPVPEGILNYKGTNWVAVTLWALEGSGAKLDSFKLVHGIPVRTALDVEG VELPRYQSRKGVY ANIA_00755 MPPPPLQLHPRPHTDTSTSNHPSLKLNLVPRGNDNEATHITNSA ISIPSTYGRTTNPPNPGVVVGATLGAVAGFLLLLYLLYLSLTSGRRFSPSTIAATSTT PSEIVDVGFRNRRGPGPRSGLGSRRRRADEIIVEEDLTSHSHSHGLGDEGGVVEVIEE ESVVDPPPSSRYRSRSRSSSETRSRNDRYRRGGSEFSGRS ANIA_00754 MSYNKPDGPPPSYPAPVHDPYAHPTPPPGAGQDYYGASPPPQQY GQGQPPYGYPTPPPQGYPPQQQPMYYPPNGGYPPQQGYYAEEQRGGGGGSGICAGLLA GLACCCCLDILF ANIA_00753 MAAAVKSEGASEPKVRPTKPDEETFKANLAQAEKEHAAVQEKLN QIKAKIEAAKPNNQDSPAAKRQQELRAELSSIRQKQQGFKASRTSTQEKINALDATLK ARIAEQNNSRTRMSFKNVEELDREIARLEKQVDSGTLRLVDEKKILADISSLRKQRKN FASLDDAQKVINDLKTQITTLKKTLDNPEAKALSDKYTEIQKELDAIKAEQDSAFKNL NALRDERTKLHAEQQAKWTAIREVKDNYYKARKAYKEYEDEAWRIRREKQKAQREAFE REKKRKIADKKLEEASRPAYTDEILVAQGLIRHFNPSYDFAALGLSDKKDQASGFRAE VGRTVDDSGMKGMKVLKKEEDDYFVGTGGKKSKKGKKGSANGSPAPSNLAETKFNMNV GIIEDFAKVKIDPPMNQSDVPAVVEKLAAKITEWKKDQAAKTQENINKAKEEIARLDA EESTATETNGKASEKDATAEVADDLNKASLEEKA ANIA_00752 MDDEYNYSADDAERETIRVWRTWRTVFEMLQDRGYEVTEEEVKI DLDEFKRKYVGEKGYPSREKLKIQARPTEAMKAKYTPLPTPSNRDPQPDCGTIYIEFC PDDSGIGTKQVRTFNHTVDEGNFHTGIFITKTPISPSAVRLLSGIPGRICEHFQEQDL LVNITRHELVPKHVLLSPEEKSKLLQRYRLKESQLPRIQVSDPVARYLGLRRGQVVKI IRKSETAGRYASYRWVI ANIA_00751 MHSILQPRGIYSAVPPDARTRLQDNPTLLVSWWATGVSLAIIVT RVCGRYVRIERLFREDKIMMASIIPLLARMAFVHVILIWGTNNTKVDGLTDEDIRHRE IGSRLVLAARIFYAIFIWAAKLTVCEFLNRIAGVTWRRSVRIFLIFVYYFLGSTLLAV VIATLAECQPFSHNWQVVPDPGPKCRTGFANVITMGACDIITDLLLVAFPIFIILRTR MSLKRKIALVILFALSLILVAITSYRVPSVIQHKGSQQYRSLLASLEILAATAVANVV VISSFVRDKGVKKVKYKDILGSASVNEGLDHSSTRRTTITHHQWGSDSDLARDLGIRL DPDLYSHDPIEPRPAPMATGGLNPNWSFSQRAIEFDDDQSSGNSLDIKVSPHEYLRSN KTTHSPPENSPDQPPIFDVGGLLTQPSPPPTSHNHNTQIPNRPGGQSTNVTADPVLRD VGGLLANSGDISPLTSPPSQHHTQSSLNTPRPGGLRRGSQQGRRHSSVHFSDSNDSPV PSSRTQSGISATIVENADEFELQDVGGLLSKRQDRA ANIA_00750 MEDSLWEAYKPELHRLYIREGMTLSAVMEYMESKYSFGKTKAQY TKHFGKWGFQKNQKVSASDAVFIERRIQKRKQVFDKESEVYIDGVEYPSKKRKKAPYG KAYVSTTERLRLAGAPSPCTPEGIVVCTPATPGMWLNWDLSLPWLRFSKLLRPPTQDE DLLSTSPTLAVTSPRGTNVSSYAPNMELLKRLGSVVPWNRLTHPPNVNSCSRTATALS IIMPAESEGQLRGLASRFAESKQSTIDILGVELFLLSNNLVSHGPDGKTFGSMRSHDQ RVLEMFRLSSWNNVARLKVLVSSQEPTAGAIAEQLFASSVREMEIESVRMLLEAGMSP NGYIDTVDEGSLTPLQYATLALGSGDPKALQLLELLLSHGADLDKSSNDISPLGYALQ IHSLEAIDELLSHGAYATPSCLAFAAYSLKDSELFKQLLGPDTDVNARSGLQGPSPLS QAVKGGNIEIIKVLLDRGADLNALVDIEFDGDWAVTTVLGYAVQSAGLEIIETLLHAC LDVNPNIDGLPYASPLVLAVARAKANPRLVNLLLQKGFDIQIADDCGAQTLLERALKA KNTSLCEILIRNGARIDRPFSDKEHATSALVCAIQTGAAEVARLLIDMGARLNDSYSN SPGTVLGAAIERGDMIILDMAWTAGAVSVNPRLGRLGNMQTAVYLEQRGLLADILNMA GTPLLGAALSAKDDGLVWMLLSYTFELNRVTMDTRIHDHPVTYKDPLQAAIRNGRLSI AYALLDRGAKVTDSDIADVVMYLDSNDTTEGTELLQRLLKDFQGAAPTATAEAILSDR LDLFDSLLAARIDPRGKPQQFLDVWEFDTGRDYRLDPPESILEIVCQTGDMMVLETLF QACPWDSRLVGRALTLSILFNYTELFEYLFSWAPDINQEIAIHHLDTEDEEGLFVSGY TEVVTPLQVASRDQRVSVVQKLLGHPNIDVNYLGAGPRRRTALQHAVGNGNMELINLF LNRGADINGRPARDGGATALQIAAIQGYLGIAHRLIHLHANINAPPARINGRTALEGA AEYGRIDMLQMLLDSGASVTGDDGQRQYHRAIELAERNGHHAAARLLIAFEPQGVSLR RVDCNIVVLRDWQREELNDHGRQLYLSVVFVSAQSPGKNLQAERLQSFKSDSESENSN CETEDEPKTINVYAHHDSLNMRPVIQGSHELVRSKLVRTAVGQPGLQQRLLAQTAREE VINLTVKHSGGDCNAPDATETAQEGPGGGRDGDIGLWEGKWDACHSAGEEVYAGDWGG FLHRLEVDREEVSARRMKSTEATRRALPRKLAAEHVADGDFLVVDFEKGDDEEQRDAA DGQVEIEDPTPGNTLGHETANNRAQREGDSKHRKDDTHAFGPLVQREEATAAEDIGHP AVKRLEGGHGEHICIGDPHLGIARVQATNDGGTAVEMTEASSADSNEVIVRAAKMAYL NDLLDQVQDGEHVDEALPRTASPFWPAVAAKTETGRGLWAWLQ ANIA_00748 MTISWETSLIRKTQRILTYTTNYCQKEPAATDAVHGEYSPRVTP LPCDSVASISSYGIEYVVCHFQSNPAFSLPIIISNTMQNFSKQDRKRAQDRAAQRASR TRIKDWLARLEFQIAQLQGGADQALLKEVNCLRQERSQLRTLTDQFLNVAGAVHITLD PMDDGLGVLSRQSRNPRPNLLSNIIQDLADKEKLVQRLMANLHRSLILERPLVRLIDQ LAILYLAQMSLLPDVVSYDTKPCNDFATAILRNLLFVPEINFEDAYIVDADHRLTLSP RFSQLFSPGAPMPPFAVKTVNTAFLGQYPALRELVPEWQAHDHVSQPHKFRPKGSQNI TALPNQSRPSGSSAGDDQQRLERLGHASPGLLEGKPSVDATQNVSAGTHRESPLLKWA MCPFHPRWLFHRRPPDTLAAG ANIA_00747 MASRRLAYNFNQALRSRAALKSIQPVKRGFASPVALPSTTQSTT LSNGFTIATEYSPWAQTSTVGVWIDAGSRAETDKTNGTAHFLEHLAFKGTSKRSQHQL ELEIENMGAHLNAYTSRENTVYYAKSFNNDVPKAVDILADILQNSKLESAAIERERDV ILREQEEVDKQLEEVVFDHLHATAYQHQPLGRTILGPKENIQTITRDNLTDYIKTNYT ADRMVLVGAGGIPHEQLVKLAEQHFGSLPSKPPTSALAALTAEQKRQPEFIGSEIRIR DDTLPTAHIALAVEGVSWKDDDYFTALVAQAIVGNWDRAMGNSPYLGSKLSSFVERNN LANSFMSFSTSYSDTGLWGIYLVSENMTGLDDLIHFALREWSRLSFNVTAAEVERAKA QLKASILLSLDGTTAIAEDIGRQIITTGRRLSPEDIERTIGQITEKDVMDFANRKLWD QDIAMSAVGSIEGILDYNRIRSDMSRNAY ANIA_00746 MSVLITGAGGYVGQELASALLASSPDLTVTLADVVAPVIPASAA QHASRTKCIQADLTSPKVVDELFTSSNRYDTIYLLHGIMSSGAEANFELGMRVNFDAT RDILDRLRAVQPGVKVVFTSSLAVYGLAPKGFVIDETNFPPVPSSSYGTQKLMIELLL NDYSRRGFIDGRAVRLPTVTVRAGKPTQAASSFASGIIREPFHGEKAILPVSKDTEMW ICSPYTVVKNLIHAATVPAEAFGDSRSVNLPGLVVSVQEMLDALEEIGGKEKRALVEE KYDADIDRIVQTWSPHFNPARALSLGFSEDIPMIENVRQYASQFK ANIA_00745 MAFGGPRGGGRGGPRGGGGGRGAPRGGAGGRGGGRGGFGGAPRG RGGGRGAPRGGRGGRGGRGGGRGGAKGGAKGGAKVIIEPHRHAGVFVARGGKEDLLVT KNLTPGEAVYGEKRIAVESPAGEDGAVTKTEYRVWNPFRSKLAAGILGGLDDIYMRPG SKVLYLGSASGTSVSHVADIVGPTGNVYAVEFSHRSGRDLIGMATHRTNVIPIVEDAR HPLRYRMLVPMVDVIFADVAQPDQARIVGLNAHMFLKSGGGVIISVKASCIDSTAKPE VVFAREVQKMRDEKIKPKEQLTLEPFERDHCIVSGIYNRSS ANIA_00744 MTDYHLSKRAAYNSVHGDVWGPREQSMGNPWSPFNPTGTVNLRL AENSLMHEEIAQSIKSEINVLPLEHLTYSTGPRGSRRLRGAAAEFLNQAFHSCQPITA NDIFVTPGLASGIDALAWCICNDGDGILIPQPLYNGFRVDLLSRSNAHVVPVPYTGVD GYSSLDDLFRPDVNRKALKAAFERAQDSGITVRALLISNPHNPLGRCYPPETIEEFIL FCAAHRLHLISDEIYAHSVFKNPALPNATPFVSILSLNLVNSHTIDPTMIHVLYGASK DFCANGLRLGIVCTRNQGIIRAMSSISMFSWSPHLLHDVWARMLEDEQWLKSFMARKR ELMADHYEIAARFFRECGIPFYEMNAGLFFWINLQHLIFPDSKSSSESASTDTSKKPS SLSITSSDSDEHRRREQRICNICMEHGVLIAPGHVYMAEEPGWFRITFTVGREALEEG LKRLKKSLLRVRAESEEGVKPQ ANIA_11280 MATDATTGIKAMQGQWEDISVYRFEIREHMIMAFEGASCSISDV NGQHQASFASKDALVLREVRPGDKCYVQRGRACLFEAPAETPG ANIA_00743 MAPTPAAVWRNLTASDINNLMHVANTIHPDLPERASIFAERVAL YPDGCLALVNETGELHGYAISHPIRHHQPPALNTLLGEIPADAGEYYIHDVAVLPGLR GQGLAAQGIGRLLGVAAAKGFSRTCLVSVYGTEAFWGRFGFRPEAVEELKEKLRGYGD GAVYLTREVVFSD ANIA_00742 MTSDFQGPPRTLAPLPVSQYLGQPRPVPRISKRSNACTACKTRR IKCRGSKPCDNCAATNRTCVFLVENDRRRKNALRRAEQELNTVQQHLDRILEVFKAGD KTQLDYLLATAAEFRTASTLGPALQDGMFAGIDQSAPGLPESSWSTPPSFPTPFFIPV GIPGQGEFLTKDPNRDEASRATGYIGSSSEIDWLQELGNKVNNSTKHTGQQCWPNIDD SAAAMNYHLDYTPLPETIPTDQRSLPPKPWAKTLVGLFFETVYPSFPVVSKSLFIIQF EQAYTFSAVQPSRKWLAVLNLILALGSRYYQETEPVSGRDVDDRVYISRALALASTPA TRTSYAGLQQVQVEVLLAIYYLASGHVNQSWQTNGRAARLAISMGLNLWADGDQIDPV SKETRTRIRWSIFTLEHALSGMTGRPSCIDSQFMSVRLPLPFDEAQFQTPGVEELLKA SAARERKLQWTVHATDAELDARDQWFVTIRPRQSLYFFHLVDLSVIMQAASRAIYCLT TANDGAEGNITFYRGKLKSWLSSLQPAFAFTTDSANARRRSSGEMPVLASHCRERTGL ALAYYSSQVVLTRSCLTYPEVQFGTSAQTSRSRFGDDTAKSCVHFALALVSVLPDQPD MKWISKLTSWWFLLHSIMRALTVLLIQLSIGQVPVRSISGEREGIAREGEGSDAVRDA IKKILLWLHSMAKQDPSSKRAFHIGQRIFAAIARTNGLDLQGVASVLMAKEEASNLED LDRGSFYPESSKMQVDFADWGPDVTGSESGYEQDQVPFVDPALLSFEEYRF ANIA_00741 MRFTPLFLLAAVAIASPAPDLNARHELTRRQASESCPIGYCTQN GGTTGGAAGDTVTVTNLADLTEAAESDGPLTIIVSGSISGSAKIRVASDKTIFGESGS SITGIGFYIRRVSNVIMRNLKISKVDADNGDAIGIDASSNVWVDHCDLSGDLSGGKDD LDGLVDISHGAEWITVSNTYFHDHWKGSLIGHSDNNEDEDLGHLHVTYANNYWYNVYS RTPLIRFATVHIINNYWDSLIDTGVNCRMDAQVLIQSSAFHNCPDRAIFFADSDYTGY AVVDDVDLGGSSNSVPEGTLTPSSLPYAAITALGSGQVASVIPGTAGQKL ANIA_00740 MSSQIDTTHYPGNIINNQFVPSARTRHSTNPSTGEPLYEVPWAT EEDVDRAVEHARTAFKSWSRLPFQERSRLLVAYADAVEAERAPLAKLLVLEQGKPLSL AQTELDMSVQWLRTFVTMEVKDELLDDNEERSITQTFPPLGVCCGIVPWNWPVLLALG KVGPALITGNTMIIKPSPYTPYCDLKLGEIGMRIFPPGVLQVLSGGDELGPILTQHPG IDKITFTGSSATGKLVMQSCAKTLKRVTLELGGNDPAIICEDVDIDAIVPKITSLAFL NSGQICMLIKRVYIHESIYDAFRDAMVAFAKSIKTADGFEPDAFVGPIQNSMQYEKVK DMYSEIGKRNWKQALEGKVFENSKGYYISPAIIDNPPEDSRIVLEEPFGPIVPLLKWS DEEDVIARANSLKDGLGASVWSKDLDRAERIGRQLSAGSVWLNSHFDVAPNVPFGGHK WSGLGSEWGMTGLKQYCNSTSLWKWKKVM ANIA_00739 MTPKQLEKGNLENRTYPETDVVDWDGEDDPTNPRNWSEPKRWAH VVTISILALITNMAPTMCAPGVTAIEADLQISSSVASTLAVTLYVLGIAIGPMFMSPL SELYRRAPVYHAANMMFVAFIVGSALSQSLAQFLVFRFFSGCAGGTPMALGGGTIADI TTIQKRAAAMALFSMGPLTGPVLGPVIGGFVTAGMGWRWTFWLLSILGGVAGATALVV MRETHPKVILERKAANLRATTGDLKLRSRLASGTPLSPGQVLLQILIRPLMLLFGSPI LLVISLYVALVFGVMYLLFTTFPSVFEGQYGFSTSVSGLVYLGLGVALVASMLLFYVL NERVQAAQMKADGVQQVRPEYRLLLMIWFSPFVGVGLIIYGWTAYYKVHWIVPIIGTV FMGFGAFFVIMPAQLYLVDVFGSQAAASALGANNLLRYISSTFLPLAGPAMYRRTDYG WGNTLLGLLALAFVPGPLLFYRYGERLRNNSTRRIE ANIA_00736 MRSVLLISCLAACSAAAPIFENFYNFSDDMAEFLGRVSKAIHSE VNSLTCDTSSIALPSFASGLPAPTGQKPLYVALGRGTQNYTCADSTSSSTPEAVGAVA RLYNATCLAARLPKAIELLPAIAYKLTLPANEYDPLPPSNFNLLGHHFFEGKVPVFDL DTTPDRQLGIAKVKKEADLPAPTSAVRGDDDEGAVAWLYLSRSNGTVGRYSSVYRVDT AGGSPPETCEGMARSFEVEYAANYYIYGQ ANIA_00735 MSQYIHRTKVIEGLRETSRSAGRHTGQSAGWQANPHSGARTRPV RPRDTTTINQNNGPQRSTYTGADNDGNGLKADPLCLQVDIPGRPCRTSMVIRLPVRRK IMKYANVGS ANIA_00734 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISAMIYEETRGVLKTFLEGVIRDAVTYTEHAKRKTVTSLDVVYALKRQGRTLYGFG G ANIA_00733 MARTKQTARKSTGGKAPRKQLASKAARKAAPSTGGVKKPHRYKP GTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKSDLRFQSSAIGALQESVEAYLV SLFEDTNLCAIHAKRVTIQSKDIQLARRLRGERS ANIA_00732 MAYDHGAPNGTSPIEAPAPRKIPFWRLVVDQGIVTQEVVDHKYA GSGTEEDPYVVVWIPNDPRNPMEFSAMMKWFLTGVAAIATLAVALVSSAYTGGVAEIQ VEFGIGSEVATLGVSLFVLGFAIGPLLWAPLSEMFGRQIVYFFTYMALTAFNCGCAGA KNSWTLIILRFFAGAFGSSPLTNAGGVIADMFSAKQRGVAMSLFAAAPFLGPVLGPIT GGFLGMNGGWRWVMGFLGAFSGALWIAGSLFMPETYAPVLLRRRAERLSKITGKVYRS KSDIEQGRITLGEAFKTALSRPWILLFREPIVFLLSLYMAIVYGTLYMLFAAYPIVFQ KVRGWNQGIGALPFLGIMVGMLFAIVYSIWDNKRYIRAQDENEGFAPPEARLPPCMVA SVAIPIGLFWFAWTNYPSIHWMACIAAGVPFGFGMVLVFLSIMSYLIDTYTIFAASVL AANSVLRSIFGAVFPLFTTYMYEDLGIHWASSIPAFLALACVPFPFLFYKYGKPIRLR CKYAALSDAFMRRMMAQVKPEPAAEEEAAEDEEIEKFDQLPSIRQLRSKASARSFASH KSHKSLYEGNPYDIDRVNTRESFGGK ANIA_00731 MRHAFIRRPALSPSPSRSSAPPQARLQSRRYESNTPTQRQPDHA TTPKSELPAQSHISIPPTPPPVPARAAPRSLRQIIQAGPLGRMGRSYSRFQEKRPYTT QVCSSIVIYLCGDLSAQFFFPPENPPQRRMESQPEKDDNGGVAEKKGGYDPWRTMRHL TVGIGSSIPSYNWFMFLHNNFNFASKPLSILTKVVVQQAVFTPVFNTYFFSVHSLLSG ASLEETWERLKVALPRSIVNSAKFWPMVTAFSFMYVPPQFRNVFSGCIAVGWQTYLSW LNQKAARQVETALTEPAPSEEQVAVVIKA ANIA_00730 MPFSPQPPFDPSPDDDDFLSFSSDYGSDFSADETDLLNQLLAQV DATGPVAPAATTLQLRHSPTRQGLFSPSQPVPAAVPDIEDLGDDVSGERVSRILGKEK RHPIWQIQTAGGVLPDAFTHEAGWVPVSRGATFGMGTLFWDLIRFEHPNSTEGRERQR ERDVAREQDTIKGNGDSKVKVSLDTRSPVERFRRPPNKAFSVTDLISPAWCEVQYWYT LTKHGRKQRTAAMKKGSTIHKTLEDEIYTTVPVEVTTKEDALALRIWNVIQGLRTLRE FGITRELEIWGLVDGELVNGVIDQLCYECPDMELEASAASYYAEAAASRAVLPEYQMS LTDYLLSPAQGGKRLADMSRHEVEPQAAEDPVSSQSTAELQQLPRIYLTDIKTRGSNS TPTVKSSSFRPTLLQLQLYYHMLNRLVLTDDVTIELLASRYGLDPEKTFTDAFICEVG SLNDQFFDALSSLEFDPDYIPTPEDAITRQQMSQNIGNSASRSDDAKLQNPSASQDST SVLVAHSNLSRLWKLMKDQLRLTFLPPTLTTASVAPSIPSEIQPSMLESYPTILSPLL TARYISSAPTAELHPRVLGSRSFLFDPTSLEAYVSDQMTWWRGQRTPRGVEVMEAWKC RICEFQDECEWRQEREWAYARKKRHNAAA ANIA_10123 MISETIYLTQNPIGNAVAASAVTTLCIWIFAKFLQKWNVFKSKP LKKSTRKYGGRVSSLVSQTYDRVLSIALDVEWTPSNFKRPTASPYPNWDVHTTKPIPY RPFRYGPNYFITMGLRSMKWDEWIELDNHYFRYHRDKSRRLKERGDKCCATAPEAWDA AVELLEELYNTPASIDPFNTSYLPERYPSMFRKTPTGLTNLLTNETFDITQRPLPEDP MAMCARLIQDDLALMIEKPDGEYYLLAGAILLAGFWRLSDKYGMRLSEIHTSGDVPGY KEKLERGMMNFFRRLKVEDPVVRNNYFIQVDDNLAWSHSIGSEDADVVSWNTAQKDKA IEHHYFRSERQSLRRLPRTGAVVFTIRTYFEPITKIVEEPYVAGRLASAIRSWGDDVA KYKGREKYGDVLLEFLDRKHAEQVAAGLDLEREDKVRSYPF ANIA_10118 MPRKGIEVPSVPQGQAAPRTKPRPPPPPFYLPLNVTLYVCLISN GIAAFLAPIQDCDEVFNFWEPTHYLDHGYGLQTWEYSPVYSIRSWLYITLHGIVGKIG SLVVGSKSSEFYFIRFSLAMICAACETRLYSAICRTLSPRIGLLFLMIVAFSPGMFHA SAAFLPSSFTMYASMLGLASFLDWRGGQKTAQGIMWFGIGAIVGWPFAGALLLPLLFE EIVIGYLSKNMQKVFSDVLNGVLRCLAILAAEIAVDFAFLRKLTVVPWNIVAYNIFGG EGRGPEIFGTEPWTFYVRNLLLNFNVWFIFAVSAGPLLLLQAIFRARATNSETLLRTV TLLSPFYMWLGIFTLQAHKEERFMYPAYPFLALNAAIAFHMILSYIGSSNPKELIGRV PAKLKLAGVMSVILLAINSGLLRTLGMITAYNAPLKVLQPLEQSEIAQPGDTVCFGKE WYRFPSSFFLPDGMRAKFIQSEFRGLLPGEFQDAPSYSALLEGTSRVPEGMNDRNKED TGKYTDISQCSFLVDSHFPGREATALEPNYLQDKAQWDEISCASFLDASQTDLLGRLI WVPDLPIIPDQFRRSWGQYCLLRRRTSDSESELV ANIA_00728 MTQPNSQNNPGAVPEGPPTKRINMNDTPPIALTPADDGSDFYNT PLVSGTPVNGEHKVENEFKPVVTEEPVSSVPPPQIPGLSLVNDSLSQNRSSQNPGTNE NSNITDSKIPTRETEMETQPTAVHSGQETQKEGAERPAEGGPDAMEVEEEDKQPKREI EVNKAQEGSDKMGGDEDGTVNAAADTAATMQAEQQEEEEEHPEWEMDSSPYESSSDSS SDSSDESDEDEDYPILSPEEQARILMQAELGSDDEGEGKGKSGAPLKTANEIPEEVLP IPDISITPEMKIVLLGHVEAAIDNTVLIAANTTGEYQVLEAGSLLCLEDRRVAGVVSE TLGRVENPLYAVRFATTADVEKHGLSRGTVVYYVVDHSTFVFTQPLKGLKGSDASNFH DEEVGEDEVEFSDDEQEAEYRRKLKQKRQERKGAKNQDGGPSKPAKGPPGPSKLSHSE LNYDDNVPEDGYTPLARPKNLHEMMQQGAPVENDGSFNRTSGFGGRGRGRGSDRGRGS RGRGRGGRDHGHQSYHDRQAYHQQERSDSQPHSQSQQHQPQPYPAYSPSQQYPSYPTL PQQPQQPQQQQPYAQAAIPTAPFNFQMPYQQAYQQPNPYQQMSPTPHINPLFLAALQQ QQQQQQYQQTQALPQQQPGAGQPQPQNQAMNFDQVKAQLDLLRQLSNGQNQWPPRS ANIA_00727 MADMSGNMLKRPHPDDEDNNAQKRPRSNNGSPHPGQGAPAAGNI DIEKIVAEARAKAQAVRDRLMAEKRVSASPSPAPAASSPSPAPPAASSTMSRIEQMKA RVAAATGRSQAAAQQPSAPTPPPLPRAPEDDEDDSLSRARGGLDVGLHPALLSDTLDF RGSKGRQVQSRNRRTESPGVSGKQERAGLDLSGPSLEEIKNNPYYDPNLGPKATISKP RQSRQLLFNQKGKYIQQAAALRRQAQLEEMKKRIAERARQAGIDEDLDVEKAFMVPAP PAIEWWDEHLVNEPDYAAIDDENNLKIDSADSIITRYIQHPVLLEPPQEKLKPEQKPM YLTPKEQAKIRRQRRMADLKEQQAKIRLGLEPAPPPKVKKSNLMRVLGEQAVKDPTAV EARVNREIAERREKHEATNEERKLTKEQRHEKLARQQAQDAEKGLIMTVYRIDSLANG RHRFKISKNAEQNALTGVCVMHPKFNLVIVEGGAHSSNNYRKLMMNRIDWTENAGPSA VREGNREAQASWLAAEDEKGELKDLSSNTCTLLWEGQVKARAFRKWLGARVCETDSQA KDVLARAKLESFWTLAKSAKQQGEF ANIA_09436 MKFFIDDLPVLFPYPRIYPEQYAYMCDLKKTLDAGGHCVLEMPS GTGKTVTLLSLIVAYQQHYPEHRKLIYCSRTMSEIEKALAELRELMKFRSEQLGYTED FRALGLTSRKNLCLHPSVKREKSGTVVDARCRSLTAGFVKEKKEKGEDVELCVYHENL DLLEPHNLVPPGVFTLDGLLNYGEQHKQCPYFSARRMMPYCNVIIYSYHYLLDPKIAE RVSKEFSKDCIVVFDEAHNIDNVCIESLSIDITEDSLRKATRGANNLERKIQDVKSSD AEKLNNEYMKLVEGLREAEQARDEDQFISNPVLPDDLLKEAVPGNIRRAEHFVAFLKR FIEYLKTRMKVTHTISETPLSFLNHVKELTFIERKPLRFCAERLTSLVRTLELINIED YQPLQEVATFATLVSTYDKGFLLILEPFESEAATVPNPVLHFTCLDAAIAIKPVFDRF SSVVITSGTLSPLEMYPKMLGFTTVMQESYSMTLARRSFLPMIVTRGSDQAQISSSFQ IRNDPGVVRNYGNIVLEFSRITPDGVVVFFPSYLYMESIISMWQGMGILDSIWNYKLI LVETPDAQESSLALETYRTACCNGRGALLFCVARGKVSEGIDFDHHYGRAVLCIGVPF QYTESRILKARLEFLRENYRIRENDFLSFDAMRHAAQCLGRVLRGKDDYGIMVLADRR FQKKRNQLPKWISQALLESETNLSTDMAVATAKNFLRTMAQPFKAKDQEGISTWSLAD LERHRQKQIQEQERLQRETFAQGDRTNGSRNGAGDEFDEGIDEDLMMLDAQ ANIA_09437 MSKKFKSQASSSRAAAGTFGGFGGFSSSVSGQGRELSSLTYVTE PPDLSRISDPQLAIAFKNFLKKDDVTRTKAIDDIKSFVSSVAANDGTLDDGFLEAWIR IYPRASIDLYRRVRQSAHSAQGLIASLVGKRFARFLPKVVGAWLAGVYDNDKPVHQTA VESITRVFSTDEKRNAVWKVFQSPILDFVDDVILQQTPLTLSDERTVKPEDAEAKYAR VVGTAILLLNRILGNTTREDRQSNLAKIETILGSKSLWTFCYHDDPFVRRSMYILIRT VVSGEPEELDWKMVSAALLGKSLHITQIGSASDLSEALLHVTSARPQIWTDDYSGKTS PSKRLLQYIRKGSQGSPGLFWSNIYQLLQLVPMQTLAQLDSNGPSDDAIGLSSARALM EAIHEGLSSREEPPQNRTLGWKIYVETAMWLAQGLSDQDTIELLQGELSPLIVQYVRS EQEASRWSLPMQSSEAICTEYLTALFSFGYEVAFNELWTKLSEGLLEAVRLSSPEQSK DFKSSQDAVCAQAERLFSLEASLLVRLADKDLEPKALHTLESLNLRLLNSCLDVLRSR NGKPYGATAVVEEVVRKVPQIGQHSQELLNFIQKDAPELLFSPSGDRLISIILLCRTW PGFSSSFEELVKRVAQLENVMTNATAVQKLLSSLDFNEVDKEILGPVIIRALELACKG SQLHWSVIISALQNPTSEGELEDSIFLSLLENLSVDDKVPDTLHGLMQIVSSVPSAAK RFQSGSQGSKLTGKLLFLTESPSEEISSLSHSLLSQMKKSGVGGTSTKASLEILQESL AHANDESLSIESLLDIGEELLRSCEPENLSQVARDVLPSQQIWQETLGPFLDLPPRSA TAITSPLSGVVHLVNCDVPESLSKRFEDIKRDSNQCSAAFRLAQFTVRMMSLFNFNEH LDQESLEVLFSNLPLAVQLIEDDLDIEKYNHIAGPLTHELREDYRDIVNEARKIICSW RSSTQHVHSTEVTIASTLSSVWKAKLEGLDDTSPAAYRVGEAFAKLMNDMDPSAMGYS SEAVGQLCKELRTGNAIRSASWVAVLRRSMLSNPAGIRVCNEFVADSTGLKVEDESAN GLRKLALLNLLLSDDEVNPLESMPTQRLIFFVKHLIKCLQSEQLSLGLQAEIFKSLTL ALPWVKEMYGPHWEECFEILCATWRATSGGDGALTVLNSSFRLFSSLELIVKDEDTND DVKDAWADRKTKLFDGLTSTLWKFDSSTTFHLPRDLTVQRLCRLIKIMPTRNLEDVSR YYPLLTAHSLLIQHTAYTLLHQYIPTAQEQVSFDVALSKTEAKLPYELISLLLGAPTM ESISLSYGDERTWADIRSYLLSWRLVFDHFVNASFTLQEQYASNIKEHDVLPSLLDFM FDFLQKGHGKLVDASKFDIRSFELDMSESYEKEIQWLLVHLYFLCLRHLANLTKAWWI DAKKRIKGPVETWTEKSISPLVIEDALERVNQWMSTQDQDEERALNVKVSSKTAEIIA SIPVDEESPPVSISITLPAAYPLHPAQVFGRSRVLVDERKWKSWLLTIQGVIMFANGN LEDGLLAFRRNVQGALKGQSECAICYSVISTDMQTPNKRCATCKNAFHSVCLYRWFKS SNQSTCPLCRNQFTFA ANIA_09438 MSAPQIPNLNTLRRGGGRGRFRARGGPDSSSSSGNKDRVVQGTD NDASVSRLSAVELGYLEDPFARALTPMGQEMRRLPIINRGTYVRTTAIDQLVASFLGL KADSDPTWKLKKKQIISLGAGSDTRVFRLLSLRPALDIIYHEIDFAVNNTAKIKAIQG TPLLQRVLGQSQVSISNEGDELHSPAYHIHAVDLRTLAQKGEGDKSTGQDPGRRLQDF VDTTLPTLLLSECCLIYLSPNDAAGVVRYFTHTLFPASQETETLALVLYEPIRPDDAF GRTMVANLATRGIQLQTLHQYASLGAQRQRLREHGFNGGQAAADVDFLWERWVAEEEK ERVAALEMLDEVEEWKLLAQHYCVAWGWRKGSTRFTGWGDLDGQSAEE ANIA_00726 MRFVYASALLCAVAVEAAQHNHGRQHHHRHHGRTDVHAKRGSSC AFPTDAGLVAITPGEKNGGWAMSPDQSCEPGNYCPYACPPGQVSMQWDPDATSYSYPM SMNGGLYCNEDGEIEKPFPDKPYCRDGTGAVEAVNKCGDVVSFCQTVLPGNEAMLIPT LVEEVSTLAVPDLSYWCETAAHFYINPPGKDPETACVWGTSSNPWGNWAAYVAGANTD GDGNTFVKIGWNPIYLEDTTPFRSTRPDFGVEIECDGDGCNGLPCKIDPAENDVNEVT GSSSDGAGGANFCVVTVPKGEKARLVVFDSSSGSGSDDEDDDEEEETSSSAAPSSTST STSTSTSSSTSSSSSSTMSTMSTTTSTSTKSQSYSSTESVGASSSSHGTPSGSSSAGW PDYTYRPHVLVETGDGNEFASSTSEAEEETPSPTSGAQKTSHNAMMALFTVVAMAAFT F ANIA_00725 MPPAPHTAKLTSTLHLLIPRLRLLQKKSTASSVVQRRELAQLLE NHRDASARIRVENVITTDIAVEVMEMVELYCELLLARAGVLDGLAFGEAGQKMRSRVR EEMARQTKGLGPSGADGAKKEKGGSGGGGGGGLFGFFGRGTKQPLQQPQTTSTNTTAT PAQDVEQDPDSEAAAAAATQGEDTGATETYYLDAALDEASVAIFYAWSRFPTEVRELT LLRSLLADRYGKDFMSLASENKLAGVKVPERLVKGLRVRPPSKELVESYLREIARAYK VPWEDEDQELEAGPQFVDEDVGGDLGGPGDEHERFGEVVRRASETAELTRATPPRGFN AHSSPVSVAPPGPRPDNPNPRVKVPDEKGKGGDELSPSPSPSAQRARIGAGDKSGIPD VDELSRRFAALKR ANIA_10115 MTGSNRQSPGSSVSPNSNSVPSPSIQNPKTRNARHSRNVSWATG LDQGGESSSDGYTQQQRRRGSIFSSSHAPEGLSGRNDDSDEAMALRNTSFDWNDTARG HVRSRSQSQQLPAPGTVEMSPPRPGPVTWMSLPQKKQLALLGLCRVFDFLQIASLQAY MFYQLKYFDPNLSDSDVSTQAGILQGAFTAAQFATAIPWGRVADAEWGGRRFVLLTGL IGTAVSCLGVAFATSFAQAVFWRSFGGAINGTVGIIRTMIAENVKEKKYHSRAFLILP IGFNIASLFGPVMGGMLSDPVRAYPSLFGPDSSFGGKDGVQWLIRYPYALPMLANAFF LTFCAVCVGLGLEETLESCKGKPGLGTYSLRLVARGVRALVPSSSPLYSRLPFADYEE SGPLLGRANETYELEEKSTKAPRHTRVLPFRRIWTKNVLCTLLAQAFFDFQMGAFNNL WLLFLSTPRYDANDPASPAQHLPFIFTGGLGMLPQSVGFATAILGVIGMILQFTIYPS INGRLGTAKSYQYFLTLFPVAYAFAPYIALAPSSTPPPGQANGGWVWFSIIIVLFLQV TARTFTLPTSIILLNNCSPHPSVLGTIHGIGQSVSSAFRTIGPIFSGSWYGYGLEIGI VGFGWWLIALVSVCGCIAAIFVYEGSGHEIFLPGEEEDM ANIA_10116 MRLLALASLGAFAQCLRAQISVICILVVLLSRPQPASAEVDAVF KSRPDLYSPIFTLERRVPQSLYPGYIFLGPYEAANSGPYIYDNDGDLVWSGWGNSGPG NAHGMHVCQYKGKDHLCFFQGIQQNGYCRGHGVIMDNHYHIVKTVVPGGGMAASDMHE FKLINNGKTALMTVYQQRQFDMSIWNIKGGMGWLMESVFQEIDVESGRVLFEWRSLDH VDPSVSYTYPGHTDTSGTGLEPRSPWDYFHINSVDKNADGDYIISSRHTCAIYKISGR DGSIIWTLHGANPTFENINFSFSQQHDARWLSENSTHTLLSLYNNGYNGFNRTHEYSS GMVILIDHVNKTATQLREYAPQNHDMISSSQGNMQVLPNGNVFIGWGNNAYVSEHDEE GNILLWGYIDKGNIMNYRAQKFQWDGNPTDIPALWTYSKTSDITASTTFYVSWNGATR IKHWQFYGTNNSTGNYTLLGKTRKDGFETTYIVPGYYRYTYAEALDAKGKILGKSREQ FTFTPSPVLQAYCEETNCENTEAYGVPGEEGAQALIPEAGLNTVPWVDPDHPGAHHTW GLTWPPVPEEKPENAKTVYSK ANIA_00723 MSLMLSDNLAPQPVTDIFTSDTNIDRRKCHRTVPMKVLALGVGR TGTASLRIALERLGYVKCYHMMSASMENPPDCLMWHDALNAKYDGVGEFGRKEWDQLL GDCQAVCDWPACAFAKELIEAYPNAKVILTTRDVDSWHASVMKTVYWRVSDPEHRFVS NFSWAASMYYPMLNKFFNTFFRGDFPNKGKQVYEDHVAEVRSLVPPERLLEYKISDGW GPLCEFLGEEVPDTPFPRGNDMADFFKRCRGRNRRQMANAALQAVTMGGAIIAAGFAA TMAFKRFSR ANIA_00722 MVLSFILVQNRQGKTRLAKWYAPYSDEEKVKLKGEVHRLVAPRD QKYQSNFVEFKRSTKIVYRRYAGLFFCACVDATDNELAYLEAIHFFVEVLDQFFGNVC ELDLVFNFYKVYAILDEVFLAGEIEETSKQVVLTRLEHLDKLE ANIA_00721 MPVYMLYGFRWPRAGFTGIRVYIVLHNLEDATAEYIQRPITNKS LLDSFRKTEPDIMSNLPELRFIEQYDPEDESDEAVSKPYAYVAAKTISIPEAGSPNAG SSWNTDIFQENPLDPASSEALAKFRDKYAAGERIGWWIVYNGDPERYFPHDEDEDGMM EDDGYDDDDDEYDRDGSSSNTPSTPTIRLPETLTRFFNKTFS ANIA_00720 MLYLVGLGLADERDITVRGLEVVKKAERVYLEAYTAILLVDKAK LEAFYGRPVIEADRELVETGSDDILANADKVDVAFLVVGDPFGATTHTDLVLRARELG IESKVIPNASIMSGIGCTGLQLYNFGQTVSMVFFTETWKPSSYYDRVKENVQIGLHTL VLLDIKVKEQSLENMARGRLIYEPPRFMTVAQCAAQMLETEEERQEGVWGPDSLAVGA ARVGAEDQKLVAGTLQELTQVDMGRPLHSLVLLGRRAHDLEKDYIRRFAVDEATFDAA WQNGKYGSS ANIA_00719 MSSDRTPKYRQEIQQMMFVSGETAEPSIETTTLIEDIVRQQVVE LLARSTALATRRGVRSISTDDLIFLIRHDKAKVSRLKTFLSWKDVRKNVKDSDDKGGA DAADFAGADDPMAGGVVAGPQDVASKPKNKKARVGLAWDVNSFYSVQVPERDDEEDEE EEEQNYATLQRLAAADERTKHMTREEYVFWSECRQASFTYRKSKRFREWAGFGIVTES KPNDDIVDILGFLTFEIVQTLTEEALKVKEREDREKNRRGGAENSAEDTKKRKRETGL FDPPEEGRTPVEPRHIREAYRKLQATPNKNIAMLLHNGRLPARMPLRLFLMGLSI ANIA_00718 MSSRRRNGQAASCEPCRMDKVRCDHQLPVCGRCRKRNTESHCYY HPAPLTKDQTYPALQLGRPRVSRSARKPARKAPQKAASPTPSSVEIAIRTPEANQSHP PGYFGPSSIVSTLTGSLENTLTPSDDEYQGVGSRHSVLPSYWVTETTKMLSILTEGPT IERLVCEFYGVTHTAVLPTAFVLSLMNEVREFIKQSETSQTLHEKTIQVLESTAQRPR VPSDIMGRDFHKLFSSNRMRLEIIGVVYAIAGRASFFGFAQDKFPAFAGNAFAERLKF SRRMLSASETAVQICRMLTPTNDLTAWMLYENWLLSCMFHGDSSRSSKFNSWLSTVKI MSTRIADAVHYKGPPTWNRLGELSSCIFELGLHRDSHGHGHKGENIPVFLREVRRRLY AGLYHNDKNIATFFGRPPRVSWRHSDCGLPLDISEEALLGDEQDLERAMAELDSEGWS VNATFRRASWYRIRYLVSSFREEILELSLRPLDHEAARRLRLLAQHDPSAEKALLDIV LYGFSSAGTLIKALQTQARTGNPIPYTGSRAELIRNLSVFNAHIESMARPLTSNLNYA LFERASKMFTDILDEILESSLPVSSATANAAEVGMVMNTPAEEDMSSWAADGMEFLDT LDFNVVFDQWVF ANIA_00717 MASRTTAFDLSKCARKNILKLQPYRCAREYVRPLTLPPQSAPKL INRTSDYKDDGTNVLLDANENAYGPGLALNSGGALQESAANGHSTGSSKPEIDLLGLN RYPDPHQHPLKQLFCNIRNTHTHTDKTITPENLFVGVGSDEAIDALLRAFCVPGKDKI LTCPPTYGMYSVSADVNDVEIVKVPLDTDNGFALQPEKINAALSADPTIKLVYICSPG NPTATLVSKSDIQKVLEHPTWNGVVVLDEAYIDFAPEGSSLAEWVAEWPNLVVMQTLS KAFGLAGIRLGVAFTSPEIATLLNSLKAPYNISSPTSALAMAALGNPKNLEVMRSYRS KIIAQRDRILKELPSIPGIGRFRGGTESNFLLVEILDKPADQGGKPSNPVALATYEAM AEKRGVVVRFRGKELGCEGCLRITVGTEDEVTRFLEEIRHVLGGLLNGNEIASRK ANIA_00716 MTTYPRVPPLSAQLNANPGFSDKLLFSSTSSGPYVRLRSAYPSL ARYSRRSGSLRYLSSGPNFQDAGLKLPKNRPISHIDPSAHYPYTDTGLLSSSSASFST SSKGSDHGDWDANADLSSISAFSELPSKDFGINQHMIINQEFKEALRQILWQFRAPIR YAFAYGSGVFPQNGSAPGSDQCHPSAPAAIQNMQQGKGKMIDFIFGVSYSQHWHDLNL AQHRDHYSGLGSLGSYTVSQVQDRFGAGVYFNPYITVNGTLIKYGVVNIDTLCNDLSR WDTLYLAGRLQKPVKILRDHPKVRLANQMNLLSAVRVALLLLPEEFTEFQLYNTIASM SYMGDLRMALPVEDPRKVNNIVSSQMANFRRLYAPLIENLPNVVFNDKRCSDLDWIDD PEANVRLSQDMDPVKRGNMVRRLPESFRERLYFQYQARFGIPRGEFNKMMKESNDNDP EIVRRRQGGQFEQRIASDEGLTKEIQAAITKTIRWPSSVETVKGLFTAGISRTWRYIR AKQDKWKNSGKKAQEPSKEPTKFSKQE ANIA_00715 MANGMETFAARLATFDLVLHPDRRSSSAKFVKPISWPHSKPSPA ELAHAGFFYNPYETNPDNTTCFLCGRALDGWEEDDNPITEHLKHAKDCGWAVMMDIQQ RSSNPAEIEDPTSEPIVQARLATFGDSWPHDGKKGWICQSDKMVEGGWYFCPNEESAD LASCAYCKLSLDGWEPKDNPYDEHYRRSSDCSFFVFAKPAKGKGSRSKRARTSKSSRQ STQSTTSEVLASDTEDMDQSALTQPARTKSTKKSSKSKSKNSKTKKAEPQEFPSHMDV DETEYAEPETSKPKRSTRGKKRPSADVDRDAMSITAEDVLEQPEPPTKRRTTKRSISR SRAQSIVSTDGAPSKQEYESETNDETDDLKASRGRSRKTASKGRGGSRASSTTKKASK TRVPRDSELEAAIEAGLEGDETPDLGIEEAKVEEIATKPASKKSKSKKKTAKKNPEPV DDVVEDVAEAETHTNPEPETDQKMTDAEIAEPQKPKPSEGQKSTRRSSRNTTDQPEAK ENTASPEKPEVEEGEEDDRRRHESFVSVEIVSKPTAVYTEVESNSKDSKKAKKKSSSE KSKKPKTTDKSDKTDKAEKIEQKRDSRQLETKKTSEVRSPSLPQSHSTEPNEEGIVQE EPPRRRLSRVPPKTTERYSDIPQEKQYARSIASDRSPEDTRYPHRQSQGDGAVSPLPS PSRGSPSLSPQSSDAENHPPSSKPPAHRPLSSPSKNASVRFPLTTSTPSPKRNHTSTG KLRTNHPWVPIDIDEILMAGASDKENVDLQGALDNLKGDLTSPEKKMTVEEWILWNAK NGEERLKRECERLVGQFEREGARAMRALEGIECID ANIA_00714 MSTNKSAYGTPTPDTFGGKSWDREEYAKKGAEEEAKRREEGKAR YEAKLLGKKWHAPVDYSSLEATTSRKERLDVASMVGKTTIVSAANAVGKRGRGAGFYC SDCDLTFKDNLQLVEHLNSKQHLIATGQSGEVAKATVEDVRQRLRMLAHQKRVREEEE RMVWQMDLGERLKEREEQLSKEREEKRRKRNEKRRKGGDGVKQEDSWEGRLGIIA ANIA_10117 MASNPTNSAPEATPPHFRLMELPTELHLHISSYLSYPDALALKH TCRHFYSLVYTGVHLKVNWLVERFEHKLECPMEKCSFRTDEAFCNWRIRKIMERRRRH LECPRSRGGCLVIEGRTCQMDLVPTWLKRQGRVKMLKAAGNEVLIHGVMVLFMQLLWV FCQRYVFVPAIISY ANIA_00713 MDKGSVDMVEQADKQQLGYLADSEDQKLSKWDSLKKYPWTFFWC LYAVWCVLLVSFENQAAGVVISIPQFRQDFGSLYEDSYVLDSKWQSAFSGGPVASAVV GALSSGQIADTFGRKWTILGALLISVAAVALEFVSTTNEMFFGGKFLNGFALGALASI PVTYVGEISPLALRGTLTCLTALAYCIGPFTVALITNTTGTYTTRWAYRSVFAAQWGF AAIALSLVLFMPESPWWLVSRGRESDALSSLSRLGYNNGEQTKKLSAIKTTLDQIRRE TEGVTYAECFRTSNLRRTIISIAPLSIQAMSGIVFAASYSTYYFQLAGYTDAMSFRLQ IVQQVMSIVGNLMSYLLIDRLGRRNLMLYGLGIMTCILMITGGLGVVASDGSNPNSGG AVKGTVALILLYCWWYNCTIGAAGYTLLAEVSTSRLRIKTIAIGLALQNALYTMWSFV LPYLFNPDKADLGAKVTFIFGGLSVISLVYLWFYQPETAGRTYAELDEMFAKRVPAWK FKTYHTDSQAMGEAAREGRNVKRGV ANIA_00712 MSFQKVDPAQIESVLSKLTLEEKISLLAGKNFWETQDYPEKGVP PVKTSDGPNGARGATFKGGVTAACFPASSLLAATWDLDAAKHIGEALADETRSKGARV LLAPTVCIHRHPLGGRNFESFSEDPFLAGKLAAQYIKGLQGNGVAATIKHYAANEQET CRFTVNEHITERALREIYLKPFEIAIKESNPLAVMTAYNIVNGTHADSNNFLLRDVLR GEWGWKGLVMSDWGGTNSTADALNAGLDLEMPGPTRWRKVDEVLAVVKSGAVLEETID ERARNVLELLAKLNCFENPTIPEEKAINRPEHQKLIRSVGSQGLVLLKNEGDVLPLRK EILTNKKVALLGFAREALIHGGGSASVNAHYRVTPEEGLRAALGDTVEFEYAKGAHTF RQLPLMSDNVVNLEGQPGWTLDFFADEEPNGEPGSSISSEQPSYIPLFVKESWGSVRA SAHFTPTQSGKHYFGMSGLGRSKLLIDGEVIYEQKLNCPDSMGFLLGGVEEPEIQYSF EAGKTYAVEVVSVKPTSKGGLALLDGFIGFRLGFMTEEEHNRDLLSEAVDVAKRSDIA IVFTGHTPDWETEGQDQISFHLPSNGSQDRLVAAVGAANPNTVVVNCTGVAVAMPWLD KVKAVVQAWFPGQEAGNAIADVLTGAVNPSGRLPVSFPRAIEDAPAHGNFPGDYTDGK DNRRHLEVTYKEGVFVGYRHYDLSEANRAKVLFPFGYGLSYTTFTHANHKASATSRNT VEVAVDVTNVGTCAGADVVQVYAGAKLAVPENPVKELVGFAKVHLKPGETKTANITFE VRQLTHFTERSGKWELESGDYEISIGQSVRDITGKVEIGLEAQNYKP ANIA_00711 MTDRPSTLQSFPTKPRVFIVSDISNEPDDAESLVRYLLYSHQFQ TEGLVACTSTWMKNKVCPQDMHKIIDAYEKVVDNLNAHVHPNARYQPASHFRGLVRKG AEVYGMQAVGDDIPLSEGGELLLERMQHSSDQPLWILCWGGTNVLAQVLFRIEKLHSK EEAARLRSRIRVYAISDQDDTGPWIRHRYPDVFYIASVHGWNYYGMAAWTGISGDRYY NFDQGGPDFTKMTKEWIRENIQIGELGSAYPDYLFIPEGDTATFLYLIQNGLGVPEHP EYGSWGGRYQRSDISANSLASLHYGDAVDQVVGADGRTYSTNHGTIWRWRDQFQNDFA ARIQWTLSPDFDAANHAPVVSVNGDTSLTPFEVEAEAGSTFTLDASESYDPDNGDVLT FEWFHYKDPSATQWWVDAEVADLGIKPIDGRRKVEITVPPPEKCCVELMSRQPILRGQ VLHLILQVTDNGSPALTSYRRILIQTTNPRLEGGGKGADAVGDLMAEFALLFSLREKR CLTIKSKSNVLKALSWGCPGNAVRNSGILPSLRRQVEYESHSTSTTQPTRVTSQSAEV LPTDLALFQPEYSAAFVPAREALSEHPMRGATLVNQRNRAPEANHSIMSQPGIIQPSF EQHPTGFGVGYPSPRISWRFTATESTASQWQQTAYEIEVARSGSTEKHRVESRESVLV PWPSQPLQSREVARVRVRSYGGPDNAPTEWSAWSTVECGLLNREDWTARAITSLFKQK EGPLRPIRFRKTFTLPAAAARARLYITSLGVFQAFINGERVGDHCMAPGWTSYHSRLS YEVFDVGPLLKEGKDNAIAVEVSEGWYATRLGFHGGRRFIYGDELALFAQLEIVPERE QQPLVVATDSSWESHESAIIRSEIYDGEVYDVREEQHNWKSASATPWESTREIAMPET QLVAPNAPPVRVTEVVNPVEIIRTPSGKVIIDFGQNLVGRVRIRSVKKTVGHSIILKH AEVLENSELGTRPLRVAKAQDEIISAGQEICDWAPSFTFHGFRYVQVDGWSPEDADTP LTLQSLTAEVMHTDLDRTGWFSCSHPMVNKLHQNAWWSMRGNFLSVPTDCPQRDERLG WTGDLQVFAPSASFLYRTAGMLSDWLQDLSAEQLAHPKAVPPLVVPNVIDESFWPTFP QAVWDDITIILPWTLYQYYGDIEILRRQYPSMAGYLDRGVQRGPDRLWDDTLFQLGDW LDPTAPPDQPGNSRTDGTLVADAYLVYITGLLARISAIIGESADASRYSTDYRALKSR FQTKYISPTGLLIGDTQTALSLALVFDLHDEPEQAAAAGSRLARLVRIAKFQVSTGFA GTPVITHALTKAGHHQLAYRMLQESNCPSWMYPIRMGATTIWERWDSMLADGSINPGE MTSFNHYALGSIINWLHKTVAGVSPLEPGWRQILVQPLPGGTITSAEAAYETSYGRLE CQWEIKNGDQFKLELVVPPNSTAMVILPGGRDQDSKPVGSGRWVFEKTFDARVGWPPK ALVPPIFEPEDTE ANIA_00710 MPVSPADNSLIPVPITDTTNTSTLIDYLFASDIFATTWSSVTWS GFEAGPVGLVYSVDRVQDRVDLAASVGAIPISFAESDPVEQILALEPNDVRRGVETVG YEAVDASGAVQSGITLRQSIDVTARHGGIGVVGLFSSGQADIDYGAAYAKKVSVNGGI MLPLQVADEIVPLITSGQAHPSFIVSSVIGIEEAPEYYGRFNQTEESKVVITF ANIA_00709 MYMHPSVRKGAITSDQTSTLSSFNYPDSVFIQDDQFLPDYTQDL PFQYLSQDLGRLQSPFQYHQHLNVVSPPSSVPSSPTSSPASSVSHFPAATAASADYSA VFDGSSSFEAPSMTPASSYNPEIHIQHSNSPQQQSYLPQYNQQFVFGNSPMLAQQQFI PNNHGWENNLQISNSHNIPRMSQQFNGHQQTPNASGRSPVNQRAAYNSAPPTKPLPTP VHTPVQQSFLTPQFQKNELVHDGNYSFIPDHQHQQQQQQLSDHSHAPSVSTVSHNSPV TPQNQLEEVEDSKVVPNGENQHSDDRWLDDYLRFDALPDYSGSNGLPLGIPKLNRTIT DAYQDELFNPTMMHTPQLSKQANQNQQNLLAPSYNNIVANRLQAANQGHLCARVQSPV GSIARERSPFRQNSPMAAEYEQQAMTSAPTSQPGMAMQHQPQTEPKTISPKDAVLNDF NEGNDHGLPLYQTDFNLGDNLGLRQESFQPAPNFPSMESFPAQFNQSQFTFNQPQPQS QPSSRRPSQQQQQQQNFLHHTPEFPASIPRFESTNSEAYSNGLTSPTLSKTAGPVQRP ANTSSNGGTYTCTYHGCTQRFETPSDLQKHKREAHRQTTPGGHLAPRDGTSRNSQAGP HKCTRPNPSTGKPCNSIFSRPYDLTRHEDTIHNARKQKVRCHLCTEEKTFSRNDALTR HMRVVHPEVEWPGKQKRRTRE ANIA_00708 MSNPTKISILGRESIIADFGLWRNYVAKDLISDCSSTTYVLVTD TNIGSIYTPSFEEAFRKAAAEITPSPRLLIYNAPPGEVSKSRQTKADIEDWMLSQNPP CGRDTVVIALGGGVIGDLTGFVASTYMRGVRYVQVPTTLLAMVDSSIGGKTAIDTPLG KNLIGAIWQPTKIYIDLEFLETLPVREFINGMAEVIKTAAISSEEEFTALEENAETIL KAVRREVRPGEHRFEGIEEILKARILASARHKAYVVSADEREGGLRNLLNWGHSIGHA IEAILTPQILHGECVAIGMVKEAELARHLGILKGVAVSRIVKCLAAYGLPTSLKDARI RKLTAGKHCSVDQLMFNMALDKKNDGPKKKIVLLSAIGTPYETRASVVANEDIRVVLA PSIERKVKFWW ANIA_00707 MGVPALFRWLSKKYPKIISSVIEELPQEVNGEEIPVDITGPNPN GEEMDNLYLDMNGIVHPCTHPEGKPPPANEQEMMIEIFKYTDRVVNMVRPRKLLMIAV DGVAPRAKMNQQRARRFRSAQEAREQDEKKQEFQRMLAKQNGDKEQMLQEEVIQKTWD SNVITPGTPFMDILAASLRYWIAYKLNTDPAWEKLKIIISDATVPGEGEHKIMEFIRS QRASPEHDPNARHVIYGLDADLIMLGLATHEPHFRVLREDVFFQESKQRTCHLCGQPG HKAEECRGQAKEKNGEFDEKGKGATLKPFIWLHVSILREYLAAELYVPHQPFPFDLER ALDDWVFMCFFVGNDFLPHLPSLDIREDGIDTLIAIWRDNIPLMGGYLTQDGRVDLKK AQLILQGLAKQEDAIFRRRRQAEERKLANEKRRKQEEKARNEERARKRRRSSPSYDAI ESPTHAKPRSAGAAAAPPAGLELITPARGELARQTRELTHSMVVNRGNVYRANMANKS AAAVLKSKLLGGQDGQPSDDGESTAESDAQLDAAGPVLGKRKADEAEVGQLGTETPDK PEPAKADELPPDTVRLWEEGYADRYYEQKFGVDPQDKEFRHKVARAYAEGLAWVLLYY FQGCPSWTWYYPYHYAPFAADFVDIGDMELSFEKGTPFKPYEQLMGVLPASSNHAIPK VFHSLMTEPDSEIIDFYPEDFPVDLNGKKFAWQGVVLLPFIDEKRLLAAMSKKYPLLT EDEKARNTVGRDVLLLSESHPLYQDLVSNFYSKKQGAPKYKLNMRVSDGLAGKVEKNE AYIPHSSLVSSLEEYGMPSLEDDRSIMVNYEIPKSTNIHKSMLLRGVKFGPPALDNAD IQATKSRAQHSGRSYGGAPFRGGRGGRMNYAGDRQSHGNDRPNPFAAHLDPKFMPGAN PGAPMGMPSGWVPPSGNFSRGPPPPPRGGTSYGYGSQQYGSYGGYGQQQSYQQSSHSQ SDYYGRGPPAPYNNGPADYYSGRPSGYGPQESRGGGYNRGGYRGGRDTYSSSGHGGYG RY ANIA_00706 MFRILESQAPAKQTATDTINILTNRLQSATLLEDRRAAIQGLRS FAKIYPASVASGALRPLISSLRNDREDVDTIKVVLETLLMLFSPDESSPEASDEIALW LSDEFTQISSARPERTQECILTAPLGIPRLVSTLGDAREPVRNESEGGLVHGSEVIGD CLSLLANLLRLNIPNQSYFRETGCIQRLAKLLADVNREQDADEPIPQWALAQRDKNVW GLLVIIQLFLVKGGVNTPANQMAFWNSGVVEQVLSTAFGQKFSVNVTAKALSTCADLI RGNQPLQERFGDVEVFWGAGQASDGVNGNNAAKPLPRINVIEALLKLSLEPAPIQLLD ARLAACDCVKAFFTNHTGIRVHVLGRAINGHVSGQDEIPNILTVLLTPPELRGNADPY QTWMASVLMFHLLFENSEAKSVAMGVTEGDAENGEEVITCIQTIAGNLITGIQRGDDE RISLGYLMLLCGWLFEDPDAVNDFLGEGSSIQTLLQETKHRGAANVLVPGLCTILLGI IYEFSSKDSPIPRKTLHKLLLEQLGREQYIDKITRLRESPLVRDFEVLPQTIGANYEG GLPDIFFDRVFVEFLKDNFSRLTRAIDCEPEIEISVITNGIERGVSRELVDSLKSEVE ERNQLVQKLESELVSLRHKLDLELAEHRKSRDSSSLEVAKLKQSYDALQKHHEQELKS LDEQHKQAKNELLKLHGEQLRAIDAQLRETSYENERKNAKFKERHESEVASLQRRIQL LESELAHVRELYTNETRELTARIDALKSEADRSREQHAAEVANLNNAVQDVQSKADKA EQYESEIAELHSKLKNLNSEADQSSKRHAAEIAGLNCAVQDAEKKAEKAEQYRSEVVK LESELETLRSEVESTKEQRANEVSDLHKKIQGLQSELDSARKQHESEVVKLESANETV RAELNTVKEQSTQDLEAVREDYSSKCSALENRAQQAESEVERLEAEARKAAHALEEAQ KALEKALQETKEKEEARQSVQSELEDLLIVFGDLEAKRNEDKKRLKELGEEVSEDEDD EGDDEGDEEHG ANIA_00705 MSIDFPKEEETILKRWKEINAFQRQVELSKGRKPYTFYDGPPFA TGLPHYGHLLASTIKDIIPRYWSMKGHYVERRFGWDTHGVPIEYEIDKKLGMSGLEAV EKLGIEKYNEECRAIVMRFASEWRETIERLGRWIDFDNDYKTMNTSFMESVWWVFKQL FDKGLVYKGFRVMPYSTALNTPLSNFEAQQNYKDVQDPAIVVTFPLLDDPETCLLAWT TTPWTLPSNTALAVHPDFEYIKIFDEASGKHYILLESLLRTIYKDPKKAKFKIVSKFK GSEMKDWKYQPLFNYFYETFKDHGYRVLNATYVTADDGTGIVHQAPSYGEDDYKVGVE GGVIDETRPPPNPVDDMGCFTSEVPEFQGQHVKAADKAIIKHLKGTGRLLVDSQITHS YPFCWRSDTPLIYRAVPSWFVKIQPIIPKMLEGIEDSHWVPSAVKDKRFASWIQNARD WNISRNRFWGTPLPLWANEDFSEVVAVGSIQELKELSGYEGEITDIHRDKVDKITIPS KKGNGVLRRVSEVFDCWFESGSMPYAQQHYPFENKEQFEKSFPGDFIAEGLDQTRGWF YTLTVLGTHLFGKLPFKNCVVNGIVLAEDGKKMSKRLKNYPDPSLIMDRYGSDALRLY LINSPVVRAEPLRFKESGVKEIVAKVLLPLWNSYKFFEGQAALLKKTAGIDFMWDPKV EATNTNVMDRWILASCQSLLKFVNQEMAGYRLYTVVPRLLGLIENTTNWYIRFNRKRL KGENGVNDTLHALNTLFEVLYTLVRGLAPFTPFLTDNIYGRLLPHIPEAIRGEDSRSV HFLPFPEVREELFDEVVERRVARMQKVIEMARVSRERRSLGLKTPLKSLVVIHQDQQY LDDVKSLEGYILEEINIQELILSTDEEKYNVQYSVSADWPTLGKKLKKDAQKVKKALP SLTSDDVKKFVAEKTILVDGIELVEGDLVVKRGLKQDASAEDKEPAADADVLTILDVK LYPELAHQGLGREIVNRLQRLRKKAGLVPTDDVKMEYVVLSDPDNIGIAEAFNTQASV IEKAVRRPLEKRDSADGKLPSADEEGMIMQEEQEVQNATFLLRLLKL ANIA_00704 MPPVPAQRRALSYEDPALSDEDVGFLFQVITRAERHPDADRLPY RVLFKEYDAAIEEHGPEADPGYACMRFLFKMGYVAGDTLFEKFENLLQQMGIVIEFGN EENDTDGYTDNFSVVDAAPRERPSPASRDNIQTPRPRRASFNSVYDLGDDPTTRSFIN RPSSRSSLSRLQTGKPEFEASPQNHTAASRRTESPDRTQLIAQFMDVGRRLISKMGAF QSAKEQTKEFSSAVNEDRSRRMAAASRAKARKPRKPKRKRSISSSSSDEESEKDEDED NSTVSDDVNGRIEKPDLPPELLYRPSLSDLLRDASTFNMYRQRAINRRILTQWMKKAV QARQAHRNMEMVAMNRDRVTLIRQAFGTWNSIIREKRQAARTEQFFQHLEERAARARD VYLMTKAFTHWAAVASDELEKTTAARRHILGVKYFNAWREITAVNVLKAQRFALCQPL RTWKRRAAHIKRLDEQAISRHNEKLKRGLYWQWFWSLCERRAPRWHEFVIKRRSLLYW LRTFRTIRERLQETDNRDKHFLLGSALQVLRERSKAVVSAEQKAVAMQRHQLLRNALY EWKLQARLAPAATHVADTADTRIVRSAYNQWVKRIDMLNQARELDRRRIMRNSWVAWN DRLRCQALTARIEERLKIETMYKWIIAERYRLLQRIYEQRISRGVFSTFVTNIRKKYT QLLQHADIYEDRRNDDLLRAKLATWRNQLALTRQRDDIAYVFYAPRLVQESLVAWRSK LEHVAKLEEWAQDARFYFVSTRTLKCWHVAKVNSTKRHRQEAYAIIRRKVKVNLASKV FTSWQSKTREILDIEQQALQLERGKNLDIVFELVDRWHEKTTKRLQDCEDADVFYFKQ IAYDKLIRWAEGVVASRQLEEQAVSAHRQHVLGQANTQLRKLSLRVFQIHSSAETADA MRERNLRKHSRGMFRRWLEKTRISLEARDAPGPLVSPMRNPSRASARGMERSIFDPWQ VETPFKLNDFASAENQHPSTTPLATPNPQTSPSKRAARARILARVSTTPATPLHTPFA SRLLREGVTVRTTSSRRDRTGRGSALGTVRFVDEDPESPTSGKGSANRRP ANIA_00703 MSVDLLSSSFSRPVLVESLLRFSALYDSSWQWCNLRLERNERLI SPPTTPMRAVLPGRPPAKLQSFGTASWNGLRVVVYISGQALVILGGPHNLLQTIYVDD TQALQAVAIDGASGRIAVCGGPSAFVYEPYGTYGETLKWSLAFTLRYEDDEEPICTIS WGSSDELLLGNSHLTLWFLHDTPRAAWREKLANPVKFAQISPDSTLIVTTGVYDRFVK VWKRLAFGADDVRFDVSYLAHPAIVTGFHWRRPLHGEQSMANVFYTLCADNKIRVWAA IDNHAPSPLQLWTQIDMNRSVQPRHATNGVGVSRRYGFIIDSRDFCSATERAVERGAG NKGNHALEHIIEVATRSPEIYVVMDGEGHMAAWALEDVGSKAQSKMNVFNILHVEGLD FSFLRGLSAEEDYAQICAFQSADPGDALSILVHHFDGRIEWYDSQVDVLFDPAPVRNR IARKASWTGHIGPVKKIIRNAFGDTLTSRTDDNKALIWRQKRRDSGSGLLRKSTLFSD EHIHRSCVIENGDFIVNLHHNGISVWDVRSFHAERIASLTFELSGKPLCVLEMLTPEK NAGVVYIAAIAADMSGIAWEVNLPLAKGHTKSQCHLKKFCTFDLGLKEDVSYFLPVDP AGPRTQLSGFFDLFSPDIALSYTATGIVHTWTARVDKDNSRIEWLLTSTVETGIANPS LASGSSIKKAALVDEDRTHLTIWDTKGAQLEFEEHFAQKDIIRDLDWTSTPDMQSVLA VGFPHKVILLTQLRYDYLDSGPSWTQIREIWIRDLTPHPIGDSCWLSSGYLAIGAGNQ LFVYDNEIEATDRVVSQLRIPTRGSSTVDLFEVVSRLNGALPVFHPQFLAQCILSGKT NLVHSILMNLHRKLKFYTEGDDIDGFLEMALEDFYVDHDVPQQAVSKELHSSYADLSL EEEPTVMDEATAAILNENLARVALPQLTSQEQVRLVDTIECVATVEKHRRSMDDNAAR YLLFFRQHMLRRTQGIANNDTVSWREIVWAFHSGSQDILIDLVSRQFGGKLSWKAARE SGIFMWLSNSATIREQLEVVARNEYTKTEERNPIDCSLYYLALRKKNILQGLWRMAHW NREQSATQKLLANDFRESRWQTAALKNAYALLGRRRFEYAAAFFLLADHLRDAVQVCL NQVGDLQLAIAIARAYEGDNGPVLKEILETRVLPEAAMDGNRWMASWAFWMLGRRDMA VRSLISPVESLIPSDPASPETPGSISLQAKSYLSNDPALVVLYKQLREMTLQTLKGAS KVSAQAEWEFVLRNARLYDRMGCDLLALDLVRHWEFLKEPPSTQLSKDGMINLVDGAI DYRKMLRRRSSLVVADMPAKPREPTSPVAPKQQPPPTMFHEPDANSLLDSFGF ANIA_10113 MHWTTAIPLLPLLTLTTPVQALRTTRILTKFTPSCPDPSIIPGD LDLAEEFTVGLNIRSGICQGVPVPLPLGLYDEVDHVSFELVHTEQAHSPLHYNSAIPL PSASPSSRPSLGARAGNNSHAPNLEVCTVRLFEKPGCSGFDKPLIQREFGVGAGIGGS SRCVKRSSEFMELGEVFIRVDCTDGSRPFPATGDIRGELPQAGENGTVAGAWGSHMNS TTTTSTTTTSGIGAVVGRWQRRRLSLLGR ANIA_10109 MHLPNILLASALTLAPAVYGYGVATVDIAYHEACHNGEAPNNSV DDPESTVVTKDTCTQVRAKHSFDIDAYSFSVTPVTKDTTYVCHAVGIYTNDECVGLPI SVIPLFPENNEAKTPCISDGYFDKNVSLKLYCEDGKDDDHEEHGHGPEHDKDNHEDVD DNDHNDTHEAYDKDGAEYGAEDADHREMQTPEAKPKGIEGILDGVNLIQVNFCP ANIA_00701 MPLPSAEHHHIVFLQGSVLEIPKFELPAPFTYSQTVYDWTSSAE VPDRIRDASIIILSAARVDAAALSRDVSPHLKLIVMVASGFDCIDLEACSKRGIVVCN CPNSNIEAVSEHAIGMYFAARRRLLDMHMSTRAGKWKERGLLMFDYLDKDGIPPLTCQ DEVAGIIGNGGVGKRIATLARNLGMKVLVSGRKASATSDPTRVPFETVIKQSTVLFIA VPLMNSTRNFISTPEFENMSSHAIVVNVSRGGTVDEEALVHALRERKISGAATDVFNG EPAGPDTSPLLSEDAKDLNIIATPHLAWLSQRTSVNYGSKLKLAVEAWAAGQPVNVVS ANIA_00700 MAPRSFTLRSLLVGIVIGALITFSNTYFGLQTGWISTMAMPSAL IGFSVFKVFSKYLSYPFTPIENVLIQTVAGAVGTMPLGCGFVGVIPALEFLIRDGEDG PSGGEGTGEGGPLKLNFGKLVLWSLGVCLFGVVFAVPLRKEVIIREKLRFPSGTASAL MLRVLHGSGQSEKGANSRIDQSMEDQRDAEDVQPLLAQGSAQTEAVLQQDMTSTDNLK RDWRSKMRLLIGAFVVSGVYTLFSYFVPQVRDIPILGLPLAQNWLWTLNPSPAYVGQG IIMGPSTCAHMLFGAVLGWGVLSPLAKSRGWAPGPVDSWENGSKAWIVWVSLAIMLAD SIVSLGWLVLKPALVIAPKVLKRISNTRAGSWIASKSPKIVPRSYNYSALSPITEESS GPSSLAHAETRWRADEDEDAPPSQLISMRTVLFLLPLTLLLNVICMHIVFGDVISPLL SSLATLLAVLLSIMGVRALGETDLNPVSGISKLTQLLFSLATPSSHFSRRTALITNLL AGAVSESGALQAGDMMQDLKTGHLLGASPKAQFYGQIIGSLIGAVLSTAVYKMYVNVY PVPGPMFQTPTAYVWIFTARLVTGQGLPPMAWEASSVAGIIFVAITILRIVAASPIAN GGRPVGTPAPWRSWIPGGIAVAVGIFNVPSFTLARAIGGLIAWWWSRAHTNHTKKDDG FKPATEPAQSVLSRRPVESENRKPTSPVAGNADDDADAASSSVVVLASGLILGEGIVS IVNLLLASGRVPHL ANIA_00699 MEWKKTLSFTDRLRTIQSLTTAYQQASCSAAFAEAQSQARKIES EAYEQAGSKEEYDQVCQQALDAAEVTVSKTFKSDLDDDTNVANQGNGEQIGPYQNCIH VFDGLHSTIYKSSSESGALVALKITTPHLLEPPHDAHREAQLLREAANEHIIPLLDTL KLDGGRFGLVFPYKKHDLETLFRRDVLTAAQTKSVLRDMFSAIASIHSLGIIHRDIKP SNILLDSPSGPAYLADFGIAWREGSIEAEPADKKITDVGTTCYRPPEVLFGHKGYNTA LDLWAAGCVVAEAITVGHKQLFDAGPVGSDLSLIQSIFTTLGTPDEQTWPESKKLPDW GKIEFYKYPAKPWDDILQGSSSNGRDLVSRLVSYESGQRLSAAEALQHPYFSSSKKST TAV ANIA_00698 MVHAHHHEHKVRLEERDPNPDGVTVYVTAEPTFTGEIGGYSTQG QDDRTSEATETESATKATNTVGVGAPVQQTRSTTQEEATTTTATATASKDEETTTTSK GTTTTDAATTRTKTTTVDPTEVTTTATQTQDDTDATSITQTTLSTITTSATDSDGSAT STYVAGQSTSTGSSAATVDSGSNGISSGAKAGIAIGVILGVGLIAGLIFFFIWKKKKK KKQQQGQSLGESDAFAGNEKTYSAYNAPPSPAPASQSVTTANAPQLNVRPVTQFAPDL TPIQGGATPVSAVSAAGALGSAAALSRNLTGNSPPQTPQSGVSGRDPFGDPVNPFGAH AEVQSRPSTAGNNPGGGPAPVSSVSPISSVAMPTAVPSPTVAAAAVPLPPSPSDPNSP GPVSPTTASKAGNESTTAAAAAAAAAAVAGAAAVGAAAQGSENDTSRPGSSDSDASYI PAPTAPNSNVVDPVVAPTAAAASRSGPGPAMPTNVHRVQMDFTPSMEDEMELRSGQLV RLLHEYDDGWALCVRLDRSQQGVVPRSCLSARPVKPRAKPPPGAGPGPGARGPFGPPG HPGAPGSPMMGPPGRMPQSPRFYPGDVGRPGSPSSRPRSPARAMSPAQGAYGPPPPHA QPQRPMSPAQFPAVPRSHSPGPRPTPPRSMSPGPYGLPGLQRPEMPHANQRQRSNSTG GAIHNSRAAPGPGSSPLAAPSVPPPAGALPAIPANPVPAPSNDA ANIA_00697 MDLSRQEYPALLASLQPTQAATVLADRIRVINKVNADIADWLQE RRRIEEAYAQGLRKLANRPQLDNAAALGIFQIPWQRIKIDEDVERPLREYNTKNKELA SMPGVQNDLTALAKSVESSQKKVEKAKEKGPKGADKLAAAVSAAHDMSQQWESRAPFA FEQLQAADEGRLNHLRDVLTQLETHESDQIERCRQAAENCLNVLLNVETADEIKTFAA KVNGGRPVVPLRQQTTSPTPVASPPPGPEPPLPPPPRAQDDAASHRSTSSGRARPPPP PVPEPRHGTPFGGLRRLGTVMNRRKSMALPSGPSSDKKYRSPFSSFKRGDSHNMQIPE DPPERPDTAITSQDSYNETARQPSESYEREDRARFSPSPPPQSTPDTNGFASQGPAAT AGLTGVTNEPRVDAEGYSERPQTIDEITLAQREAAGHEESGLNLTIRDQPIPEDENQA QQAMNDMASQLRLQAQQSGIRRNAGTIRGRRDVRNTVFIPSTPPPVHPVPNQAVAQDV SEPTSPTSPGRHVASLSNATDEHALSDTTSIRSSHTLHSISGPVAHPELHDSGLNASI IETVNAWFSEGAVTKSFVVGELALAFNAAPDVLSDKIRVRLNNFPVLEKVAANPNFIR EVQDQSEDKRGEYDVSLASITRSAPTVAFKYQVHINPEDLSTFCPVIFRPAWNLEEFQ ASVIIFYSLNPSFASAASKDSITLTNLVLTVNLDISPQEDREVAHATNAVMYPNTGAV FRRKQSAVIWKIPEFEVNSKSDGKLLVRFSTANSWPQKGKVEAKFEVRTPDAGSRLGI SSASRPAGSDPFADEDNAQAQWSHVPTTRKLAVGKYVSS ANIA_00696 MDLKLTCPDEFQEELSTFNSMSWRIPSKNASCCNRANHKTEALA KELREAQENGLSTKVELERKVRSLQDHNQSLKEELEDKHKQLLDQERQSKYQINELET IRSSLQKTLEDLHRDLENAKNEKQTAQDKLRERESDIAGLEAENIRLKAEGNDAETFA VLKRELSDQVNHMRALETTNREQNAELRRLRKVQRNVEVVEEQKKSLENQLQLMKGVE AELETVQIQKQVLEDERQTWSSLLQDSDLFSEYDSPEAVVKALLKEQIEKASAIDRLG SVEAQFLEKDELIKSLESERSNLKLEMEKLRAASAAAGGPMAESRARARLERQRALAQ KEVEYLRAQLKTFDTEEETLNAEQGQFDAQKAEQIVNLEKMIDEYRQELARAHDELSK REASQQEETTQPRGTKRPLSPAESDTENERLSVLLRKNRKLQESLSKTEQAMTLLRKE YEATKSQLKSLKAKSRTRILELRDNPTSQAENLKLSTITTLKNENRDLLAQLRGHHES VKTVPITTLESMKLQVQDMERVVADKEKRMRRLKEIWTAKSSEFREAVASLLGYKLDF LPNGRVRVTSMFHLSSAYRHGEGNASDARGPGSMGNGEENSIIFDGENGTMKISGGPN SLFAMEIKHLIKFWVEERKDIPCFLAAMTLDFYDKTTRAARM ANIA_00695 MAKDKSERKEKHEKKEKRSEKDGVHKSKKDKKDKKDKTALADAV LKGLEAETPSTVPVNGADATGEVEARPVGALVPFAKPLLEDKAAKKALKSVKKAAVNK CLKRGVKEVVKALRKSPVPAPNETVAIPNGVVILAADISPMDVISHIPVLCEDHGIPY VFVTSRAELGNAAATKRPTSVAMVVPKSAAKGKKKDANDDDEDFSKVYEELVKLAQKE LTQVNL ANIA_00694 MDLQGNPIPSGQRGVKNTTDPLSNRPINEPSGPVLKDSLAAESV SHGGSFNENRNAQPIGATSQNTTTNTTDTSAATKLPSASNAREREEPHGSQRYPDALG GQAEFPGAHVPETGYVGGSTAAKKDLGINKPVYPASEKIDHQGQSRTQAPASGSGYQT RSATAAQKKQNDSSATAGQGGEFPSDPKYNASFNSEIGSQDDPGRLAEQKFQRRQAET VAAAAAPAQKGTGDQTWYQPLNADQRA ANIA_00693 MSDSTFHTTIQDIRKPESHASHAAKGNTPKDSNVSAMKSIIDQN TDKQADIEKTKANLPLPDQPPVASDWNSADQRAVNVGSGGIEGPISGENNSALRGPAT ASSSAREVGEETHRNTQPTSNVGRGDLPADAQAR ANIA_00692 MPRDPLIGLVGKPSSGKSTTLNSLTDASSKVGIFTTIDPQRAIG YLQIDCACKRYGVADKCKPNYGACTDGKRSVPIELLDVAGLVPGAHQGRGLGNKFLDD LRQADALIHVVDVSGTTDAEGKSTRGYDPSQDIEWLRSEIVRWVLGNLMQKWGSIKRR HMAIKATAMETLQNQFSGYGSTPSTVARCLDRLALKEPLEEWSDETVEQVVQAFIDEK FPTVFALNKIDHPDADKNISKIAKMQDPQRIVLCSAISEVFLRRLAKQNYIKYTEGSE FLDTREDLIADGDPDGGGLREMDEKLKTRVENLKDMVLYRFGSTGVVQCLSRAAEVLG LVPVFPVRNLHTFSSGTGTAAFRDCVLVKKNSTVGDVARKVMGDVPISYIEGVGGVRV SEDEIVAVGKHDVLSFKPGR ANIA_00691 MSVNRTARILHSASCISFYGKRSILSWSGQPAIIPTSSGVQARH NSGIFQDWKGSGAEKSTTHRVQKENDNTDPETIAANRTMQDREENFGVGNRGESDAAT ERGGAKNAEKVKKDHPKATEPVIGMNDERAEKGV ANIA_00690 MKRGYLSIDALIPFARLYNVAIRGVTFRKLRAEDGTDKGGAIVA TERKQSGNEEPSENDVLIKVPSDMILSLETVQERAKYDRHLHEVLEAVGDFGKISHSS PDLAGQKHKIGASNAWSEYVKFLPSFITLPTFWTMEELELLRGTSLRLAYEAKIKALE KELEHLRETTEAIEWCRELWWDEDSVTLEDWKYLDAVFRSRVLDLPGYGHAMVPCIDM ANHASDSTVNALYEKDDNGDAILQLRPRKNLRLDEEVTISYGQDKAASEMVFSYGFLD SERSDAKQMVLDLDIPEDDPLRLAKTAFCRETPGLCISSKPTDSDGTRTYWESPIVWW ACVNEEDGLGFAVLQKNDGSKELTVTWKTQEIKTPNHLKDILSAEPLWDIFQLRAVVL VLERLETQFFMLQTTERMVSDLQQRDDTLAIFRPEVFNTAMKLRELEGRLLETAINDL EKQRDQLMMSEAVRAYLSQQQSVEVEDDFS ANIA_00689 MPGILPMKVIKVGNNTQSRIAQACDRCRSKKIRCDGIRPCCTQC ANVGFECKTSDKLSRRAFPRGYTESLEERVRTLEAEVRELKSLLDEKDEKIDVLSRIH SFSSPSQQKTPAAVHSPTQPPPAAPTSVPTPSEGAVHVPDPVALSSTEESGVSNTRGF AAVFTNMLADQGRLAPETSTKALSASPTPITPSRLDQPLKTTPRLVSDQLINIFFQEW APLYPVVHRPTILKAYEQYLSNSEHIQGNKHEMAQLNLIFAVAALGAISRTNQDPTLF EENWSSILESLHADISIPTLQCYVLAQMYCLTKGDYTNVLRYRGVAVGVCHQLKLQKS QKAFSSNALLAETRKKVFWCQYVLDRLCASLTGLPVLLREEHIQTEYPEDIDDENVTE TGFLPTLPGESTRISSALALFSASRVLNSTLENIYPSDGAYEIPLSKMRFVTEQLDKW VKSLPAHLHLEFTQDKPSTNVISSRSPLLSVMYYFIRSLIHRPAVCFGDDHVRSPSVL AIADSGKHIIQILQLLDERRLCLSLSINRRELISSAGLGLLWQNVGLKRDSKLVKESQ KVLTGVIDQLESESSVAATEFSALAGALVSLDTKRPTTTNPQQMSPPAQKPLKSPRRQ LEAWKARLIGSTSPGQSQPKPEPLSRRNTFSGSSPGLAERQLRSPSWASLPPNDFPHH APMSKNVYNHPMGYEGNPSMSCSVPTDHPAPPSGNLTVSDWEFVVSDMDQGYSNIFTG IYGGKECGEDHGPFASLTAEYMHKQGPGLPPSLTSSDELQGLSPEAWSATSSSDMAQP PELAAQSVYSDGSLGSRDHSLSLPSTMPFPMEDRPGSLLDPLQGVIIPAGDDDIEEFG LVNGWDRRLAV ANIA_00688 MGYTELDQLAINTIRLLAVDATAKANSGHPGAPMGMAPVAHVLF NKFMKFNPKNPEWANRDRFVLSNGHGCMLQYALLHLFGYGISMDDLKAFRQLDSITPG HPEAHDTPGIEVTTGPLGQGFANAVGLAIAQAHSGGVFNKPGYNLFDNYTYCFFGDGC AMEGVASEAASTAGHLKLGNLIAIYDDNHISIDGDTKCAFTEDVTKRFEAYGWHVVWV KDGDNDLEGIEAAIKEAQAVKDKPSMIRLTTTIGFGSKLQGTGGVHGNPLKADDIEGV KKRFGFDPAQSFVVPQQVYDLYHKHAEEGAAQEQEWNQLLQKYAGEYPNEHADLTRRL SGKLPEGWEKSLPVYKPSDPAIASRKLSEAVLEKIHSVIPELLSGSADLTGSNNTRWK NAVDFQPPEYGIGEWSGRYLRYGVREHAMAAIMNGLAAYGTVIPAAGTFLNFVSYAAG AVRLSALSRVRAIHVATHDSIGLGEDGPTHQPIETLAHFRALPNCMVWRPADGNETSA AYYSALTAKHTPSILALTRQNLPQLENSSIEAALKGAYPVFEAADAKVTIISTGSEVS ICIDAAKYLQEKHGVVARVVSIPCFEVFDAQDKEYRLKVLPDGIPILSVEVCSTMGWE RYSHEQFGLNRFGASGPYKEVYAKFEFTPEGISKRALATIDFYKGVPVRSPINRAFQQ IL ANIA_00687 MSEITHPTIKDGWFSEQSEMWPGQAMNLRVNQILHHEKSKYQDV LVFESSDYGTVLVLDNVIQCTERDEFSYQEMITHLAMNSHPNPKKVLVIGGGDGGVLR EVVKHETVEEAILCDIDEAVIRVSKKYLPGMSIGFQHPNVKVHVGDGFEFLKQRQNEF DVIITDSSDPEGPAESLFQKPYFELLRDALRDGGVITTQAENQWLHLPLIADLKKACN EVFPVAEYAYTTIPTYPSGQIGFMVCCKDANRNVKEPVRTWSREEEERLCRYYNQDIH RASFVLPNFARKALGN ANIA_00686 MAANGLYNLQRLAIPIGLGAMAVNASLYDVKGGTRAVIFDRLSG VQEQVVNEGTHFLIPWLQKAVIYDVRTKPRNISTTTGSKDLQMVSLTLRVLHRPEVPK LPAIYQSYGTDYDERVLPSIGNEVLKAIVAQFDAAELITQREAVSNRIRTDLMKRASQ FNIALEDVSITHMTFGKEFTRAVEQKQIAQQDAERARFIVEKAEQERQANVIRAEGEA ESADIISKAVAKAGNGLIEIRRIEASKDIAHTLASNPNVTYLPGGEGKDGGKSTSLLL GLRS ANIA_00685 MSPPRSSSFCKQSKGSQGREGKQPDRKNSAASHPRRKVQDQQGH QLVEDRNQIDVSDTIPIALQQLLLNVFRAALLTSSNSLNFEAQTQGQDQNPDAEEKQE EKEEEPLDTKSLIQTIKSHLYNRDFDSAFADADEKLLRAYALRWSAARALGYAGLFKS LIKILFEDESVLRPTHVVCIGGGAGAEIVALAAAWRDLMDDGVIAGAQKMLPNALEAV ALDDRKDNQGGGGTRNMPSQEQTVQQSDTSSSLSITAVDIADWSTVVKRLSSTILSTT VIGSKSHPAPLLPISTPSGDRIHPSHFTVDFKRFDVLTLSDPDLASLFQSQRTNIVTL MFTLNELFSTSMSKATSFLLRTTDLLERGTILLVVDSPGSYSTLKLNKSTGEQQERQY PMKFLLDHTLLSVTKGKWEKLYSQDSRWWRRDASRLWYDVGEGAGLEDMRFQIHVYRR LE ANIA_00684 MSTPLPNPPFVFPARDHDEPDKQDLDTTTNGRPPLPAFSFNPGS VGSNQAPAPAPSNSRMSGHRRQYSEFVGGDQLIIPGNTAAGQTSDETPMAPLKLPPPG PGFSAGGRRRHAHRRSAAISSVDLTAISNALDLKPYVESAPCTSADMTRERRPSLEPS QLLPHSATVLSRPTPPASPQLNLNEASPSSQIPKNERLENPRLCTPTSFLAPESQNAT RSSVLARKSDTAITSPKAESSAASQQPRPRPRTADASLMLELSGSTMTDNSSPTKRPN SAAGHSRSHKSMSSNLLIAAFRKSHAEDDGSFPPRISSSDDESDANADDVHEASGSPS FTSKKKEKSKKRQKKVRSWAGSLLIKKGKSHDSKKDDIESNSNKVTPPALTRTNSDFG SGLDVEFDDDNIVVIRTPTNPAHPDSNQTTGETESWASLENSWKPRSFYEQVTTPDTT SPVIDLDAALGPFNTPDLRSGRVPESGFSAATKRMYSGGRRGEFVGPEMRYHRRAESA PEMPPFDRAFLGSHRFPTTSSLENPDVFYEEEEDAFLAATSDSGKDSEEHLQDQATLT ESKDDKSEESAESSDTLTGKATDESASGENTGLGIQNPDAGDATSATVATPFQGLFKS DQRFYSNQPGNLTTSFPSQLKTGLEPAKYDEWQRRAPVPPSPEVSPRFLPADSRPVTS PTELCAIPPFSLQGGASHPNSSFPSSEFTPSPEAPRSITTSSTTDPFPHASIEDVPSL TSSASTMTNTLNRVSATFFTRPRLSTDRSASFSAAVYRRSSQPTSKRSSLASLSKLVV GPHAERSKLSHEEKPPGDEREKAKKKGRRISRLMHFWRIKDKEKLDELADQD ANIA_10114 MHQSTNSATLLLSLLPFIARWLLLMTQLASFGVRPYNKFRETCK ARPFGGRLARSTINSSRKRCRTIRR ANIA_00683 MPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIVK KGRDRGYRPEVSLRVQLEFVEDRKRSGRPVEITEATQNTVITSVTADRAGREKLSEIL AYEAGISHSSVLCILHSHGFVIAKPSWKPGLTEAACLRRLEFCLAHQHWTLEDWKRVI FTDETGVILGHRRGAIRVWRTVKDSHTRNCVRRRWKACSDFMVWGCFSYNKKGPLHIY KPETAAMRKQADIEIEAMNRELEPLCREEWELATGLSRVHLRPNRGRVPKWNWNEKNE DSAPAHCHRIQQHVYKAEDVQKILDWPGNSPDLNAIEPCWAWMKKRTTSRGAPRDKKT GEAEWRQAWADLPQETIQHWIERLICHIQIVIELEGGNEYKEGREDRDTRSWAGRRIK G ANIA_11279 MWPFASLSTVCDGHCVARSRLGVSVTTNPANRIQNISLCSRKFE SEKLLRLTAALDYGKTVKSVVALAGGESCDIPPTWSDDYIGWN ANIA_00682 MPQAQGQGQSQGHEQTEPVNEITPIVSHSSSQQRRRYNSTENVV RSSDGGSANFPTRQSSYHQDRQRENLGQDAKEPHASWYSRLADRYERTFLAWLRTSLA FASIGIAVTQLFRLSNTTTQSANGLELTPQSVSSILSPDFDGSIVVRVTDTSQRLRSL GKPLGTTFLGVAILILLVGFHRYFESQYWIIRGKFPASRGSIALIAFVAGALIIAALA VILAISPGAIET ANIA_00681 MSPPASVHPATSALHADDYLNLVTDVAPPIHLSTTFRFPREPEN LIPSEDPVEEFDGKNYVYSREFAPNATRFEAVLSELLKGYAVSYSTGLAALHAALVLL NPRRVSVGEGYHGSHEVIGVISRLSGLEKLPLDCPAESLGEGDVIILETPVNPLGTAF SIKEYAEKAHARGAYLIVDSTFAPPPLQDPFAWGADIVMHSGSKYFGGHSDLLCGVLV VKIKDWQKKLREDRLALGNVMGNMESWLGLRSLRTLDLRVQRASESSAKLVAWLHTAL KTRGPATNSDEHLVQTVIKEMHHASLQDEPWLREQMPNGFGPVFSIILRSEDFARALP SKLALFQHATSLGGVESLIEWRALSDSRVDRKLLRISVGLENWEDLKNDLLHGFRSLL RERQGCS ANIA_00680 MSSQDSFQSGSTSKVGKRRAAPYGQACLHCFKTKSKCVRQDNSD SCERCLRLKKECSSADALRKRASQKSPDAGSAAIIANLQAQIDDLNALLHKVVENSAS PAALREYIEMQQREGKQKQKAYEETESPMSYQTPTTSSDWRLDPEQEQTRLSVFRSRM LPFLAFTHLSPDATAENIFASKPLFFQAIMAVTSPSIQERRARGSDLKRVIIQRTWEG IESSLDLLLCVLTYLGWGYDTFVNKASTSSPSRLTQIAMAVAHDLRVDTSGLKRSDLL SNEVLDPEHQTHDTGNPENSAQQILENERAILGCFFLSSMTASHYGRMEPMRWTPLLE EYLSTISRSRECPTDEIFSLQIRLQVLAQQVCEQRDQRDLDRCQVASNTASSGSTEPL LNRWYLEGLQRKLHEITASIPLHLKENGNIYETIHPVNPDVMQTSPSLSVSSTLDERD CHYQTLQSIRAFFDIILRFTPVDWAGFPFHFWVQDIRCTSVLIRLSLSPSYRDEVRNT VNVLSVIDLVSDKLKGAATEMGETSPEDLFGTLYRIGRMLRAFIGPKLEPVGLSEQQQ SALPYVETPLWNEPETAGPSQLELMQMLGFNNLMETSTALPYNPSIYYYTYS ANIA_10108 MYLRAVHAEAQISILQQLIRDNPLGILTTAIKSPLYPLIQSSHI PFVLDAPETSDGSLSNGVLRGHMAKQNPQAKALIEALTAQQEQGNTSLELSDEVLVLF NGPHHHYVTPKFYTETKPATGKVVPTWNYAAAQAYGKIRIYCDSKSEETMTFLQKQIE ELSHQSETSIMKYSSPWQVSDAPVSYVELLKKNIIGIEITIDRLQGKFKMSQEMGQGD RDGVISGFENLGTDAGKCIANIVRERGEMKDKK ANIA_10111 MASNTNPSPKPKIDLSQKLSELRAARAKTQSPREPAPVTPPLSK PPDLSSHSYSRPVRRILSKNDHETFLSSSTYTLVLAFIFGLSDSVRGRAAPDANAEPG YSPKISKILSVVDNIRTLVESHPSIDQGGSRFGNPAFRDLFDDVAAQSPAWLRDILGI EDAAAVNEISTYLIHSLGSRDRLDYGSGHELNFMMWLLCLRQLGLFSEPDFEAIVFHV YVRYMRLMREVQSTYYLEPAGSHGVWGLDDYHFLPFLFGAAQLVGHPYITPLAIHNTA ILDEEGDRYLYLDQVRWVDSVKTVKGLRWHSPMLDDISGAKNWTKIESGMKKMFVKEV LGKLPIMQHFLFGSLLPAEPGMGEGEAEEEGEHTHAHGHSHVHDHSQQLDWFGDCCGI KVPSTVAAGQEMRKRMGGGSSLRPIPFD ANIA_00678 MDEYSAGGGGKLKLKGAKVSDGRVKKKKSKKSSSAGTGATTGVG EKVEEKRSEDAAAEERARSVSGGAEGEDESHSTGTSTPTQPAKTEAERKHEELRRKRI QERLKREGVKTHKERVEELNKYLSRLSEHHDMPKIGPG ANIA_00677 MAPRKPRCNFKECKEAAQRIVGDCSFCNGHFCSKHRMLEAHSCT GLEDCKKESHARNADKLNSERTQVIKGV ANIA_00676 MPREIITIQAGQCGNNVGSQFWQQLCLEHGISQDGNLEEFATEG GDRKDVFFYQSDDTRYIPRAILLDLEPRVLNGIQSGPYKNIYNPENFFIGQQGIGAGN NWGAGYAAGEVVQEEVFDMIDREADGSDSLEGFMFLHSIAGGTGSGLGSFLLERMNDR FPKKLIQTYSVFPDTQAADVVVNPYNSLLAMRRLTQNADSVVVLDNAALSRIVADRLH VQEPSFQQTNRLVSTVMSASTTTLRYPGYMHNDLVGIIASLIPTPRSHFLLTSYTPFT GDNIDQAKTVRKTTVLDVMRRLLQPKNRMVSINPSKSSCYISILNIIQGEADPTDVHK SLLRIRERRLASFIPWGPASIQVALTKKSPYIQNTHRVSGLMLANHTSVATLFKRIVQ QYDRLRKRNAFLEQYKKEAPFQDGLDEFDEARAVVMDLVGEYEAAERENYLDPDAGKD EVGV ANIA_10110 MASISRPRFSILVINPNTSTHMTSALVPILDNLGYTDIHFDYFT APQSETVTLPDGRVIHGIPSINSGEDSVVSALHCRPFVEPLVEKYDGFLVACYSAHPL VGMLREAIEKLEDAALLELGPTANTKKKFVTGIFEGSIVTSLSLVSSFQLTWDGGFGK SQAKDTFGIVTTGSSWKVELSNAVSDMLVNSGNHKGSSSRFAGVETTGLTAVELHTTP PEEVRRRIIEATERLIKANSRPVSAICLGCAGMAGMEEAVREGCIKAYGRKQGSRVKI VDGVVSGAGMLVTACKAGF ANIA_00675 MPLVAQNPVPRVILGLMTYGKDESKGGRVTSLEEFNKHLDYFQQ QGFNEVDTAQLYIGGTQERFTAEAKWKDRGLTLATKVYPVAPGVHKPDVLREKFETSL KELGTSQVDIFYLHAADRSVPFDETFEAVNELHKEGKFVQLGLSNYTAFEVAEIVTLC NERGWVRPTIYQAMYNAITRSIETELIPACKRYGIDIVVYNPLAGGILSGKYKTKDIP AEGRYSDTAASGSLYRRRYFRDATFEALYIIEPVTQKHELTLPETALRWIHHHSKLNI KDGRDGIIIGVSNFNQLESNLKDVQKGPLPEEVVEALDKAWLVAKATAPDYWHLDLIY TYDTQEALFKRKSKA ANIA_00674 MVLGFYSGRCNLLILRSQKRKLLWEAFWRRHIYLLRTTGRMVET QDLHPTSDTRRSPVPYLLKTTAFVTSALTVAGGSCDLTAIYNCSAFFAYAFSIPLLKE KLRLDKVFSVGVAIVGVLIVAYGGKDDGKGIDDGVKHEGGSRFLGNVIIGIGSVLYGL YEVLYKRFACPPEGTSTGRSMIFANTFGSLIGSFTLLVLWIPLPVFHILGIETFRWPT GEAAWMLLISVIANATFSGSFLVLISLTSPVLSSVASLLTIFLVAIVDWFRTGQPLPM ASILGGILIMIAFFLLSWSTYREMNEERKHKIQQDDVVSESDE ANIA_00673 MANMPIVLDGGTGFLKVGYAAQNFPEHQFPSIVGRPILRTEEQA GDIVVKDIMCGDEAAAARSMLQISYPMENGIVKKWDDMQHLWNYTFYDKMKIDPTGRK ILLTEPPMNPLKNREQMAEIMLEHYNFGGVYVAIQAVLALYAQGLSSGVVVDSGDGVT HIIPVYESTVLNHHIRRLDVAGRDVTRNLIALLLRRGYALNRTADFETVRQMKEKLAY VSCDLELDRKLSEETTVLVESYTLPDGRVIRVGSERFEAPECLFQPHLVDVDQPGMAE LLFNTIQGTDVDVRSSLYKAIVLSGGSSMYPGLPTRLEKELKQLWLTRVLKGDPERLS KFKVRIEDPPRRRHMVFLGGAVLANLIADKEDMWVTKQEWEEQGARALAKLGPR ANIA_00672 MSRIGWYGLGSMGLAMATNLQKHLATKSTLNSLLYSNRTMSRGE SLKALGAKPETNFSKLVSQCGIIFTMTNKDKVSNDDVLRSLISSVTEDPTQSLKDKIF VDCSTVHPQTVGLTVAKLKEKQADFLAAPVFGGNPIAVDGKLVFAIAGPKRASDIVKP LIQDIMGRKVIDCGEDATKSSMLKIAGNIITINLMEAVGEAQVFAERTGLGSDVMEKL IGEAFGPVAGGLTTGAYAPPLDSRPGFGVSLAIKDAKHAFDIAKENNVKLPGLEVATK NMVSAREYAGQCLDSSSMYGVLRQKAGMEFWNEKSRKG ANIA_00671 MATRLPPLSDVAPSSALELSSSSLPVIQRPPTTNATAANDSGPV KLEDSPTPVAVTSTSADALCSNPAVGNSNNSPSPVGNAAAGRKRKLNSTSARGVANLT PDQLAKKRANDRQAQRAIRERTKTHIENLEQRVRELSSQKPFLDLQAALKQNEAILAE NRELRRGLRAIVDTIQPLLGKQDSIMPPVTAPTTARPTVPLIPSASPPLEVHTLPPNP HRSATVDRSYTESVASVETPSSTHSAPTLGSRRDSAAGNNASLRLAFDYQRHNLLHGL DFGGDERMGFGFLLEPSQQVPKMEGFRRPSEVTRSQSSISSAYSTPLPPVGTVTDQPL PAYMTPVRNIAPTCTLDAILLDFLHNRQREAAKGVPKQKLVGPAYPSVSSLLNPEKSV YSHPLSKVFTDILRTFPDISSLPEQVAVLYSMFLLMRWQIYPTAENYHRLPDWLTPRP SQLLTPHPAWIDYLPWPRMRDRLVMSYHEYPFDNWFIPFTRTLSVNWPYEPTDCLLST GETDELIINPVFERHFADINNWTLGPAFAEAFPQLVETTKIKPA ANIA_00670 MANLPSHASPNFGAQSASIAPPVDDISLTSEQQTSSTSVQPNEF TLGNLPEVGAMGSTPGDLTPGVPASLLSPSFTPPATPGGTLNTERLLQQISPQPVSHA KPPKLLPRLPNVECIVRARIPTTTGAEMFLHLYHNDIDNKEHLAIVFGNTIRSRSLDR VKPGETEMDRMIRGAYIGKLHPGRVSSWHDSTQGSATDRSIEGSEGGAVHNTESMQER LNEAPLVRIHSECYTGETAWSARCDCGEQLDEAARLMSLPMETLNEIASQQSRSVPSN VSGGVIIYLRQEGRGIGLGEKLKAYNLQDLGSDTVEANLLLRHPADARSYGLATAMLV DLGLGKDANPHGIRLLTNNPDKVRAIEGPGREVIVKDRVPMVPLAWQTGDF ANIA_00669 MPRVRVSSSQNCHEKEGRLLLAVQAIKKKEITSIREAARRFNVP ESTLRTRLRGTTNRAESRANGHKLTEIEEEVLKQWILSLDLRGAAPTKAHVREMANIL LAKRGSTPIQTVGQKWVYNYTQRHPELESRLSRQYDCQRAKQENPKVIQAWFNTVRAT IEQYGILPDDIYNFDETGFAMGLCAHQKVITKSESCGRRPVLQPGNREWVTAIESISA SGWALPPTLIFKGKQYNQAWFTGLPPDWRFEISTNGWTTNEISLRWLQKQFIPSTEHR TRGRYQLLVLDGHGSHLTPEFDQIFLKRSYASLVDQKMRLGISHIDKLDFLAAYPQAR ISTFKLDTIRNSFRAAGLVPLNPEPVLSKLSIQARTPTPPGSRGSQASTFCPHTPANV DELLKQASLLRDFLKQRSKSPPSPSHNALNQLIKGCQIAMQKGILLEQENRALRAENA IQRRKRARTHRWIAHDNGLSVQEATELEEAHNASFQAIPGPCGPPAEGAQTPKARALP TCSTCHRIGHRRNACPNK ANIA_00668 MESSNHRPWPVKCVPAPESRSTGAKNSRRRPVSQLQVTSIRPGP NQPHIQGALHSEQPQGDSAGRPHSLRQGAARGTRSANCQEIISNFEWAALDIKKQRSP EGAPKAAGNSNPGSRPKVVVQVAKPPAKMSRNRPRGPPMPRDNGLAANEETDMWNKIL QDLRKAKEKNDKQKALAEHIAALNEKIGNEGGTYWSLHTEPTLSEHNQLDSLYRQMLK LCEEERAILQDEPSDVIKNLGLLTALRQASEAEAPLSRAASLSKSRKKRNDFDGSATD SPGPVGGPSDKGGRSKGGTQRSTSASSTQVRDNVQVKIEEGAESAKATPAERNGQLTV GAEVVFKHNKNKQGVEGEGIQCIIKGITGEGNKKRYDVQDPEPNENGEQGAVYKTTAV SLIPIPRIGSALPSFPVGKQVLARYPDTTTFYRAEVMGLKKDTYRLKFEGEEDDKEME VDRRFVLDIGGK ANIA_00667 MQVPLLRLQCGVNSYDWGRVGPESAAAKYAAATAPSDFTIEADK PYAELWMGTHPSLPSKDVETQRTLLDMVQDNLALMSPEVSERYGGKLPFLFKVLSIRK ALSIQAHPNKKLAEALHARDPRNYPDDNHKPEMTIAITPFEGLCGFRPLAEIVHFLKA VAPLRYLIGVQTATDFENAVRGFENTEDPEQTKKNKVALRTLFTSLMQSASENIEQAA RELVAAAQSSPETFASLVNAPDTNPTNAAELASIIIRLNEQFPNDIGLFVFFFLNFVR LEPGEAMFLKADDIHAYISGDIIECMASSDNVVRAGFTPKFKDVDTLTEMLTYSYAPI DEQKLQPTDYPYTVLNAAAYSSASDSLLYDPPIEEFSVVKTSLRRTGAKATFDPLTGP SILICTGGTGKISVGHKTEEVKEGYVFFVGANAECIIENTGTGSDEENVFTTFKAFCD LTGKEDMANGH ANIA_00666 MASSLSDAPLSFWEKADLFAGNLSVLGTVLYSVITGVFRGKSGA KTFNHHIAHAAVRKAITRLSVRQLQSQNATTIQAYETYMKQKNLTLEIVTLNHGAHGL WVGNKNARNVVVYYHASQKGGGFVLPAVPGHFIFLGEILTELNKNGHDVAIFFLSFTL SPPGAYPTQLRQSVEALRYILAEGKGGRDPLNVLIGGDSAGGNLALSVLLHLTHPHPD IDPLPLKDGAELAGVFGFAPWVSFDLSGRSMATNQYKDIISSQGLKVWSREYLGKRQE GDAWSEPAKAPTEWWVGAKAKRVLILAGGDEILLSAVEEFVNRFKTVVPQTTYVVGYE DTHVSPVYSGTGLGGPQARGLRNWLGANL ANIA_00665 MDPFSAEGELINIHNAFHQGQYQSVIDFNTSSFSPENALPARIL KLRAQVALGNTDDVLADIEGETEESPSLSAVKALAQFAAGNAEAATQLAQDLAENYPD DATVQVLGGTVLQNVGKSEEALALLGKHQGNLEAVALIVQIHLQQNRSDLALKEVQAA KRWAQDSLLVNLAESWVGMRVGGEKYQSAFYVYEELASVDSTSAPLSIIGQAVAEIHL GRLPEAEAAISAALERYPNEAGLIANSIVLNVLIGKPTEDLEKYASLELSGRMEFTNG LRYRRLQLVEPSHALLADIQEKSDFFDTAAAKYSAKVSS ANIA_00664 MTYAATSSTSAVHGDPQASLKLAASRPRPKLHHIFTSSAIPAAL APTYASSYTTTPTSLPPSCTPSNDSSPLGTPFLSAVDSLRDRVTPLPPTSAPSLELGD SIMAVPATISASYPGDTVIPNSIKGTGLMRRISRGAANKLTRRRTSNSHNDKRDRSSG PVIMRRRSDSKTGTQTGRDSALDSSYEEDSNDALDALGGWAGSEASSVPNDNTPTVPR NPAVVAPTVDSAIQRGTILTKVTKKRRKQVRFLLNLDAGKVYWDPSNPAKRFYIDDVK EIRVGADARNYREEHQVSQDAENRWFTIVIADAERSKGRPVKTIHLIAPNERLLKLWT TTLEYISRYRVGLMAGLAASNQNESVLKAHWQREMTRLFPNGMKAGDTETLDFAAVES VCQSLHINCSQNMLRAHFLKADTGKHGKLNFDEFKDFVTRLRERRDVREIFRSHADDH REGLTLEEFLIFLRDVQKEDIDSDRAYWISVFDKLVRKSKSRTQSPTGTSSEDQSSRM SLDAFSSFLASARNGVYASRSPQSRFDRPLNEYFISSSHNTYLLGRQVAGSSSTEAYI SALTQGCRCIEIDCWDGADGRPIVSHGRTMTTSVLFADCITVINRYAFFSSEFPLVIS LEVHCNPEQQIAMVKIMKETFGEKLILEPLSNSFVLPSPEELKRRILIKVKTCDETEN IRQDPITSFHGRKRSSSSPFIRPSMSDGPTLPNLPSLSSPPTIGADCPGPFLVPERRS LTTTSISSATEDSDGGLVSVRKERRRRQKSKITKPLSDLGVYTRGYKWHSFASAESQA FNHVYSFAERSFESICREPSNKALFEKHNSKYLTRVYPSGFRLRSSNFDPLKFWRRGV QMAALNWQTYDIGMQMNQAMFAAGSDRTGYVLKPESLRVVSSEKDTRITERKLVRFSV DVISAQQLPRPQNMSSDGNINPYVEIEMFSADDRGQSFAFGEGGMNASARNGMSGIGF PHRRRTKIEQRNGYSPNFNESFRLSLETKYPDLVFVRWTVWSSLDGRSTGTNGSVQLA TFTAKLTSLSQGYRYLPLYDGSGDQYIFSTLFCKISKEEPISVRRLNADELRAERLGI LRQIGQTVFKRTSSTEREKQDQSDKGTETPICLEGKEISPALTPTISTTSTAS ANIA_00663 MTATQQQKSQQQKSQQKLSEAWEAEAVNIITVAYINLAWLPGRI IFVYQNRLTASKLPGLPAPSSGSWSRSSRSVKASNRGSSRASNGDSDAATEPLIGTSI STLTELFPIHYGTTLK ANIA_00662 MDLSKPVDLQFTKSLPKIEVHAHLSGSISRQCLHEIWLQKKARD PTLEIEDPWVVMPLGKVDFSLNTFFGVFNQSIYQLVNDLESVAYATTSVLQAFLDDGV RYLELRTIPRASPNAAFTRDQYLSTVLDTIAEFKSQNSGKISVYLILGMDRGQLVADS THAHEIVDLAIANRERGVIGVDVCGNPTKGDISLCRDAFAKAKKHGLSLTVHFAEVHS MGLHEELQTMLSFNPDRLGHVIHVPDDIKQEIARKRLGLELCISCNVHAKMFDGGFLD HHFGYWRHEECPVILCTDDVGFFCSPVSNEYLLAAEHFQLNRRDLISISRKAVKAIFG GDEEKQRLWNALDKFESNGQ ANIA_00661 MASTSALPQTLRSITATKIKELSKQRGLFEKRRDEIIQSAAATS DLRTKARVLLEGVTRLKGHPNDAFDKEDLDVDAESFETPVEAGTERAVHANIRRFLLQ GKYDPSVSESSLRECIESLEKEIMYMERAHDHASFYSALVTEWLEDLEASGGISAMKG EEDESVEDVGMEKLGRTEMHEQRRIWEHIVFNGSNVQADMIRAYLDSLFMQTALSQQA LKELRETIKSFATSFSAEKTWITLDELSWVSQSLLKTDLLSKEKTVILKELMRNNAVA QEVADVLNMRIASLDSWSWPGEGVPVEMRRQLNGKYRVFMDEDLLDSLMFQYLGSKWA VALRSAFVTFLGSRGWKKSRDNIPEQDNLRRSYFVGLPRFQGVNEERQGVYKRDYFMS QLPSTVDTEVGSYDNDDDDDGNNVNRPQNALDTKHSLLHLLITESILHTNLHGQFTAI RSDFKWFGPSLPHTTMLTVLSYFGVPQQWLEFFRTFLEAPMKFVHDGPNATVLRRKRG IPMSHTLSTAMGEAVLFCMDYAVNQSTDGAYLYRLHDDFWFWGQERTCVKAWTAMTVF AEVMGLEFNEEKTGTVRWQGAGEKLPQILTPPPVQPEGEDLLPSGNIRWGFLKLDSQE RRFIIDREMVEKHIAELRRQLSACRSIFAWIQAWNGYFGRFFVNNFAKPAVCFGREHI DMAITTLSYIEHALFPGSDGGGVTNSLRKTIAERFDVHDIPDGLFYFPIELGGLNLLN PYIPLLAMREDIKQTPHRRIQKAFLDEEAAYLSAKENFEKTGPQNPQAFVLGSKSESA FPREFLSLEEYMKYAECFSRPLLDAYRDLIRIPDQISVDLTLAMRGILTLSATRTSAK TISGDWRSMSPYWKWTAELYRAGMVKRYGNLAAVNREFMPVGVVETLKEGKFRWQG ANIA_00660 MSAIKRWIKKLEVESDPGLTNTQLMLTNHDLRPVEPDRRQWRWY NFIFFWIADSLNIGYIGGQCITLMIRAIWPSYESLPNGIPESSGVDTKNFLSFFLFWL LSLPALWFPVHQIRHLFTVKSIYSPIAAIAFFAWAISRANGLGPIVHQSHTVHGSTLA WAVVKALMSCLGNFAALIMNDPDFSRFARKPKDALWAQLLTIPIGFGITSFIGIIASS SSAVIFGGDAIWNPLDLLGRFLEGASSAERFGVFIIALGFALAQLGTNISANSVSAGT DMTALLPRYITIRRGSYICAAIGLAMCPWNLVSDSNQFTTYLSAYSIFLSAIAGVMIC DYYVVRKGYLIVKDLYSGEKDSAYRFNYGFSWQAYASYLSGLLINIVGFAGAVGRDVP VGAQYIYNVNYLSGFIVSFVMYFIITRLCPIAATSDTWNEVNTDLELDTEGHDIDAED IHTGKPIGFETSEPREDYKGAKAGSASV ANIA_00659 MPSLPERPRPSQEALLRLEKGTASPNIQLNEAAQIIGLDLSLCA SHPEINRAPHVPIHAAPKEEWVLRWLLKKLKAAKNYRVEPASFLLLRQLIDLISPKTL ASTLKDQKFIGILDHAITDLTDDVISGSGNGTNELLPSDSESSQTLSEGSSRKGTKRK RTNGIEHDSMDVDEQPRTPVSCFLAFTRLLDCIYSLVTLVSGKDGVDETARSHLRHAL RGEPQPIAITLGKSFTLASLAITQFSNARKTTELQHLFYVLPALLEIWEWRSYRQDGA EGSGNGGFAAHCSQRALRLLHCVRAAGLDTDERTNVLSGIERLIALHVVLPARADFLS RGGLGIDYSSTEPDWSPVKPVSDAFRTIFSAAEPLSEPGSTVTKDNAAEKEFWNAAEL IPEFFYIATRSVPRDSFRRKTDEAPWLETLFVAAAELAFSSMKSTKPTTFLSHFVRIL EQLFQVALKQDVQLSLHTLLTHAAYTGLLKDQLPLVEWNLIALLIELGVDIFLPNSGL ADSEKYLAALLNKINLLWRSGNSTDSSYEIIKAGIILPLLRGFMNARDLPTFMEIWYQ QLTDIEEARMQDSNLSLFTVWEDDDLCDAYGEIMRNPLNQTFASAQMRAAAVEIRGDD GKLARSPEAYAQLLIAEAGFRKRNINLADNNADLASAMETVVSTLSSDQALHWRWRLW KLTRSLIENNVEKVNVGLGASLSSLTGAATSSIKRRHQELTHKLSARLECIEAYRLVL AITKHSPSTNSSEDLSSLMKEVIKLMNSISAKAALDSMNSGWNGRLNSLDSPATLSLA YFLGLIRIPDLWSHIESNIRRPLFSHILSFATAQYQPSSSTLENFSSGARFLQTWASV ASHEYLLNAPSIVNDLIFVISERIKDDVSNLKLYTESLQRVPASLITRRQTGALLDLL QGVLSEQDSTSIVTVGILSLMAKLADLPKSTAEITGNWEPLWTIAKALSLQDTNIDLD IMKAFRFLHRAVVSKLLLLAEGERRKLFKKMFRKISAKVSKLQSIDRSSMECFFLRIS LYQFWVHQEQLMDAIDVAELAASREKVFSLVVADLRSARDQYKKQPLEETVTVIKTLD ALEDFEDLATDHEEVKRYLFKIESYVEKSVDSGTSLRRLIRRRVLATQSAEKSATIPV VQYAESLPLQHMYSEDQQLFIRATSERFRSMSTGSLTRVIQDVRQLGFTGKIAEYHLL IAGLAVAAAPPTEDKENDLAKELSLVCSEVTEAISRSKSVEQFVLATECLDVLLRSHL RCISQWNVDSILACIATCASKDGPRIRPDVCGVIYTRLCRLMGVLVGLHRQKLGGRFH LVLPAMQRLLHCLFARARKRSRLGKPDLGHGKQPYWLSQLNAAHAVHYTRLLTSLCDP TVSAVSRPTPWGAGYEGLTDQTKKAKQIAGQYLQYLIMDYAQSSLRSNLQPEVKAALL PGLYSVLDVMSRDTMRALSAGLDISGRAMFKALYDDYMKFGKWNKA ANIA_11278 MADSSDSQPVARSTKLVSEALLNEKWDRAISSMIIRSSLGLSFG VVFSVLLFKRRAWPAWVGLGFGAGRAWEEADASFRRGDSPVRDALRR ANIA_00658 MPFDENGVKWSCEPCIRGHRSSKCAHYDRLMVSVGKAGRPLSKC PHVEGSCNCKKLGAFMVAIPKGSTCLCRPVYKMILGENGPTPAPLDMNAAPSASATSA SSASPSPSKIQKSSKKHVKTAPEQVSRALHSIPEYHQHALQYGTSPVVNPYGSQAQAP CYPYNATGNFSQPDTFPISDNLSSGLGLSSDGFTTAASQSNMFQQSVQPKESMSTHTP GSCCSSSRTESSNGIKAEPNIAVPNAYAVTSMAPSPVAEASSTWHNFSNLDRHFSPVE TTTNGVQPALSGFAPHVSPINPTSIGFEQVHPAQPDSEASDLVSPSLPTHTLTNHTSG IPHSCSCGPQCSCLACPDHPYNDVTVQHIQEVGRIIAQDSQAFGNGNEFDQQNSHANV LQYSAPQMDQTLAEVETNSENVPVESCCGTGNTPLDNGHDAQGIESFTADHLMVPDAY YTYEYQVGLPGACAGEAGNCQCGPSCSCLGCLTHGNL ANIA_00657 MTSNYDDHDLPQQRPDSRRAPRRVRDFFSVPAPVKRVFDRFPLL TYPANDLPHHAGSGRSGNQLFVFIDAAGARRGRPSFNPQCLKWQAYLRFMGIDFELVP SNNHASPSGFLPFLLPALPVGTDAPIPSNKLQNWAIEEVHCEEEQQLNVRFEVYSSLL DTRIRNAWLYHLYLNHENFEAVARRLYVDPSTTNTAVRFALAAQLQQAARDELLKSSP YIDAGALEAEAAEAFEALSTVLGDKDYFFERPNPGLFDASVFAYTHLILDQKMGWKYN RLQQLLSQYKNLVQHRARLLEFF ANIA_00656 MTNQTIQEALGHGQGNDLGNSDGHRIEYVETIEAYNKWAEVYDT DGNFLQALDTFEMKDLLPRFLCLVQTQTNGKSNMTPGEQVLKLVDLGCGTGRNTLQLA KSAPKEAQIIGLDASPGMLEVAEGNLKAQGVMGTVDERRVVLGVYDLLSPRPESLPVS LRGEARASGAISTLVLEHIPLDKFFEGAARLIRPGGYLLVTNMHAEMGAISQAGFVDV TSGKKIRPTSYAHEVGNVIAAAERAGFEIVPLNGAEKVRERRVNEEMVALLGSRARKW VNVVVWFGLS ANIA_00655 MEALLAHSFDYLSSYEPTKVRKGLRQVEGLLAQICLSRAKRPVS DKRGSPLLCGAPQSGCKALSELRDDPAFREFFKLQDGFQWNEHLLGRENSGTNDLLIL STLDLIQGVLLLHPPSRILFAREIYMNLLLDLLDPINCPAIQSATLLTLVTALLDHPA NTRTFEELDGLLTVTSLFKQRSTAREVKLKLVEFLYFYLMPETAMVSTSAPNTALQRS PSKLGGGPVSRSVNVSGSHSNGRGSRDTRTTEEKQSLLGRYLHNVEDLVEDLKETAPF GATVY ANIA_00654 MTSDSHFHPPHAIPPRISSNRMSGASTRDKAALMGNFEKDWLSK GDKLQTNTDLSKRHTRNQSSLDGTKYKDGKWSQENEEVIMGPYDYMLQHPGKDLRRQM INAFNVWLKVPSESLAIITKVVAMLHTASLLIDDVEDNSLLRRGIPVAHSIYGTAQTI NSANYVYFLALQEVQKLKSPAAIDIYVQELLNLHRGQGMDLFWRDTLTCPSEDEYLEM VGNKTGGLFRLAVKLMQAESSTGKDCVALVNVLGLVFQICDDYLNLSDTTYTQNKGLC EDLTEGKFSFPIIHSIRSNPGNHQLINILRQRTKDEEVKRYALQYMESTGSFKHTQDV VRQLRARALQLIEEIENSENGEQPEEHNDGTMVRAILDKITESTLADTNTTTRDINGN CATR ANIA_00653 MHEHELSYMGPRAFNHSQSNDAESEYDRLRDLARQEASKRNSCF QRSQEAYASGDGAAAKELSEQGKAHGRKMEEYNRQASEFIFRENNAPGRVDADTIDLH GQFVEEAEDILEERIKYARSQGQTHLHVIVGKGNHSANHVQKIKPRVEKVCRELGLQY ATEENEGRIYVNLTGGAADSLPSKPSHGHGHQQQHYQQPHQHQQQQQGNQDEIEQVVN AILPRVLRKLEKACCVVM ANIA_00652 MFFTKLGQRRISGTVLGDTSHVLTTVLTRREAFIRPSILPESWS ENRNLLETVYGIVEYTVESKILGNALTRRIGALTYEPWSSHLEFSPDSRFFRIMNAEY ESDSRTLISRQSYAEQRWSMEIVKQYRS ANIA_00651 MGCGMSTEDKEGKARNEEIENQLKRDKMMQRNEIKMLLLGAGES GKSTILKQMKLIHEGGYSRDERESFKEIIYSNTVQSMRVILEAMESLELPLEDARNEY HVQTVFMQPAQIEGDSLPSEVGNAIAALWQDAGVQECFKRSREYQLNDSAKYYFDSIE RIAQSDYLPTDQDVLRSRVKTTGITETTFIIGDLTYRMFDVGGQRSERKKWIHCFENV TTILFLVAISEYDQLLFEDETVNRMQEALTLFDSICNSRWFVKTSIILFLNKIDRFKE KLPVSPMKNYFPDYEGGADYAAACDYILNRFVSLNQAEQKQIYTHFTCATDTTQIRFV MAAVNDIIIQENLRLCGLI ANIA_00650 MRSENDHPNLFKDSGNSRLGIVYPIICIICALLLARRIRHRQRT KYILPRGQMDTPSEKHGGKQSPGLSDPELSYSTRDIHHRLPLPSACDILHPLSHSTSL PSSGYLAAVLIEDRKQKMDQAYQLEGECTSPTSPDCTTVGESALVGLRTDCNLLSGTV NPPTPTDDSSQATSLPTRRSTDVADRQHPNSLDFGASWSVQKRGEHVEFLRDVDEEGA RTWRRLLKPTADLAAATRQTRNNTAVYLCTSECPTSRKRPSSAPLAIAAKKLPRGKSQ TTLPRTLRLSPSSPKSSNEMTLTTYPATTDPSDLAALNLSRLVDRLEFNILSPNADLK SLRRSEYQRIRVGVNIEYARATLQALERSLPQIKPVDRRHELQSSLSRNRQTLKQVQN VLDEIQAEEESRVSARGDEWDLGEDEDDEDADSEGDDLLRTPDTAGEATPEDSFEAPE AAREKSDIDVPATTIVDAGSSPSPTSTAPVPGPALRNRHQNIATASSPTSNLKPTATA TGTSLHDAAKTSEPQTADTEEALATDRLEQENLTSSLLDLATQLKTSSQQFQASLEAE KSVLARAAEGLDRTTGNLAAAERRMGMLRRMTEGKGWWGRMMLYAWIFALVARFLGVL GVPSLLKAINSPFYSGVIACYITTEQPERDTGKERITILNFAYIVFALSNTSKRVGRC GIYHYPIRKQTKKGTGEKDKGHPRQRHGMVAGTSSDTVSEG ANIA_00649 MPFRSRWQIEIPNTHLASLLLTSPTEPLSKTHRCFSEAARPDTH YFTTHDFRLWSQRFAAGLRKSGLQKGDRVLLFSGNDIFFPVIFMGIIMAGGIFTGANP TFVARELAYQLQDSGAIYLLCAEDSLDTGIEASKIAGLDMDKVFVFNNALYDGKGEGM KGCRYWGELVASVEEGAGFAWEELDTPEKANTTLALNYSSGTTGRPKGVEISHKNYVS NMLQYNHLFYLNPNWREKAKRARWLCFLPMYHAMAQNIFIAAALNRGVPVYVMPKFDF IKMLEYTEKFRISDYILVPPVVVALAKHPAVKSGKYDLSSVEDIGSGAAPLGREVCEE VEALWPPGKINIKQGWGMTEATCSILGWSPMEKCLTASVGELNPNCEAKIVADDGVTE LGKNQRGELLVRGPNVMKGYWRNPQATKETLTEDGWLRTGDIAFVSNEGWFHVVDRKK ELIKVKGNQVAPAELEAILLEHPAVADAAVIGVPKDEDEAPRAYIALKPGKNATAKDI TTFMEGKVSRIKRITGGVVFVEAIPKNPSGKILRKALREQAKQELQGVYAKL ANIA_10112 MLLQSSSEIPPADLLLSWLRLMVDNVFLESLQAPCDNPLELLLP LQGFVCLITLAFLKLSLSIPAILDKDQRNDTSSKPPYYLSKDDIGQINELFTAVGLQS RTASPAAFAWGLILHTMAELALNDKETRELEQYQSAVDSFQSNTPHSNSGRAAEISLY EELLEVARTPRYSIDESIALLTSDAMRNIAFDTIIVLAQKIGPSSTIDDIVTNRWARM ALLDLIRSMMIYLDYSPEIVQSVLAVLTGPLSDSAWPLATSPVSSNDPRLIFMRDENL TSNILHVARSRFPYETVPFLKLCRALLGAELVDEEGFPQILSELESMESFTQVVPDNF QGYETIREDEDANYVSLLQPLPMTESKLRKQTRQETSNALIVTGSSELPAATVGQIVS ESKPAVVMWQHRYSCLSYLGSRLEEWSENGGFSEEFGDDCVAETIWLFTDLIFASTNN QARSNDNSAAKSILEMASDGLSSQTDIIGLVFDIFERNLQSVSARTASEGVVDSAIAC LHFIRALIAVLPNRVWPLLSRSSLLGTDGKGGMMTAIISATEVTSGEYPFLMASVRLF EAVVDDAMSRAVLRRCPVGKAGVVSDWTSGVPSHIMRRILLNYVRIMVEVYNSNGNWR FNAPERKFEITTAIAGVLERILYYAFGTNDPANGKLESKLTGAFSSSATYILDVLRPQ SAADLPFNPILRLIIDGLQTPPTLYLRFLNLVEKQVISTLELSARLLQAAQLTELPPS LLEEQLFKATPVLVKLYALHGPYQVPTLSLLNTLITTAALDSDNEPPSLVGHLGAETS RLFLDVLSRFDKPLSDANLQLSIWRLLTTLVSKRQQWLAVYILTGSSPRESLKKPDTG DKSAVKGTPFLRMALEMLSAIEQISPRVALSLLDFVSNAQEHWPWATPELRKQANFFT SLVNYVSKLNILSLSSIEQIFATRIAAVVADICAVYLHSAKEAKDQNFYKTLFPLVSW YAKAAVEVSGYNGSLHANLKRNFESRYSGCKLDDFKRTPLLPRSLGREYYYDIQMGKK LLSYDFAWEGSKNHGFAEEFERANLNLSLVEAQVSLLHSWKFLAIEHCADFISESEIQ KTIAFVVKSCLEANMNGVPQEAIFERIQQTRVDFAQNLLQRLVEKGSKGVEFSSLLQV VWESLRSRRPTYEDALINDDSEYYRSLLNTLFLALQFHLDGGAKAARELYNSKADPTP DLVIVVEIVKTVVAQGFRSLTSYLHEQPEKCTPKDFAIITAILQSSLQVKDIDRMYEH IVYHIADNDTVRHATSLFSWADQLAVAGDPVYGELSISFLAKLSTIPMLAEHLAVEVV LAQLSNCRLTNVLRQPKGFGPFDPIPRIYAMWTGGILPLCLNLLYHVIRTAPEVAAFL NQFEGQLTRAASSFASARSTVSSSAQGISLSMASEAYSLALISFILGRLREAGPSMGM DAQAIQELKWDKSQVKEDIEELVERRANLRLRIVATTDKEVELARQKPVDAASGSESR LEERIVSELKATLACLGGDES ANIA_00648 MGQQCIIHSFGGKVDVTGEILHGKTSVLKHDGRGAYEGLPPSVI ITRYHSLAGTHSTIPECLEVSSFAQLGEDADKTVIMGVRHKQFAVEGVQFHPESILTE HGQTMFRNFLKLTAGTWEGNGKDVAQGGNFTAAAPNPPKATKKVSILEKIYDHRRAAV AKQKTIPSQRPSDLQAAYELSVAPPQISFPDRLRQSAYPLSLMAEIKRASPSKGLIAE HACAPAQARQYAKAGASVISVLTEPEWFKGSIDDLRAVRASLEGLTNRPAILRKEFIF DEYQILEARLAGADTVLLIVKMLDTELLTRLYLYSQSLGMEPLVEVNTPDEMKIAVDL GAQVIGVNNRDLTSFEVDLGTTSRLMDQVPESTIVCALSGISGPKDVEAYKKDGVKAI LVGEALMRAPDTAAFVAELLGGQSKKLPLQSRNSPLVKICGTRTEEGARAAIEAGADL IGIILVEGRKRTVPDDVALQISKVVKSTPRPTPYPTEVPQGDTDATSVDYFDHSATTL RHPTRALLVGVFLNQPLSYVLAQQQKLGLDVVQLHGSEPLEWSRLIPVPVIRKFGLDE FGIARRAYHTLPLLDSGAGGSGELLDQMRVKQILKSDDGLRVILAGGLDPLNVTEIIK QLDESGYKIVGVDVSSGVETNGVQDLDKIRSFVQAAKSAF ANIA_00647 MTISHLPHGPTASFSLHNVVLRADIPNAARGTVSESYPHLIFEG FKTKLGKRVVQILKHLFPPREAGKVGNRVVTFVNKEDSIEVRHHVFVKTGYKDAELAE VGPRMTMRLFEIRGGSLEKGSSGDVEWALTQYTRTSRKKDYL ANIA_00646 MSASVEYQPPGAESELDRTVGGPRRRRHDDDDEGPDNSDDDDLE STTSAPANKAKYPGELEEEKELAPHACAYCGIHNPSSVVKCLACSKWFCSARGNTSSS HIVNHLVRARHKEVQLHPESSLGDTVLECYNCGTKNVFLLGFIPAKSDTVVVLLCRQP CAAMPSSKDMNWDTSRWQPLIEDRSFLTWLVAAPSDQEQLRARHLSPQMIAKLEEMWK ENSQATIADLEKATAVDDEPAPVLLRYDDAFQYQNIFGPLVKIEADYDRKLKESQSQD GLIVRWDLGLNNKHLASFILPKLELGDVKLAVGDEMRLKYTGELRPKWEGVGYVIKIP NNQSDEVTIELRAKGDHKSVPTECTHNFTADYVWKSTSFDRMQLAMKTFAVDEMSVSG YIFHRLLGHEVAAAPMKTQMPKKFSVPGLPELNGSQINAVKSVLQRPLSLIQGPPGTG KTVTSATIIYHLAKLNGGQVLVCAPSNVAVDQLCERIHRTGLKTVRVTAKSREDVESP VGFLSLHEQVRLNDSNIELIKLNQLKSELGELSSQDEKRLKQLTRAAEREILNNADVI CCTCVGAGDPRLAKLKFRTVLIDESTQSAEPECMIPLVLGCKQVVLVGDHQQLGPVIM NKKAAKAGLNQSLFERLVILGCSPIRLNVQYRMHPCLSEFPSNMFYEGSLQNGITSFD RLRREVDFPWPILDSPMMFWSNLGNEEISASGTSYLNRTEAANVEKIVTRFFKAGVQP KDIGIITPYEGQRSYIVSSMQATGTFKKEHYKEIEVASVDAFQGREKDFIILSCVRSN DHQGIGFLSDPRRLNVALTRAKYGLVILGNPKVLSKHPLWNCLLQHFKERHTLVEGPL SNLQESLIQFSRPKQAYRGPQRFQMAYNHVSNVTSGFMNGRNGHRNEFHDTGSVVGYI PDDVSSVHSSALGGVGIPSGYPPMFQQFADSWPSLPGARRANGNRGKGAPSIAGDSVA ATESDITGSIIDGKGPEQGGVSLAGLSINDMTKQPSLSQSDRLKRYVESGARDPYKPG APDSGSIFGGSSASIRVTRGIPGHIHDDDDARSVSTAFASQVGGNYD ANIA_00645 MYKTRSPCRFTGPSKHSHAEIIKMPAWYLTAAFSENPSNCIDKL FQTGGSKAPILRPGPKQCTNNKPKVIDHQGHSLIKACLARGCESFGRIDRAGKSGPLS PPTLVNLGT ANIA_00644 MGDGSDYPSPRSEGATGPTAVLVAAQDPLAGVPKMTDQLSPSFM EGARPKLSVRRARDPPKNAVGQIFCDHAECQPNPPTFRRPCEWNKHMDKHDRPYKCLE PGCDKIQGFTYSGGLLRHQREVHKKNVNAKKPLMCPYPDCNRSTGNGFTRQENLKEHL RRRHMHTENGHAPDLTMVPSSDLDGATSIQTVKRKRESLDVDPNTDPAIAFPEDEENG VDMRGELKRLRREVQEKDRRLQELERIVAGLQQVIPQPPTAVSVTQ ANIA_00643 MSRNAQVEEVYDSDPEEVAPSLVPSHAKNDSILSGASIPTSSMP IRPAPEPQREIPKSYQCLYPVYFDKTRTRAEGRKVGTELAVENPLARDIVDAVQMLGL RVGFEPEKLHPKDWANPGRVRVQLKDENGEPVNSQIKNKHHLYILIAQYLKAHPTTDQ SPYRLRIRGLPMPEKLPDAPPAPRGWKIGKILPIHSAAYSGGGVSDNPLKDAMAEMQN MQGMPGMPQIPGMGNLAGMMGGGEPSGGSEKKKKDKKKK ANIA_10105 MTSSPTPDTSPSGPPNIPSASPTIQPLTPHLLHRLFCHTCRRRK VKCDKVHPICGNCAKNGTDCVYDAASQSRQDSGSRGGRDKDGYGVKRRRETSRQLEDD IVDLQSMYGRLRQAGPSEQKSIESRLDKLTSMIERLSKTSQPAVSAEQQQITTQNSGL DVPKGEVRATNGGSRPGSPRRTADSSGDEFPVPAGHATDLVDPVGSLNLGHLSLEDGR SRYVGTTFWAYISHEINELNQFLRDQTHSHESSHANEPYNEETVANVTTRHWMPSDEH PSVTAGDREATGDGIQNSILFSLDGSPSAKDRAVEPDMLECMPTKRQSHILYKGFMSG IQAVSPVVHPPTVLKHYNAFWDWYDSSSYSGESCPDPSFIPLLYAIWCGGSVTISLRA IKAEFNGATRAALSKAYNDQVTRWLTKTSFLRSPSLQGLAAYLLTQTILTKEEEPLTS SLFVSLAMRVAQTMGLHRDPANFGIPPSEAESRRRMWWHIVHMDGVVSMSSGLPPLVT DENFWDVREPSEFKDTLIGTAEADQYERMVKAGLRPRDNPDDPTVCGGPSMVNVYYLA ARGKYVMARAVRRIMRIQLGTKPVTRRHMEELRSILVDLQVQLNSIVERIPEARQTDF PSNTSNRSWSFSRSPTEGLSMDQALPGDAAPTCTEQYHTPVLVAYHKWARIVLSLYVD KAYCVAYQPFLKNARSRIWPAARQSALRHCHGFMEKFISLATDPDFQPFQWSWPGNHQ PMHATMIMLIDLYERPYSPEAPKTRAFVDKIFSLTGPDGGVVGGEDGVTTQRPLKDGG REAWDMIRRLRQQAWQKAGLDPQKLWTEQAQIQAGVAVPDDCLATNPYYPGSSAYLQP IHSREQLLDFSKMFYSMTRSQALPSIATALGTTVPLPRPSSLRYEIPSTTASAPTGQT MPHPENLHSPVLIPKSPSSPADINMPHPQLLHTPKPTTVSQSPSSGNIQLQTATAPPT GLAPLTHARSPAPAPAPAALPTLPPLSISSTAQAQQSQPQPQTTLSFVDTLSPSAHQI PLSVPTPPSMVDPNLNFDWDQWDAVFGQHLPVSDDLMELDPVTGFEFGDLGVPGRTSR TGSLNGGDSGMKMGGAGTGAGSTGMRSPGWC ANIA_00641 MIIYKDIISGDEVLSDTYNIKTVDGVLYECDCRKYLKKTNEDFE LEGANPSAEGGDDEGGAEGGEVMVHDIEDQFRLVWLKAEDGAKPPKDAFKGHLKTYMK KVLKALQDKGAPDETINEFKKGAPAAVNKILKNYDNYDVLMGESMDGDAMHILIDFRE DGVTPYATLWKHGLEEMKV ANIA_00640 MATNTTLLYDLPPLPAYQLTPRPSLVDGIPDNILALILPVVAYW AVSMFFHVIDVYDLFPQYRLHTPAEVLTRNRASRWDVVRDVVLQQVVQTLAGLILAYF DEQEYIGREEYDVAVWARRIRFVQRGLPYLLALFGLDASGVAANFSRNGHKMLAGAVA GGYYPGATQSIVSSGGIETVVPAFTNWEMSLAAFIYWYFIPALQFTWGICVVDTWQYF LHRAMHLNRWLYVHFHSRHHRLYVPYAYGALYNHPVEGLLLDTVGAGIGFLTSGMTHR QSMWFFTFSTIKTVDDHCGYAFPWDPLQHATTNNAAYHDIHHQSWGIKTNFSQPFFTF WDRLFNTQWKGEVKLRYERSREAAEKKLSEGGMQQDSDMENSSSDFAQPNGEAAVVSP EEPSDRDARTRLRKKTASFSPSVDSLKGVNHGVPSSVL ANIA_00639 MPKRRTKTASTVQDYPLKLPNLSRADLQALIEVLAIEPPQPSHP LYGENVKQQIQEAVNKLRPALRKRLLIFSPSTPAPAATLCISHKRLNQYIINHIFRLI QREVEDHLDNITQRYPGYPDSLESHVLNIVRNLQSLRGLWWDHASSRSSPIDPVPFQQ NKCEACIISRIIVRPGALQDLRTALLSRTRERCSYRLPPKLTRFVDGALYYRQGKSLL SLIQYSTKLSSDLKQARKNAARRTTRQHSRRCDGSKCEPRLPSRIVTDHQLISKPTEE PSGSALTSLSPNFESGGRESPQTIKLWLVPKTVSPFELEKKLKEDQKVKDQRKIQDLL IQEILSAYGPFRTSMEVAASLNLDSRDISDATATQGYDINSTNYAPRKSSSDLSDWEN DLGDKSITIDSGSP ANIA_00638 MVATIFHSQSTSDKPLPYTASPWSLMWEDILLVVRTSWSIPWVL LPLKHQHSNELDELYPSLTNIANVVFQAVLSLVQILFLLSVPVLVICMVPTLWVCVYI SGFLWLNRTLCNLILNRKPSVLVSKYPKEEAPEHKHEHWVYINGIACGQTWLQSNIDR LAYTFGRKITGVHNPSSGLVFDIIESLIQRDFSYATRDVREAYALLRAALLNPDYTKV VLISHSQGGIESGLIADWLLDEIPQGLLRKLEIYTFGNAANHFNNPYRALPATQKETA PVVSPQSQHPSENSDNTILHIEHYANSRDFGSGHLFNQHYLGTMFPLGPDNQVLDSNS FMDTETDFLGSTVNGSKGTGRRVKVRELSRLWLYRNGGSPE ANIA_00637 MAGDRMVSHAISLDYQTTPTSGKKPHKRKRDLDGSTVASATPTK KSKKDRTVPSTPASPKESKKRERISGSASVERAPKESTKKKGAVSPIGEPTKSPDVRA DIKLKKKRKTPKDNSESDEKSTDLAEQTVPPDVSGVATNKDSVETKKPKRPKAAEHAE RDDESKRTKNKFAGILSKFERSAKVKKATEKLHREDETPVQDENVAQPVIAQGLEPIP QPKPVVEEAEKPTYSSLPPWLANPVRASAETRAKFSDLGIEPKLLRVLEVNGYKEAFA VQAAVIPLLLKGPNNHTGDICVSAATGSGKTLSYVLPLVTELEQIPAPRLRGLIVVPT RELVKQAREACEFCTAGTGLRVGSAVGNVAIKDEQRSLMRIEHVYSPESVESRRKAEL TGEEWADFSLQDYISNTTDLGETLPGYIHRGEPNVDILICTPGRLVDHIRYTKGFTLK HLQWLVIDEADRLLNESFQEWVDVVMQSLDARKAYGAFGPSGRFLADLGMSLQTKEPR KVVLSATMTKDVSKLNSLRLTNPRLVVVGGSDQTTTADDESGVVVHADERFTLPTTLR EYSIAVGDGEHKPLYLLRLLLSEMKLDVPSSTKRTASVTSESDDTSSEGTSSDESTSD DSSSDESSSEDSDLDSESDASSDTSSSEESDDSDNSSESASDDEETAEAPSNHTPQRT TVLIFTKSSESASRLSRLLALLNPSISGLIGTIVKSNKSSASRKTLSAYRQGKISIII ATDRASRGLDLQSLTHVINYDVPASITTYVHRVGRTARAGNEGSAWTLVAHREGRWFT NEISKGSNGKITRAGKIERVPMKLDNAKELKSKYTSALAVLEQEVRSVGTKKAAR ANIA_00636 MPYNIAMVSDFFFPQPGGVESHIYQLSTKLIDRGHKVIIITHAY KGRTGVRYLTNGLKVYHVPFLVIYRETTMPTVFSFFPIFRNIVIREQIQIVHGHQSLS SFCHEAILHARTMGLRTAFTDHSLFGFADAGSILTNKLLKFTLSDVDHVICVSHTCKE NTVLRASLDPLMVSVIPNAVVAENFRPLHATARANERQSGGGSQIQPPPRPIGPNDII TIVVISRLFYNKGTDLLIAAIPRILASHPNVRFIIAGSGPKAIDLEQMLERNVLQDKV EMLGAIRHEEVRDVMVRGHIYLHPSLTEAFGTVIVEAASCGLYVVCTRVGGIPEVLPQ HMTTFAKPEEDDIVLATSKAISALRSNKVRTERFHDQVKVMYSWTDVARRTERVYKGI SGDISPQEFYGYYPGEIQEAGDRVRNFALIDRLKRYYGCGVWAGKLFCLCVVIDFLLY TFLEMWFPRANIDIARSWPKKLNGIDGDTAKPEKERSG ANIA_00635 MSHFSNVPNQKQGEFQPGPAPSGNYQQPNGPWPNDKHAPGVKAS PADNVPEFHAETHPPGTAPASSSYQPNPIDHSGEQAMNPNVLRSHGKEEVRTSAESTL MGATSKDVHQGAGHPGSGQSSAELRHDGQHTNKNPGRGLEGAMKGVRDDFGTRTDQYL SKKPTAAEGSHAEGSRP ANIA_00634 MYHLAKSLYMYATSKEEYSVLLLGLDNAGKTTLLSQIKALYQHR PDGTPAPNPGKTVPTVGQNVSTISLPDMYLKIWDVGGQISMRNLWQSYYSSCHAIIFV VDSTDVGQNPDITRLPSLSARASSSRKPSTPRPGSGAAESSAEREVDADGDGDGGNDS VNTFAEQLASINAPDSDFGRLDECRQVLESVLRNADVAGVPILVLANKQDREDSVEVV RIKEGFVRKVFEGETGGVVRDSRVLPISALMGSGVREAVEWVQSRVKWNKEGRPPVMR ANIA_10107 MGKTRLSRTTPPVSPSNTFRTNPSLIRTTSTESSRSCLLASTRI GTPATSALRSTDSST ANIA_00633 MDTDTIIIGNGPSAMILSYILHGHFPYYSSQNPHPDPLLHAKLK DAPALLGADVDALTEHFSASRLSYSTQALPVNVLLDTLVRPSVDVEELQSITNVEWRY EPEKVVPHIVLGNAPRPGGQWTENPMPASWEIQTLSYASMLSLPGYSFADHYRKTTGK DLPAYTRPSRQQTADYFRMYPEAVGIDDAFYSNENVWGVTRIQDGFFIQSHNIRCKHL VLASGIFSEVLQPRPLIRPLTSFQPIPETPLLVIGSGFSAADVIISAPKNQKIIHIFK WDPDNRPSPLRGCHQHAYPEYAGVYRLMRRASVAAQPNTSKRIKPLRTTSSPFLESRA WADVYEGFPNTEIIDVQIQDENATVTFRHPDGITVSRSVRGMVYATGRRGTLSYIDQS LLREILGSDDNAEVNPTISGQTLRGKAVENVEISKDVFIIGSLTGDSLIRFAYGSCVQ AAGKLIDGFTGSDTPKTSSTSVSRNDLNIGVMRGIDGHDVYLKNGHFCLDQDKHAVNP SRSAKDASKGIWAWLTRILGWPGVH ANIA_00632 MDFAALMSKEIAKAKRSSTPSSGSDQDGAKPPQKKYARRAEEEA ARIAAYKEEQARLEREREERQAQKRKLEEEEAERRLQREEKKRRLAEESRKKREEEEA AKERERRKRIGLPELPPTPSDKDQTPEKDGEGQMEDLGEEELTKKLREMGEPVCLFGE THRDRLRRYKKLLARSLEVQKMFDGPIPTTLEPVPEVEMKIPTTAPKDAEGRHFLFRQ LTSYFNMVLSEWEFALAKRDVTVKQSLHGRQAYNAMVQSRENMTPLIRKFEKGDIDDN VLQHIVEIISKAQQRRYVDANDAYLRLSIGKAAWPIGVTMVGIHERSAREKLHQGDKQ AHILSDESTRKYLQSIKRCLSFAQTRWPPDDQLQIMG ANIA_00631 MATRDPKPKIDRQTTTPFHLKLFYRLNAYHNLSDFAIRPNTSRS SFSGPVSGANAIRTRSPPPPPNLPAHLQIYTWQSCTLRELAQLLTSALPKMLPDPPIG TRLCFRLIYPDTKGAAMMGPDARGRYLSKDLGSVIVGPRESSDEEAEKNGASGSGPRG SFRLQGYDAEKTLQEARFVIGDYIDCAILPPLEDGSVAPAIRGERGPNEYPGTRRNDL DQMKERHSV ANIA_00630 MAGPSKSLILDPALQKYYEVNSNRYKYFRWTPRTAWHSLLYMVL IPASLGYVAYKSDGKYDFRGKRRGDTIAEW ANIA_00629 MATTSDVKVTLYWLEKSRSQRILWLLEELNVPYEVKTFKRGKDM LAPKELREIHPLGKSPVISVQSSATPKPIVIAESGMIVEYLCDHFGGDKLIPTRYAEG KEGQIGGETEEWLRYRYYMHFSEGSLMPFLVFKLVTDTMKSPPGLPFFLRPIPRIVAG QVEQQFVNPNLERTLDFLEDQLKSAPGGGPFFCGSKVTAADIMMSFPLVAANMRMPLK EKYPRMAAFVEAIEKEDGYKRAIEKIKEVDGKFEASL ANIA_00628 MSSPPFQLAVFSTKSYDRSYLDTTLKAHPTLSNTVSIAYHAFPL SLETAPLAASHAAVCAFVNDTLDGPVLRTLHEGGTRAILLRCAGFNNVDLVVAEELGL FVANVPSYSPEAVAEFTITLLQTLNRNIHKAYNRVREGNFNLEGFLGMTLHGKTVGIV GVGRIGLALARIVRGFGCRLLAADPKPAVPAEEFKNEYGGEIVELRTLLAESDVVSLH CPLTAGTRHIIDAENLGYMKRGALLVNTSRGPLVNTKAAIEALKSGQLGGLALDVYEE EGAYFYNDHSAEIIHDDTLMRLMTFPNVLVCGHQAFFTREALTEIAGTVLSNMEDWIE GRHCGNSLVREGHLVAPEGKEPVRI ANIA_00627 MATHVMTATTTAPINQISVYQHPSPVHSGASTPANNSPTSPRLQ YLPLQTRQLRPPKAPLYVPAALRPTERPSKPSPPTPPRSVHGSLDSLNDGETTSEPVS RRATMETVSSTGGIRKMAEHEWMKNEQLGAVTGLPTREHWKADSASRSCDSPTCRSSF GLFLRRHHCRHCGHVFCSSHTPHVVPLDQEARFHPDGVPSRACDLCWSAYQRWEEARA ERLSKIQQSIDSQDEDDQSSDGHSPTSSVEASLAEGRKQEPTNPGQNSEIAASVPRGW NWSTF ANIA_00626 MPPTRDSTAFTTSLLPPPGANQKLILPTRTIPSPTANPQVFNDA LAVRLEVFVDEQKCPPEFEIDEDDSRSWHWVIYDTEAANPGAQGAEIEPKTIKLPVGT LRLVPPPHASHESFVAVYAPGTSDTGRDITADGYDLEHEPYIKFGRVAVLASYRGCGL ARRLMETAMAWAEENAGDINESFLEIYKREGGDPSKAPLWKGLTLVHAQVDVEKFYER LGFVTDESLGRWVEEGIEHVGMWMRLNVKG ANIA_00625 MSLYYDAASILTTPSTAGGSFKSRLYNSRNLKASPAQVYALITE AAKWDILLKEVIDQAGILKLEPKVVPHHCPVLLTPLLALLLVHDHLLAKNGIAAPASH PLRQAVERHKIRLKGEFTKARVRRACATIPELKEAVRKEKLAALGAKGSSGAVYPRWV RVNNVRTTMEAQLKTTFAAFENVESLDGLVVGGDDKQKRMRLDPHIPDLVAVAPGVEF SSTPAYKNGEIILQDKASCFPAYLLLGDDWDGQGDLVDGCAAPGNKTTHMASLLRKAK SKKKAESSRIISMDASSIRAKTLKKMVSIAGADSFTSVLQGQDFLALDPQDPRFKDVT GLLLDPSCSGSGIIGRDDVPQLVLPAPGRPTPASGSKNQTQGKKRKRNDSSGSSSNQP STPSSLTSAATPSTSENDTPISEITQDRLLKLSNLQFRIVTHALAFPAARKVTYSTCS IHLLENEAVVQRILESDIAKRRGWRVLRRDEQPEGLKKWIHRGVKREEPSADGAIEGG EVNLSDEELGGCLRCWPGDEEGTGGFFVAGFVREGDDDGSMVDAENESEDQDSIEQEN EDEDEEWEGFSD ANIA_00624 MAADKTSPPVCTLPPEKVFSIQIGTKLFRLSGASIASDGYHCLP KDGAEFVKLFADAQFYSLPRLMSQLFESQIIIQIGDRHFQISRDIFSGPGDSPNFFTL GFGAFFASPAEVFPGLDRRGLLRPPAIVPPSVPNRSGDVFAQLVHLLQGYPLHVESEA QRAELLRDCRYFHLRGLEQKLIPHHITFNPLRRRSEIVIRLEDVRRSGIRIEAPSPSP GSREGGSGVSVQYARPFADDSPHDLILEIGGENTVIDLATMRPTFLNSTQARVSSLLQ VILDRKNSQDRSSSSAVAVTAHSICAQIDEETDLTIDGAQQASNHQYHIPDPASAGPA PKRRRVKVEGPEAASQYEEQDGSSNGFWVVRNGQWRISIRPGASSGDEVQFAFVGVKL DVYTRERVRNRKQAFLGS ANIA_00623 MTEQLVSFTPLVAPISPVSNEQVFNDLQWKTLLSLADTVIPSVR GPRARKSRATKVVPQAKLDAALETLRASIRGPDADTLVTQYLEENLTSIPEVRQALQR LFTQHVHKEGRNGLSMILSALNTKAGSLLLTGSMIPIQDQPFYVREQIFQGWSDSRLP PVRAVYRALTAIFKKVWVTFSPSLYPTLGVPHVPIYGTPQNGFQFEFLQFPPGQKPEM IETDVLIIGSGCGGSVAAKNLAEAGKRVIVVDKGYSFTNQHFPMKPNEGFNNLFESAG AVMNDESSMAVLFGSTWGGGGTVNWSASLQTQAYVRREWAKRGLPFFTSLEFQNSLDR VCDRMGVSADHINHNKSNRMILEGSRKLGYSAKPVPQNTGGTTHYCGHCTLGCHTGGK KGPIETYLVDAANAGATFIEGFDVERVLFSKKKAGKVATGVLGTWVSRDAHFGVSGLG AVKRKIIVRAKKVIVSGGSLHSPLLLIRSGIKNSNIGRNLYLHPVAVAHAVFDEEIRP WEGACLTAAVNEFEDIDGHGHGFKLEALSMLPAAILPIFTWRDGLDYKLQVAKLPRSA GFITLVRDRDPGRVYPDPNDGRVRIDYDVSGFDRNHMVEGLVATAKISYISGAREIHT SYRDMPPFIRPAEDKGGSPLGINDPVFQAWIEELRRKAPKTSDRVMWASAHQMGSCRM GTSPRHSVVDPDGQVWGTKGLYVIDASIFPSASGVNPMITNMAIADHLSRKIAKSMEF QSAHL ANIA_00621 MGILLTGNTLEESSQQLVEAYKQITALGTETGLPFSIEKTEIQH FSRKQQQHLPTVTLPGIGGIIPSLYTRWLGVLLDTKLTFKAHINLVFSRGKRLAQHLK RLSNTQRGCPVASMRAAVIQRVLPTALYGAEVFYTGKQQKGVVNSLLSLFRTAALAII PAYKTTPTAALLREADLPDPEALLNSILRRAAVRYMSLDTKHPIAQIAAETTAGRPKT RLKRILQLLLSPLPERAIIELPLPLLCMLPTDNKGYSPAPLQISVYSDGSRTSQGAGY GYAIYFGPILVSKGHGPAGPRTEVYDAEIMGAVEGLRAALGQPCVGYSTQLVILLDNL AAASLLASYRPTPHRHGLSETFSQLAAQWMESPSILTMQRKPLQWLRTETYTAYANKA PETYKALNIRPHTKESRSREHKLPRWVLGRLVAARTGHGDFTAYHQCFDHTDYLESCT CGKAKTPVHFFFCPYTRKRWKDRWRCIKDGPSKTIDWLLSTAAGAEEFSRIVQESSFF KDICPNWARRSA ANIA_00620 MARSYGSSRYGGLPSCSAYAFQMDAPRIDIAFAALYFIVTLVLL IFASVRIRRSKKQGQHVAGAGFLNLSIIFAWFAYIVTIVYTVLTECDVYSYRHLYHAS VLELWLRAVSEYLFLAAILIYLTRKLQTDFGLVQPAILTAQKIWAVLIGLVLIVVLSI STASYHYLYKDSYSYDTYIDLAEPQRAVRLTYHCIAAAGMLLASATLGKTLSQAPGGL RSRESNEEQGITVSIILLVIGALGLTLTNLGNYVQNAFTYSERSMLYESSEYKEYLAK YEAASFLSSLFYCMAFYAAVRVASQRVNAADTMSAYAPPPPPPQPPMTFMTGNEGYHN SGPAYYHNLGQEQGYGRNPEYVR ANIA_00619 MKQKSNSERVRVTRACDRCKRRKIKCNNSQPCQFCIRAKARCTF DSAYTRGRKFLIPSAYHENGSPVSQRGYYPTLLPTTATSQPGTAAHQLQVQLSDNGQM QVQGSSSTSSRNSPEPPSTDRQGHYIGPASGVSFLLRVQKRLHDAISFTEPSGSIFTF GDAPLSLPDFDPSFCMMLPRDEAQKLIDRYFDFVMPTYRFLHRPTVQAWFGEFYDTLG VMNDRDRNGANAKIALLFMVFALARVYMPDNERPGPADLSARYYLAAEHQLSQEKGSI RLTSVQARLTQCYYLLSQSRINHCWSLFGTVSHLALAIGLNRERKADPSINGGGMSVI EAECRRRTFWCAYTLDAYLSAALGRPRSFHDEDIDTEFPACLEDHQLHLPQPQEQPQR GNTSPALSTMLAPLAHMKIARIISRILRELYSIRPVSDSKRLSFVQSISKELSVWRSE LAWFLDADVLSASLLMPIFQRQRNVLNLTYWHSTILTHRPSMLGNLTRVQDGRSRSSK GGAGGNGSQAQVSVGSSTCIAVAAQAQESIKQCLNAAMRIVDIIDEITQNRQLLGALW ITSYFAFNATIVLYIHVIQSRALPFHMYSTYLSAATRCQSHLSDIAEKGSLSERYCLV LEELRVEAMRQASQPRHTAGDGSFAPANRENIRNGYSNARTHFPASPETTFYSTALSQ AQAGIPCDAQTSPHTTATSYSGSLEGSAVGFAPDTNGNEIQGLSLSRSSSDCPGWGQF TSIISSGLGNMDLFWGDEGLRL ANIA_00618 MYTAKRLSGKTILITGASSGIGRSTALEFARSSPDNLKLILTAR RIDRLRELASEITSERPGVKVYPIHLDVSNPEEVNGLLGTLPSEFKEVDVLVNNAGLV KGVARAPEIAEEDIATMFSTNVHGLINMTQAILPSMLSRNNGQGAGDIINIGSIAGRE PYVGGSIYCATKAAVRSFTEALRKELIASRVRIIAVDPGQVETEFSVVRFYGDKSKAD AVYAGCEPLTPDDIAEVIVFTASRRENAVVADTLVYPNHQASATIMHRKN ANIA_00617 MTVTNGHTTSNSSSSVPPSGVWAPAITFFNPNTDDLDLPSQSAY YAYLSTTGLSGLVVLGTNAETFLLTREERKTLLTTAREAVGETFPIMAGVSGHSTKQV LEFIADAKDAGANYALCLPPGYFGKQTTMDVVRGFFDEIARESELPIVIYNFPTVCNG VDLDSATIASLARNWPGKIVGVKLTCGSVAKITRLSAELPAGSFATFGGQSDFLIGGL AAGAVGTIAGFANVFPKTIVRIYDLYMEGKLGEAMELHKRAALAEQPCKAGIASVKYA VGITTAKKAGIERPLEMLKPRKPYRELDEKGKVAVIEMTGGLVGVEDSL ANIA_00616 MHDIPNARIIAFGYDVAVWHPWIQVSQGRLSDMLLTCRAVSLAA GTTSEKYTHTIGICFLGTPHRGAKIATWGERSARMFNIFKPVNHQMVNLLEPRSKALL EMRRSFYNILEKREYEGSRIQIVCFYETIPMLWSLIVSEDSATIEGEPCFPIFANHVD MIKFDTRQHSVYMDILRELQRMMSGRSSDHLCPGCRKYCNTG ANIA_00615 MASPQPTLITLTPAELSSTKISSHNLQSAIEALHRDGLVVITNA VSIAHLDKLNSRMVPEAKTLHARPSTHRNFGPETGNIQQEPVLEKDYIYQDIVANPFA VQVVECMLGPRPALRFYSANTAFQAKDRQPVHIDVDFNFPMIPWGYAININLVETTPE NGATEVWLGSHTGTSRDVLDPKHGHERIREKLLEERRKMGRGGIQPERLPKGSLVIRD MRLWHAVLYLQAENRER ANIA_00614 MAEKDIEKPSHIESPITEIGTFRVVGLSPEDADFYTNYPEEKRK RVFRKKIDIRLVPMLALLYLCAHIDRANIGNAKIEGMVTDLNMSGVQYNTVLSIFFIP YVLLEVPSNVLLKKFKRPSTYLGILVLGWGVIMTCTGLVNNYSSLMAVRVLLGIFEAG FFPGAIYLCSYWYMPKDLALRISYFYCASALSGAFSGLLAAAIAKMDGIGGYEGWRWI FILEGLATVVLGVACFFFLIDTPALSKRWLEPDEIQYLELSMFIKQGGRVEHESAFKW RDLTMVLTNWRVYMQAWFLFAQSSLSYGIKFTLPTITQSMGFNRTNAQLTSAPPYVAA AISAIIFARLSDHFYWRMPFVIIPMTIIIIAYSVIISLKGELASNKGVAYFSVVLAVI GIYPIQAAAASWNANNIAPAARRAIGIALMNCVGNIGGILGSFMYLEREKPKYYTGFG ISLALGVTGVIMAVLLEWSYIVANKRKEREADEARTRYTEEELFDMGDRSPLFKHVL ANIA_00613 MAIIKDIVITPVAFHDPPLLNSVGVHEPFALRSIVEVVTETVYG LGESYGDSAHLDRLAKAAQQIKSLSLSIYDTNAIYQICVNSLAKDTTTGGDGMAGMVT TASVADKVFSPFEVACLDLQGKLAGVPVSDILGGRVRDNVQYSAYLFYKWAGHPGLPD DEYGEALTPEQLVQQAEKIIAEYGFRAIKLKGGVFSPEQEVAAIKALHAAFPGIPLRF DPNAAWTVETSKWVANELAGIVEYLEDPAPEIGGMAAVAKEASMPLATNMAVVAFDHL PPSILQKAVQVILSDHHFWGGLRKSQTLASICTIWGMRLSMHSNSHLGISLAAMTHLA AATPNLDYACDTHWPWKRRDEDVIVDPERALRWVNGGIEVPRAPGLGIELDRGQLWRL HRQYLECGIRERDDTSYMRRFEPKFDASIPRW ANIA_00612 MSAAEVSIRSLTGDWAIDKSKSTNIDGALKLQGIGWLRRKAVTS GTITLKTAHTTEAHNEEQPITRLMMQQGLRGIFPGVEQTRSVDWSTHEHVDAVSGAAI TVRSRYVRGIEEGDGSKVKPALQVETSVTGEKGKADIETFLGAAVSIPETGGEEAKEK PFVQDYIVCESGGWTAEQVWAVEKIDGSTFLTCRAVAAKGDATEMAYQVYQYEEQK ANIA_00611 MSDNDHSTIITLNLTGTVLSSDSGDSQDTVRITLDSIALNGITE IVKRNLKETNRISRDTSVFLKDEDIVIKVSPIPETKPSHRVPKSLRAGDRVRRLSTLQ VCP ANIA_00610 MSKPEMIYRRLGNSGLHVSVISLGGWITFGGDVAEEGTEACMRQ AYDLGINFFDTAEGYAGGKSEIVMGNVIKKAGWKRNDLVISTKIYFGRAHGDNPVNNI GLSRKHVIEGTKASLSRLQLDYVDIIYAHRPDRLTPMEEVVRAFNFVIEKGWAFYWGT SEWSADEISEAVGIAKRLGLIAPIVEQPLYNMLDREKVEGEFARLYERVGLGLTVFSP LKGGRLSGKYNEALERPPPGSRFAESKDVYSVGIRERWQQEEGVIKQLKNVKALADKL GVKQSHLALAWCIKNENVSSIITGASRPEQIVDNVESLKVLPLLKPEIMAEIDKALGN KPAVAPARVG ANIA_00609 MAGPVRRLQQTLSHLQAPQPQQLSIVYGPTEPELLDVTLGELLT LQSLQYGDYECLVFPWTGARWTYADLNDEADRVARGMLAMGIKKGDRVGIMAGNCEQY ISIFFAAARVGAILVVLNNTYTPSELYYALDHTGTDSWFSNFFFLNGTQNTDHHSLED VLAELGPHPKASGTSKALEEIVVIRGTYKDFTTYAQVIERGLPLPPNTLPERESQLQT EDVCNLQFTSGSTGNPKAAMLTHHNLVNNSRFIGDRMNLTSFDILCCPPPLFHCFGLV LGMLAVVTHGSKIIFPSETFDPLATLHAISDEKCTALHGVPTMFEAILSFDKPPNFDC SNLRTGIIAGAPVPRPLMKRLFEELNMRQYTSSYGLTEASPTCFNALTTDSIETRLQT VGKVMPHAKAKIIDANGAIVPVGTRGELCMAGYQLTKGYWNNPEKTAETLVTDEEGTV WLKTGDEAVFTPEGYCTITGRFKDIIIRGGENIYPLEIEERLTAHPSISLSSVIGIQD SKYGEVVGAFIALAEGAKRPSDDELRAWTRETLGRHKAPQHVFVFGEEGVDATVPVTG SGKVRKVDLRKIAAVVLERRRNGQVTA ANIA_00608 MASADKLPLIRLPEPYKTTYRLQVVSVQHGTRKLQLRRSPRVDD GLPPPEPLHRDSFHFTDLREPDPYTTPPEGNNSAWARAQRSPVTYWNWEGQEPPTVAQ IWNVLYALLTLRTEFEIFRAVLSGEGRELLAQELQAVGLATSHPSPSAPPGQILPQSA GTPDQLVIYRSTFWQGAGSPFGSRPVWVCDPDIYTSLRRPLTAFPVHPVQHTLTTKTS DVRVHALHPIRPRKPTPGSKMYSRYIPQLDEFFSLWALDYRDDEHLRLFHMWQNDPRV ARGWNETGTLEQHREYLRKLEEDPHQIAVLAKFNNTFFSYHQIYWAKEDSIGAHYDAD DWDRGRHSLVGDVRFRGQHRVIVWWCSIMHYMFLDESRTRYIVGEPEFTNLTVLAYDH ATGFGLEKLIDLPHKRSALVKCSREKFFQISPFRFDGSDHLERDPYRALKL ANIA_00607 MAMGKRKLAGSFRRYVATGLSRVSGAFRSRSASKERQSRGSGSL VERDTLAKDQGQGVCLQPAQVGAVPVTKVPALDTIEAYAGAFDKPIDGAGTCQRTSLV CSASSAVDGDVIDGLVQGYARFIAGLTGLDDIAFYSTRHEPFALEKSTSIIIASAASN ELVCREVDTNHENDVQFYAELGRVEPENGRREFQPNAFTLFIEPDSNRKKKNVLHLSF AYPRRLIPDAAVEQLLRTLLLHICESSPLKSPSTSQPELSILNFPPSMIPPTAQANGV ENSTTNPHLLHSAFENWARKNPHFIALDFIHSLSSKTHRSEHSIITYAALDSAATNLA FHIRSLLRDSRKHGQIIPVHMPTSPELYISYLAVLKAGHAFCPIPQDVPARRIQEILS DIDAPIVLGTSSKPPISAESSRSTSTWVNVTEVSKWRQMCGEQPADYSRPSLDHITIE QNQTAYLLFTSGSTGKPKGVQISHLAASCSISSHATAIPLPGESPGNFRWFQFASPSF DPSLMEIFVTLSTGGTLCSADRRLTLANLEATINESRATVMMATPSLATLLRPDRLET LEALWSMGEKLNRTVIDNFALDNVMNGDAETRRPRTLVNAYGPTEGAINCTYVAPFKR HMRGSIIGRPLPTCAMFILSPDSQVPVLVPTGTVGELAIGGPQVSKGYLNLPEVTARV FIRSKEFGPLYRTGDKARIVWDESGHQVIEYLGRIRTDQVKINGRRVELGEIESVVAA VEGVREAVAVVVKRDSKSNGGEQIVACLVVDAADGEGREKIAQQAKKNAAQHLASFMC PTTYTFFDVLPRSSSGKVDRKALAVQLQEKPDVPIKNGLSEESAECWRHSDEAASSVQ QLVIRLVAETGDVSDSAIKPGTELYSVGIDSLGAMRFLQKLRDNGVHGLSVGEVLQTH TCQRLVSLVQSMLTNQNGEPNGILQKGSITNDLQLRLQSFGRRYRMFCAESLDVPADT IHEVLPTTATQSGMLTSFLRSSAEQSYEKPTYIYHTVLPLEPRTNIEKLKKAWFDVIS NYDSFRTVFCMVDDELAPFAQCILTAEGVSSRDWNVYTSPNRSSTKSIMILSMFHGIF DGGSLQLLLQDVSSAYSGNTLPQRTSLTHIVKHHFQADHTSTSKFWREYLQGYSLLPF PSLTPHRAPAQKSTGCAEVTSQLSYGALKKLSKSIGSTPLSVLQAAWGAVVLSYTATP DQDVVLGSVMSGRLDPDSKDCIGPTFTITPSRISVQQLKGSGSLTNQSVVHYLSSSNA KALSHLQPQLGSVTVNGKVPYDTVLAYQDFDTDMKPSQTWSSVQHPAMANDFSVMIEV VPNPDSTLTLRASFDTKLDSTGAQIMLKQMDDIVSYILNHPDSSFEDAPLQASLALKS KANPSPITAPEVSEGALLQSQFEDHALSHPNDPALVFKQDLDNDDHPGNITWTYAQLN AMAEALAEHLLQVCGDLRDASVPICIEKSPPLYVAILGILKAGGAWCPIDTLSPAQRR HDLIARTSAGILLVSGLDTPQPQNAVPAGVRVIDVSKFIQNVSSDNTPQSSRHRATPR NTAYLIWTSGTTGAPKGVPITHSAAVSSMRSLQTDIPGNEDGSPIRCLQFSQPTFDVS IQDLFYTWGLGGALISGTREIMLESFPKLANITKATHAHLTPAFAAGVARKSCKTLKV VTMIGEKLTQSVADDWGTDMRAFNTYGPAEATVVSTIREFGNEHRSVKSANIGWPMSS VSVFVMSKDKRVLMKNAIGELALGGPQLSPEYLNLKDVTDTKYIWNEDAGQRLYYTGD LVRMLSDGSLEYITRVDDLVKLGGIRIELSEISFALRGCHELVESVETMILSRKDRPV RVVVAFLCAPKAAGDADEGLLVLDDTGRDIARAASLQARNVLPENMIPSVYLIVKKIP KTPSAKVDRRALQAAYAAVDIDKWENNVNPEGPGDADEDDAATATQIIETVAALVHVE SSTITKSNRLRSLGVDSLCATRLAFRLKEAGFGLSVMDVLACTTIQDLVRLAQSTSFS SSANSASPHNDKFDIVSFNKIWHTLVAAAAKIPEKDAFTTIRATAIQESLLTETMGTY DMYWSNHFFRLDRSVDIPRLRQAWYAVCQKTETLRTGFIPVAQTEAKNKKQAKDSGFS ILQVVYKLPAVDWEAHTYRKEEWSWVLKNRVRDIMTAHQKNYFCHPPWAVTILEEGTE RVMVLTLHHSIHDEPSLKFLMDDVRAAYTYKPPLRTQLTPALALVLPTPSKYAEAVDF WSLELKPYAALDVPVWPDLTGKRVSPGAAPEYKLISEAMSITSSFPVLEKVAADLGLK SVASIIRAAWAFVSLSYLGLSGTVFAETLSDRVFDPSLESAVGPFISVVPVPFRVEGD TTVRKILAEQHRLSLQSWKHRHVHARDIRKALKRQRGEPLYPAVFNFHALDESKDRKI ASLPGLWHELEDQIGLHVEHPMAMNVFQSPSGNMTLEASSDSRIFSREHLRLFVRQID ALISEMLLSPDESLSGLVNRLPSDLRSLSNRIVSHEVANSIHQAPTYWLEKFADTHPH WTAVEVASSISTNGIEKEAMSYGSLNSAANRVAAYLASFRYKNRVVGVCAGRTLASYP IIIGIFKSGNTYLPIDESLPADRKAFLLEDAKCPVVFTELGLRNSFAGAPDTCRVECI DDPALQRSLDEMPSTNKDYSSHPDDVSYLLFTSGSTGKPKGVMVTRANLSSFIESISE FACRIAPDTLKLGGTGRYLAQANRAFDPHLLEMFFPWRHGMATVTAPRPMILDDIGTT LSKWSITHASFVPSLVDQSDITPQQCPNLRFMTVGGEKITQKVLDTWASAPNVAIVNA YGPTEVTIGCTFAHINPSTNLRNIGPPLTACTAHVLIPGTMKYALRGQTGELCFSGDL VARGYLNRPDATAANFITGPNGAKMYRTGDIGRLMSDDSVEYLGRGDDQTKIRGQRLE LGEVSEVLRASSPVAVDIVTTVAKHPDLGKVQLITFVSRAKKRTVNEEVQFLFSDFGT LGQELRDICAKKLPAYMVPDLILPVTSIPVAAMSGKADMKVLQKLFTELPLQVVLQGN NAIANGTGSERPLNPDEIAVVGEICQVISADSHSFSPMTNIFEIGIDSLSAIGLSVRL RGIGYAASVAAIMANPVVEQLARLPRASEHGVNDHADYFAQRCKELESQYRSVFRDAV EVAVVRPCLPLQEGLIARSMNSNSGDGKLYVNHVILQLNKDVDTRKLKSSWEDVAKEN EILRTAFAPLEKEIAQVVLSNASYQMQWTEGKYEDLDEAIQARNEKQGQISRLIIADL STVPPARFHLASSASGKPLALFISIHHGLYDGESFAMMLDEVAARYEGRKVGERGSPS VFLRHVCSQDTEEAKRHWMQQLSGCTPTIFRANGNAIKNTISIRRTGNAKLSDLETRS STLQTTVPNLLQAVFALLLADHTGIFDVTYGLVLSGRTISAPGADSVLLPAITTIPGR LNMSDLKTVNDVVKVVQRATARSLDFQHTPLRKIQQWLKSEAPLFDCLFSYIRATAPP GHNLWAELDSHMPSEYPLALEVQADNAANTLKLECIFSSDFGPRQVGEEFLEKMDAVI SEVVSGSSLPLENFNTVRSATSASHGASVQWDESSWTSSESRIREITATFCGLNVEAV SKGASFFSLGIDSVTALQFARRLRDEGFKVSSSEIMRFSCVGSLTGHIESSSALQTNG IGKTETAISIETYAKHIPLLGKNDSITSLFECTPLQSGMITQTISSGGKVYINPHPIR LRDNVKVEKLKEALRHVVQANEILRTSFHLIPDLGESWIGAVHEEPKFEWSEINMPSG ANALSEVMNLYTFCEEASFERPPIRSVLVNQPGYRILIVVLHHSLYDGASLPFVFEDL ATIYAGGTLAQRPQFSEMVPYMLSGQDESCAFWVDRLRDYVPVEICPLPKLDSTPNML TAKNRIPLPLHSITESCKTMSVTIQTVSLLSYAKAYAHLLGTRDVVFGQVLAGRTLPH PEADRTLGPLFNTVAQRITLDPTFMSNCALAQRLQRDGVEAQRHQHAPLRIIQNTLRQ EGNLDSKQLFDALFVFQKSAALSQGILNEQEIWTSYEDEDFVVDAEYKLNVEVDHSHD GVIVRATANGAYLNQKMLESFLSQYVEVFCDVVEHPARCVTAVPQGLGGLPLERASSR FGQPVTDGSKPDSAPSTPVPVHEETIRSVLADVVGISTDDIKPTTSIFNLGLDSLSAI RLASLCRTKGLKVSVGDILQGNTLRGISTRVQLESEVSTTTNGHNPVHGTSSLIKDYP HVEQTVISNLHLSKEEIETIIPVLPGQFHHLVGWLKSDRKLFEAPWAFVARDDKRINA DKLQCAWADLRKRHPVLRTAFAATSDSEAVQIVLRTPAENSDAFRVIESADNIADLAR AHAREEALHPSSLSSPPVRLRHLKAADRDGILLIIHHSLYDAWSIPMLVSELGKLYDD QPTDFTTAPDFPALVDFSLRALSNLDVNEKDYWTSTLKPATPTLVRSAGTQKSIANNE QLFVGEWERVSNLSTMEKICRSAGFSLQTIILLAVARCLARSTGVESPVMGLYQNGRL AAFDGIERVPGPCLNVNPFVVEDVLTSLGNEEKECVLKQARNIQRSLAERVPYEQSSL RKVLTWLNPENGEVTPLFNMWVNLLWMQDSTSSTPSQQANDEKSEAGFFKPLRIGVPT DFIPSKPLPPSSTSIDSLDTSYLPDQNIFLDIGPDPATDSIGFGVRVEGGLLAEGEVK ELVDAIAAEIERAVACLKA ANIA_00606 MALSLNAAVVLAGVISHIAYFKQGEHHLYGFFYLKLLLTAMSTA TVMLSYVQGAPWRVALSTVLKLLSAYLFGIYTSLLVYRLLLHPLNRFPGPFPARISTV WTSTQLKSNNMHLTLLHYHRKYGPFVRIGSSDLSIAHPDALGPIYGTHSRCIKGANYE LSAPATALQLMRDPEEHHARRRVWSGAFSDRLLRGYEVRIRKYREKLLDRLSEMSSRK EPVDVTKWFNLYSFDVMGDLSFGRGFEALERGEEHWAMRLLMATQNFVGLNLPAWAFV LMIRIPGASMDFWRFLEFCGERLLDRFKNDPEIPDISSSLFVPLKDRNVEDLTIEEKN LLYGDSRLIVIAGSTSDTTAGTLSAIFYELVQHPEHITKLRDELEPHHLGNDNPKKTE FLHSKIAQLDHLNGVINEALRLYPAVPSSLQRKTPPEGVVVDGTYIPGDMHVVCPLYT IGRSEIAYDHPEDFIPERWYSKPELVRHKGAFAPFSLGHFNCIGRPLALMNLRVTLAQ LIMEFDVKFAPGEDGKQFLADAKDNFVMYFGKLELAFTRRERQKE ANIA_00605 MPSLAKDDEERRRNRNITQHQPLCLPHTLSEPAWTAQLEGLLPK SFITAAQIIETLRHRRLRAGLVERHSCSSNLAPKSFLRRWRPPPNSVRWPVPHQPFPH PRRFPSQKPRGKFSQLFRLIFGPYAGRRAHLRLWNFRHEIIPAFRHRAQARVYRALVQ RQARLAKRSREGKLGLTELFRRRRRRRGVSGLIGGLLVPSEKAAHAAGKAEAPGAGKR AVSMSEYGSSSSSTWSSGYGFGNGRERGARRKKVFEYLKAANELRQSYAASWTAQRNA SRDLGDEYMNTPGAFPDVEIARSGDEEMVIFPSYARRLDRDRIAAQMMSQRESLDSID EYRGYDGTREREDEWETFDDENAVVAVDVRGWVYAPYRGPMTRKQRLVVALARKLSGV PAPINNETGLDGTNQEDDRIPRITEEREEELVDSEAQFIIKTADKQNEMNLKAASEGL EEEPAGRLPQRTPTNASVQSSGQLSKDELLIANGHLVERIKPFLSNPMAGMAVTVFFF NDENSQSKNIMTNDSGHFSVRASLSFVPTHVRVLASEDLSAVKNVEIIEPTGVSMISD IDDTVKHSAIASGAKEMCRNIFARELSDLTIEGVTDWYNAMAKAGVQIHYVSSSPWQL YPLLDRFFKMVGLPPGSFHLKQYSGLFQGIFEPTLERKKGNLERILQDFPDRKFILVG DSGESDLEVYTDLALTNPGRIIGIFIRDVTTPERTTFFEKSVNHLENTPSRTNSTPEL TDHSDLAPNRPTLPPRPPRISSDPAPWASPAGSQDLIDLSDSSDKPMNTRTPPAIPSK PSALRSVTNTADLADKPSREAFTRKPAPPLPRRSAGNSDSISAGETASTRSSSADKSD SSIENRGASQTNNRVAQLPDFGGDGAGISKPAPPPPPPRRSVTRASANSPSQVAINTN RPVPERPLRFVASSSQLSQSSSPSRQNAYPGAPSMLRSPASNASLGRSSTSSSDTVTP SRSSTSNFSAPPRAPLPNKREELWRRRWERASEILADKGVVLGSWRVGKDAQDVCLWL VREALKVSSDSGKKEVTQKRETESKWSS ANIA_00604 MAATPAPDTPVKTENEQLESSLGGGDLGDTNGKLDVAPIDPESD EDVPVEAEELQEALSRPPPVNSNYLPLPWKGRLGYACLNTYLRYSNPPVFCSRTCRIA SILENRHPLSDPSQPPHATKNRPDRSQPADIARGQAYVEALGLANARDLLKLIRWNDR YGIKFMRISSEMFPFASHKEYGYKLAPFASETLGEVGRLVAELGHRVTVHPGQFTQLG SPRKEVLENSFRDLEYHSEMLQLLKLPAQQDRDAVMILHMGGVFGDKEATLDRFRQNY QKLSQDIKNRLVLENDDVSWSVHDLLPICEELNIPLVLDYHHHNIIFDSSQLREGTLD IMNLYDRIKATWTRKNITQKMHYSEPVSAAITNRQRRKHSDRVRVLPPCDPTMDLMIE AKDKEQAVFELMRTYKLPGHDLFNNILPYVRTDENKPFKPPRKSKKKNGDFVDLEAQV PPPKTVPEEEVGMGGPERRVYWPPGMEEWLRPKKIVRTKALKTPKSNKKAPPREPDGE LDAALVTPSTPTKTTKAAERSPSVKKRTSRKRKASSPDPSTPSASDIELSDDAKPPQS QAKSDGVRRSRRTKTVNYAEDSESV ANIA_00602 MKNFLLTAALLASSAYGHIQMSKPYPIRSPLNQAATGEKDYSYT NPLSTSGSDYPCKGYANDPFNSVATYSPGSTYDLELSGSAVHGGGSCQIALSYDKGET FQVIHSMLGGCPITKSYKFTIPADAPSGEALLAWSWFNKIGNREMYMNCAQVTVGSSA RQEGFTAVSKSQAFSSLPPLFIANVNGPGQCTTIEGEEVNFPMPGPSVEGSLSGTGYK CSGSAPFLGTSNSPNSGSGSSSSGSSTSASTSPTSLTHAPVTSSTTAVPFPSSSVALP LLPSVGTSSQPNPTLRPEISLPDDSTCVTGTIICSEDGNSWSLCDQGFPVHMGSVAPG TRCTHGAMHRAD ANIA_00601 MCNHVMTVSTRLTHDSTRFERMGNLQPPSARPPGESYFAATAAV TEPAASVSASASASRDPDSSNGSGGDSTAPPTPGCSSIASTPGFASPVTGAQTPDPLL GNKKTPISRIATLEINNEIGLQHRRLSTSRPQGQDAQDAANETDSEAASPVQALSKQG IPPEVSGLTAELFMVGVCSISLMLFSFFLGDMLAPQEPIREALGISSSQLPWIVGAFN TANGLSVVVSGSLADLAPPKMLMVSAYAWLAVWNAVGAFSLNHERYILFFVVRAMQGL AIGVLVSGSMSVLGRLYNPGIRKNRVFSAIAATAPLGYSLGALQGGALSAHLEWIFGT NAILCALCGVAAFFSIPSLRPAADVAGAEPPTLRQFDYIGAACAAGGCVCLLFGLTQG PVASWSPYTYVLIIVGVLLLVALFLWEGKAPRPLIPNRLWSTPGFTALMAAYFFGFGS FFGAWQFYVTQFWLRIQGAAPITVALYFIPNALVGIFATWVVSRTLHIFPGHYIYTAA MFAFTMGPVFFIPQTPNTNYWALSFPGVVLVTFGPDLAFAAASIFITSNVSRSYQGSA ASLLVTNQNLSSAIMTSIADAIGTRVDRDAAGGIGLKGLRDIWWFAFATQLLAAFIVL IWVRIPKEEEKEHVP ANIA_00600 MGFGGVVLRFFNLAIRVLQFLDSAVILGIYSYFLARLAQNDQQI PTWMKAVEGLSGAATLYALLGSLFTCCLGGVAFFAAVAILLDVCFVGAMIAIAVMTRD GTNQCSGTVSTPLGTGRDNEDSPAGVGYEYACMLEKVVFAVSIIGVFFFLISILFQFL LGRHHRREKRFGPSPANGYTYGTQRRGFWRRNKAAPEQVNGDNMLPEHPTPHDVETGP AGGAAPGEKQGWFNRFGRRNEPEHLPTAAPGYGNSAYTGNY ANIA_00599 MSSTLEIATDNENMPLMKALQFHGPRDVRVEQIEEPVCGKGQVK LRNTYCGICGSDLHEYTSGPVLIPKGAHSITKATAPVVMGHEFGGVVEEVGEGITHLK PGQKAVVRPTIFDRKCPPCKIGYEYCCENIGFIGLSGYGGGFAEKIVAPAEHFYPIPD NVTPESMAMIEPLAVAWHAVNLSPFKEGDNVLVVGGGPLGLCILQVLKMRGANFTIIA ELTETRKKSAKYFGATHILDPREVDIPDNVRGLTDGVGADVVFDTAGVEKALDGAIGA CRVHGTIVNVAVWEKKPQIKVNEMMYHEVKYLGSALYDEKSFQDTIDAISKGLLKPES MITDKIKLEDVVEKGFKTLLQDRNTHCKILVDPQI ANIA_00598 MDANENVGLEERLKSALWLSIGKIVDEETIKLGVNATPQFIGAL TELVWVQIETASQDLESFAKHAGRSTINVSDVMMLARRNEGLESILRTYIARLREQEN ED ANIA_00597 MSDFNPSQAITDAVNDYFSNLYALLELLFNRFRTNLYNSFAQVS AYRWTKVILTVIGYILIRPYIEAFFKKMHERDRKKQQEKERAERKKARAKVSPNALRG GATEGEGKVLGEVENTDDEVDDAENEENAEDFATASGVPEWGKNARKRAKKHAKKLEK EAEETKGPKLTEEQIKELLDWSESEDEKKA ANIA_00596 MSYIAPIHRASSIRHALKLHFLNAEDECLVVAKANQLEFYSVTP DGLALVTSCSIFARVTMLACLPAPANSPTDHLFVGTDRYSYFTLSWDSARNQVRTERD YVDIADPSSRDARTGSRCMIDPSGRFMTLEIYDGMIVVIPIIQLPSKRRGRQVALPTG PDAPRIGELGEPIITRIDELFVRSSAFLHVQAGSPRLALLYEDNQKKVKLKVRELKYS TAAGAESEFTSIADYAQELDLGASHLIPVPAPLAAAGGLLILGETSIKYVDADNNEIV SQPLEEATIFVAWEQVDSQRWLLADDYGRLFFLMLVLRNSEVERWELHSLGNTSRASV LVYLGGGVVFVGSHQGDSQVIRIGDQSFQVIQTLSNIAPVLDFTIMDLGNRTSENQMH EFSSGQARIVTGSGAFDDGTLRSVRSGVGLEELGVLGDMEHITDLWGLQVGSRGDFLD TLLVTFVNETRVFRFSPDGEAEELESFLGLSLSENTLLAANLPGSRILQVTEQRVLIA DIECGMTIFEWTPKNQLIITAASANDDTIVLVAGGKHVTVLDIQSEARVVSEKDFGAD NQISGVTLPTTPTDVCIVGFPQLAKVSVLKLQDLSHISSTSLGPAGEAFPRSVLVASV LAENAPTLFISMADGSVITYDYNDQDHSLSGMNKLVLGSEQPTFKKLPRGNGLSNVFA TCENPSLIYGSEGRIIYSAVNSEGASRICHFNSEAYPESIAVATAQELKIGLVDKERT TQIQTLPIKATVRRVAYSPSEKAFGMGTIERKLVSGEEIVKSQFVLADEILFRRLDAF DLEGEEIVECVIRAEAPESKDGEAKDRFVVGSAYLGEDDGDSTLGYIRVFEVDNGRKL AKVAQERVKGACRALAVMGDKIVAALVKTVVVFQVVPRSGGLQLQRLASYRTSTAPVD ITVTRNVIAIADLMKSVCVVEYHEGENGAPDKLVEVARHFQTVWATGVTSVAPDTYLE SDAEGNLIVLRRNRSGVEEDDRRRLEVTGEICLNEMVNRIRPVNIQQLPSATVVPRAF LATVEGSIYLYAIINPDYQDFLMRLQATMASRADSLGGIPFTDYRAFRTMTRQATEPY RFVDGELIERFLTCEPAVQKEIVDIVGSSLEEVRAIVEALRRLH ANIA_00595 MAQLDTLDVVVLAVLLAGSIAYFTKGTFWAVAKDPYASSGPAMN GVAKAGKSRNIIEKMDETGKNCVIFYGSQTGTAEDYASRLAKEGSQRFGLKTMVADIE EYDYENLDQFPEDKVAFFVLATYGEGEPTDNAVEFYQFITGDDVSFEGGGSAEDKPLS SLKYVAFGLGNNTYEHYNAMVRQVDAALTKLGAQRIGSAGEGDDGAGTMEEDFLAWKE PMWAALSEAMNLQEREASYEPVFCVTEDESLTPEDNSVYLGEPTKGHLEGQPNGPYSA HNPYIAPIVESRELFTVKDRNCLHMEISIAGTNLTYQTGDHIAIWPTNAGAEVDRFLN VFGLEEKRHSVINIKGIDVTAKVPIPTPTTYDAAVRYYMEVCAPVSRQFVSTLAAFAP DEETKTEIVRLGSDKDYFHEKITNQCFNIAQALQSITSKPFSNVPFSLLIEGLNKIQP RYYSISSSSLVQKDKISITAVVESTRLPGATHIVKGVTTNYLLALKQKQNGDPSPDPH GQTYAINGPRNKYDGIHVPVHVRHSNFKLPSDPSRPIIMIGPGTGVAPFRGFIQERAA LAARGEKVGPTVLFFGCRKRDEDFLYKDEWKVFQDQLGDSLKIITAFSRESEKKVYVQ HRLKEHAELVSDLLKQKATFYVCGDAANMAREVNLVLGQIIAAQRGLPAEKGEEMVKH MRSSGSYQEDVWS ANIA_00594 MPLVPDDPASTSHHNLSSPPERLVTRSTPSSTVHSRETSAVRGR ALDPSALAPATLQPQSRRRGQSHSKSPETASVRPSPGNDAPLERRPSNSYGHHRQTSI VHGIQHSRNPSFAASTTSTSPLSPELIASLSRSGGAEQEMAGLGRLEYSDMHSNHQSS STNGTANHNTQGMLSTIEDRDTDDVSEGASPLSVAHRRMNSTGKPWRERSHSRSHSRH AQAESKTVGEYALHHLFNSFVGQADNKINQAIMKLGESDTPVEDVCGPGTDSKFDQLI SALGHIARQKPKPLIDTIMLWRKAKGDAVLGAKQAASQSKSPAENGLMMRRNTEPSQA AGDSGHSPNSLLSRHDDIVLTERRATVSVYLVCRVLIEIFNQSSLESITVDMADRLED IVFGQLKTVDPDQITASPLRMANWRIYSQLLGIMSEEKFTSVTTRFLTELEKYQKEES LRGPSKEGDARTELLISGLKHVRIRTSPEKWPKSCDFMRSLARLFVNAHGQRIKQAYC SIFEKLLLPVAANPNCDLTLPKWKEFLDLVHSRLPHMLTKPRHWPAAFPLHVLLLCLS SKENFSSQWLSIIQSLPAKLKDRPTRGPALQAMCRLVWTYFFRYSDSPTVTLRKIDEV VKVALPAGKRTYLSTDPLVAEPLVQLIRMIGFKHPDVCFRTIIFPLINSDYFLSPGRD IKIEQMEPEKMVIGIQSFLAIIADLENCDQLCPPVPTGSIPNPFTDPTDTTSLFRPQN PIDPKLPGTTDAGQNSPCPVNTARLSDNIKAHYFRFCEILGKITLLCDNTFGGQAALD EKFGGSTPKTPITEAFSFGRRDDHLNALDQRQGFYDLLHVAVQALPRCLSDHTPFNSL INLLCTGTAHVQPHLAFSSAESLKAIARQSHAQQVTMGFARFIFNFDARYSTMSDEGM LGPGHIESTLRLYVELLQIWIEEIKQKTKGTIADSGEMNGTVSRALHLDLSSVLAQVE EVESHGLFFLCSQSRVVRSFAITVLRLITEFDSALGKENTRIIRILEADSQQILDVND EHLTVAERSRIQKGKRRSASQNTLIELCSSEVSYDSTLWSKVFPNIIRISFETCPFAV TLGREIVCARLVQMHKAITALAESPQHPQYPPIDPAQARLLARNNTTAEIMIEQWKSY LVMACTTLNSVGAQSQSQLANAQHTRKSSKGSQSFDKIGSARSLFAFVIPLLSAERAS IRNAIVVALGSINKYLYRILLESLQYAVTTCNEEAKIRIGTHHRTPSSPRRSRKTDRL RTEVTHVYKLTSKFLKEPEVYNDDWIVNNLVTYAKDLRIFLSDAGVQNDWEFQSLRFH YCGLMEELFEGVNRTKDPSRWIPFEARKSSFSLMEDWCGYSPNQAQISQREEHMRKLA IAQRQEAGGELRGATAATEIEKRNLRAAALGAMASLCAGPISITTESGSVLQFDVGRM LSWIEIIFNTVSDKWHAIGRRALKNLILHNKEHTYLLERSVEMCYVTERPKSLESYFE VVTEILIEHTDYPLGFWRILGAVLVTLGSPKREIRMKSAKLLRILEEREQKNSRLQDF DISISDKTTAVYKLAQFETSKRLAQQHADLAFTLFSEFSQHFKNLRPDSQRNLVAAIL PWVQTMELQVDPNGGPTAKSYMLLANLFEITIRSSTILPNEVQALWQALATGPHGGNV QLVLDFVISLCLERKEQNFVEYAKQVVVFLSGTPAGSKVIEFFLMQVGPKNMVHERKD ITPAPLDVKSLPYVADLATVLPVGNKQAGLSLGQVALIFLVDLMVAPVTLPLPDVVKL LHVVVILWDHYTLTVQEQAREMLVHLIHELIAAKIEDDEPAGSRQSIEDFVECIRRSD PKVVWEYEDSHDKEDGEDDSRVPSSMASVTHAVVDFFSLAYEGITDLWAKEALNWATS CPVRHLACRSFQVFRCISTSLDSRMLADMLARLSNTIADEEADYRTFSMEILTTLKII ISSRAPADLLRYPQLFWTTCACLNTIHETEFVESVGMLEKFLDRVDMSDPIVVGELVK GQPPKWEGGFDGIQNLVYKGLKSSQSLNRTLDILHRLSGLPNNELIGDGNRLLFAILA NLSHFLRQFDPNIDDPKTLARATLLARVAEGEGCPRLAASLLGFANGQYKAENDFLSH IITEIRSYYYPQQDFQSLVFLMGLLTNATDWFRVKVMKIQCAVIPEVDMRRSDVASHG PDLISPLLRLLQTDLCPQALQVMDHIMTVSGNPMERHHIRMSMASSTSSRAIRKEYER IQSLYGIPEPTGWSIPMPAAQSAVTRHNVHAVFYTCAEVDRVDAQEPVPSEVEFHADD YNDNFFPMRADTMQSIETQTDGNIGDIVQKLDSLDDFFEDTESSHPTLDAVPDMSLRG FAGGYVDSNASIYDQQTAPILRKSLARTASTSSFHNGLVDSRPTNMRFDGVGYHTAGT STPHLSTQTLRPVSHTRSVTSPVNHVFSQSSAGPQFSTPPTGVHEPTFFSDDEMEDGS FDFDGRLMVNKHPAHPLTSQSRSATDGSSSLESMIRSGMRRLTGSTAAGRDKERQREF VRAQHRAMAQTVDSPRVPKVPAEYLGGSPSHPSSPGQ ANIA_00593 MSFSCATPLVRRGAAPRSCYTLVRLRKPTPRSTKAFSTSLRRDD TWGFIGLGQMGYNMAKNLHAKIPPSDILIIRDVNEESTARFVREARDTAKSSGASNVL PEVIVADNAREIAEKSNVIITSLPEPQHVKDVFYSMLRQGTLPALEKERIFIDTSTID PASTKEIANAIHSTNQGRFVDAPVSGGVVGARAGTLSFMFGASSRTGELVERVKAILL LMGKKAWHMGGAGTGVSAKLANNYILAINNIATAEAMNLGMRCGLDPKALQDLVSAST GRCWPMDVNNPVPGVVEGAPASRDYEGGFGISLMNKDLRLALTAAKESGTPLALAEVA RVVYNVVEAEHRGKDFSVVYKWMRDQSHPQ ANIA_00592 MPTQTQQQAAPENAPTQASHNGHFTQNNRGAFGGGHQQGNRPDL ASLFGGLNIQNQGSGGKFNTLKGGLPVAMPPPLELAGPRSYNNQLVLLPNGSVFQGLP HAPVSPFPQGTLPGHDQVGQIPYLPNTMYPGLAPGCVPAAMQGYPFPYHLMNCDMQDP TGQKRNHWNGNDEQKAAGPSASDGSNQSEFFGALPSLDGSALSNYAMNNIPQGGQASL QLQMMKTPTGYILQDLESLVQQEPAIPRAVPAMWTNPTDLTLAKCLENREGITNVYIR GFLPETTDEMLHAYASRFGKIDRCKAIVDLDTGLCKGFGFVQYFNFESCENCIRGFFY LGYQASFAQKSRNSRLKDLEDRSSTNIYCTNIPIDWTEADLRRHFEPYRVVSEKISRD EKTGVSKEVGFARFETREIAEKVLAEFHNVVAEDGVKLLLRFADTKAQKLLKQQSNER RAYRAGEYNYSVEVVQGSTPSPSLHRLQQTVSHISPASQVSYVSPVGVGSSWTPATSI SPSVPLAKNPAGNMRYNSWSSKNSPVPLDTTPLYRGRLGYSNRGNWTDNSGSSKTVMP STPCAEPHSERSSPHKENIKAGSLSPISSRREIIVNSPRSVM ANIA_00591 MSTVDPQPQPQPQPHRPLPTSHIPRSIPSQHASSLTSVITACHT LISLFLSESHEPNSLLARVQHQTRISLQIISDALTQYALDEIALSYNGGKDCLVLLIL FLAALHTKLPIDEAKRPLIPAMYVAEADPFTEMEEFVNWSKQIYHLDLARYTKNANTT LKSGFEDYLNRNPSVKAIFVGMRRTDPHGANLTWFDRTDSGWPDFMRIHPVIDWRYAE IWAFLRHLRLEYCSLYDQGYTSLGGLSNTHQNPSLWDPVKEEYRPAYELTDDSLERSG RS ANIA_00590 MSDEQDALEALEREASDFTKDAEIDRIRKAFVLDAYAVLDLQPG VTEKDIKLQYRKKSLLIHPDKTKNPGAPDAFDRLKKAQSALMDEKQRNYLDECIADAR RLLIREHKYTLDSPELKTEEFKKEWRQKTVQVLLEEEARRRRQAKAKLQEEGRERRKE EQEIEERKRKREQQQAWEDTREQRIGSWRDWQKGQKKDGEKKKKKMKVLG ANIA_00589 MAPSNGHRNGKHAKSSNKSGNLKRKRVQEDLSSLIKRVEDLDLK AKYESFSDLPISEPTLSGLTSSHFKTLTDIQSRAISHALKGRDVLGAAKTGSGKTLAF LVPILENLYRKQWSDHDGLGALIISPTRELAIQIFEVLRKIGRYHTFSAGLVIGGKSL KEEQERLGRMNILVCTPGRMLQHLDQTAFFETYNLQMLVLDEADRIMDMGFQKTVDAI IGHLPPERQTLLFSATQTKKVSDLARLSLQDPEYVAVHEAASSATPSKLQQHYVVTPL PQKLDTLWSFIRSNLKSKTIVFMSSGKQVRFVYESFRHMQPGIPLLHLHGRQKQGGRL DITTRFSQAQHAVLFSTDVAARGLDFPAVDWVIQLDCPEDADTYIHRVGRTARYERDG RAVLFLDPSEEKGMLRRLEQKRVTVERINVRANKQQSIKNQLQNMCFKDPELKYLGQK AFISYVKSVYIQKDKETFNLKELKLDDFAASLGLPGAPRIKFIKGDDTKERKNASRAT AYLTSGDEESDEEGGKKKQPKEKEVRTKYDRMFERRNQDVLAEHYSKLINDDGTIADS KNTEEADEDEDFLSVKRRFDAGDDALHAEDSSASDSDASDSEAEDHTEKKDPKVVKIS DKDTLVIDSKRREKLLKSKKKLLKFKGKGTKLVYDDEGNAHELYEMEDEEAFKARGDA KEQQARFLAEEAARTQRADMEDKEIAKQKRREKKEKRKARERELLAEEEREERVAQLV PFDEDEDMGDAGGYSQSSDGEEEAPRPSKRAKVEEPKAVPWYKKEAGKKNDAGEKQIQ TLEDLESLATGLLG ANIA_10100 MHSTPTRTSSGASSATLDNFTNLGPNNRSLYATTFKEQRRQDKM HEAQKTAARKRQEKEAARVREKRALEQAQAEAAQGQGEEDDEPIEEEVKDDFKEKIEM LKRRMREVELSMKKVEETTKRCPGCQWPIEKNDGCDHMTCKHKMQSRVLLDLPGWLEF AHPQVQTALLVQLC ANIA_00588 MADSTAAMATSTLKKKHASISLHQENMNVDRMERVKEEPHLSTT ITKQSAISMSTKTSRTGVYETEEPRSNALDKNSDTRMATIESLLAENDVLQTQITQLK TALHDAQNHIFSLQPHIQTLPNADAITLFQSLVSSVENWVDNYLADSLEEKEVAADAL NINDIRNLMNLIPPEGMAAFNTANTDVDIIQAVILKFLVESIFNQEFSTPLPRPEMEF VMEVERAMRGLKPKREINWLMSDIRTIRHWHIETYTAASTRPGFDKFAHDRMWNLTVH MINMLRAFAPRTDPNTLAKSFLKTVAKPACDLARRFHLCFDEYSLEWSENHDNESLVE DPAGAFQRVINEGRFGEYDFVRVGEERKWLRDMPTSLSSGNGEREGKDGDGNETKVTV RWLFDIAPKLVFRKLKVDSWGEKKVLVKPRVLVRVSEQKRTGPTKKVVKKAERVEYKT VLGAVQGWLTGKEHLIEKERKDKERAGRQLAGLLGGIL ANIA_00587 MEDSFGAFNFDDRKLVIGIDFGTTFTGVAWAETRRPEHISVIEA WPSHIGAQEGISSVKVPTELRYTKTPKADGETVEWGFQIPGLVDRYQWFKLYNSLLFF PPTRGLDESNPLVSTKSWGDKTPEQLTEEYLSSIYKHIMYTLDQKLGSALLRTIPMEF SLTVPAIWTEAAKDKTLKAWKKASGGAKEQVISLVSEPEAAAIYAIHGLDPHGLHVGD SFILCDAGGGTVDLISYKIIALTPILQVEEVTTGTGGPCGSTFLNRRFADFLTRKLGR EQGWDDEVLQEAVERFDSIVKKQYSPTIDGTSGYVIPVPGLSNNSALNVRRGKITISA EEMHFIFEPLILKVIKYVQDQISACGDTEVRAVLLVGGFGQNSYLKERLRDALKSVEV LQPPNAWTAVVRGAVMMGLAKTKALKTVDVVSRKARKHYGIELSRSFNVEKHDKSRKY WCSRHCEYRARVMAWFLTKGSTLEEDKPIPIKFYQDFRVSAGRPDMLSISVWVNAEDT SAPVHKNSDTKTLAKLKANLSTIPQVDLDWTIITREDGHAYYCLDGVIEATYSSAETT YVLSVMGKKYDTVNAEYAY ANIA_00586 MMDQHPLPFLTHSPIDPGSIDGEKATAYARGVLNRLNAALAASD TEALENCFYSDQASWKDQLALTWHLRTFRCHNTIAASFLDTARLRGLSSGITIDGEAV FLSATPALVCPESPIAYSPSLQDAMNFETDVFIIGAGNAAIALSACLKALGVESVMAD RNAHPGDNWARRYDCMRFHVPTAFCDLPYMYRATVYDEELRSPHLLTRQDLTSQVRRY VNSFNLNTLHLAEIQWTEYDELAKKWTIAFQTPAGQCKATSSHLVLATGIGSLKPNIP YIAEPHIYKGISIHSAEYKNAKLLKEQGVKSVVLIGSANTAFDVLENGHSAGLNATMV ARSPTYIVPLEYVYHQASLGTYDTESMQPIISSSHCQHLWTDSCVVDCFPRSHRLNWN DTPQSRQPGPCSQQSRTNSTCLDTDAIIWCTGFADSNVVTTATEILGGKSDVTVANTK IDDQTGRAHVLTPGGIASHLDTTWGVDEEGEIRGMWKRHSHVESIWIMGGYTQQHWWY SRILALQIKAALQGILPPAYRHDPAPEK ANIA_00585 MQSLVLPPAFVPSDFGHLRYPGPERHLLSRPRSANARRYTPRDF PLPSMSSSDPENDPLETLGNVRRPDHPELPKPVTTAAIPAGTAVTSAVPRPVSSTPPD HVTVREPALQRFVTAPGYETLPQPLAVSEPTSSRFPPTFIGQPSAGPSTVTYPPAYGT ATAAASRALPQKTVRRTKAHVASACVNCKKKHLGCDPARPCRRCVLSGKADTCVDVTH KKRGRPPLKADEGSIRTYATQMDHPGAPTDQGQARRPMHRTTSSRELRPMTDLQLQAQ PGLMGARLPPGQPQRWPMVYSHTIDPSLTQRTIGHRRISSSGSTQSMTSVSPTGHVPI STGYNPALAAGRIPPGMSVGMGVGRPSPYPNPGMHLHPTPSPPQYQPYGVPISPYPEN PNSRIMNRIPMSDAGPPPRDPREGYMESPVRLPPIYPSPVANPQPPPLPQAQTHRISD PYSSTWSPQQQQQTPEPRQGFMEPFSPSSQMRQAQTPAVTDLGQRQSQSQLGLSSSPG AQVQQSPASRSYGGQRDQDRGHGHKTEEGDSSRPAKRRKMALDDMVND ANIA_00584 MSATAYIWRSRIAGYPILEGNMNEQREFAKKQQGVRKKERQLVI TGDVAYPVTSAYRYIAPTLNITFAMAGRFDQHSSRRSPIPKRGGEVKTNPNLGAYCDI VPQQRLLRNGASEVN ANIA_11276 MAQATLWRISEIPDLVIRMAISLIVRLESLVGSAVSNLAVAFGY RMARLVSPSDNT ANIA_00583 MPSRAASPALSENEFDITGALFQNDSDSDAETQKPTKRKKVPAA PNVNLDFLGEANAGDSDEDDEAFIAEKQTSANRKSANLKGRTVKKGGGFQAMGLNANL LKAIARKGFSVPTPIQRKTIPVIMEDQDVVGMARTGSGKTAAFVIPMIEKLKSHSTKF GARGLILSPSRELALQTLKVVKELGKGTDLKSVLLVGGDSLEEQFGMMAGNPDIVIAT PGRFLHLKVEMNLDLSSIKYVVFDEADRLFEMGFAAQLTEILHGLPSTRQTLLFSATL PKSLVEFARAGLQDPTLVRLDTESKISPDLQNAFFSVKSAEKEGALLYILNEVIKMPT GPTEASLRLKEKGPEDSKNKKRKRAEMERAVNMKESPTKHSTIVFAATKHHVDYLYSL LREAGFAVSYVYGSLDQTARKIQVQNFRTGISNILVVTDVAARGIDIPILANVINYDF PSQPKIFVHRVGRTARAGRKGWSYSLVRDADAPYLLDLQLFLGRKLVLGRESDQVNFA EDVAVGSLPRDGLSQTCEWVSRVLDDDADIFAQRAVSTKGEKLYLRTRNAASAESAKR AKQVVTSDNWTAVHPLFQDEASNLEAEREKMLARIGGYRPQETIFEVQNRRGGKGGKA SEPDEALDSIKRVRSTLEAKKKQRAQAEELEATTNTDGAEVDGDAFSDLEGDNANIPD NMSLASESDLEVTFSSYNTTDKASKSNSNSKSDSTPTTLFQNPEYFMSYTPANTSLAE DRAYGVHSGTNANFASATRNATMDLQADEGGKGFGEPRTLMRWDKRHKKYVSRQNDED GSKGTKLVRGESGAKIAATFRSGRFDAWKKGKRVGRLPRVGEAETPGLAADLGGSGGS FGGKRFRHKSEKAPKAADPLRGDYEKMKKKAEAARERAASKVGGVTSGGKSEIRNTDD IRKARKLKQKRREKNARPSRKK ANIA_00582 MDSYGGYGGNSYGSGGGGFMPGETTSPSGGRQEWNNTTLRPVTI KQILDATQPYPEANFTIDGQDVSSIVFIGQVRNISTQATNVTYKLDDGTGEVEAKQWI NPSEAMDTTEDFGKEGKDLNGVEINGYAKVFGKLKSLFGDRKVVNTHCVRPLTDINEL HVHFLEAAAVHLFHTRGAPGPAAGATGKIDGGDAAMGGLDGAGVGGGGGFPANMSATA RRVYNLLRTEPQNNEGLHAQFIAAKLGLPPQDVVRAGDELINAGMVFSTVDDQTWVIL EY ANIA_00581 MARDGVALSAPVDRSYSRGSSPLAERAIARDLEQYADGTDSAST ADDEASENSTIRPVRSQPGTAPHSLAGSYQRPSFFTTVSHATVVPYPYQPENSERLTW REREQAIEDERELLTDNHFLDPATSTRSRRGSRAPADETTSLLARRRSSGHSAANAED IDRKWEEAVIAGLIHTTWRREAIVIGKNAAPLVVTFLLQYSLTVASIFTLGHLGKTEL GAVSLASMSASITGYAVYQGLATSLDTLCAQAYGSGKKKLVGLQMQRMIFFLWVITIP IALLWFFADKILLKIVPEREVAELAGLYLKVVILGAPGYAAFESGKRYVQAQGIFSAS LYVLLICAPLNAFMNWLFVWQFGWGFIGAPIAVAITDNLMPLFLFLYVYLVDGSECWN GLTRRALNNWGPMIRLALPGLLMVEAECLAFEVLTLGSSYLGTTPLAAQSVLATVSSI TFQIPFTLSISSSTRVANLIGATLVDAAKTTAKVAMCGAVLVGLLNMVLISSLRYYIP RLFTSEVEVIELVAHVLPFCAAFQLFDALATNCNGILRGLGRQEIGGYVQLFCYYAIA MPISFGTAFGLHWGLFGLWSGVAIALCLVSGIEAVFLTQTDWNRSVEDAVRRNAAA ANIA_00580 MPGTTPTPSGPEPAPARRRPRYNPLINPANAKPVLVEEHLESDM HIFLTRPYLGALLFENSASDARDHCANERTFLSWLRLSMYLGIVSVALIISFNFKAQP TPLERRMALPMGIIFWVLSLVSLSNGLANYVRTVKKYSRKAALVQSGWKTQLTFIVVG GVILGSCIVLLVTDAHRY ANIA_00579 MSATETITRITADNVADIFPDVDTSLAREVLPQATTTSVANSND LAGYDEEQVRLMDEVCIVLDDDDKPIGSASKKTCHLMTNIDRGLLHRAFSVFLFDSQN RLLLQQRASEKITFPDMWTNTCCSHPLGIPGETGSQLDAAILGVKRAAQRKLNHELGI KPEEVPIEKFEFFTRIHYKAPSDGKWGEHEIDYILFIQADVVLEPNLNEVRDTRYVSA DELKEMFKQTNLKFTPWFKLICNSMLFEWWSHLGSPSLDQYKGETQIRRM ANIA_00578 MHYSACSDDVEQRTYPAYPYPSQIAPNSPFHAANSGLGISYCET GPSNNYDRPQSSAELYPADWTGQLMPTTVPLGYSFNTSLMTPATLCEPYIGSDVSTSP LSYCGPQAMSATSSRGSALDLKTIPDTMNPQMYNLLPNTPRSDADVMIKEEPDTEYLD GQYTENTKPASVPLFAPVAQHGANAFWPKLEFSEEDDTSEPALVDHDLESNFSGPSTY PVGDFSQWTSNNTSADHEQPRIPPASGRECTICGARFTRRSNCREHMKRHNPSNRKSY ACEICGKGLGRKTDLKRHVDSAYIRRMQTNRSEVK ANIA_00577 MLHESMASLAPSINDRVEIPIIDDDEPLVDVVQKLHSFMISSIS EVWYKFEELKLAPDPRLRALVNSLAEDSHNPRIVPALMILKWRFDKFAEHDDGQNETR GYACEYVAWQFLCHLNERELIEYLLEELKPPTQYTSSIDSAEAGIGGRATCMVENNLP GDEHTPLLSSSTTRSQLLERNRQHEDYFGSEHLETNNYFPDGDGDGFSMFLGLNALEI ATIAHAKKFLSQKVVQKVVNDIWNGEIVFWDSLSVHSTKKPQRFNKRTADPYSRLRVP VYRKAFEAGFFVSFLLLYYAVLLERNPARVGNFEAILYVWIAAFAYDELSGLVDSGML FYQMTFWNLWDLCIIGTGLVFVVTIFCACSMDSLLKTLPRNYRLRIFSLVSLNPYFGS LTKAFFRFVPVIIILYLGFLTTFTMLARDRLSSKNMSWILVYVFFGTFAMILRMRVDA RFCLVSLMSMSMEGVMSHAREEYLFQLAIYVLESSTSKRLTYFMPPLNLIPLLCIRPM RLFLPAAEIRRVRIILLRATHLPCVALLWAYESSRRFLSRRNSLASARMTPKRLSRPT STIQARSGPYSHHVMALTSGLDVHSRASLRTRRHSQHEQRPGQEEEVADMLDEMERLR AQMGRVAAALGVHHRRRQ ANIA_00576 MGQGYSLTTLSAGSAGIDIPELSDLTYEKSMGGGRFMKSIRARQ KNGLVFVKVIMKPYPSMKLEPYVRAIIRERKLLSDIPNALAYQRILETSTGGYLVRQY IHSSLYDRMSTRPFPEDIEKKWIAFQLLCALRDCHAVDVFHGDIKTENVLVTAWNWVY LSDFSSSFKPTFLPEDNPADFSFYFDTSGRRTCYLAPERFLVANEEPGSRNVNWAMDI FSAGCVIAELFLESPIFTLSQIYKYRKGEYSPEHSQLAKIEDPEIRALILHMIQLDPE SRYSAEEYLNFWKIKAFPEYFYSFLHQYMSLMTDPSSGRTQVEAGSANRGEADDRIER VYLDFDKISSLLGAHLEPGKDGSLSTTSKLTSGTFPVELDLPRYKYSDRTSTDDGVLI FLTLVVSSLRSTSKSSARIKACDILLAFAERLSDEAKLDRILPYIMILLNDRTDSVKI AAIRSLARLLEMVQVVSPVNAYLFSEYIFPRLQPFVPNANSQPSPAVRAAYASCIASL AHSSLRFLDMIQALRSDTRLPALIPAGSEPRWTEDSTYHNLYDVARIDLLDYFETHTK ALLTDTDASVRRAFLGSVSSLCVFFGTIKTNEVILSHLNTYLNDRDWILKCAFFEAVV GVAVYVGTTSLEQYILPLMVQSMTDPEEFVVERVLRSLAAMADLGLFQRPTTWDLLHA TVRFLVHPNAWIREAAVSLVVNSTKFLSTADKYSILTPLVRPFLKVSIVDFSEGDILD ALKTPLPKALYDQAFVWASQAEKGFFWKSVGRDGASLGSVDAAFQKRASKAFSLSAQP KNDEDEQWIAKLRALGLTTEDESKLLALREHIWRVSMRQTKDHESAGASLNNVVALID YGVTPQTVFFDKSQNVKARRNHENPVGRPQLTRAEDSKAHTIADALLDASTTIDNNAN SRRRHARTKSQAQQEIEAAISTPRPNNIEVLRAEDSPASSPIASSPSAAPGSRPMSPP SSDAERKLPEGRRSPGQESSSTETDNLSLRRLKAGVQKKSSAISLLNRRDTAKAYAET GTSSANVFGKIGVPAQRDAISPAPRERKPVDLQTHQYRANHSYAGNDPMILRLLDSVF AENYPTDFFDLGPYVKELDTRRPIMKADGDVSKVWKPTGTLVTLFGEHSGPVNRVVVA PDHSFFITGSDDGTVKVWDTTRLEKNLTPRSRQTYRHSSDAKVRALTFVENTHTFVSG ATDGSIHAVKVGYHNSNGTVRYGKLQLVREYQLSTTDDASPEYAVWMEHFRTDAQSTL LIATSMCRIIALDMKSMRPVYTLQNPTHHGTPTSFCCDRKHNWLLVGTTHGILDLWDL RFQVRLKAWGLSGSGPIHRLQLHPTKGHGRWVCVSASGNHGNEIIVWDIEKTKCREVY RADSPALGHNHPNQAKGISDKEIARRSPPNLKDYEAWHVEGDRPEGMLSRFGTGTVEP PSGSPSTGTSSGTGINTFIGGFDCPEDGRDNSTRVGFIISGGCDRKIRFWDLHRPDQS CIISGLDPISDGTVTGSPRYEVSSPTQSLTFAIEHLPNPGANAGGAKGSGKRSGGGRL PRSTVISLQQQQLLKSHLDFIQDIAVLRVPYGMIISVDRAGMVYVFQ ANIA_00575 MGASLRDHSFRPPTRDRPSTRDQGENSLVVPSRTSSLHSRITQP IPSQSNAKPAQRTPKTLTHAYMVCGVGREPAQWVKAPTPSQGKIGHMKGAVGQFWLPE ILGSSPRLEQDNEIAKALHSAMRACFPHDVEICTGKNQPHCVHHAFVLQQDSSHTLYG IALRVWSRADEKRAETIRELRKKTESDYYDNPEETYWIPYCLSFLSRYPLYDLLGDYL RGMWIHWNKATNLFHAEEVSRILSFPAPRLNDLVRIDMKDYALCYQFPSSPTGFQNFS MWPLFTCLSIPNIVGVIEAAVSPTRRIIFVSHYPAMLTIAAETIRYCVRVYEWSGLYV PVVHARHIKDLVQEPGPYILGVTAECRTLFNAPSDALVVDLDRNFVLTSSPPNILNPG QRTKFINRLTQALNGDVSPSGVPNHLRSAYAGGKLIPAGQIIVMRGEVESVEEPSWWN QDAVMGVMDHVCEKLGRNTGMKAIFGGSVKKPLMTKVSMRHLNEIVRERNQYSRDAME AWQDFINLKGRMDTELGKVTKRNNFLVEELETWKQQFLKFQAFAEQLTKETSELKVKI ENHKRENRRLSSLIDQQKDDVARLTLRLSGTEKQRDDALEALVLQQEIAEELERERKR NQKELAALQHTSATLARQRDDAQRVVLHLRSLINGKSHHMEHLVRSIGSSAELDELAE QEVAEEQAQESAESVKDKNVKKDVKTNESSNGVSSINPDLEQHLLNIGQPERRLARLS VTDVADRYLRDKTDAISEIIRSISEQCAAAVEGLQLAQDAEDGDAMPSKANLEPRGMM TPREGSEMGDSEVSTLHPDGRTSVPPTPDLVHNRSSTSMSMISSSTFPERSSQQYGPG EIPTRIVEDDDEHAHETEGLDNPSEAGTLSKHSNEDLMRTTPRMLA ANIA_00574 MLTHRTATQLGQRSDQPRYLASYMHAGMVERQTNRDVSHQFARN GAKAIYICDFTSTHLPTHAREIKSLYPSVDVHTRTFDAADEAALKAVIDEAIQNYGRL DIFFANAGISGSNVPFTEVTGEQFAETLRINTVGVFLAAKHASLAMRKTSPEKKYPGG SIIATASVAGLRSNAGATDYSASKAAVVSIAQTVAFQLAGTGIRINAICPGVVETGMT AAMYEAARARGTERKIGQLNPLQRGAVADEIARVALFLGSDESSYVNGQAWAVCGGLS AGHPVVPGKLA ANIA_00573 MAECDRLLRPQRRSSSQASSSSRHSQKAKAIRTKAQKRHSASNV TTTTTTTESETDLTSFPSLSPDRSPNGFFGQPALNRALTSALLDGTEENESAMDRNRD RKAALAKLTTAASHSSGRAALFEDSVPIRDFPGALHLADDAHIERLIASNGAVKFVRQ FARDLAQRDAEISALRQRADARERELKRMLREASVSNKDIERRLYALENSQKNNEQEN DPEQTVKGYGVGGLVQQAMSDEVGSQFRDSDGDDDDDLDTAVQSTIRRPFRLEGDAKS GMSSVGSEIQNRKRGGSLRSWQDFIFGSATNSRKTSRASSIISELGEDEGGGSRQVPT GNVPNRRKPLDEQLFQQPIEGQPADNASTHSRKSSRSTSSWTMKLFAGNQNRDESPMD RNRGRTSSTNRERNRGPPPPVTKHGMTAVAALRRINSNTSIQGAQGRPNGSGTAPRSG QFGRRQPASSISQGTAADASAMNPTNLGPVEMDAILPMESRPPTLSPLYNNYLGGDLL TDRFGFIYDQRRKRRQREASVLKNNRLSIAGTLDALRDDAAGPDEPVNGRAPSAAGSR RSSGPVSPTESDGPQRWQDYLTVPSAPTELLSHTPSAGPIISLTTAGEDAPRNNGVVV DKRGSVSVNPNAQPSASTSTVVADSPEFAGSSADEIAAFASGGEQEPVKLLLEQLTDL HDWLQRERTVRWNEFLRKVRAERRKEGEAAAAAAAASDRSVKAVDMPEVSLADGEMVG ISGLGNKGKVGRAKWREFRNLVLGGIPVALRSKIWSECSGASSMRVPGYYDDLVKGIG GSEPDPSVVAQIDMDINRTLTDNVFFRKGPGVTKLKEVLLAYSRRNPEVGYCQGMNLI AGSLLLITPTAEDTFWLLVSMIEKILPKHYYDHGLLASRADQVVLRQYISQVLPKLSA HLESLGVELEALTFQWFLSVFTDCLSAEALYRVWDVVLCLDVASIVNKPAPSTSTPTS STSQSANRDGSSTSTPTPADMDLGSGSGSGSTFLFQVALALLKLNEHQLLTTCSTPAE LYTYINHSMTNHAISIDGLIQASEALRNVVRPEDVVARRAEALKEM ANIA_00572 MSTSELRELLFFKDADTLAFIDPPSYKPAWSLHPSCAQSIGHRI HSWKLLGSASPFLQAQFEQRTQERNIKRRGGLPDGIKYIIDLTPPSVEDEALLTISEL SCPLGIRTWAYSQSRWILPEDLVGGSETQGDIKDQSGRLAEYSPERHRAGIVQVLRVL EGLEPKLDTPCKLWTFFAVAKLYGLASMPEISVRVREWVYEGNNRRLIEIYPEITYRL GKGIQCAHMMRDSYCVLVGEEALRLLRDCSTPAPRKRKTTVHGRPLGSLDDDDEQRVQ YAGESLLGYVIEQFVELAGTEMRWLHRSEMFQNVLAYSPRTQYALETKENLISCLKDF VRTSIIVALSQRAKTRLLQNASQRPMSYPATDFLDVFNSLSLTERLMSRTFWTLLSDT RLSERDGSADVAVPWGASLASLGGEFGAFRGQHDAIIRRITKQELYSRVAAFNRLSLN TNLGSQPERHQHRYSRNEYNELTYGPDGHFSVPLFLQQAYYHRRAFIKRIFLQARDEM NYVIADTITSLTEQQYQFLPLWADGCDDGTGGVYANQVPLAEVDGFSAPGPSIHTGSA APSATPSATPSVASFLESTVHGASHQATEGICSEVLSVSSELSLGTDGASIAGSPDIQ AVDHELSFTLETSADDVDDDPFDTDTSDNTVVLDHGDLSELSEFEELDMQDGPAPNLP IRQKKA ANIA_00571 MSPQCTLPCSRHFHLHTETTSAKNYSSSCIAHRTTILAEHSVPG SSSTAASSLASIILPKISHEQSQKLTYTHERLFVHYISDSPSGPADTTTSEPSSYAPL SYIVVATAEQGRRIPFAYLLEMKRRFLSTYPPSNTDFASLPAYGCAAFNNELRGLLQT FNTAPPADSLASAKKEIDSVRDIMTENIERVLERGERIDLLVDKTDRLGGSAHDFRMR SRGLRRRMWWKNAKLMVLLGVVVVFLIYLFVGMGCGLPAWGRCVG ANIA_00570 MAVTNQAISSSRRKSRKAHFNAPSSVRRVIMSAPLSKELREKHN VRSIPIRKDDEVTIVRGTNKGREGKITSVYRLKWCVHVERVVREKSNGQSVPLPIHPS KVVITKLKLDKDREQILERISKGREAVKAKSA ANIA_00569 MADKHLTWIDWPHNYAQYKSGQTAPRVEKNSNCNWRSPFYNFSN SNLQTLPNSNENTQSHHYPSDQQTEPYVQLEDVLSYPSYRWGPSPPREYQRQTPYAEN SELPTTSLSQPSPKRGTGRQLLNTMPQSHSESEAANAANDAYSGPNAKRQRVEAPLII QAPSQTSLEVASPAYSQVRTQPAVRNTHSGTDYNTPQTHNPLTSESTTQPAMQRMSQL VQRPGSQYLAPGETRPTTQAQSQSSESPAIAKQRVSQYALQEHSKAAPLALSSTRPRT IAQQLSWRNSPCASPQTAFQVLPTTVAPSTTQYTAQQHPQPVSRSVSQHSSPDQNPAL LQIVALSDTQPKPPYTRLPELLPRTLTNIPQISRAAAQRNPKQASKPIVKSTAQRVSL HAAQATPQFPSQNTSQPGPSLTVKTATTSAQQHLAQNPYNQEATSTQPTQPISQPLPD IAQEPHSQPLGTRGKFAAYTFQADDLADRTFLRSRTDIVSPLNEADAAQKTAYNPKTI ARDILIAAGRHPTEAHLNHHLDCLRSIFTQVEMNSDLATFRWDLVDPSEPSREMKIKS STQSNPLQTNQTTAPHPAAQVALPVNNTFTPQQYPAQTQHNPPQSNLQQVQPPSPPSQ QADLQYKPQPKQEVQPQVQLQRQQSLRSHDLQRGSQTPNTANSMEKKRRGRPPGSTNK PKVVVASAAPPQRTSSYPVFACRWGNCQSELHNLELLKKHIFKIHVSYQITCGWKGCT FTGTLPAAELMNHVKTEHLDSLAWKLGDGPAVLTSVDWDISAVPLTIPESYQPGTEDT LIFPAEYNSIRAFNRVHGNNSQLEKAHEVFKAVQRLKTQIGVGLDPGGCELATPLRNQ RVSNDEDVYEVRPAS ANIA_11275 MGPGATAMEPSADTLDGYSMEGMMVANSSCYPIRTDCLTALTTI DLQAFWYNQIPFIL ANIA_00568 MNEHYLPAKALTQQPRATGPSLLACLLCRHKHLKCDGATPVCSR CAATGAECQYTPSRRGYKGPSKKRRANPSSPEQLPADLAPSFDPNVGFYNVPVDWNAL NPYPYVPSATLPASTSSGSSPQFTEQPGASQQVVTKNAPLTPESSSSLSNDGYLVDIY YQFFHPSHPILPPIKTLYHNRVPPYLEQVIKFVGSHFTPAASSETYRPGIMTTVMEQE GTLEKIQALLLLAIVLHSRNERDKAKDCLITAVDLAFELGLHTRDFATTMGGGNPLRE ECLRRTWWELFIIEAMLTALGLQKSPRTHHVPLEVPLPCEERIYQDGIEPPPPPTIAQ FDERVFADEERDFSSYTYRIEAARILGRVVAIQDLVEGQQDQVESIDARITSFFHHLP ESKAELLRPDGTVDEMMFQATMVVNGTAIYLHFPRSDLLSSPAVAAEVICGHHGPCSV PAFSHHSHAMKALKAASEISSLASIRMPVVKHTPFFICSLVMSSIVQLAACSVKAGQM PDPSRDRLALTIGVFKTLANTWAISQSIMRQIKAVARDVMDMGLRPTMAMDQIDLNTV LDNNGRFWLAEALPR ANIA_00567 MTIPEEVDIIICGGGSSGCVPAGRLANLDHNLSVLLIEAGESNL NNPWVYRPGIYPVNMKLDSKTASFYYSRPSEHLDGRQAVVPCANILGGGSSINFMMYT RASASDYDDFQAKGWTTEELLPLMKKHETYQRACNNPEIHGFEGPIKVSFGNYTYPIA QDFLRAAESQGIPVTDDLQDLKTGHGAEHWLKWINRDTGRRSDAAHAYVHSTRAKYSN LHLQCNTKVDKVIIEDGRAVGVVTVPTKPLDGKEPPRRIFRARKQIIVSGGTLSSPLI LQRSGIGDSEKLRRAGVKPIVHLPGVGRNFQDHYLTFSTYRAKPDVETFDDFLRGDPK VQKRVFQEWNIKGTGPLSTNGIEAGVKIRPTQKELEEFKKWPTPDFVDGWETYFKNKP DKPVMHYSVISGWFGDHMLMPPGKFFTMFHFLEYPFSRGSTHITSPDPYAAPDFDAGF MNDKRDMAAMVWGYIKSRETARRMSSYAGEVTSMHPHFAYDSKARAEDMDLATTKAYA GPNHLSAGIQHGSWSHPLTPGKQPSPTTLSSNRFEARSELEYSKEDIAHIEKWGTQSS TIPSIPHTNIDVPVVPVQRHVETTWHSLGTCSMAPREGNNIAPHGGVVDERLNVHGVK GLKVCDLSICPDNVGCNTFSTALLIGEKCAVLTAEDLGYSGDALKMEVPEYHAPGEFL NLARL ANIA_00566 MLASLLRPKKRREYAQSSQFASRYATDESRWPLLNENEGYNGIR EHRAGFEDAEEESVEDEDADGEDEAAPIESTPLLPIFSAPHLDPDLDILPVFDITRSI RSLIISRCDVNLTWEQLRSPQISQFLVKPILQKIKEVHFSRATLYALMTNCLQFEREV HLNPGNSGTNQTRAMVSELLAIKLLRDYTTRELIDALSYDFYPLQGQKADGDRWPSAA GSKSRPGAARISCLEVAIRAQAKRFLAHPLVIKQLEAIWAGTIVFHSAADSLHRASTQ ARFEQRPGSYGATSSGNRFSDKNLGSGASSLRRSVTLYNPRDASPFKLSRLRVPRYRQ FLSTCSFAVLLGLFLAVLQQRSIGITLLEVLFWFWSAGFMLDELINFNEQGFSLYLMS FWNIFDIGILVLLFCFYCMRLYGAVVPYAPKQEVAERAYDILAANAVLLFPRLFSVLD HYRYFSQLLIAFRIMASDLVAVFVLIVIACSGFLVACLSIGQDETPASVAYALFQMVM GFTPAAWTLWDEYGFLGRVILTMFLFICHFVIMTILITVLTNSFMRIVQNANQEHQFL FAVNTISMVKSDALFSYVAPTNIFAWLITPLRWMIPFRQYVRLNRTIIKITHMPILFT ICAYEKMILSPQMIEATDLIDRPVQFATGNHSRHRFRALSTRVSRLIREPSVATFQQD RALAEVFRQPFSGRPSRSPARAIQRKSNLVNEWMQGMGSGPVNPPDEQDSDEVDRLER MPKGRFPFRRRQTRSLRDFTESIASNLDDHVPQAISSPVTPRNGRNLLPDRARRFSHH TDIEGDNELTSNDNASTEQRSNSRDSDDESGRGQSGGLVQGKTTPKFYSSRPSTAKRR SRKNSPNRFSRHHSRHPSGATILYNPVSSSHDDETDPASPLVRPRIIPSHSISVASTN RNTLERRHSLDTGRTLNSNIFRSNPMSVPNKGDILGPDLGHSSWHHEASALAGLGSDL GDGKAIANGFVGGVPSSFTTQMAYATGGIRRRDSPNRNQDLLSKLVLARMNNIEEGFR EVIKEVKDLRSSRNQSRLDEQHTSQRDKRRAEKKKALGSRKSKTSDGTRTAEPTLAGN GSDMNEYE ANIA_00565 MPETVGHEEPALPSSPQAGGAVAYNAISKELQPLPPTETANGGI IPPASSRIEGSTGRLCALELEDGTVYQGYNFGAEKSVAGELVFQTGMVGYPESITDPS YRGQILVITFPLVGNYGVPSRETMDELLKTLPKHFESTEIHIAALVVATYAGENYSHF LAESSLGQWLKEQGVPAIHGVDTRALTKRIRQKGSMLGRLLLHKADVAETDAALAQDT WKSSFEQIDWVDPNTKNLVSEVSIREPKLFSPPENVALKHPSSRPIRVLCLDVGLKFN QLRCLVARGVEVLVVPWDYDFPTLAGKDYDGLFVSNGPGDPATMTTTVNNLAKTMQEA RTPIFGICLGHQLIARSVGAQTLKMKFGNRGHNIPCTSLVTGKCHITSQNHGYAVDSS TLPSDWQELFVNANDGSNEGIRHVSRPYFSVQFHPESTPGPRDTEYLFDVFINAIKDT IASPEALQKPVNFPGGAVAENIKASPRVSVKKVLILGSGGLSIGQAGEFDYSGSQAIK ALKEEGIYTILINPNIATIQTSKGLADKVYFLPVNADFVRKVIKHERPDAIYVTFGGQ TALQVGIQLKDEFESLGVKVLGTPIDTIITTEDRELFARSMDSIGEKCAKSASASSLE EALQVVESIGFPVIVRAAYALGGLGSGFADNLDELKDLCAKAFAASPQVLIERSMKGW KEIEYEVVRDARDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDEDYNMLRTTAVNV IRHLGVVGECNIQYALNPYSKEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLNI PLNEIKNSVTKVTCACFEPSLDYCVVKIPRWDLKKFTRVSTQLGSSMKSVGEVMAIGR TFEEAIQKAIRSVDFHNLGFNETNALMSIKTELQTPSDQRLFAIANAMAAGYSVDDIW KLTNIDKWFLTRLKGLSDFGKLMTNYNASTVTAPLLRQAKQLGFSDRQLAKFLSSNEL AIRRLRVEAGIIPIVKQIDTVAAEFPSVTNYLYLTYNASEHDVRFDDNGIMVLGSGVY RIGSSVEFDWCSVRTIRTLREQGHKTVMVNYNPETVSTDYDEADRLYFENINLETVLD IYQLESSSGVIMSMGGQTPNNIALPLHRLNVRILGTSPEMIDGAENRYKFSRMLDRIG VDQPAWKELTSIEEAREFCDKVGYPVLVRPSYVLSGAAMNTVYSEHDLASYLNQAADV SREHPVVITKYIENAKEIEMDAVARNGVMVGHFISEHVENAGVHSGDATLILPPQDLD PETVRRIEEATRKIGNALNVTGPFNIQFIAKDNDIKVIECNVRASRSFPFVSKVMGVD LIEMATKAMIGAPFAEYPPVTIPKDYVGVKVPQFSFSRLAGADPVLGVEMASTGEVAS FGRDKYEAYLKALLSTGFKLPKRNILLSIGSYKEKMEMLPSIIKLRDVGFELFATSGT ADFLKENGVPVKYLEILPGEDEDIKSEYSLTQHLANNLIDLYINLPSSNRFRRPANYM SKGYRTRRMAVDYQTPLVTNVKNAKILIEAIARHYALNVQTIDYQTSHRSIILPGLIN VGAFVPGLGSADSKDFEAVTKASIAAGFSMIRVMPVGVDSSITDARTLKLVQQNAGKA SFCDYNFSVVATSSNSAEVGQLTGEVGSLFIPFNHLSGNISKVAAVTSHFGAWPSSKP IITDAKSTDLASVLLLASLHSRNIHVMSVTSKEDIGLIALSKEKGLKVTCDVSIYCLF LSRDDYPEAAFLPTAEDQKALWEHLSTIDIFSIGSIPYQLAGEKGSPAAGIAEALPLL FTAVSEGRLTVEDIIARLYENPKKIFELHDQSDSSVEVEIDRPYLFQSAQAWSPFSGK SVKGLVQRVIFQGKTSCLDSEITPDAPKGSDMSGHRIVPASPSLKAMSPRVDGALDRR QSISIAGTPARLGRKPVDHFPAATGAELGPPLYTPVPRASSPLLQMLSRSPFKQKHVL SVNQFNRADLHLLFTVAQEMRLGVQREGVLDILKGRLLCTLFYEPSTRTSASFDAAMQ RLGGRTIAISTEHSSTKKGETLQDTLRTLGCYGDAVVLRHPEPSSTEVAAKFSPVPVI NGGNGSVEHPTQAFLDLFTIREELGTVGGLTITFTGDLKYGRPVHSLIKLLQFYDVRV QLVAPKDLSLPADIRQQLLATGQLLTESEELTPEIVARSDVLYSTRVQKERFADLEQY ERLKNSFIIDNALLKHAKSHMVVMHPLPRNAEVSEEVDFDQRAAYFRQVSLQSRGPSS EFDMLMWMQMRYGLYCRMALLALIMAP ANIA_00564 MKQTLIWLISLASTGYAASFDPLEHLGASSPWFAGPNVNKISSD IPDGCSVDQAVYVVRHGSRYPDPGAYEEWQALHEAIQSATFRASGSLEFLPDWKPVLS HPEEQIAQVSITGYKELYNLGADLRFRYPTFYKDNTPFLLWANQYQRTVDSARSDKTR LFVRGYLGPNSSYADIYAIDADAVGAAGNSLATSDQCPLFKDASGGDYATTWDSIYLP PITKRLNKLIRGNLTLTDSQVSIFPYLCGFETQITGSTSPFCDVFTKKEILQYEYRQD LRYYYGTGAGAGKNMTVQFPVLQGIVNLLKEGPNATTETSSGSEKLPPLIVAFTHDNQ INELASILGVFDNQEPLSAQRIDRDRIFVSSRTSPMRATIAFERLNCKARKGNSVNVR ILLNDAVYPVPSCRSGPGQSCPVDEYAKYVAKKKRKYGSYASVCGLSEDELTTIGEDE SVTFFKNLTLPFLNIVKP ANIA_00563 MAILSTLRRKTRLSSLTLLVKLLRAIIVSVVRFTIKTKPDPDLI EYIPSITISPSKKSETTITAPSPTHNIKVHIYNPPPAQSQPQPTTDKSNPSPVLITAC GSGFIIPGLGLDTSYCRLISSKTFHTVIDVGYRLAPEHPFPCAIEDLVSVVHWVRSQP SRFDLNRISIGGFSAGGNLAASVAVNSFPPGTFWGLVLFYPVLDACTPPEMKVAPSEY GSEAGEDGNRGSGNGKKKPPLGGMGSVPTFMMNIMEKCYLVNVFAGAEPKEGSKSELE LESDPNATGRNGEDVLKNPRISPAYADANRFPMRCLFVTAEYDCLAKEAEELAERIRV DGVGEERRKVIVHQVQGCGHQFDKNCRPGSERAEIRDEVYGMVVDLLRKV ANIA_00562 MSLDALPSLPLFLEAKKHALANPDKLAVIDTTKVQQFTFAQLLA DAAALKKRILEELTLTSTGDLDERRIAFLVPNGYDYAVTQWAVWASGGICVPLCTSHP VKELLYTIGDSDPSLIIIHPAFEKFEAPLREGTADTPRLFMTLTPFTQSPSPPQLPEF SPVYYPERRALMIYTSGTTGKPKGAITTHKTITFQAQCLIQAWQYQPTDHLIHVLPLH HIHGIINGLTATLLAGATVEMYPKFDPATIWTRWTNKGSSTMFFAVPTIYSRLVDYFE AHIRGTEQETPAREGAAALRLLVSGSAALPTPIKTKFATITNQTLLERYGMTEIGMGL SCGLDVAQRIDGSVGWPLPGVQVRLTDKETGAVIEAADVDGMIEVKGDNVFSEYWRRP EATAKEFTADGWFKTGDVARRDERGAYYIQGRASVDIIKSGGYKISALEVERKLLALD EIAEVAIVGIADEEWGQRVAAVVKQRPGTEPLELQTLRTRLKQEMAPYKIPTVLKIVD GIERNAMGKVNKKDVVRKYWPELAE ANIA_00561 MLRLPPLRTRLVRPCSVPSVVRALSSSSSSSPPPPLVRIENATF YENYPTPEDEAKGANPPLFPNLNFLLPSQPGKEGHQHWAIIGSPCRTQFLNVLRGQYI CIPPTGRSYPYLLTDNIAQKDTRLRDPANAIQYIGFSGEGSGAIGGTRGAYLSARYES LREETDWTVQQYLKGQTSLNPLEEEKDGTVRDEDLFFRVVKDLRLGDLLDMPVANLSN GQTRRTRIAKALLGKPELLLLDDPFMGLDPATVRSISELLHRLANKSDPRLVLSLRPQ DPIPDWITHLLVTGHSNRVLLQATRAEAQKHFVVWAKKTGNSRRINANERAIAAKVQG DIQAGYLDKQLVFDLQLVPSSEENPVQFQMPPDGEALIEMGGVRVQYGDKVVLGGWSQ NIRKKPQEGLHWTVRRGQRWVILGPNGCGKTTLLSLITSDHPQTYAQPIKLFGRSRLP EPGKPPISLFELQSRIGHSSPEIHAFFPRQLTVRQAIESAFAETFLSKPALDHDRDLD VSAALRFFRPELDPNFKASLKEGPPSVWLNRQNFPETSKTFKGRTFEPEYDVEYADTL TFGQLSTPQQRLVLFLRALIHKPDIVILDEPFSGMSSSVRDKCIHFLEVGERNAVSTA TRRAGGKNPWTVAVTGDEGDKDVRFQRNILAEKRHFGLTDQQALIMISHLREEIPDFV RHYIRLPSAQNDDATGLDFRFGYLKGKNALRQPPVWDLAWTHKDKFESMGARRNFRRA PDSNETDEDVYEYWSI ANIA_00560 MDGRGLTLRSKNRRPRPQISAPKPISGPLPQNHQPAASGSGTAS SGSGSRDYASSNHATSDLVKRRYSTRFNQVPDFDGAPPVPSVPQVPSAYAGLGPPQPS RKQSAESSGPPEVDLTALRDPSLPVDRYVTNLLANASEDDIREYQQALRKVKNRTSTD LQQNVYQNRTQFIRISQEADKLKGEMKTLRSLMAELTTALGQTAIGDSPNPMSPTLDE RASKRSNRSSVANLESMWNVQLQTLWKTVEGSQKFLPMVPGRHIVLETGNWAELDSAT WKPRRPVHLVLLNDHLLVAAKKRKRVDQSNPNHRGPVPTKLIAEECWPLQDIDMIDLG ANLTGSAREEAEDRGITNAVCVRVGSKPFTYRHDKRNSTAKSELLATFRKTVEDLRRT LRSETEAAGKNGESLGFMSAINSRNSLLCSPKLDLSENTDNPRDRPEVRIDVDGKQQN LRWVDSQVDELDIDIALQRFEEAVSNIDRLRKLARGLKGNAVAQDVINTKVDERAAKL AGILSRSLVDTHGFPVATKTNVVWLTRLGFEDQARESYLKARSDVISKRIRTYQQCFP SVMTSACIKWAKHHLDAFNALLTRQLSSVQRGATVWQNCINIVHEQAGILAEVGVDFT DLVAKELELTEEEKAARPEMTRSESLIMGLADAASFFNMYGH ANIA_00559 MKTSTLAVASAGTIITGLLAYAVYFDHKRQTDPEFRKALKRNNR RLARAVKEEAEAQGAQQRENIKKALQQAKEEGFPTDLEEKEAYFMGQVAKGEGLCSDG ANKIDAALAFYKALKVYPQPKDLISIYDKTVPKEVLEILAEMVAMDPALKLGTFTGES GGADHHGVE ANIA_00558 MIPTYGRILAAACALATTASAVTPIEVKGKDFVNSKTGDRFQIL GVDYQPGGTNAVDGKSDPLSDREACLRDAALMQQLGVNTIRIYNLSPKLNHDECVSIF NAAGIYMILDVNSPLYPGYIDRTAPWTTYTKDYYTQVFGIIEAFKDYPNTLAFFAGNE VINEDSVEQVPQYIRAVQRDMKEYISKHLDREIPVGYSAADVRPILEDTLNYFMCEDE DYPNSSSDFFGLNSYSWCGDASYKSAGYDVLTEMMSEATIPVFFSEYGCNEVQPRKFT EVQAIYGEEMTQTFSGGLVYEYTQEENDYGLVKINNNDTVTLLVDFDNLQTQYAKLDM DRISASNASQTSVKPIKCSADLIKNGTFSSNFTLPAKPPGVQDLINNGYSKVDAGKLV DVESEEVSTTIYDHNGKEITGLKLTVLADGESNQPGSSSIGSSSNSGSDSDSGDDDNA AGIVAIPVGLATIAATLFGLVML ANIA_00557 MQSGPSDVLNRPYTVNPPRENFQSFQHGHGSYGDSRGSGRLYED AGGSDSSPGDTPFLSTQASPAADGVIEYAHSTRSQSDCGFIVIPSTKQSSVSLDAFPN EVLTHILSHLPPPSLSSIALVSRRFHGLVTTPHAWRIAFSRYFPGPSVLENPETSDRL TSDKRYFSRLTALASWRSEYILRTRLLRSLSRGKPGQLEVSKKNGTVRTASVRNGSAI ATYTSQLLYPVSHLSGTFGRDSAKKEPLFIHGASEQCAVTASDPSTVKVGTWGLADHN FSRHFADSFPGESEYGLGSGNIVGVPNSMDVSQPFGMIYGEGCPQGRTYYLSTAEQRG RFLDISDGSSLPKLGIPAINRITTAITAVWIAKSAEILKMTGGLIGMLSGSSSGILTA YALGPHPTYQKRFERGQVTARWVLSPGVPIVGISVDDNYSPKRRQRGRVWIAVLNALG EVFYLSDIPQQTEPMSANITPEVADQMAWKTGRTVRWELVEVSRRTARPDPFNRELVD GSYSPRSSSDSMKLSEEQIAAETKEIEQFMSFKPKHFRKVCEGWDMRRDLRVDFAGGD GRGAGESFIVIQRGDGENQKASIRRYTRKLRSLHLSTSPSQPGTPAPRAASPSIFGGP VVSPEVSSATSSIPSSRASGQIDEPVCSPSNTEWYITDFHFEGRKSVQITTSALDLST YAVLTPDEDLLMSGDSGASSAVSSPLPHMQRSSDSGIPGQRGRYMAVGTATGSVFVWD IRSPTSRNSEIINSIAPIRIIFTESPRVSSVALTSLYLVHGGNDGLVQAWDPLASTTR PIRTINSRFSTRARRRLIQAEASLHGVGNNFYATGAICLDPDPTRLRGMVALGTHLRY WSYSSTAADQYKSNKRRLRYGQRGGNAAAGGQRFNNSGRGAINDYIEDEKREMERQAR ADEKERAHLSNRFGIDLLGPDVSEEELLAYAQLLSQEAYSSEAMKRGDSVGDSVISTS SSDTVGPNDSSFALDELSSASSPCDDTVDDLDPEIAEAIRLSLEESSNHGRFESPSPF SVKYSIGSPSGKSASSPAKPTIAESSRQQEIDDLDLAIQLSLMETHGNSEHDEHTNHQ EEEFPTLPAGPFPASSKNKGKTRMVW ANIA_00556 MSSLGDSETIRILVSTDNHVGYNERDPIRGDDSWKSFHEVMCLA KQHDVDMVLLAGDLFHENKPSRKSMYQVMRSIRMNCLGDKPCELQLLSDASENFQGAF NHVNYEDLDINVGIPIFSIHGNHDDPSGEGHLAALDILQVSGLLNYYGRTPESDNIQL KPVLLQKGRTKLALYGMSNVRDERLFRTFRDGKVKFFRPSVQKEDWYNLICVHQNHHA YTETGYLPENFLPEFLDLVIWGHEHECLINPRINPEMKFRVMQPGSSVATSLVPGEAV PKHVAILSIKGKEMKCKPIRLKSVRPFAMREIVLSEERGAQKLARKENNRTEVTRFLM TIVEELIEEAKAEWLELHRPQRREGEEEEEDDEELEVPLPLVRLRVETSTPEGGSYDC ENPQRFSNRFVGKVANVNDVVQFYRKKKTATSRKKENELDEAALSRLSTLDTVQVEQL VREFLSQQSLSILPQNSFGDAVSQFIDKDDKHAMEMFVNESLEGQIKHLLSLDRDSDA EDDESQSSLQKAMERYRTQMEEMFSKGVKKRTRGRRRFKPKPDGWVTEIDGVWEDQPG ALIHSDNEGGDPNEEEAGEDGAEPPVGRISTRGRGKGGRAAASTTTRKTATTKAAAAR KPAKSKITTSRARGPRADDDDEPQILKPGEEDEEDEESDSQALFVKQPASKSRKATTK STTQRSRQTKRTAPSPAPSSNTVSQTTTTARRRREGKQTQLTLDFVGSQSSQHARGSV TSSNTRSMRPNRTTREVSVLSEDIDDSDGFEPMPSMKYIKYVISYLVRPISINEGSKD ELLLEDNICQDHFVDHNMND ANIA_10086 MSEANMVVAAGRRVDLDKATGKLDASGGGSDNLPGYEPSFLLAL QPALEDLAKHGIKLAVNAGNADTQGLYEVVTDMIRAKGLDLKVAWVSGDEVLSTIKRA LASGKSSFKNIYTNEVLSDWSFEPIFAQCYLGGLGIAAALAEGADIVLCGRVSDASPV IGAAYWWHKWQRHDLDQLANAFVAGHLIECSNYVCGGNFTGFKDLEHIGKDGWSNIGY PIAEISAEGKVVITMQSYATGGAVTVDTCTSQLLYEIQGPWYFNSDVTAILTDIRFEQ IGTNRVTLHGVRSAPPPPTTKVGITARGGFQAEASWFLVGLDIDAKARMLEAQIRRLL LPYSSNYTSLKFSTLGTSPDNPENQDSATVTFRVIAQARNAEDIAPNAFLRHITDNIM QGYPGATFHLDLRQGFPKPIFEYYVTLLPQEDIEHRVHLPFKEDKVLTIPPPPETRAY PDRQPCESITPTARSIPPLSLHLAPPSAAHSAALSTPAQATKGPMQIAVSGCGTATNT RGSAGCSPSKTSSISSATSSQNRTPAEICPLSAFSWKISAQCTFSSAIYWIAGSRVRQ RWTFWGRTLRSILGLGGWIFL ANIA_10099 MPKFYPSISPELRDWALNQKVFFTASAPLRGRHINISPKGLPDA SFAILGPNEAAYVDATGSGSETISHVRENGRITILFCSFDAAPRILRFFCTGSVIEWS DSDFGPYLERMGGKTLLGARAIIRLDVFKVQTSCGYGVPQLSLAFDEETNKPKPYFKD RDTLGSWARKKVEGDELRAYQKEWNSHSLDGLPSLRTALQDKGQSVHLAKLSNWTRYY RDEIELVKTSALLLFVAMAILQWAGYVSFYSTH ANIA_00554 MSDLFTTIETPVIKYEQPLGLFINNEFVKGVEGKTFQVINPSNE KVITSVHEATEKDVDVAVAAARAAFEGPWRQVTPSERGILINKLADLMERDIDTLAAI ESLDNGKAFTMAKVDLANSIGCLRYYAGWADKIHGQTIDTNPETLTYTRHEPVGVCGQ IIPWNFPLLMWSWKIGPAVAAGNTVVLKTAEQTPLSALYAAKLIKEAGFPAGVINVIS GFGRTAGAAISSHMDIDKVAFTGSTLVGRTILQAAAKSNLKKVTLELGGKSPNIVFDD ADIDNAISWANFGIFFNHGQCCCAGSRILVQEGIYDKFVARFKERAQKNKVGNPFEQD TFQGPQVSQLQFDRIMEYINHGKKAGATVATGGDRHGNEGYFIQPTVFTDVTSDMKIA QEEIFGPVVTIQKFKDEAEAIKIGNSTDYGLAAAVHTKNVNTAIRVSNALKAGTVWIN NYNMISYQAPFGGFKQSGLGRELGSYALENYTQIKTVHYRLGDALFA ANIA_00553 MDGLPSEFKCLIPRQPEASRVLVHAKRLSIIVPWYPISGPRRAP VLRAYSGLRSALQAENLGVRSTPKVEGCSTNLNARRNGWVLSPLLHTAHVTLFEYPER RNFREHPDRVLEYVIVRAPQVERLALQIIAGGQAGPNEDFNETVVLRKKAIANLRLFQ QLNRLTLALFPPQDDELSFYTAAEAMVDSIPPLTYVCLLGSYKPTFLTAALHPNEPER LSSGPARDLTEFETAQPPPSTGLDVPIWHVSDTMINSAINEDLAKTIFTHIRAHQVGD RRFAKLKIPSLL ANIA_00552 MPPPEMSQVYLLKELSSYEPQESDTLQLAFQVQGINPVYLPDSY DRPQSTNDILQHFEGKNSKGIFVIITRTVSEPSAAPDTEPKAKQTKRTTKRQSSVRVS KVKQEPEIKQEPEVKRELEQDITIQDNNLEVVPGPAIQKKCLFLIALKEKEPERDIQE KDLEQELLELLYTEAFAGPSWAGC ANIA_11274 MDQLSDRIALYAIYIPLLRVQIPSFVRTWNHHRIRNQPNRPHLV PGKPYMNYNFPATGVENQGIKFNIEIFKRLQEDVQDWDVDKYLLPETYYWT ANIA_00551 MSSETIPQKQKHPQGQGAKSSRWRNALGRDWALVSLFTGLMTAV IGWWLGILNAEMALEAPFGFFQRIDIDSHWEEHRKEVKDTFMASWDAYAKHAWGQDRF HPISKTGSQMSPSGLGWIIVDSLDTLMIINLTSQLSDARKWLNRGLTYGQDQDVNTFE TTIRMLGGLLSAHYLSTVLHDVSSQRDYIYLSKAVDLADRLLGAYESRSGIPYASVNI GTRQGLPSHADGGASSTAEATSVQLEMKYLAHLTGKEVFWRKAEQVMKVVDDQQRPDG LLPIFIHPDTGRFRHQEIRLGSRGDSYYETEAHLNPEYLIKQYLQTSGQEPVYREMWE EALTGIQKHLVTSTKHSKLQFIAELPQGIGGQLSPKMDHLVCFLPGTIALGATEGLTE KEARKLPSWTPQKEQQMQLARELMKTCWAMYAVTATGLSPEIAWFRADEADLRSTFGS SIRPPSKNDEASWKRDLIIKPQDTHNLQRPETVESLFLMYRITNDPLYRKWGWKIFKA FRMHTAVGDNGGYTSLQDVTKVPAPQRDNMESFWLAETLKMEAFEASLKTLLVHGPVS PAELLVVSTQPQDDNLEK ANIA_00550 MRLLGIRELGLRALPIWLLILQLLLVNVAQADHSHPHHRHYHDH RSDHVKRSRNDTTDSNEPATIVKNALAVLAHVNRERVENPNFNQYSIQDPGQSKRPAS AAAPLEYTAQAAENARRRKRDAPSARTRGNTAYSIPAELARAAKIVAESTDNTPSGNQ SEVAAMIREKYNRGKRATNTPAQTLVHSNGLYDFLSYAETVNAASSFGDNNDPGLQKR SPSKYWMPHMEKNGNSPFAPAGYKVWRNVRDYGAKGDGVTDDTEAINRAISDGGRCGV DCGSSTRYPAVVWFPGGKYLVSSPIIQYYNTQFIGDPLNVPTILAASSFVGLGVITSD PYVSDNGQWYLNQNNFLRSIKNFKIDIRPTDPSAYVCAIHWQVAQGTSLENIEFYMLY NSDVPGNTQQGIYMENGSGGFLADLTFVGGNFGAYFGNQQFTTSQLVFVNCNTALQVH WDWAWTMQDYVIESCTNGLTIVGGGVGSLVLTDAIIANTPNGIVTSLYAENSTSLLLQ NVGFFNVETAVVDSVKNQILLAGGNEVLKDSWGFGKISDATGSGSFVNGQDIAVMNRT EEIVGTQAYVKPNLYTRRRPQYEDLSIDNIVNVKKYGVKGDGSTDDTVTLNWVLSFAA NLSSVVYFPHGVYKITDTLKVPVGSRIMGQAWPQIMATGSKFEDSNNPRAAVKVGDPG DVGIIEIQDMLFTVSGPTAGAVLVEWNVEQSSKGSAAMWDSHIRVGGALGSKLQRQQC PKKTGSVNPECIAASLLLHLTPTSNAYLENIWVWVADHDLDAPEQDQIDVYSARGILI ESKLAWLYGTASEHSVLYQYQLSGAKNILMAMIQTESPYYQPSPRAPKPFIPGLFPND PLFNDCKSNPLKCAVSWAVRIVDSSSIWVLGSGLYSFYSDYSQNCLETNDCQQRDFEI EQSFNIWVYNLCTRAIVEMVSPFRGVPTYARDNVNGLLSSILAWLGGAEQTAGEREFL GFSIYTMASLEEIDVPSACKTALTERIKCDPYLTSMMQLKYRGSLDNDTLTDSICKPS CGTSLQQWFNSVEQNCAGYNLTAGSPPVMFGARMWAGYNETCSKDMSTGEYCNSVIDD FTIVDSTAQMPKDELCSYCYVERLQMMQRSPYSAYDKYYKSELELINEKCGLSGPTEI LEIPIESPETEDAICLSDTTYTTVDGDTCTSIAAANSISSAALYMGNQELIRWCSSIK AGIELCLPLQCAKTYKLQPSDTCTSIEYAFGLRNGDVRKYNPWVSYDCDNLHIATQIY GTILCLSPQGGDHNPGKTGRSSNAPSTSDGHVKLAIPPPANATLAEGTTKKCGRWHEA VAGESCVAICVQNMITHDLFVDVNPSLDATDCTASLQAGKTYCAGPTYGWNSLESDDD YY ANIA_00549 MRRLRLPALSFCVLVILCLFSLAAHAQDCSALSPCATGCCNKFG YCGVGDDYCGTDCVANCDYRSECDASRPCATGCCSKYGNCGLGPDFCAEDVCVAGCDS RAECDPGDYGDYADSPKCPLNVCCSKFGFCGTTKEFCGTKKVTRPSCSKSNGLERVVG YYEGWSMNRPCNAFYPEQIPIGVYTHLNYAFASIDPETFEVLVPSVYEKDLMQRLTLL KKSDPDLKVFVAVGGWSFNDPGPTATVFSDIAGSEANQKKFFRSLVSFLSTYDFDGID LDWEYPVADDRSGREEDYKNFPSFIANLKKALKASGGRDGLSITLPASYWYLQHFDIV KLQKSVDFFNIMSYDLHGAWDSNSKWLEPQLNAHTNLTEITNALDLLWRNDISPDKVV LGVAFYARVFSASNPGCMEPGCTFVSGGNAGNCSNEVGILLNSEITEVMADRKVKSTL NKEAAVKIVKFDTNQWLTYDDAETFKMKAQFAAGQCLGGVMVWAVSHDLPYGNFSRAL GEAANRKVKAVALAAHSNDMETRKVHRQCKWTNCWQNCPTGWSIVARSDGGERDNEGM VDNTACSSETDHFFCCPPDSPLPTCGWYGHHNGKCDGRDKCPSNMVEVGSNIQHCKNH NYQAACCTYDTPSMKLYSQCSWAQSPGCDKGTCSNTLVANSSSGSGGDFCSYRHAWKD AVGNYATYQERKYCCDQEEDIKWEDCEWHDNYGLIYVPVGGLVDGYCTSNCPNDQVRI ALETRNGCEGGGGRVKCCTPKYVTTTKRSYTDAEANLEKSVKEFMENPSCGIDDYTFE NRDLMGDDWIPETITSDTFDSSPSSLLRRASSKDMESMDELLFRLAFAVGQASQADFD IWKDHVVSVYANLAVAKIQAWLAVARDWAREGTWKAIELITCNMDYFNALLGDEETID CPIDSQNWPDDGEDNDEMYGLKARDLVKRGNARTYSRMIANAERVIRSWAYRPRGRWF ADRPNDPIFRAAYGYRDPDNCFSSAIGTSLMTTQNIVSNAIEHIPELQLIPDFLEDSN ARVLPSRRTPGPEYTGLSDAFIVNGLNESILTNPPPMRGGGQSNQPIARMMNALGSTN NDDGFVLLDTRLNYVKTRIWISENAVDNTIMRKYVDKGDYKRALNAMREVVAVIAYMN HPTVNSILARELNEVRMELGRANDAWVARGNPDEHAQRVWSYYVRDHFYSVGLFARNY ITTWHGEMVRFWGPRTGIAGQVLEASSNLARTNFTINMDDVD ANIA_00548 MVHPYLTQPDRGTYEMLWNRYQPTIMDNQQKFNSLPLSSVRSHF EEWAEQQDINRVLSSQRRACLVIDDEVIDALADARPWIAGGMDDVLDFHQNSLRWWVK VVEAWPDLEETYDDYDGSMKASIFALWRLWKDIKDPEPTMASLPRNEENGA ANIA_00547 MASEHGSPGRAVTDRQNAQLHKTPSGHEQEGSSAEKASETLTEE VGWDGPMDPARPANWRRKKKWWNMGIISYLTFLTPLTSSIDAPAQGLVMGDFQSANRT LASFVVSIHLIGPLFLAPFSEMFGRLRIYQVGTLTFTICNIAGALAPNIGALLVFRLF AGISGSSPVTLGAGSVADMFARQERGVAMSIYGLGPLLDPVSGIAVILVFSVLSESYE PVLLRRKANRIRHETRSQVNAAQALKPDSRKVSTQAITRPTKLLLFTSNVALFSLYRH DCNNCLSIIGVVSGYPYLLFTTVTELYEATYYFWQSATGFVSIGIGVGALIGISCFGA LSDKIQNRLIARNNGQAEPEFRLPPLIPGSLLIPIGLF ANIA_10101 MTSMVRGPGSPRWTRFYKGPIAPHSIVAVDGELHRFLRRLLARE FSDVKLREQEPVNQRSINLLIEKLHDEVAAGKTPEMTAMFNLICDIRPDRGACIRRDL WMENWRYHPWVKMIFYVMKLRALTHAVGYCSWVFPILCCSSSGFARLIRRTQEVHHL ANIA_11273 MTAARNNGLPFDFCWVIAKCFNITGHPLQTQSLIQKPQLILCLR TATTSTLCLLPSVVARPLQSSSIVINRPNFKGK ANIA_10102 MFGKSAGSISVFNQLALYGGNSTYKGKPLFRAAVMDSGHLPLQM QSNPTISPLCMSWTQMRALQPRQPRQPRQPRPSPSRLNTSRKP ANIA_00546 MVVCYMVVTPNPYTENHLAPAQYLDVQTYNCNLESKQPGKWLEW IHIKVTGGMKVYQRTEWHELRVKLAEHSEELRGILCRMLDLFPYWNRWEEYANPDDAT TKV ANIA_00545 MSTDHVIGRTMGEMRFYQGTCHMLETELYQQKRVRVTRKVNQRI RGGFSFAAPDAENFNQLRPDAAMTMRYRPRERLNMHNVFPAPQLNELNEAIFAKAGLY MPRQTRVRKKSPCALRREVLAPIHGSTAVPRSSMRTED ANIA_00544 MYSIIARNLYWSEISADIQRFIRNSNNLAGCEDLMALGDRLREG VRIVPSTNINKNEASQGSTAKYLCNSRISVERIDESTVILLTDEFSDTLDPDADDQPE RYRDNNHICNLGSGIEEYRVWKTTRVYELIENHQRLVRFIARDPWTALPILEKPWSTL EGFMRSVRCYVRS ANIA_00543 MFGGRYSAVLFRLLTVSALVSVALAAVAGKAKYRRDETPNFPYD PDTTSYCTWWWDNDGSIPCSDMPTVWGITLEDFLRWNPSITASCGNFLAEHSYCVEAF GEPEPTSTTATMTTTAGPPGETQPGQIETCNRWDLVESGDSCDTFTAKYPGVTLEDLI AWNSEIGAQCQYLWVGYYICTGVSGFTPTVTTTTATATSTNGVTTPTPTQPGMVSNCD AFHLVESGDSCATVASSNGITQEQFLEWNKGVGAGCSSLWLGYYVCVSTLDVDPTSTI TTATSTSTNGVTTPTPIRPGMVGNCDAFHMVVDRDGCTAIAQQYGISMSQLVNYNPEV KADCSGLWLGYYVCVSIIGVEPTTTITTTSTATNGISTPTPTRPGMVSNCDAFYNVQT GDECAVIARNHGISVNQLYTWNTQIGSDCSGLWAGYYICVSVIGVDPTSTTTSTGNGI ATPTPTQAGMVGNCMVFHLVVSGDNCESIALDAGITLARFYSWNPGVGSSYKLLSKDQ VISSPPLDVGWEVFVCRQGLAVDLVDADVPSIVNTDL ANIA_00542 MVIHDEGFCKERLEDIAEDEQCSECYLKSVQLEINQPIGGSSVS PDEFDELKESCNIPTTSYPVDPTFPGTPSETAGDTINSIANALSVATDRLLMYNGLPL TWDEPFTAGEELCLDQVSQCLIHKVTSSDSCSSLLVLAGPSVTDLMLQSWNPTIGRSC ANLETIIGKYICIGPPGQTSTFTPVIPSTTASPTITTPPDTYTWEPAPDSLTNTVNIT TTWAFPTETVSIPVHTANSLPSKEDTQAMRDRTAHCPFKDEYNSTIWNAGLGDEEYHL HSWDLDPECTEEYWDPYCLPGPNDPILPSPTEIPSSCYPTITKIIPEGWVEPPGPTES GVPDQCNKWHLVTNGETCAGIAAKYDLSVDKLSELNPALNAQCSNLRGAFAICVRVWV DTVTATPTPTTTTTAGPPGPTGTGTSPTCTKWHLLEKGDTCDSIIAKYGLILSRFRQL NRSVDSECTTLVVGNAYCVGRDTEPALDRLNSSLFNPMMIKHITLQGHASLAIHVVPR SRRLELGLSSHLIKATTACKAPQILRTQNLTMREPESRLS ANIA_00541 MCRWGFCGTTEEFCEETDDEETSCQSNCGQPERDTCDSRWETRR IAYYETWADTRECDAFRPEDIPVKALTHLNIAFAGIEDSEITIDSSEMISRIVKLKRR NRSLKVFIAVGGWAFSDPGPTRTAWSDMASTSDNRQKFINSLMDLFETHGLDGVDLDW EYPVAGDRGGKDEDYENYVSLVREMNEAFQKRNPSWDISMAIPASYWYLQHFDVSAME KEVSWFNLMSYDMRGKWDQFNEWTGPYVFGHTNVTEIEIGVDLLRRNNINLYKVSLGM GFYGRTFTLSDPGCSEPGCEFSDAGLRGECSGESGILTFKEIMARQKRLNQKVIKYDE DSGVKYMVYDENQWITYDDEESFEKKREMLDNECFGGVMIWAIDQDTNDFQALTGLLG DWVTDELLEGGELSDEEKEDLVDEMGGLTGDGCYVTSGCVGGEPTIEGNPECRKGDVV VALVHSPTESYQELYGALSHTADTCGLGQYKRVCCPADSPAVNCQTVGAPEGDSTKCT GGEAELTCGNGRYELITDRYVDAVGQKKCSSGAISVCCDAAPELQKCHWSPCTVFHTC SDGYAQPIATRGDFCEEGEAQNFCCELDEVESYEQDGDITLINMPTMSECSKRGCPST QFTAAKAKLPNRRAGTWAPCDYYFPGIRHRLCCDPKPDRDLPFDLGKIFPNPIEEDVI YRYTDNYGNNDEDPNGPDETDVGDDPYGFIVLDGDEGALNGEFASSFVFTRSDDGSEN PVRKRETLTRNDPNVVDWVFEHEETYHLVYCKRGRDIECEKVFVEGAPDTIITLPRHI GSGPYARIVSMEPVHPHYLSDFHKSKRAVEGHDSMVYNLTFDYRFELIKREDSTVNMR IDYTNLVPYWDDFTGEESGTGKSKRELRAERRWWGGFSDWLEKLTTVRSSDKGKLPLS INKRMLLYSKRARCSRNNVRLEAGMDVTLDAKFDMNARWSYYAQGTIVPLSIDTVYAY FEVTPEARAVIEIEGSAEMQYNSPRIKIIDTLSYPGLAIKGIAAVGPTLDLYASMEAY ARVAGKLTGGAKITFPRYEMYFPQNDDSEEFQRFLEPNKDDEQRASGTDMTPILDASV EAIVHIDFKITPEANLGIKVNSPIGSKSALLDAQIVGFVNNTLRFEVQAEANGGIENP PAASYSVFIKFFYNFGGFCSSYSGQSRTLWSGLGREKILWEHHGSTAASKRGLLPEAG GPDRFIPLYNLSSMSETDGILSPRSLEKRVTLEDVPAFNGGKPFFTCNDGGQCSSGGC AGDACEWNPNTSQSTSKRQGDDDNDDGDPMDVDPTQSCVNSIPAMMYNCRYFPDETLP GYGVPIGGICRNILEGLSKNNLDGQPFPLTYSMAGSTERDEDRRYVACEGGSRHDFVI VDREGNMHDYYDTWARQCIEESNVLSDITGDDPGEPGNDNWLSCDEFPFNSVEEGGQD STLNRMCVPGYQQYIQGNINQLPRRVVQEVSWIDSKGNTKTATKSWTQDWLGYNAKGR QGNPDKDSAWNWAENNWKRFTFHLFNSESDTTVTGSRYEVFNHNLVTKSGFESDMGNV VAAMNFFNNPKYTYEGFNAWCRSDRNGRRNHPLWGERYPNFVRIKG ANIA_00540 MASEDDLNGLDLPEFGSLPFDLYVMEFLGTIPLPEEIPSNVPQN LLTPKERAHDGQIERTFFIRTWYGDPSDPASQQKADDDYAHLVEVISSDYGDMGLMMD KFFIFDEKEEFSSSLLSTQSRDVEFSDDVAIPRPGCMPSYVLAALMHCPDQIEGNRVE NLHDLPPAEEAERRQMLLVLVADRKACEEGWVLHLAINHKGQVLPFRIRNGADWVSAS YGNWCDGQQLTENTQDPEADVEMYMDHGAGGGGWD ANIA_11272 MEVKRTIGRGRGRISSKVCVADIFIYLSVSSH ANIA_00539 MSDLEEFIRVARKAVEASPEDHPDRDKYLNNLGIGLRDRYERTG AMADLNESIQIFQQALRATPEDHSDRATRLDNLGSALGDRYTITGALADLEESIQLGQ EAVEATPEDHPDRGMFLNNLGRGLRDRYERTEEVTDFNKSIQLGWEAVKATPEDHPER AMYLNSLGNQLADRYEMTGAMADLNMSIQLGWEAVKATPGDYPDRAMYLNNLGSQLGD RYERTGKIADLGEAIQLGREAVDATLEDHPDRLMYLNNLRSQLEDRYERTGALADLEE SIQIGREIVKATPEDHRAMYLNNLGNQLRDRYERTGEMADLEESIQLGREAADATPED HPDRAMYLNTLGSRLRSRYERTGTMADLEESIQFGRQAVEATPEDHPDQATRLDNLRS SLGDRYTRTGAITDLEESIRIGREAVQATPQDHPARAIYLNHLASGLRDLHKRTGSMA ELNESIQIGREAVQATPQDHPDRAMYLNNLGNELGNRYERTGEMADLEESIRIGREAV KATPQDHPARAMHLNNLGSGVGDRYKRTGDMADLEESIQIGREAVEATPQDDPDRAMY LNNLGCGLRDRYERTGEMADLEESIQIGQEAVEATPEYHPDRAMCLNNLGRGLRDQYE RTGAMADLEESIRIGRKAVEATPQDHPDQAMYLNHLGTGLRDRYERTGEMADLRESIQ IGREAVETTPEDHPDRATYLTNLGNRLGEQYTKTGAMAELNESIQLGREALKVTPEDH PERAIYLNNLGSGLKDRYERTGAMTDLEESIQIGRQAVEATPEDHPDRAMYLNNLGDG LGDRYAKTGAVADLLEAISHQQSALRQQSSFIATRVQAGHCALRNLAILSDWQQSYEV ADIAVHLVPRLTARSLENSDKQHLLGEVVGLASDAASVALNAQKGAVEAYPALATRFV QLRDILGKPSTRNEFLTTTNPTTSGQAQARQRYNADRELDKLIIEIRKQPGFDDFLLA PSEDEMRLAAQKGPIVTINVSRYRCDAILIAQDKISSLHLPNLTSEEIEEKAKTTDDL GSPIILEWLWDAIMHPVLESLGLDRSPSGNNWPHIWWIPTGALSKFPLHAAGYHGRGT QETVLDRVMSSYSSSVKAIIHGRRRPAKQPTSAHALLIAMEHTPGNSRLPFAAKEIAI LHDPFRSMGLDPIQPGQYKRDLVPYLPQCRIFHFAGHGYTDKANPSKSCLLLRDGKDG PLTVANLLEMNLREYSPFLAYLSACGTGQIRDERFLDESIHLISAFQLAGFRHVIGTL WKVDDETCMDMARITYKTMAGGNMKDESVCQGLHQAARRLRDHCLNRPSVSQTEVDNL GGRHVGSDRLPRDIIWYDSDMDSNDVYQGTRYLPWVPYVHFGV ANIA_00538 MAWTETIEVRDRSRGWSLQREILGKDLEEAKGVEDKKKEFSIWK CCILALTANSTDMSVQYMEPNTPMPPTCTKPVPWTTQYFKESIYLSKGWDLLLWVPQS YIYMAVIALECWV ANIA_00537 MTSQEVAWTLVRTLIRRHGIPQTMVSDRGSQFDKLIPIAELAIN TRTSSATGVSPFYLTHGYDLSLFGLTEDLPEQSADQSPIQIRENIACMIKEAMDWAKA SLAYSQQEAEHQANKK ANIA_00536 MSQEELVVLRKELTELLDKNFIRTLNQIGRAKWFTKLDVSAAFH KIWIAKGQEWMTAFRTRYGLFEWLVTPFGLANAPSTFQKYIN ANIA_00535 MRPRPMHPDVRTFNGENAHNYLAFKINLRTKFTIDGNSYPDSSA KAYYIFSRLEGKASRRMLPWMEANGPTISYEGLMEAMDKAYLDADRQQRALVRINTMR QNNRDLDEFLDNFNKALLNTGGMDWHDDQKKSLLETAISLPLLQAMVRREQADLYNSY QPPPARATTDGPMDWEPTVAAVQQRRRATDN ANIA_11271 MRVLGIINYLNILATQRVAPPGFGAAKNIQNPMDN ANIA_00534 METESCLTDQAILAKINQLRELNVGLIIPLPQLVIVGDQSSGKS SVLENLTRFSFPCGAGLCTCYTAQITCHNEPQKSVFISIIPRSDTDKALKLRLLKFQR RLTEIDNNELAKIFDEAHRVMDIRMSTDGSDTGVGAFSRDILKIEISGPEQNHLTVID VPGIFRVPTDGKQIFILAGCSVRNISSLQLW ANIA_00533 MLELRPITPMIPNAPASSTGRRQQNAIACEECRARKLRCDMGEP QCTTCSNLNIPCIVNTARRPRGPRKGHVKILKARISTLERQLNEHTEAQMATRRNVEG GSVTAAQPVLDAEQSLNLDLDDRCIDGDGGVQCSLNLSEDNTNNYMEGQASGALTWSS SVPSVDLDSEWSKHIESLPDSAYFSSTLSLPGDTVPNSTSAWPMEIGMQPVELDPSSG VGYPLLTGPPPVQMSALERADLDDLFFERVYVFAPILNQQRYYARSARELDTGEPFAC LQYAMRTVAASMCSRFKGVLPLLYEHAHCILNAWEQKLPDEAVPIELVQARLLLAIYE ILKTNPRKGWISASRCFHLVHLVKLDEIDNPKSWKTSTLSWVEVEERRRTFWTAYALD RYANFVNGLPIILNDHMVLTCLPAPEAAFRRQQGVKTEFLATAMDRINDKQLSSYAKS IVVVTILARCLSHHSQCKVEGIIHPSSEQSLVRHRTLDTILCQEVRVSMTAAYSDMES CHPEYIFTKMLAQAAFLVLFTALESVPHHDLCREYEKKAFKAAETIHSLAQGLPQLGC FRVHPFTPIALFICADFLRSHKKLNRNNAAQFDTICASLRHLAPVNNLAQMLEFELQR NTETFSHCSGHVTRRLT ANIA_00532 MPRKARKTRQELIEQEGRIKCAINDLKNGKIRNAQEASRIYNVP PTTLRDRMKGHLSQPELRNQNHRLSLLQEEALIAWIVSLDIRGAAPRPSQVREMAQII LDAATSTLSLPIGKNWVTEFTKRRPEVKTRFVRKINRQRALCEDPRIIGQWFDELQKT RDQWGIQDEDIYNFDETGFAMGLIATIKVVSRAEMPGKPWLIQPGNREWVTTIECINT RGWPIPSTIIFKGKVHMEGWFDEGTIPGNWRIEMSANGWTTDIIGLRWLQKVFIPATT ERTRGGYRLLILDGHGSHLTPEFDRTCKENNIIPLCMPAHSSHLLQPLDVGCFGPLKR AYGKLIEEKGRLGYNYIDKLDFLKAYPAAHQEVFTAENIQSRFKATGILPSTPKAVLE KLNINLGTPTPPPSHGGASIPSSQLATLEKV ANIA_00531 MTQTTIPHPSDEKPPSFVSVKPSEWDTTEKRVSKVPCTVHSSSQ TPNLDHEFKQPYTQDIIRMLTAALHDLADETKCIKCTVEKSSALLTSHLCDIQAAKKT GQWSKEERKALKAEVKSSFKPVKKTVKALWKEGKQQK ANIA_00530 MVDEAPHIAIIGGGIVGLILAAGLTRRGIKVDLYEQARNFREIG AGIGFTANTVRCMEKINPAIVKALRSGGAVNVSLDQQDPKSYLRWIDGYGQRKEDDPM YQTPLLKLDAGVKGWETVRRDMFLDDLVKVIPDGVVHLQKRLNTIEDDDGSERVFLNF TDGTRAQANAVIAADGIKSRTRQLLLGPDNPASYPQYTHKVAYRALIPMDKVKDAIGE YKTHRQHMHVGPNAHLIHYPVNTNTIGATVVVSDPNVWPLDKPTTARASRKEVSEALA NWSLPVRNLVDLFPEELDQWALFDLFEYPVPQYNKGRVCLVGDAAHASSPHHGAGASF GVEDALCLCTIMSELTMELHQHSLNKAEALRAAFETYDKQPEWADPAKRVKAESCFEE VKDRSFKIWHFDSAAMVDETIQEYRTRLESLINTANVIDGLTA ANIA_00529 MTVGADRSVTLHLPRILCLHGGGTNANIFRMQCRVLARMLQPYF RLVFAEAPLAALPGSDVTAAYKDYGPFKAWLRVRDEDPVLDAHHIVSKIEDSLKAARI TDDCRGATGEWVGLLGFSQGAHLAASILANQQELGRRAGDDAARPVYRFGVLLAGRGP LRWLHPDLPIPPGFVDVSKCTTGMEREYEPFVNSSPYRLQIPTIHVHGLADPNIELHR KLHDQYCDPRSTILLEWGGDHRVPIKARDVTPIVQQIIAVARQEGVL ANIA_00528 MSGPADEKPSPPETTPHAPTAAPGGPPPPPNGGLLAWLNVLGSF MLYFNTWGILNTFGAYQTYYESGNLFTASSSNISWVGSIAAFMLLFVGLFVGPIYDKG YLRTLLVVGSFGVVFGHMMLSLCKEFWQVLLAQGFVVGIGTGCLFVSCVAIIPQYFST KMGAAMGIAASGSALGGVIYPIVLYRLINEIGFPWAVRVIGFIALGTLLIPISLMKLR VKPPRVRALVDVTAFTDVSYMAFVFSSLVAYMGLFVILFYLSFYSEANQITDQSLAFY LVPIFNGASVFGRTIPNKFADKTGPFNLLVPAALISGLLMLCMMAVHSKGAVIVMALL SGFMSGALIGLPPVCLAMLTGDKSRLGTRIGMGYAIIALGVLISGPSSGAILRNGTSL DWHALWTFGGVPTCVSAFMYAAIRMAKYGPGLMVKA ANIA_00527 MSQIYDWLVQIPINADDMEAWAETREAHLSHLKPYVLDRTVVFA GPTLSRHPKNPDDPLEITGSVLMLRAGTELEVRDIIAKNPFVEAGVWDMERALVQPFK CGVRTA ANIA_00526 MGSINETQTTSIPTVDIGPFLDGQAAQEAKDAVVAAMRHACSTF GFFYLVGHGIPEEDRLQILKCTKRYFDLPMEEKMETWIGKAIGRSFRGYEPPALQVHQ EGLLPDTKEGFILGRETPADAPEAGTFHTGPNQWPKTLPDEEFRVPIMRYHAKMIEMV KVILKILALGLPAEWNCPSDVFDELTINPSAPLRLLYYAPQPVKHENQFGVGAHTDFG NVSVLLQEEDSEGLEVFYPPTQTWVPVPAKPHSYVINMGDMMQKWTAGYYRSALHRVV NSNTKPRYSAPFFMNGNLDVKCYALDGSGEVTTIGEHIRKRLMETIGGEEGKKLGL ANIA_00525 MDLTKIVVITGANTGIGFETVKSLLRSNQRYYIFLGGRNLEKAQ AASKQLFSESAHHVLEPFQVDVESDESIEAAFKHIASKYNRIDCLINNAGACFDAYID KDMATRQAWNKSWDVNVTGAHIMTTTFLPLLLNSQDPRLLFITSGLSSLQAASDTTDP KNVIPAAGLPKQLPFVGYRSAKVGLNMLMVEWTKALKKDGVKVWAVAPGLLATSLGGS TELLKKLGAQDPSIGGNTIRRVVEGERDADVGNVVREYGSPIQPW ANIA_00524 MSEILVITCPSGKQCSRLIPLLYKKSRFTLRLAAHSESSARKLR ECYPEAEIVRTDLQSLADCRKLLQGATAINAVLPSLHSHEKEIGFNLVDAAVAESRRE GNVFKHFVLSSVLGTQHRTLLQHDLKSYVEERLFLSPLDCWTILKPTNFMNAYPVAAL AEQEHPVLEKWWKPEHENSVIALEDLAEASTKVLDERERHYLAEYPLCSTMPISEVEI VGIIERRIGKKIELKTPSFETGVAKLTKALYGGDEKGAGELGLGSASEGDLRGDLVRD TLTHLILFYNHRGLKGSPNVLRWLLGREPTSVEQWVDSVAPK ANIA_00523 MASSSSSTQAENLILIFGPQDPNLNDAYLQSLRTNLLDSPFLQW IVHTLIQLPQEWQRIAPTHTELGSFQGQKYLQLLSEWMRKGTLPGNIFPLPNILVTPL VVTTHLAQYTKLLEQLNPAIATNDMLSGIVKHDIQTVGLCTGLLSSAAVASSATLAEL EKHGAAAIRMAMAIGALVDAGDTEVEDGDKWQSLAVGWTTQNGDAELEGISKQFSHAY VSVISEARLATLTMLKNDANAIQRELTNAGFIYTKTALRGPFHCGSREDQAVSLMRLF DSDPSFQFPGASTLVFRTRAPDGEEFPLDRSLHGAAARAMLTDQADWHKLYTKLHEST NSHPGIVITFGSQRFIPQWFLRKLGPRLAHVLDLDVGSGHWPAPLYTLQDSGQDESIA VVGMACNFPGGSDLDEFWDTVCAAKSQCTEVPPERVDFDYEAWRENDTQRKWFGNFIR EYDTFDHKFFQKSPREMISTDPQHRIMLQVAYQAVQQSGYFNRPGRSKHVGCYVGIGV TDYENNVACHPPTAYTATGNLKSFAAGKISHFFGWSGPGVTVDTACSSSALAIHLACK AILSGECDASLAGGVNVITSPEWYQNLDGASFLSPTGQCKPFDAAADGYCRGEGAGAV FLKRLSSAVEDGDQIVGVIRATSVNQNENCSAITAPSVRSLANVFNGVIRKARVDPKQ ISVVEAHGTGTQVGDRAEYDSIRTVLGGPGRAYPLSLGSVKGLIGHLECASGIAALIK VLLMVQNGIIPPQPGFSKINPKLEALPSDNIEIPTSLRPWNPGFRAALLNNYGASGSN ASLVVTQAGVPHLNQSAIPKGASAGRRPFWLSGTDVQSLRSYAAKLVQMLRSRKADDP RFTVANLSFQLARQSNRNLGQALIFSCASVDELEAKLADFASGGNTLTSVPRPDSSRP VILCFGGQRSSFVGLDREAFDSFKLLKSHLTHCHETSLALGLGGILPAIFDRTPRSSI VELQLMQFALQYSCAKTWIDSGIHVAALVGHSFGELTAMCVSGTLSLQDTLRMIAGRA RIIEEKWGPDRGSMMAVDGELELVQRLLHNAHEASPNEPAVNIACFNGPRLFTLAGTT KAMRVVREVLSKDNRLSSIKVKSLETSHAFHSTLVEPLIPDLEELGEESIFRKPVVVH ERATQNSVAGPPPFSIFASHMRDPVYFDRAVQRLANRYPSSIWLEAGSGSGVTNLASR AAGSRAMAFQSINITSSSAVQNVADATLNLWKEGLQVMFWEHVRPSPKFPLLLLPPYQ FAKSRHWLERRKLKTKVFVPASPVQEAQKGLWTFVGYQDSDRCQARFQIHITSDEFQN YVSAHVIAQTAPICPSMFQQVIARDALATLVDGDMIPELEGMENDTPLCLDGSKSVWL VAERPSDRSSAWDFRITSSDSGNTTQHVSGRITFQTPKQSMQAFAAYERLVDHRRALA LLNGQEAEQTIQGSRNIYKLFSNVVNYKEDGYRGLQKLAATSNESAGRIIKQDSSKSI LGVGLGDTFCQVAGIFLNCMTDCDEGKMYLSNRVERWIRSPTVPLDLRPEQWEVYARH HQPSPKEYVSDIFVFDATNGKLVWVILGLHFVEVSIAGMSRFLTRLSGGQLEPQEKCL ATVEFKEVPEPVFTKDVSKNEKDAKAPSKKKESTSKSPGHDILARVRTLFCNLLGLEP VEIQPGADLVELGIDSLLAMEVAREVEKEFSIKFELDELMDMTDVHSLVKCIGANMMA SDTSRTGDDSSDDLETASAESETSSGINNEDSHNIDRQQIPASSIVDSFTETKLLTDR FIEANKLSGYSNNVQPRLTELVIVHTLDAFDQMGCSIRAAQPGQTVRRISHLPKHNQV VAVLYGLLEKASLVDVDGPRMTRTAVPVPSKSAEQILQELLRQYPEHAYDHKLTSLTG CKLADCLTGKTEAIQLLFGTPEGRDLAAGMYGKSPINVAWLRQLQHFWEHFLAQLPQH RTEPINILEMGAGTGGTTAALVPLLSRSRIPVRYTATDISPSLVAGLRKRFKDHTWMR FEVVDCEKTPSSHLFESQHVVLAVAIIPPAPSKMSTADIASRQAIIDTLVEKHTSHFS APTCLPPNQVIDGSPHCVLVTGATGSLGSHLVAHLVKQSSVTKVVCLNRVSGSDATSR QLDAFQSKGLILDSESLSKLEVIETDSSAPSLGLVPERYQHLVNTVTDVVHNAWAMSM TRPVRGFEPQFKTMRNLIDLCRDCANRRHSDTGKVGFQFVSSVSVVGCHPFITKKAIV PEQPVNAESALPMGYADAKLVCEHILDETLHMHPDIFRTMSVRVGQISGSKINGYWNP VEHLVHLIKSSKTLNVLPDLEGVLSWCPVDDVAAALGDLLLTNKPAYSVYHIENPVRQ PWPDMLTILADALDIPRTNAVPFKEWLRRVRHFPPSLGFSENPAARLADFFETDFLRM SCGGMILDTTRSREHSATLRSLGPIDQDLVMKITFKRIYAIFAKSSEVKIKKSS ANIA_00522 MKQGAVWSLVNQSLSHFAPSVLNYADEILREVHEDQQNGWPDNE TDESDENEEDGVDENEGESEESEAEDSESDSEYVYLSIEFIHFFHEPYCRVGIRATHS DETDNGESLLDDLMESKLTDRSALEWLQVQCGKAGDIA ANIA_00521 MPGTIIITGGNDSLAVPATQLLAKYPEHTIILTQRNPQTINQPT SNSRVYVKKLDLEDFSAVHAFANAVATDVQVGKIPPLTSIICNAYHWNLRTAPELTGD GYEKTFQVNHIAHAALVLRLLGHFDSVSGCCIVLFISDAHWTGKNSLEKYPPVIRKAN GLDALVSCQLGLSQSQSTWAAGSSGPFPWQDHCGGSQPGEPGRLARITGERAIPSDRF INACDHSQIEILQGP ANIA_00520 MASLSLNQLRATQRLCLHLNKDYPDFTFLQTTPQYHILSMENWS KTAWANPTCIVRPANTSVLQDTVRLLSSHYVPFAVRSGDHMPPPLGANINAGVLISLS SLDEKNYDPERDVIEVGGGLKWRDVYSYLDEYKVTVVGGRVLESEWGFLYSEQISKSS LPTVPWSTPTDHPIKTSSVPSKAGPITSVRISKQIRLPGLNYLQASSRHLLCTPTPST LFENMLGHLPRLLTAAYEFQQSDAAEGHAKFMLQAFIAGGTISAVMNMIYIQPEPNPS AFAPFASISTVDDLTRIQTLTKMMSGQMVPDNHR ANIA_00519 MLVLSYILPYIQEGFAKEEKASIHLYEASDWPSLEKKATAYKEP ISFLNKFTTPVSGFQDEQTGYSYAVVRLTAKGDRALFDLCHNNVQREVWRFPSYEFVV RVGALTVKQVRTARPSYVNAILIASRGVKTREPCSMSTRSVFGEHVRIPGYWNGACAG CKWMDGGARCDFYADREPKYGPLSVAELPRAPIEELED ANIA_00518 MSNEDRGYIPKWGELPVEQYLIARFPFKLSCPSTTTNVPQRHWD SAATESADEQRLRLIRQFIDLDEIPREWDPVNYGAPPPRMPTAEEIDTVLRPWRSDEL RQQAWQILESGNAAPILLRTHYDPEGDEKMEEWIGASEEFENQAWWACLNDPALFDFG SDWQRVYDIVPEVAGPVGGAGYRRYPASEIVEMSRTQFKTSFGKAKENEPDRWREDRH RFVELEAADLLRTVAAAYILVADQETFETGGQLRLLYLDGKRNVIRETRVEADAQTIT DVIMDWDQLNLPPDLWEEGTIGDRYRVTGDLGRELYQLSEADMADP ANIA_00517 MKPVHLLRAAGLGARILALISLLAFISPWAVGQGVGEPGGTCSD SVPCYQGCCSKDYSCGFTPEHCGTGCISNCNATAECGQYALPGQSDCPVNVCCSEFGY CGVTSDFCGDGCQKNSNGVGCGQPDRPSCSANTDAMSFKRRIGYYELFNYYKGCNVIE PESLIIEPFTHINLAFVNFGDDYTLIDEYGDIVDRVSFLKFSNPGLRVNIAVGGWAFS DAPTQHLWTQMARSHENRQTFINSVVKYLQDYHLDGIDIDWEYPSASDRGGAPQDAAN FNPGWEISATLPTSYWYLRGFDVDRMQKYVDYFNLMSYDLHGMWDQDSKWTGPYLQGH TDITQIELGLDLLWRNNIDPANVVFGIAFYGRSFTLTDSNCYQPNGECEFSDGGKPGS CSDTTGILTYAEISSRNNSLDVHTFYDPETTVKYNVYEGTQWISYDDEQSFFDKKKYV SERCLSGWMVWAIDQDTGEFDALAGLIGEDLSSLQMEGGLTGDAANVLADTFAAYTGQ NCFVTPRCTDGSSKEKNADQVCPSGYLSVDTAHNPLQAGNRELNGDCAEGWYRHICCP KDAMPKNCRWNGAPERSEFGCDGKCGSNQFKLNQDTALDAMGEGQCFTGARYICCDSA AMFSDCMWTGCQGPLMPYTPAECPADYYYQTFRWDKPDGTPWCSDTYVSPVDGAVGSP LHDRFKSGLCCPTDQSFSNCAWTNSLQQSDMTGGDWADHIQDLVCKPRPCSAGKVKVA GALDPPPAPGAGSKSEINCDGVTVPPGTDPEWSYCCDPPTRYNKNWPVHPKYLWEKYY NDPKKSDVVWKYSDEYQNNDADADHSSEEDGSDAYGFVMLDGPEGSIDNDFATTQTVV RRSRDVPRVKRSVLTTNQTALDTVFDHSEETFHVYCNYPARSRECGRIFIDGAEDTII SLPDHIGEGPFARIVYMKPADEDFQLPDHHLEHRSVERIENPVYEVKIDYNFHLIKPK RDSEPVQMRVDYTNLLGYWDEMTDSPASRMRRSLGERGLTKEEWRARVQRAVVRDKTV RKRDEKTIQVRTPMAFSGSHIDKRWWGAFKDWLRKLTTVTKSSIGVIPLGFSHTINLF RAQWGCPGQTYSANLRMDLEADLAMDATYAYYLSATFIPPGKPETFAYFGMEPTAYLG LHIEGNAQMQTTTGRKKIIDTLSYPGLAVKGIAAVGPTLDIYGEAVDAGATLTFGKAE VYWPQNDDAKDQYETLLGLESDTAAPAPGSIEPRFEAGVAVDAQLDILVTPEANIGIK IGGGKLVGGATLMDAQLTGYVMGDLSFQAHGDYDTASNSFQYRFGAYLFYNLGYKATA QILNFIDWALGPRQAYTPNKTVKLYEKQGSIPMGSSSDQQARDLTVYGPVERRHIAAE LPADANMSAYLDPATGLFRRSDPMDLDDPNDPEFTQNLQCPPGSSGDVKLPELRFNCD LLWGFVEPASGKTSDETDHDAGKVTGLCEPILDIPSNKRTEAFTFSNDKDRTAARYKA QCPSGTCKQPSADLNKALNRRNLQLQCDEFPWKSSEQGGHYLPSDSRSATCVPSFQNN WHGQCLKLMGQFQSNWKKLDPDAPADDEREDYWVPWSSPRWTSIGEYGPEGSKYSQKL IEYPTAQPPPDGVRTRNDDKLSWAFKRDYRVSWIHQDPTTITSSTWWDATGKTLKGGG HGPAGMDAILCAVNIFGQEDTYKLPQGQNGPYNAYCRKESNEIKYWSVDYSMGTCLVT FADGTSNTKRDSGSWAGWEVKSVEMVDNVAGDLEEDLRRAQEMARRDRRP ANIA_10104 MMSSDYGRRKFPPAAYSSLLASCSVPASSYPYTYTPLPTATPTS TTPTATPTPACNGKTYVSQQSDTCETISKANSVSTDRLIEANHLDYSCSSLTPGTPLC IEDTCTVYTVKANQTCQDIVRGQSFGLVQLIGWNPFDGGKEHLHLVGLFISHSGVLSD LQANRPPRGGSFSMPDSSNSTSTGLASSIVTAWTPGETTTLTNLTTLWYSPTIDPSYN QSIITYTANSTLSSLLAERTQYCWVTDDDWDNLFNPDDLAQNCQSLYSAYCDPAPTAP VPGSSPAVPGSCTPVYSTAVPSSTPQRPSATSSGVPTPTPTQSGMADGCTKFHKVEKD EGCQQIADDYGIALSNFYAWNPAVGDDCMGLQYDYYVCVGKAATTSAPATTTSSPTVS TSATPTPTQSGMTDGCTEFHKVEKDKGCQQIADDYGIALSNFYAWNPAVGDDCMGLQY DYYVCVGTSATAPTSTASDERSTTTTPNGATPTPVQSGMTSGCTKFHMVEEGEYCYEL ANSYGIALADFEAWNPAVGSNCEGLQYGYYVCMGTS ANIA_10085 MQSTRSDDRPDHRPLHKPISLVHGSLETPGTSILSYYETYFQAQ MPSGKGCLVPETYRDAASGGQLQAYSWPRTSSKIDLDVRFTVVHYQPEAEADSRSTLI WSVHHALIDGWSAALVLKKVIQAAGGKTVQPGPPFSNVAAALDQWRCTHRAEQDAFWA EQQPKLSEGKEEFLFPVAGTGDDGECQQEENLVTLGHYYTSLKGAAGACGVTLTAFST LRGRCVWGFNLVWDMNVRDFVRGVFNRMQRLAQCSWTTPENGFPRVRGQLLAIQPDAR WPADQNEFVVGISFARQSTNVLLSMVVTDIGNILLQYFRKRYRHCDLEGVGRTLKQVL IYLCQPDSTQHHCCAHRGY ANIA_00515 MAVQVKPTSNNKLSALWQAACADYAKQTGKLLADGELPELRGPE DLSRQLDAEKDNFDDFRMKQRPLLHAIQTVIYPFKTWGSLIAATSFPPASAIMSTMMY VIRGVRKVSEAFNMISDLFRKLGHFALRLDLYKGVQLSKGMKMIIVKVLANILRVCAA SQKLALQILAWQVVTQQVGFAEYAEEFCLKEDPDNTYTVWRKLLLDYLTKVLLQGTCF IIDGLDEADPKE ANIA_00514 MLTQELQRLGRELSSLDRISEGEEGSELEGTTQINQLNIVLSFV TMAQKPLTVTQLEAILEIIFREEVLNLEDDLCLPEMEADDRLPLVILQLLIFYNNMLA YYTSENINSRENTPMDMQLYHSQVYKIFAAAEIYKFQQTLLWHVRVAQALLLNGHLQE AQGQFQIALEEHKSAPTFDQLLLFIIYRDMARACSDIRRHGEALEHHELSEQLESLLA QLQHNARRTADAVKTANEA ANIA_00513 MTFMADLDSREDRDAALHLLKDAEKRAMSRYNAVVQPQEANDSG TGELSQSLKVTCLTDEKAENQDGDDDDDDADRLNVRALANYLDECVNCRRELENIHFW GLLPPSELVGDGMVPLVSAEGNRQVIWVEEWKDSLAEKWETESFEYEGGLSAWCMRIL PEPQRTRWAAFFMSQGGSGPGQSC ANIA_11270 MPKSRSLSFAYTRTLDLSDSAKACAVLKAIGRSI ANIA_00512 MKVSPQPASVHDVNEHDDPILHTKRSATRVSKRPRHSDPGPRLA SLKCGNDHKSQGDRKAKAEAQPSLIIGKSRCHGPIKTLVTTYKGKAPLTIFSTSKDQT DQSNEIEGTCFRKIFLTDETEILNPTAIYERQRCVKLVILISHADEIYENPTIFVEQR VYGRGSSAQHQTQTQTQTVAQELMFGKLVQLGVEYLFPGCGDEDPTLGKRDLFVRFQS LDESVFSQYINRARWYGYDVHESIRIDDKMLETGVEYIIPPPTGIGPGSCIGFLDDHC HWLGSTYHSIFGDLTEVQKHHIL ANIA_00511 MPSLKSAVALSLLPELLAARSIPLVKRGVDCSFSIAASSGDSCE SFAALWGISVDDLNLLNPGLDCTKFDDFADYCVMRDVTVDEPSPSSTTATTITTKEAS TATTSTTTVTLTATTEKPTTTTISTTGTTTGGSPSPTQPGLASNCDKFHKVASEDQCD TIEASYGITNVQFSSWNPYIDDNCSNLWLDYYVCVHVAPKPQMPGITSDCMTYHKVQS GEGCWAINSAYNITLDQFRKWNPTIDASCSNLWVDYYVCVGV ANIA_00510 MVRVSWLLLGLLACWATAESNKTEINLIFPREGTFAPMHSMPVV FAIQNPSVAKELHATIQYGVRPKGGGSNETVWSYSDELANVPANATTYFSSTSLGNML NTTGSWEFFWNLYWLNCSQSNDPAYYDSKYPWVLGADGLNQDAVLDGFHLSSYLVTAK YLFFDTKEGGTAVNLTTLTSDNQCSEASGFVVPALVNTLDIPRDFPDHNSLPSTCAQL ANSTSTSATKASPCRVSISPEAEASILADAECHNTLFPTSGCPDKTPGDDVAAGLDHG RAVWAAITLAFALAVGLVGC ANIA_00509 MAPSWRIVAVSALALSLPGGNIFVSAQTGSRQPAAPGYRGMNTI CPSACFKTGPDPLDWSVYPSVDRTSACKQVVLYSFSLYDKVDDKDSNHRIYACTAYGH DWEDNTKAEAAAARPAKSVNVTYEIGWTTSEPGTEADYSLLMEQMREYVVNGHAPTNR TFMLYSRFGQSVAGLYIGQGLRASDVANTAIKTLDSNTADFDGKRDVLAIQLCGPGYD SDHILGFMALKHGTMGDIQQTFMSWSDAKCLDFANSTSFTTTAPFTLPQLSSIKGNHT VSQRNATVTTGHHSRQSLAHLALHARAFECRTEVVGDGDSCAALAERCGISGADFTKY NPDKGLCSSLTPGKHVCCSSGDLPDFRPRPNDDGSCATTVVNDGQSCATVVAANSLTN DDIEEFNKDTWGWNGCKNILAGSVICISKGTPPMPAPMENAICGPQVPGTEAPDDMSK LADLNPCPLNACCDVWGQCGITPEFCTDTNTGAPGTAKPNTNGCISNCGTDIVKGSPP SEFRSIAYYEGYLFTRECLYQDALQIDTSKYTHLHFGFASITENYEVSIGDELISYEF NNFKYLTGPKKVLSFGGWDFSTNPRTYNIFRQGTQPANRLKLATNIAKFVKDHGLDGV DIDWEYPGAPDIPGIPAGSEDEGDNYLKFLVVLKNLLKDKSVSIAAPASYWYLRGFPI NSISKVVDYIVFMTYDLHGQWDAANPNSQDGCPSGNCLRSQVNLTETMTSLAMITKAG VPSDRVVVGVASYGRSFAMAEVGCYGPECLYTGSRANSDATKGPCTGTAGYLANAEIN KILSGSPSGLNTRDTASSRVTAHYLDEDSDSNILVYDDNQWVAYMSPEVRESRVSRYK SLNMGGSVNWATDLETWHDAPVGNWSDYKEAIMAKQNPLESNNLQDGNWSSLTCDNNY YQGTPYYTPSERWAALDVDQAWDDMVKAWKYYRDNVNQTSFTGYLAYMMDWEGYGKCW EIVEGCGGNIDCQDGRSPAEVLIWESLNTLSKTYQRYHDGLVSAMALVVDPSLKDFTK KFAPVPPKDDFSWQDILFDLISDATPMVGGKFFKYVLQKLPGIASLGDEGKSKAEEIT QGILGKAVEVGTSLVSTEDPDDWSDDDQDAFMTYLGQSAGLWDRANTMGLATLFDGSD DSIKTLKSLVADGHFINGASDLSDGDGFDGKTGNDTVTDAFAKALYGYAIPVLWQASG HHPFIIDTQRSCTSDSDGDDEKLATACYGGKLYKLADPDGESRSCRENAQTGVKCGQH GDTYNDFATLSGVDELKDGAWGGVTPRDIIVGTYIDNGEENGSEKTVDRSKNFDSLVE LDITVPQFINLPVCTETVARRSWTNSDDTPSVRNVDYFPCNIANGKDYCGESTFEDQG SEASPLIEDCKQIIKNIQGTDGEWNTSPLEKQRALVSYGSCKFGVTGKGIHGNVLFMT GAQDIIDIINDAIEKFGRDDGRVGAKGTMQCDGNIKKQKVDWGLY ANIA_00508 MAPSPPRLRALQSKSEGHMQSPSPTQKDGPSKKTVPASSSVSET TRDHFLDSELSRPFKAFVEQIQAKRGRTVTTSLIWKTDDRRIIDAYSSAGPPHFEVWT AYFPATLSQEDKTCLERIEGPLFWISESRGPDDRPEALRGFLPQSYGWMEGEVEYQGQ MARRMNYIVTWESDAAEERYKQGMCTYRSEILLPGLDPDRFRRHGPRHDRTAMEVFLD YLEECGMLGYETTYARFVGVFSPN ANIA_00507 MISLASSLSVRGPKQTGAETNDSAFSLTEDFLKSTFSRSLRTAD RTILDIRAHTTLSYSIHYDLIQGQVPPCLLPMPRPKVLPANRLRAPEACLACRASKKR CSGTFPCSKCIRNGRADTCVPFRRSTTASSPRPINDAYEVISPDAGRRIRNTSTISAA RLPQLLPALNGTSGAPHKTHSRMLRSRQGERGISVHPWFQYEECALLRAVLVYIGRAA SLSFLQLLRDTVTQHIGPSQFSHNVTKEDMLETDTPDEVPASFQDNVGHQEEQAYLRV YHIATSGFINVLSESEARQILGTMPPTNETPNKKMAALRDIMIAIGAQSSKNDLSPAS KRAERFFFKRAQQCAFADHRTRVWMSLCTLDLLVSSILGRPPATANLHSEPADVESTP QIGAGDDRLVASHNMTRILDEIVSRLYNEKAASTEVAESLLDKLKQWSNDLPESLLSS PSTPQERLAAQEHIIGSLHIACAYHFAVIIVTRPFMVQVLGVRLARLHQESPGIIQDS TLLEDPAHTRLANACVESALYMIQTCLEVHQSRLLLGNMCILKALVFAAALIPGFSMF SQKELDSTLEEAFTGALEILRVLSQQSAQAAHYFEILNLLRNAIDEQRQRLRENPPPD KKYVSKLFSLNNRRNLDSQPQSDVAAAMSLVSDRGAAGSSTISSELTAAQHLHIGTDV GTSYPEPDNSAQAQAQDNDQNSGLLDPADVNTAFPGWEGMELPLWDRFPFIDDSFLN ANIA_00506 MLQHLKIRPTLRTRQLNLIIKTSVQPQSSVGTHSHLRSQSQTTS TSNSTMSPKATTPKPAPAATKTNTTKPLPTDLPIHTFPTPSSFETFLSQNHNSLPGMY IKFAKKNSGIPSITASQAVEIALCYGWIDGRASSLNDQYWLVRYTPRRPKSLWSAKNV ATVQRLIDEGRMRDAGLAAVEAAKKDGRWERAYDGPANIGVPADLEEALEKHEEARRV FEGLNRSEWYQVLHRLQTGAVSTRRERIEAVVDMLARRNTAMPRAKARASSAGARTFK VEKKQAVIKGKKGKKADVETEALSSDGSARQLRSRKPRQ ANIA_00505 MTQILSKSSGGVLQIPASATQKNAPAPKKAPKPVAPRLKLLVRR LPPGLTQEEFETALGAEWKLGAGRVSWAQYKPGKVSKDHGNHSPAKPSRPSRAYLYVV SSEHIAPLSDKVRSTSFLDARNTANDPVLLGPPNLEFAPYAKIPGSRVRKDARLGTID QDPEFIQFLESLTQPITKPTLAETSAEGEDKKETVTTTPLVQYIKEKKANKAKEAASK SSRQRSEREAKSEKVQSKKLLQRPDKEVSPANAEKTEKKSRSDKSTKEAVKAASKQAA NVASRQAAKASAAQNASKDMAAAASERKRERGNVAAVGKILQRDLGLAPSNNRRRGGR GTSGENEPKTDSAAASETSKKEISTRSPKGSPAQDTSSKTKRSNTPQPSETPQSQRSD TSTPAQATSTPRGPRSGRGKQSSAATPTTPTSTATQAFLKHANPSQGVTEPLLEAAFL PFGKVVKVEIDKKKGFGYVDFAEPEGLQKAISASPVTVAQSQVVVLERKANPGAEKGR GKGRGEPKPPNPSASGEANSNSGRNGKSGEGTGGGGSGGGNSSSGRGRRGRGKGGSKA NGGGGSGTTSANAPAPENKNSETK ANIA_00504 MTDSKVPQPGPAKLKRNAGPDEWLEAAKDCKYLSESHMKQLCEI VKEYMMEESNIQPVSTPVTVCGDIHGQFYDLLELFRVSGGMPDASLAEPPKTSSAVIT SDDIEPPTTITDPELRKKLGKPGTAGDDDDDDDDNNENAGQKEKSSSSGTSEIAVNRN FVFLGDYVDRGYFSLETLTLLLCLKAKYPDRVTLVRGNHESRQITQVYGFYEECLQKY GNASVWKACCQVFDFMTLGAIIDGRVLCVHGGLSPEIRTLDQVRVVARAQEIPHEGAF CDLVWSDPDDVETWAVSPRGAGWLFGDKVADEFCHVNDLTLIARAHQLVNEGYKYHFS NNNVVTVWSAPNYCYRCGNLASVCEINEDLKPTFKLFSAVADDQRHVPQSRGGRSEYF L ANIA_10084 MPSKTQTDVLICGSGSAGLSAATWLARYGIPCKILERRSGPMTM GQADGVQCRTVEIFESFGMGEELLREAYHVLEVVFWAENGTESASDGSGHGSISRTGR TADTQPGLSHCPHVILNQARINGLFIDAMKRFNGQEIEYGWDVKGVELGDEGDKYPVK VTAEKDGEVQAFEAKYVLGCDGAHSTVRKQLGYNMIGDSTDAVWGVMDMIPRTDFPDI RKKASIRSKAGSLLIIPREGENRNLTRFYIELPAGTKAKEVKLEDLQQAAKNILSQYK IEFTETVWWSAYAIGQRHADFFHKNYRIFLGGDACHTHSPKAGQGMNVSLQDGYNIGW KLAHVLKGLAPPSLLETYVLEREKVAIDLINFDRYFSKLFSAKGNASAAEFQEGFIKS GKYTAGLTAKYDESPITCDLGLSDSLAKNVVVGMRLPSSQIVRHCDSKPVQLMSALKS DGRWRVIVFPGNISKPQNKARLDAVGAYLASGESPLQTYRLQDDDCDSLIEPILVGHG PRHDVELDQIPPAFYPVTGKNQIKDLHKIFFDDESYNKGHGELYKYLDVSSVIAIDDY KRIGQFFAGFFVPQEQLNSRLAML ANIA_10096 MHSKINYTVAPHLERFAVPFPDFRAANPQYTHFVGGGLIFSRTA TKDIHEGTEIEERPLRVLLLQRSFDDSYGGQWEGPGGSCDPEDGSILDGVTREVLEEC GLHVSRFVELVDKTEWVKQRPDSVDLVAKFTFIVEVHEAKAATPASEVIGNDAGLPAD KLNDGTVSPSLERRWEEMVKLDPAEHRDFEWVTEKEVREAEDGTGSFKSFADMGKTIL EAFRILREREVSSEGVDVK ANIA_00502 MAESTAAEGLLTLWHSFRLPLLVALATVFVIVRLRRVFQARPKI ATASSVPSSPRSSSPEKTTKSVASSLSEKKDVAVPNGGRVSPKPTAGPKRVTGKKPLK SARKRSSSISDEEKQPVTHIQPIIFWASLTGSTERYAQVLLEDLRAAAQSQADPENRE RGLLPPQIHDLAEVDYDDYFTTAPKPPPTSPGTRYVYCFLIPSYNIDTILDTFLGHLD ETHHDFRIDTGSLSTLAGYAVFGFGDKEGWPTEEEGFCSQAKELDRWMAKLTNKKRAY PLGFGDVKSNADASLKEWSAGLQDILSDIVKNGGLGEGVPGSGDPLESDEELSDDEDS GSGKSKARRKPQSVVDLEDIKMGSNGQAVGSPIPVDFTTSGASRDVQPTEKEMVPKTS PTYASLTKQGYTIVGSHSGVKICRWTKSALRGRGSCYKFSFYGIRSHLCMEATPSLSC SNKCIFCWRHGTNPVGTTWRWKVDSPELIFNGAKEGHYKKIKMMRGVPGVRAERFAEA MRIRHCALSLVGEPIFYPHINRFLDLLHTEHISSFLVCNAQHPDQLQALNRVTQLYVS IDASNRDSLRKIDRPLHRDFWERFQRCLDILREKRHVQRTVFRLTLVKGFNIDDEVIG YANLVEKALPCFIEVKGVTYCGTSTSAGAGLTMKNVPFYEEIAEFVVQLNAELERRGL DYGIAAEHAHSCCVLIASSRFRVNGKWHSRIDYPRFFELLEKEKADGTSFRPEDYMKE TEEWALWGNGGFDPNDERVFKKGKAAKKALKEKEEKEAAERAAAAE ANIA_00501 MKGTYDKNPAVVGCHGRALSLESSQHQPNESALQSARNSLKTVA WCFALASGIILAYAPMFYSIANDPPILTSSRKDFGRRLDGKLIIPALWLALWNIANPI GGVLGSIAGGYIQDRVGRRGSLTIASVVSAIGVAVAYISDLPSEINGRRSIIFVAKLV QGFAVNQVMCTTQTYMSEVLPPILRGPILGLFPTFILMGQLIGSIIIYAMAARRGPEG YKLCFVSEWPFSVLPFVVSFLMPESPTYLIRENRLDEARRCQEQLSYSTEEADMVFQQ CRFSIELEKKAKGSVPGYSDCFKGTNRRRTMVVLFACLLPQLFGISLLARGSYFMQVV GMNAHTSLIFLQVGVGLGLVANVGSMITVAKFGRRPLTLFGLIACTILWMGMGIAGCF PGTATVWYTQMTILFVVTLTGLTIWPASYAFGAEASSLQLRAKTQGLGWLVNCLSNGI LGIVLPYIFNDDEGALGAKTGFVYMGFCILSLAAAWRIVPEMKDKTAMEIDQVFTGAQ RRIVHGDEEEIAVREREEKAWECGFGRPYVA ANIA_00500 MADHDDRSGQLRAIAITFIPLVCITMVLRCYMRAKVIRAVGWDD GVMVIALLCYIMHVSCVIGGSFYGLGRKLGLDTDFQRIAVAVKVWRYRLPGELNPKIF SILSFVKPFHTRLLWILLIYTAIHGAYVILADIVRCWPISYNWNQMTLDPRFKGTCIT SKQSKIIAYVATGSLLIIDICLASATVAIVVRIPYMDGYAASDALCALSPWPSRPINP LTPDTSDAAVDMLIWAYIELSLGIIAGNLATLGPLFRIWFGIVTSRGNSASTSTPKPT RYFRRPRGVHDMSFPLSTFDETGRNTLRPDKLPIMVTQVQTQHSHSATAHDANNSQEQ LTLDHGRARGTSAASSKELALGAGPEPESRMEIYRGTEVMQTFDVDSTVNVAEERV ANIA_00499 MQLTKTLSLLAASLSVLLAPVTAAPAPGTSCHVGASWPDHHDCH KFFECAAGGHPVRKTCGPGTAYSPEIGVCDYEWKVRSCRAHSWTHGAEEGASGSHSGW DKSKNEKGHEGHWSGAGRH ANIA_00498 MAPHSSASSSTQIEPDPQQAQYEHELETEKKPACLDQEIEYLYL ELDTPLPTPWITAPPGPGQSPAPEAPNLEKYTSPFLWPKWRKSMMTWIACGVTGLAGY SAGEITPASSQLTDDWDISAVVYNLGITLFCIGFALAPMVLAPFSEINGRRPIFVASG VLFVACIVACGGTRQFGGFLVARFFQGVGASTFSTMVGGVISDIYHAHERNTPMALFA AAALFGTGLAPLLTSVIVAHTSWRWIYWSHAIVSGVFVLIIFFFFKETRGSVILSRKA GALNTYYEQLEAAGHVGVLMGSDPKPRRIRWKVKSDEQRQSLVQMISISLYRPFHMLV TEPVVFFFSLWVSFSWAVLYLQFGSIPLVFKTNHEFNIEQTGAVFTSMCVGSLLITVI SIYQEKIANRFNLLPATPEARLYFVCFEAVLMPIGLFWFGWTSSPSIHWISPTIAIGC STMGIFSVYLAVFNYLADTYHRYASSAIAAQSCCRNLLGGVFPLVTNAMFNNLGFPEA SSLLGAIGAALCLVPFVLAFYGQTIRAKSRMASELAK ANIA_00497 MEKAAGPSGPSATGLDSKVEAETSIIRDVDLGEMLEIHYTPEQE RRLVRKLDLALIPIMGLVYCMQYMDKVALSQATLLNLREDLNLHGQEYSWASTIFYFG YFAWSWPSSYLMVRLPLGKYIGASVQAAWFLGNAVSNLISGLIAYGIGNVYITTITNW QLLFLVLGTITAALAFPIYFIIPSKPQKAIFLNKTERAIALQRTLKNKTGVNDTGRWR WDHAAMTFRDPQTWLFFLYSVSTNLCNGGLTSFSGLIINGFGYGRVRSLLMQMPTGAS QIVFITIGTAIATYFRNTRCLMMIFNSSVAVVGMTLVWKLDESHAEARVAGLALASAF AANMPLQMSLISSNVATFTKRSLTSAMLFIGYCIGNIVGPQFFLESEEPAYPTGMTTA VSGLSFGVFFLILLLAYYAWENKRRDRVYGPAGQFTESEELEQGLSNRTDLELPSFRF YYANDHDKCRVYVSARAYAQDARGQVDVRVHVHVQVHARIPAPVVQDAALIQVPAHGA REAQEGRAQI ANIA_10083 MTGRNAPRSKNGCSTCRRRKVKCGEERPVCKRCSALGITCEWAA VVKRGRSHTPVPIQPARARRPTTTAAATSSTAEQPPLDFNSLALSPQFSQMLCPEALF PEIWTFLPAAFTAPTPLYPSLSGTDIACSNSLMLMEQDQIYFQYFPSSSVVFYYIKSW AWSGFSYLYQGPAASSKVVMRMILALAANDMHRNGLLVRSPGRPSAEDHARYHYGLAV KEFRQLLETREKPLSQPELEIVFASMFLMISYEWQFGQSVQDLQLHLRGVRSLLESHS KLFEGKEVDEVLMFMDTVQSEAAPQVSFIPEQLLLWIIYIDSGCRSMGTADSLTEYVL QSGNQAIHPDRLYRCARLWGRCFWGKQYPDQEVSDDMENYRALELLHAGMTLRYKIWQ ALSDDAVQTNNQAELLLKEIKATREVWFFQTVLDFAGPASTRRTLNTINMAVSTFYAQ VLFHRRLLYPPGPPSPFQRVAVTNIIEIARKQYASDPRLLRRIHWPLLMAIIETDDIS QREWLRERLVDLRGFHSEYAWASDLADEILALQDTSKDRYADIASFFRRHVTG ANIA_10097 MTKMSIQTAWEACNKTDTLFILVCSVFCWLIIPAVGLAYSGYST RFNSLASFYPGLLAVAVCSVQWWMIGYSLAYSEGNAFIGGLSKAFHIGVLAEPIGTIP EILFSEFQLIFCATVCAIAIGGACERGRLLPLIPFIFLWSTFIYAPLAHMVWSDNGFL AQLGALDFAGGTPVHICSGATATAMSIYLSYPLFRSRRSTKRTPQHLRLHKPHNTLCQ LLALMIIWNAWLAFDAGTTLALNFKSIMAACVTNLCAASGALTWAGLTYAETGKFSLD STFLGAIAGLVLITPSAGFIDLSTSVAFGVVGAVAGRQALRIKFTKGAALRRWVDNGD TFATHCLGGLLGTVATGLFAQREVAAYDGVTIIAGGCVFDSNWRQLGVQLVEALIGFT WSFVGSYVLYALVDCVPGLEVLATDEDIILGMDASQMGESLHEAQWAGEEDYHPFHAE IQL ANIA_00495 MSFILTLSCPDRPGIVSAVTGFLVQHNLNIIDSSQFGDPTSHRF FMRMHFGPDTTGASDGAAAPSLEALREAFTPIATAHSMSFQLLPAAHKPRVLIMVSKI GHCLNDLLFRQSTGQLAIEVPLIVSNHPDFETLAATYKVPFMHLPVTADTKQQQETRI LELIKEYDIELVVLARYMQVLSPTLCDAMSGKIINIHHSFLPSFKGAKPYHQAYDRGV KLVGATAHFVTSDLDEGPIIEQNVVRVNHALSPKELTHAGSNVESNVLAAAVKYFAER RVLLNGHKTVVFN ANIA_00494 MASSFQLYKALLFFSSLLSAVQAQKVGTQQAEVHPGLTWQTCTS SGSCTTVNGEVTIDANWRWLHTVNGYTNCYTGNEWDTSICTSNEVCAEQCAVDGANYA STYGITTSGSSLRLNFVTQSQQKNIGSRVYLMDDEDTYTMFYLLNKEFTFDVDVSELP CGLNGAVYFVSMDADGGKSRYATNEAGAKYGTGYCDSQCPRDLKFINGVANVEGWESS DTNPNGGVGNHGSCCAEMDIWEANSISTAFTPHPCDTPGQTLCTGDSCGGTYSNDRYG GTCDPDGCDFNSYRQGNKTFYGPGLTVDTNSPVTVVTQFLTDDNTDTGTLSEIKRFYV QNGVVIPNSESTYPANPGNSITTEFCESQKELFGDVDVFSAHGGMAGMGAALEQGMVL VLSLWDDNYSNMLWLDSNYPTDADPTQPGIARGTCPTDSGVPSEVEAQYPNAYVVYSN IKFGPIGSTFGNGGGSGPTTTVTTSTATSTTSSATSTATGQAQHWEQCGGNGWTGPTV CASPWACTVVNSWYSQCL ANIA_00493 MKLSLNLLLATLSLSSTAFAAPSSAKSMMVANTQWTITSLKRVC DTADTKCTWTFGIDTGSDSTDCTYVVTGTPASQANGGPAHCGAYTVTSGWSDQFGAEN GFTTLSVVNEGTRQIIWPAYTDKQLAGGNVVTPDQSYTPSVLP ANIA_00492 MPSTSPISIVYFTALLGLVSTTLAFPTFTPTEPLSKRIALTCNN DGGLYRPVSEAQACVDYLLTKSTDDCVVSGDETVFCQAGDTVITGSRTGKGGESSLCR DVAKGAQRIIDSCTTPEGYVGGFNAAEGNGALIVSIHRR ANIA_10082 MIGLRPLNRMIKRTFFLHSKPFQFPSQFASPVPQHEVIDEEACP GYNPKHVYPAKPGEILANHYQLLVKIGWGTRSTVWLAKDLKSSLDAYHERDIEEHISR QSPIHHGRGIIRTCLDSFEVTGPDGSHLCLAYEPMREPLWILRKRFVDWRLPLSIAKA YLLILLAGLDYLHSECRVVHTGEYFVLSSPLNIITPMRITDTFFQLDLKLDNILITFA NQDILLNFVKEQTTNMPMQCKTDPTSGRTIYRCHNDFGSLNWGELQKMLPNIVDFGLA ARSESDHQGQVRNETVGSHPIQPDHYRAPEVILGCPWSFSADIWNIGVLAWDIIENTE LFLHVHDSQGRYDPKTHLAEMIALLGPPPKELLAQSHAMADISWPNPIKNETGKLCRN GREYFNGPFFDENCKRTDPQLPCFPTNLIVVAAQDESGELTRTTSFESAALGWGANMA SESSSDSREPGRT ANIA_10095 MTTVDATWVERTTEKAVDEAPGLAGKVDSGKVHGDPHPTPKTGD PFPASISLGINDIEGQNRVVLACLSRRYCQVFEDIWRGQSRRRPERSEGGQCFEVIVK ANIA_00490 MKYVLVSGGVISGVGKGIIASSTGLLLKTAGLSVSSIKIDPYLN PDAGLMNPLEHGECFVLDDGGEADLDLGNYERYLGVTLGRDNNITTGKIYQHVIEKER RGDYLGKTVQIVPHLTNEIQNWVERVARVPIDESGREPDVCIIELGGTVGDIESAPFV EAMSQLQRRVGKANFLQIHVSYVPLIGSEQKTKPTQRAISDVRSGGLRPDLIACRCET PLEEMTIRKIAGTCQVERDQVVGVHNVPSTYQVPILLENQGFLNTIKDLLEIRNLHIE PKYVELGKSMWHKWHGLAMSQDHVFDTVSIVLVGKYTSLHDSYLSVTKALEHASMHCQ KKLNLIWVESSHLEEDHQSINPAEYYKAWHAVSTADGILVPGGFGQRGTEGMVKCAEW ARTKNVPFLGICLGLQVAVIEYARNVCGMTKADSAEFNEECEQPAIVYMPEIDKTKMG GTMRLGKRPTIFQEGTEWSKFRKLYGNKKEIWERHRHRYEVNPDLVEKLEAAGLSFIG KDETGKRMEVIELKDHRWYVGVQFHPEYLSRVITPSKSFLGFFAAAAGCLDEITETFQ GAHDLSHLQVRPKIESI ANIA_00489 MEKTQPAYVEEAGNGAHTPLEGALTEQHQAYLLQRHGTLDLDPL PSMDPADPYNWPLWKKATNLALVAFHACMGTFTAAAIIPAYTEIAEAVHVSIQRVSYL TSLQIAILGAAPLLWKPLSHRFGRRPIFLMSLALSCVCNIGCAKSPDYASMAACRALV AFFISPAMALGSAVVMETFFTQQRAMYMGVWTVMVTLGVPIGPFIFGFVAERVGFVWI YWVLAITNGIQFILYVFFGPETRYTGPSASPVKHQYLNLARIDSTPFTLAEFFRPLSL FANIPVLLSAVAYSMVFLFASVLNSVEVPQLLQEKFALNAQQLGLQFLGLIIGSLLGE QMGGVMSDLWMSRAGHFMHRTKNAGRPPPEFRLWLSYFGYLVTIAGMVVFLVCTEHSP EGQWRVAPIVGTGVGAFGNQVVTTVLTTYAVDTYPQDAGGVGVFINFVRSTWGFIGPF WFPSMFESVGVAKSSGVVTALIVGCSFAPTYIRSFLQSFLGMPPDSSISPLPQVLPQN RRRSPSIALPIQKIRTTPPHPVYPGCRVHVFFPIALLNRIQAQA ANIA_10094 MVPINLVRSSNAALRSIPSTSHSAGITALFVSGTSGIGLYTLRA LTRHTEGKALTVYIVGRSAYRAKPVLSELQRISPRARFTFIEADVSLIRNVDSVCKKV IESEKGGKGKLDSLFMTPGGISIPFRRRETSEELDRLFALRYYARMRIIQNLLPLLES ELEPSTSPGPNSIPSRMVSIHGSGFEYGINLDDLNLKHNFSLLNACNTPLQ ANIA_10081 MPAITVKPLTPPAGSAIDFGAVITDVDLEHLTVVLKNQHQLTPK AQYELTRRFDPSATQYGHGKTLDAKRSILHPDLKTIPHQPQVQVIGHGFIDSYEGLEN ITLKHPHHRTFHRDPIPQEDDYDSTRFYRWHIDAALYGLNPPIVTTLLAVKVPGGRRQ TVRYDDGSGETMDVPLGTTAFASGERMFELLSEEDKEFALSSRVEYAPHPYIWMSPAR SLPTGLGLHSDDLELPLSELPPIDESAIQILPMVWKNPATGKPALQIHPSAVRKIHCG DGTVIDDLKKVREIAYKLQRPAISPQYVYAHDWEEGDLVLFHNRGVLHSVVGAFGEGE VRLFRQCNLAAGEGVVPYRE ANIA_10098 MNAQSLPTSIRALLQASPTSRTVTLVQRPVPSPDPSKDEHLIRV HAACPCAGELNWPTMVAIDKEIITCNDVAGVVVSAPPSSPFQPGTEVYARTSYWRPGD ARDYTIATTEELAHRAKNLSWVESAAVPLSAETAWQAFFTHSGIGKFGSEEWKGKRIL VTAAAGGVGVWLVQIGALLGARVVGTCGPRNSEFVRGLGATEILDYHRTDFKAWGLQP ENKVDLVVDCVGGKALEDAWWTLKDNGTIIGIVQPPEQKRPEDLEVTGVTDKFFIMSP SGKDLEQVTKFVEEGKCWPVVDSVWSLEQFEPGYERLESGHARGKVVFDLMLNVRK ANIA_00487 MLSYPSSLIPGGGRVREDGRVEVNLDSKACRAVAELIGTSPAEV DHPLGPPPPYEEVQPIGTQQLLGIRFNIVIQVVGSRGDVQPFIALGKELQKHGHRIRL ATHDIFATFVRNSGLEFYPIGGNPAELMAYMVKNPGLIPQLHSLRAGDVQKKREMVAE MLDGCWRSCIADDPVTKTPFVAEAIIANPPSFAHIHCAQALGIPLHLMFTMPWSSTRA FPHPLANLKYSDTSQEMANYFSYGIVEWLTWQGLGDVINSWRSKLDLEPVPATEGPML TQTLKIPFTYCWSPALMAKPADWPAHVDVCGFFFRDAPDYKPPQSLDAFLQNGPPPVY IGFGSIVIEDPKKFTAIILDAVRSLGVRAIISRGWSKLGGEPSESIYYIDDCPHEWLF QHVCAVVHHGGAGTTACGLRNGRPTAIIPFFGDQPFWGNLVAVSGAGPKPIPYRDVTT TKLAEVIEFCLQPQIQHAAQTIASRMQYEDGVKTAVDSFHRNLPLDKMRCDLLPNRSA AWTCKTPSLRLSKLAAQILVEHLRVDRKALQFYETNPVVIENRRWDPVTGATSAAIGT GTDMVKSTADIFLKPYQEYQDLRRGRPRSGDRPDDNAYIPPAPRSLSTPPPDTLTQQP PDITSNPSTFHTTSTIATASAKSIGRVLTTYFKGTMVDIPLATAEGLRAVPRLYGEDV KEHKPVKDWKSGMVVGCTSFSQGMVDGLTGIVMQPYRGAKEEGALGAVKGLAKGTVGG AASLGSGLR ANIA_00486 MCSGFAGSQGESMMLFLGFFAFSLAFISSAAERPRKPFESGVTL SHSECRSGWSKIQLATSSVRGAIGNLQGWSICSATNDRVLEPCPAKRATGLTSVDTKE KPFVCDRCPKSFTRKDLLVRHERLAHDSSPAAATPESVPTAPAEPVSDGLNVLASAVT DHPFSPTHEAVLPATHAAFPIASAAEPAPFTEPFPHEGDDFTSFLDSIPVPSHPYSPT YQPLPLFPALQFDSGSEYPSSLGHGGTATPYSSVLPRHGTQLPSLHLEESQPPPSRNR PLKGPIGVTTQCRDRLVSELRNYANVIPNQNIPSRHALSRCLTGYVTGYHDHYPFLHI PTLNIEKTPLHLVLSMAALGAQYCREPDTSISLFYVAKTVTLEHMRRDLQWTGSRKTV SANQSQDILETVQSLLMLMSVSSWFEHYPPHYEGLYLRSQLETLLRKDGLNTLPRQDG SWESWIRNESAKRTKLIVFCFFGIQTIVFDVPPGILSEEITLDLPCTEKEWTAASASE WMQCRQYGRGSPRLQDALTSLFTRIPSAGGQLESFTSLGGLVLIHAIIQDIWLIQKAC RVPITSLEQALENWCQCWERNQESSTDPFNPNGPLSFTSTALLRLAYIRLNADFSSAR RLQTFNPDEIARSLRQNLKVQRSDRLTRAALHCAHALSTPIKLGINYVARTLVVSWSN QYALCSLECAVLLAKWLEIATVKNPEPRLTEQETKLLEFVIEMVMEAQHEVSRSWLLE NNTRLSAVVTRLWARLFTADYIYELVNLIGRSLNSYANLLENVEAT ANIA_00485 MAYVGHTPPGWLGNLSAEQETKLQQMWNIVLVLLDAASLGAPEQ PIENQSGEAGKSPSTLARTDTFVSASGKSAFTTHLSQTLKETGLTSNEIKSIKEILHD TTAEELRAGLLSTAKNDNPDALLLRFLRARKFDVAKSFDMMLRSMLWRIKQVCVDEKV LLNTELHALRESKDKSKPHEAKEAEGFLSQMRMGKCYQHGTDKQGRPVGVVRVKLHKP SAQSTEAINRFILHIIESTRLLLVPPVDTVTIVFDLTGFSLSNMEYPPVKFIIECFQD NYPECLGNLLIHNAPWIFSGIWKIIKGWMDPVIVSKVHFTNGAKDLAKFIDMDKIPKE LGGNEDWTYEYAEPVEGENALMEDTAARDALQAERAKIGEDLLRETSQWIKAGGEHNK EEIKNIQSRRDDLIEQLRLNYWKIDPYTRGRNTLDRTNVIQAGGKIEFYPSEVAAPVD ELKKLDIEHVEREEVKVNAVA ANIA_00484 MEINKMHAISLLLAAGFAVAAPAYNKQSHAVTNIQVGPRPFWLV DQMDDSPLKKKLESCTEKPIRDSSSFSISHRGGPLQFPEHSREGLYAGARMGAGLLEC DVAFTSDRQLVCRHSQCDLHTTTNILLIPELAAKCTTPFQPATADSEATAKCCTSDIT HEEFLSLCAKMDTSNPNATTPEEYQYGGPDWRTSTYDNCATTIDHKTYIQITDSLGLQ FTPELKTPEVEMPFQGNYTQEMYAQQLIDEYKQAGISPKRVWPQSFLEDDIFYWIKAE PSFGKQAVYLDPRVDTQAGYEAAVSSMNKLYKQGVRILAPPIFALLNGTASGEIVPSS YAKAAKKAGFDLITWSLERSGPLKQAAAEGEYYVSTIADVIKGDGDMYRIVDALARKV GVMSIFSDWPATVTYYANCFGL ANIA_00483 MYALVINSFKATPAVRRILPCASFHSQYNKLHAPQGQPQVHSTA QVDQFYMSSSFPDDSEMPPVMTQRRTSVHATSQWRQESATDSEADVKADRGEASPEDT YPGAETEPTLDEM ANIA_00482 MPRKDFQRDLADALLPAGSEDGSFYFTYTSPFDAPSIDVEVSVP DSAEYPRGHHYFVFTISENVPENVPRFLEDSLDSFHGLPLGAFLNTVSDCLNRATSGD DDGPRGFQQDSSANDSDQDSSADEIGWEVGSDVGLVVNPQAKTIDVKKCIRADLRKAQ NAGFRVGYLGDPEGSIILSASRRISKLGISGEAMEAWGVRPSQYLVLLIRYPYGYRRL IDVVQRPGGCGMIKLYAGVCADYKPSLSSALHVFANEAAPGPPDLGQETNKDSMEPVL HSIFIGKSLQALLNSRFIDIVKYRLEKKFSWTGAELYMNDGQGRLLVSDESTCQQKYF EPDWRGSPPSFLKHDHLANTVDPSDMSLLLVAMQFTVRRFVRCTEFCLNCYCRIDAGF EALKPFVCSNGLCLYQYMALGMGPSLEWEISSQPYVVDLLISFAYNRAAQGKLKDFPT GFSLNVPNPNRSTDPNMSLYEGKLIYDTKYGPTIHMNQDYSIKIGDWIVILLEPPSPD TSNQANRHTPAYSEPPGLTRSRNELHARVKDISAQPIIYLSDLIFRGHLTQLRSQEFY AGKVQFVTYDTDISSLSPSKRASVALDLLDTLPSVLDMKLYIDQKRGGQLRPLSEWQD RINLSALYILQWIVGSNRSVIIYDNNPQHQIPEMESYIQFRFAQGAPDKEQRFVAAVN KTAKRLNPQYPTLFAWHGSPLHNWHSILREGLHYKEVVNGRSCGNGIYMAPQFNTSIG YSSRHHNYNANSYWPHSVLKSTMAIALNEVVNAPGEFVCSESCYVVQHLDWVQPRYLF VDSRFPSVGLPLRPKAEKLTHVYAQDPNRPVYGSTQAVLTIPISATNSHRSRDAAEPP QSQPSQPKPNPVKGKRKLSSITKDVSHHDGDDDVSVETHPEDRLMLLSDDEGTDRRKQ RKDECLTNFSPGTLDRSSIQLLSEPRYATPRATRTLQRLLRQALETQEKQALHELGWY INGNLIDNVYQWIVELHSFDKSLSIAKDLEKASMTSIILEMRFPADFPLVPPFLRIIR PRFVRFALGGGGHITAGGAMCLELLTNTGWLPSFSIESVLLQVRMAITNEFPRPARLD FHAKETEYRIDEAINEYKRVCIAHGWEVPKDLEQIRW ANIA_00481 MQSSNALGLLKKLISVEVFLWPQSTKNTLARLLPTNGDECYHPI PGRSIDHLPQVNTGQGVSYTQQQDDYGTLKASYVNAQLAKNLASEQIPREVSVNTLGV DRWDPFKLQRHHLKKAILSSDKLHNIQKLISMFILKLPTAVEWEKFAYANELMSENIR ELLLEALCSDVATVPSPVESTLAEFGRAAVLGVRLTVSPLKAANPRLHFMHRYQTWHS NSGIGSTFSAFSMDEVRQGSSTERAALDSMPEPYIDWPRFFEALGNELERSEWADYLN EHGLKLYDQYLEDDILSELEEGVTFIRGAIHLTPEDDPDHPKYLNNLGNLLGDRYIRT GSVADLEEAIDVGQKAINAIPKDHPNRPRYLNNLGNQLNDRYLITGVLADLDEAIQVG WEAIRLTPEDHPHRPALFNNLGNRLGDKYLRTNTLDDLNEAIHVKQEALKATPEGHPD RAGRLNNLAIELSARYLRTFDAVDIENAIEAGREAVKITRAGHADLPMYLHSLGNSLD YSGSNLEEAVRVGREAVKVTPEDHPDRPMALNSLGKRLVAGRAVIRLCAIRKEWQRAL TAVEIAIDLVPKLTLRSLEISDKQHMLGQVVGFASDAAAVALNVEKGPAVALGYLEQG RALLATSLEEMRTDILDLQEQYPLLAEKFVSLRDKLELPIDNNNFPAEEDSQSFDQAH ANARHKAASDLDKLIDEIHTRPGFNDFLLPPNTVEMQAAAKRGPIILINTSDYRCDAI IVEEHQIRSLNLPGLNNHDIKKRAEKGDLASPNTLEWLWDAIASPVLQTLGFSQCPRA DSWPHIWWIPTGLLTKFALHAAGRYTNEPFESVLDSVMSSYSSSVKAIIHGRRHPSVR PTSAQALLVAMDYTPGSPPLPFATEEVAMLHSLCTSMGLTTIEPGRRKADVEAHLPQC KIFHFAGHGYTDNSDPSKSYLLLEDAHPLSVANLLQINIREHLPFLAYLSACGTGQIR DERFLDESIHLISGFQLAGFRHVIGTLWEVNDEICVEIARNTYEGIRDGDMTDDSVCR GLHKAIRGLRDRWLNKPSEVSRGRSTRMKADVSSTADEMGVKSATNAVGSGNRLKRDV VPYDNDGEDDRGFGEALWVPYVHFGV ANIA_00480 MTTFHFSDQQLDSDLVTSISSLLDSVNVPNLLWGNYLLTVYGVP TVVDGVSFVVPDALIEISFSTLAEAGFRPCSRPYACPHSNSRQPPYKHLHIDDELAIS LYRKSDVLWEFPEFEAALDHDDLNIMCASDVRLPPATLGRGRGRFPHFSFVRIPSASR YCEALILLLCWGYGTACETYWMAILTYMLEYVDGTDILDEENMRDGYKQFYHALKPLW FAITLFPVVTSRKSQLKWVCPKAQSVTISLRQHCSSNRLQARNRASFSIQPGKIRSMR FSVGFDRPIANVVKIVQTKADTLHLFFRDTREQCAAENGSWRFQVEIR ANIA_10093 MANIRLQVLSDLHLESPAAYDIFSIEPKAPFLALLGDIGYVKDE GFFPFIRRQLSIFRVVFMVLGNHEAYHSSWTETKSNLERFKEELDEASGRGEALGKLV LLDQTRYDISPTLTILGCTLFSCITEEQMESVSFGLNDFYHIRDWSVEAHREAHAADL SWLNAETGSISRLEPDRKVVILTHYCPSTHEEVVDPRHSNSKLSSGFMTDLLDEQCWR SEIVTLWAFGHTHFNCDFRDVTTGKRVMANQRGYYFSQSTGFNAEKVVELKDT ANIA_00479 MTVLAPWALWEKTKDVMVLEQQYQSMCTWIAAIPENMKRHRHLC DPVVLQFAVAVRESNPWAVMTAYHKINGVHCSEDPRLIRDIPRSEWKYDGLVLCDWWG IYSTSELINAGMDLEMPGPTDWRCKILAWATRSRKVSIETIDSSVRRVLKLVNRVLAA QSEPVKDSDTEKNRALLRETTAVPVVLLKKNEANVLPLVKDSKTRYALIGDHWKNPAV AGDDSSEVTPYYVSTPYSAFVEAVGEDSFICAMGCYSHKFAPLLYSTITQPGSDAHGM LLEFFNKDPNGSSDAELLYTTTTEKTDLKFADSLPPDTVPEYTSSGSAPSRGSRWGCA GWIREAVEIARQVDIPVILTGLSADYEYEGIDRKSLGLPGRVDELIERVTEANPKTII ITEAGTATTMPWADKTPTVIHSWFGRQETGHGIVDILFGDVNPSGRLPLTFPRNLEVP PVYESDPKHIMTISVSLKNTGQCPGAEIVQVYVKDVSSSVQRPRKELKSFKKVHLAPG ENMKIEVTS ANIA_00478 MWQYLRYCLTQSSPVCHQDLPQECHETADTSPVYPLQNSTAGED TVAFRFDSGAYTTQTSAGAGYRGDAAAAKFFKEIAREFYGDTVEQVYGYIYGGSGGSL QTVGAIENTIGVWDRGLALIQAIPISNPDNFCIRALAGLVLGEKADQVIDGVQPGGSG NAFAGHEEAERLVLLEATALGMPWLAGKISRARQTYLEAFRPGRS ANIA_00477 MPGSKYAIKDPFSYHTGLNSYHEYAPPAQQPMAFLTWATRSTAI EDALPRPQSLPQRHGLGLYPERISGTSFTAKRAVNKQTFLYRILPSTAQSSWNRLSDH PLNHRSHSAELNFVPDQLIWPPAQVQEDKTFLDGLQMIGGAGDPTVKNGVAYYVYTCG LSMDEKQAFYSADGDMLIVAQTGILDIQTEMGDIRVRPREICVVPRGIRFRVSLPKGP SRGHVIEAYSGHFDLPELGPIGSLGLANVRDFEIPRARYVDTEEITEVIAKFGGGVYS AEMKGSAFNAVAWHGTYYPFKYDLGKFMPMGSTLYDHQDPSIYVVLTCPSDKPDHAAV DFLVLGPRWMVMEDTFQIPYFHRNTMSEFSSVISGGFDLSRVPTPMYGMSGLHNVLSP HGLSAPETENAIKKVLRPERVPDDTMAFLVESCYPIGLTEWAYENGIRVNGGDDFGGF KRRFKSKI ANIA_00476 MRPSQPMMARLRLTTKQVNGGYYKGNRTGSMGYFAKNGSYVIDW KKVRTYVVPENLNEFKLTPFVTKVMAPTKSRYTHEIERNGKIFIAERGLQGKDFLDLW ASENGEEVLKQEEMDKEEAARQAKAAAKAAKAPRQ ANIA_00475 MPRQFTQQRGPRDEVSKKKPAQKRKSGKILNALSIAEAENPTRA KIRRNRLGDDDDYFKRKRDTDRDEDDSHGPGNKRRRTGDDEDSEIDSNEGSDGEGHKW KIGVDSDNDSELDSDEAMGSSDEERFEGFTFRGSSTFQGKSKAKKKQKPRHEREINLS EGEESDADEDMEDDDSLGEDAIDLVAAWDMNAAEEEAEAKKAAKSKKQDESEGSDEEA SESEDESESDDEDGSELSLSDDDLEDEDGLSKLQSFVDSMRAENAKPAKKTKSGEEHL NPSEFGLPSTRKLTVADLLPSVTDSRLKNSLKHVDSAISEQKTSSGIPGKLDAPLAKR QQDRLEREAAYEKSKETLSRWIETVKANRRAEHLMFPLPDPDAQVVHRMGVIKPQTSL ENTIQDILVESGLADKQGKSAEDQVQEFEELQARKIPIEEIRARRAELRKHRDLMFRE EVRAKRIKKIKSKSYRRVHRKEQERMEEKERQALLDAGIDLDEQDREKNERLRAEARM GNKHKESKWAKSLKQTGRTAWDEDARHGALELAQREEELRQRIEGKRVTRGDDDYLGS SSESESEDENPWEEQDSDSEKRKLLKKLNKIEGDEAVDEEFKGPHAKLLSMKFMQNAE AARKAANDAEIRRLNRELQGQDSQSEAESEEGRRKFGVTDKKADKGKKQVNGNEFEEA PGSDEEPERDDEQETKAATTGTKTTSKANDKPRAPPKPEPVPQESDAEEENPWLMPTA EEKRRSKDDDVQPTIDITPDAKPPKPAKSSKKTADPKIKETPAPKQSTTQDYDTSDDE AKVPVLLKNHDLVKRAFAGDEVVQEFEQEKLDTIEDEGDKVIDETLPGWGSWTGDGIS RKERKRQKRVLTKVEGVKPENRKDAKLSRVIINEKRIKKNNKYLATQLPHPFESKQQY ERSLRVPIGPEWSTKETFQSSTKPRVMIKQGVIKPMEKPMV ANIA_00474 MTLVKTPSNDQDYFERVTLLRQGNYLLSLMTVIRDELTTSNAFA AAFDRISDLLIAAGSVSKSRSYIRTYPTSTEITAQHSKFSKPADHLSIPIPALDLLPT ERLTIRTPTGWTYEGRRQVKPVCGVSILRAGASFETALRRAYGENLSMGKLLIQRNEE TSLPVHLYSKLPAGIAEQSVLILEPMLATGGSAIKAIDVLKEKGVCEEDIVFVNLVAS KKGLETIMQRFPRLRLVTAAVDEALTVSNHIAPGLGDFGDRFYGT ANIA_00473 MADDTKLGSDALQLEKPTVETMEGGYPASRMSPARRAEVEKSMK RKLDARCSLFVLIYIMNYLDRNNMAAARLKGLQEDLNMNYDEYATCLSILYVGYILMQ VPSNILINRIQRPSLYISLVMTLWGLISTLSGVVHNFSGMVAIRFFLGFVEAAFLPGA LMILSKWYTRRELTTRNAILFCGNLISNAFSALIGAGVLSNMQGVLGHAAWRWLFWIE GAATMTIAIAAAIILPDLPSNTRGFTQEELEVAQLRMTEDVGEADVDSEDSGPWDGFF MAIKDVKMYIMMLTLTAYVVGLSFNAFFPSLTETLGFAYVPTLLMSAPPWVFSCLFSL CVAWSSDRYQEKFWHIVIPMLMGLVGFIICMSTLQTAARYVALFLQAGSYAGFIVFYS WISTSFPRPPAKRAVAIAMVNAFSQLGNVAGSYVWDLKENGYRKSYGIVTAMFGVAIV GCLIFKLILEALNKKLAEAEAVEVSSGAGAAQQSGMHGGEGDMDESLRMHKGFRYLV ANIA_00472 MEAKCKTRLANVLMLLLAARTVCSAPTPSQSSGVSESTQYPPST RGARLLPPETQGFYPTKLEREGHELEQPTPTPDESFVGLNDLLDTLGQPESLLNWLLP NPDEPTDVPSQPPAAPTSEASSTPLVAATPVPTTLPATHNIIEQPTTVSSVPSSFEAT TVTTSSSDENSPVVSTFTTHIQGPAEMVSQDVFVPVGTGPIPAAITSRNDHPVRKNGV NSSNPIETNKFHSALFLGTQTNATFTHPYTLAWAKGSGNLSSFGMAVSHTELNLVASG PTNSQLPGNPISYYINPIGIQSIILSASEFGPSTVLTAENPKSFSADAVLQPQAGSAR RLTLPVLQGMAFVTGIYTQLQPVVQSAVHFRQVVTAGSPRAGIFKYRATLEDNSIWLI YAIPDNGQDPNFQLVSTTDLRGPSGWSGTVQVAKNPAGSSGESLFDNSAGVFAVEATV SGSVDGHTGTYRLAWAKAGKETQSTPLMMFALPHHVASFDSQTSARAVNITLRTTTKG MARAVIGEYWTMTEPDLPTNMGFAPWVLATDSSPQLSAAAQAVIRDVAITELQQDIDG QTNLNSMYFSGKALSKFATIIWTVDKLLNDHATAAPALERLKQAFARFAQNKQQFPLV YDTVWKGVVSSASYGGDVGADFGNTLYNDHHFHYGYFIHAAAVIGALDPSWIAANRDW VNTLVRDSGNPAYNDPLFPFSRAFDWYHGHSWAKGLFESFDGKDQESSSEDSMYAYAL KMWGKTIGDASMEARGNLMLGIMRRSFHDYFLMESDNANHPANFIGNKVTGILFENKV DHTTYFGSNLEYIQGIHMLPLLPMSPYIRSQRFVREEWNAIFASNAAAPADGVTGGWK GILYANLALIDPAASWRFFSQSNFDYSWIDGGASRTWYLAFAAGLGGGSAA ANIA_00471 MSNTTNSNGFRRESSEQDALLGDSRRNSAQHKREVHWTGWPAHV WHLTWATLSRDYVNVLLVFVPLGIIAGALKWDSTVIFTLNFLAIVPLASLLSFATEEL AATLGQALGGLMNATFGNAVELIVSIIALKDKQIRVVQASMLGSILSNILLVLGCCFV VGGIKFPEQSFNTTVASTMSSLMTVSSASLIIPATLYASLSSAKDPSHKTENILFLSH WTAIILLVLYVIYLYFQLKSHAELFEEVNNQTTGDPEAAGEQVAEEEEEHLLNPWAAS AALIVVTILVAICADYLVGSIDSIVEKTGMSRTFIGLVLIPIVGNAAEHVTAVVVAYK GKMDLAIGVAIGSSLQIALFVTPFLVILGWIMNVEMTLHFHIFETVAFFISGLVVTFL IQDGKSNYLEGCLCLGMYLILALAFYVYPDNVNEDALFHALK ANIA_00470 MPPGLNLLTTRTAVPVLRQSTTAFAAQRYAALSRPCNRSSLQLS VGEQRRWNSDKKNLEEAQRKAVSMPHVSEEAAEVDKIMNKEKWCDGTPSSPELEQGTP VSEILSRDKEAQKHAPKVFQDQMKKPSGSRSFSTSTGRSQLEERKGASTNSTPPSMSE ADQTALLESMITQVTEETQALMPGLKFPAPESIPRTENFRSRYEPVVEQFTKNLMRDG KLATAQKNMNIILDILRSSPPPQINPRRPLLPGPAPAQLPLNPIAYLTLIVDSVAPLI KLHHAKGIAGGGNTMQIPLALAEKQRRRAAIGWIIDASNKRRDAKLAHRVANELIAVA EGRSGVWEKRDGVHKLGIAARVNIGAKPKKINKNGWV ANIA_00469 MNPGRIRSPNHKKQNSDFRVSVARGFAYVVDTGRMDPRVLFPKR NRLYRAARLAWSVLQFHGNWLREHWRTRDIISPRELADRSLKTRHFSIPVVAPLMDIM AAFNGFLVISFRLLSFCPVTELTFAPHVRRPAIEANQHQKHTVSSNAVRRGVAPFASA TSASANNDGVSAGFVQDIELVEYPVPRTTGLQGNLPQKRSLRGEKTSPQTDRNPLGHS HQRPETGPFGSDKPVKLRYWVEALRRRSANLKDREATSKMKFSHSIQFNAVPDWSAYY IAYSNLKKLIYTLEQQAHRSNEQATDAESAPLLNDTQNPDKLFQHALDVELDKICAFY RSKEREIYEDVDNVMKDAEEYTAKADGAEMNPMQDSMHKGRRVSTGSIQDHSAEFGLG RRQSMGSEPAADDDEDSDDEHPTTRPTLGQSRSAYPGPPDDNRTEDMRSSIWTDSRYA PNSQAVVRRGNQNEHLTDPALLDLYNSAASLKKRIIAVYVALCGLKSYVQLNKTGFSK ALKKYDKIIDRNLRREYINSSVSPAYPFLSSTMENIEGFIRQIETLYAELTTNGDLSL ARRELRLHLREHVVWERNTVWREMIGIERKAQAANVGLRQTLLGGDADPALARRQGDE QVAQSKQLRTPFGVISAPTWLWSANFATLIVVLVVFSVLLSVPIMEKPEQQNCLAMLV FVSLLWATEVIPLFVTSLFIPFLVVLLGIMKSDEKPYKRLGPKEATSVAFGAMWTPVI MLLLGGFTIAAALSKYDIARRMALFVLSKAGSNHRIVLLTNMFVSMFLSMWISNVASP VLCYSIIQPLLRNLSPDSSFAKALVLGIALAANVGGAASPIASPQNIIALQNMYPSIS WGTWFFISLPVCIISILLIWVLLLVTFQPGRDTTIVPIRPLKDKFTGIQYFISIVTLT TIGLWCASHQLEHIFGDMGVIAIIPIVLFFGTGILNKEDFNNFLWTIIILAAGGLCLG KAVTSSGLLHTIAEDIRSRVEHFSLYGVLIVFSALILVIATFISHTVAALIILPLVRQ IGLSMDDPHPNLLVMASALMCSVAMALPTSGFPNMTAIMTEVPQTGQRYLQVRHFFTR GIPASLMSFVVIVTLGYGLMRVAGL ANIA_00468 MADSNPQSPNPKEESSDNEFPTNQQQQQPAPEQDATPDNSRQRR QEPNDSDTEDVPRPEMEPEQQQRPQRRRRGQPRQQRRPRQQGQDGGPLGGLGGINQAG DLVQNTAGKAVNGVTGSAGKAVGGLLGGGQQEEESGGGGGKGSDEQLRLRLDLNLDIE VQLKAKIHGDLTLGLLN ANIA_00467 MATVEKQEQKDTPVKDEMQDSEFEQKPSARAPPQDEDDDYSDDY SDDHDDDDYEYSDEGDDYYDDEDEEDEDQGKAVQAYQPNGQSISSRDQGGQNVRQKIN NGNISRAPDSKKTIDDQDGLKLKLDVNLDIEVELKARIHGDLTLALLA ANIA_00466 MADDTSRKTFIINHMNTDHARSLSLYLRAYCGLSARASTSPKLE DVRLTDMVISAQGSRYTIPFDPPLASLSETRARVVAMHKEALKRLNLSDIKITRYSPP KGSQWIGFTLCLAILVGYCRRGNFEPGSLVYEGLGLAKYPGFTGFSYKWQPWIWGPLA VAHGFEALVLLGYMRLRKYGVQAFSGLWWTWMVLGFVEGFPAWMRFDGLVRRAEAEEK EKSG ANIA_00465 MGISRDSRHKRSATGAKRAHYRKKRAFEKGRQPANTRIGTKRIH LVRTRGGNRKFRALRLESGNFSWGSEGISRKTRVIVVAYHPSNNELVRTNTLTKSAVV QIDAAPFRQWYEAHYGQPIGRRRQQKTAEATEEKKSNSVVKKQAARFAESGKVESAIE RQFESGRLYAVIASRPGQSGRVDGYILEGEELAFYQRAIRK ANIA_00464 MPQKAKTRLLLLSDTHTTTPSPALSSSSSGFPSTPYRHPLPSAQ VLLHAGDITKVGLASEHKAILEILKSHPAELKIVIAGNHDITLDEEYYNRVGWLRHRY RDAKGRDHLAALPRVPELGTTTEGSQGPRSSLNSPSAIKALYTDSTAINAGIRYMEEE VRTFTVPSTGAKFTIYASPYTPEFCDWAFAYPRSVDILLTHGPPKGILDQVVGSGENV GCEHLYRAVRRARPALHVFGHIHEGYGARRLEWERSGSDSDTATGSGTPAGSALLSRK GLL ANIA_00463 MPWRPLPRIAFAVAIYPFQPSTPADLPLELGDELYIIEQGGNNG EWCRGYLVAPPSLLAGLTSTKGQTLEARVFSGIFPRNCVEIREVLGDSEGNKTLTNGD CSIDDRAEDAEVDVRSSLASSQYDEQVSGEISEVLVARKEKPSQIFIQKTDDDDQSTP RGARASPWGMLPLTPVNVAPRDPDAPKPAAPVPMLKIGDETPTSLSEPLVDEIASCLR EWHSTKLHELLLSQQYQVVEEMSTIVQELDYARRQLLYNVLTSKEKEVLRQEVVWKLV RGNKLLGGEVIVRDPEQRGRLLTGEDSAVHLAKLQSEMSMLESSPTPASDTAALHHLL VEVNAVSGNAPGPVVLAVSLCTVSDTGPLKALSETYVLDIPSPEQFASSGQSNKLKTL FTELAATDIGDGSASGPKLYLLVSVRAPEVASASTPVQTAPSASREGTTSPKPPTASN SVKGPGLKPRRSIMWSSKRGIQSSDQPKETAKPPQSSGSVASNKGGSVQPSKESAQLR TIGVGLLEVSHILRQDKDTEQIINIWATSEHTEDGEGYTDGFDALIRALLPSPTGKYV RSPRAARVHLHLFPYVDSDSDALIRKNPTMLHGVVQTRRIGFSQAPSKPRSDIYVTIS QATFPPEALLSHPHSGQVPMPSHTGLRNLQLTLEVRTASGARVDKCVFPASSSTASTA WRTTITEKGKPWNQTIRLNIPTDQIPGSHMIMSIADAPEFPFALAWMPLWDNQAFIRD GPHSLLLHAYDKHTSNVENGKGAYLSLPWSSLGKNESTKDEALTGPLATLRIDTHLCS TEYSQDQVLLSLLNWKERSVDEIMDTLKRIRFVPEIEIVKQLSSVFDALFSILVEHAG NEDYEDLIFNNLVTVLGIVHDRRFNLGPVVDHYTENQFNFPFATPCLIRSYLRLLQAS SDLQYHRNLRATFKVGRHLLKFIINARQQQKLKEEGIGITRVQSTFNRDMHTIFKSLE ALMKNPSPAMVGSKTLVVQHFHTWLPELSAVFSRDEVIMIALSFMDSCADVKGMLVLY KLVLIQHYTRLEIFGSGTERKSLASSCIGWLDPYWGATGAVSDQYRDQVRLVCAIVAE LLTQPDPQLYAFMPKIISSYYSIIPEGVDDTEYLSLLFSKSFPFQIKASKTSQKFDEV LVELSAIMAATALIPNPKRLRLKGLELTNFLSQAFEVHTSILNCEAYPKSWFSIHVYN HRTTVKSLEYLASLMIDKLLPAPDDAELFDTRLWETFFMTLLKVVSSDALALETVPEQ KRRTIWKIAGDVREQGAELLHSTWEAIGWETAEDERERYGLNRLGGYQVQYVPGLVAP IIELCLSVHEGLRLVAVDILRTMIISEWDLNHDLSVIETEIISSLDNLFKTKDMSEGV IQKLFIGELLDRFVGCDAFDEELATAVKALIATVDELLDLFVASQGGSMAESLHVLRL MEYMKDMGREDIFIRYVHELAQSQASAGNYTEAGLALQFHADLYDWDPKLSVPELTNP PFPEQTSFERKEALYFTIIQYFEDAQAWTHALACYRELALHYEVTVMDFAKLSRAQSS MAKIHESIAKEDKQFPRYFRVLYKGLGFPATLRDKEYIVECAPTERMATLIDRMQKEH PAAQVMSSGEVNDYEGQYLYISPVSVHRDMSHPVYQRSKVPPSVRDHLLISEPTRFSS TLRRHIGDADVEEQWVEKVIYTTSEPFPNILRRSEIISTEEIALTPLQTALERTWRKT QELYLLYRRAASGEDMSLMNLTEAIEQLLEFNSTPASCVAHYRQFLTDESEDGEEEEE EEEVEANTPQPIDPLKNALAVALIDHALAIKHALALYSRPAHQATQAELTRRFEEVFA PELSSLRPTESMPPLLYQSKSPISSESRRVTALPRSISPEQELIRSSRKNSQAHRRQA SRKQSVSHRISIMNPFKRSNHGASDSIATIQRQPNGNRANDPVTGSSGKEEADLDDAA TIHSRTTNQSRDTNNKRRSFFGDVLQKHTSSLSISTSVNEDDTNKSQKRQRSKSASRS AADNSAENVSRSTSRHNGTMGNPSTPSVTSPASVTSPKGGWSTIPSVTEHPRPVTRSS LNSVRSPEIRSPGAHTNSSSNTGGGVRDSVMKRFSLLKGVGRKASRLDFKNEQTVYEE ANIA_00462 MNGHDRPRGPLGDPVPQRDPRRRAESRAGGHGGPGDGASRAEKF EDEKRRIVQSCFSKKDSDGALVESYITHVRIMEDGAYPSSPPPPNSSPENKKARVIIV AVRRSGRVRMHKARENNDGSFSIGKTWMLDDLSAIKSYNAIVPSSPQEELQKQWASNV GFLVTVGKPYYWHAKSPKEKDFFIGSLVKIYKKYTGGKMPDLIGFDERELQLLLGPHY SGGKGPSSGSSNTEGSFVPPRPPSSQGNRPQSPHWARARSRDSPKRRPNEEDLPIRAQ RSREQMSRPSTAQSGKSGPPPFAPPQHPPPVLPVDQGDRPPPRAMERLAGDPKTPKIA PVSPLEPKIREIPSSLRTAHSRENISKEAEEVNLSSVQTEPRPPSSRSGKMVPEPRPI VRSENSSSSIPEARRLNDDIVPGLAPSELRVKEPKRGSETPSSTSKGQPTSLAGDQLS SSNNLQLADVPPGLLAGLPASNNATSAKPVETPQQVSEREEPEEPVAESKAPSSPISP PEALQENDEDDPDAHRPGLGPMIKKKSNKDVAGAFRKAANAYGAFKPRSGGAGARLLA AAKKQAASEGPDGITSVVPAPSLVRRVEEPAKTTTEERPEETATVPPVSETPEIPSTD APPVPAPPVPAPTVPEPNIQEPPRVEITEAVADATVAPSLDAPKNMPEAVAVRADERS RSVSPSPRDRRRRRHEDNTVKYCQALGLDPKVLGDRGVEFDDILTDLGWNGRLSDEKK IEDLEADVRREIGRVEATSWLGNLEQQEGKVDQLAKLIDKTIEECEELDNLLTLYSHE LNTLRDDVSYIETQSQGLQVQTANQKLLHSELQTLLKTLSISVNDLQPLKEASLSNID GLRETELALSTLYKAMLTIDPDIWHNKKRLDAAGGHGTVGVYADTEIGQMRAIKDKKE EYRFYSSSFLQRLRQFMGIAYQVAAQKRADAAANSQKDPMKLDGSARAYARRELWVYS ALTLFAREVSGPEWQGLITLYEQQAKQSYQAEFRDNNMAWKRTARKMTGEEQELLFTH QDKEKEAEGITTAARKLTVRRGKTIRAAAGLRLPSADKQHGRLEPFEVFAGTLQETVK MISEEQNFLVRFFHLTSLSNTDFADIVASGAPDERAVPDFTIKQSPDPDRGMAKKVEQ AMDELYSFWPMDMQNLVDWSIKADPLQGIGILFAIEKTIADFDDTNQDFIIHSLQKLH SRLIGLFNRFVDEQIRGIEETKVKINKRKGVISFMRIFPNFSVAVENMLSDPSSEFYD IRLNVNEAYDRINRAMWESLKFIAKEAPGQSATVAAAAGSGDPEDKEILNYHILLIEN MNHYIEEVDIRNLPVLERWRNRAQEDMREHMRLYLDSVIYRPLGKLLEFVDSVEGLAA TTSNPTDIASRPSHSRSVAKRVLAAYDAKEIRRGIEMLKKRVEKHFGDADDPGLSRSL VIKVLRECESRYEQAYDRTQHVIRAVYEGQLELEWRKEDVAATFRK ANIA_00461 MAAHSKKSSVKGEKKAKATKDTILPANLISAISAFLSEHGFSST SAAFTKELAKKSISASKKSDVPSLLEIFQSWESQLNRKNVPSSSSSASSDSDADSSSD SDSSDSDVEMSEAPKVQRRRSSSTSSSSSSSSASSSSSSSSSSSSSSDSDADDEDEDE AALAPGPAAKGVKRKAESSASSSGSDSEETPKAKKTKLTSKAEESSSSSSESSSDSSS DSDSDSDSSSSSESESESESDASHSSSSSSSSDSSDSSSDSSSDSSSDSSSDSSSESE SDAAKKADKKALKAATETPLPPSDSSSSGSSDSSSSSGEESSSTSRTLQNSEAEATQK PAATSEASVSSSASPAPRNGNGNGKKNHTGTRPTPLAALSELPHNHPSNQYVPYAYAE KAYKDLSVTRGKGFTKEKNKKKRGSYRGGPIDISGGKSFKFED ANIA_00460 MLLSELPPEIIYAIATHLPTANDFICLARTCRRLHKVIASGDWS IFRVFVKSRFYNIPTPPFWKDAAQALTSRSRALDRHAIIGRFVTRPLNAEQLGGSSQA TRGDNPTHGYRPAIDSYEIWNGSSWHDRREVLAWSAAHELVLQIRQHGSQRLERSFIF NDLEHISSHDDICGLHLLRPGHYAKRQDWEHMMLGRMRGELVHLAIAPESHTYELRQK FQTFGLELERTDMSDDPESILAAHLSNGTIALYSTTTGEAEVQPFGRLHVDAGSPARY KSSRFLSSDRLAVATGRPEDAIAVFTISQGRLTVEHSLSADFLGSPALTGFAKRSTVT AIAPLTGQTGNSIGNTFLAAWGDGAVRLHDLRSHKPYEGLYIDIADQNPVYCIHPYGH DRFVVGAGGDAVVKIFDIRMPSTYDYNPARRQSSSSRPKGRTTLPTSSAHPSKDLSIF LSLNPPTTRNDSVSRYARHRYRGPIYTMSSPSLLSPTIYTGIAGGVVRLDFASTDDLT GTHKTWYRDSIDLDINCNANIRENYANNIIELSGYERPDSRDTTTCSKLRSQVPFGAV DDQHIANELTTGWDRRWKPLEAPGAWRRRDF ANIA_00459 MEVPVFAWIVPIMLGLTLLYEFVIYPAFLSPLSKLPNAHWTAPI SPAWILWKRFRGQNNRTIHAAHERLGSIVRLAPSEISINCVDGGIKSVYTGGFDKHEW YPRVFGSFGTVSMFTMTGSKAHSTRKRMLSNIYSKSYLQSSRHLQLISKTIIFDRLLP ILQAAASSKTDTDVHDLNQGLTMDFVSAYLFGLANGTNFLQNAEYRREMLRLYQCRKP YEFYHQEVPNILALLKKIGIRLIPKWCDDANRILDSWGLELCDKAEASVASSDLSVEP VVYKHLKQAISKQAPLKIDDQKLYADYLEQQRLDIACEMYDHLTAGHETSAVVLTYLM WELSQHIEVQKDLHKELLTLQPRIAPRCLSGHAELPSPKSIDSLPFLEAILTETLRLH ASIPGIQPRVTPTPTCTLVGYDNIPPNTRVNAQAYSLHRNPEVFPDPETWEPKRWLKD CNKPSELEERKRWFWAFGSGGRMCVGSNLALQEMKLVIAAIYTNFRTTIVNDDGIEAI DAYTVKPKGEKLILRFEYI ANIA_10091 MPPKGVSTRLNPVRLNSITHLRVRDTGSKQTTPCAAVMSAMLNC WASAGQSEGCFALEQQLRECMDTHKTTGTKRNAINYHLMRMYPKVVGPKKKKT ANIA_00458 MTAKCSASYRITKALARYPAVQTQTRRGFSAAPRRQDAAKAAEN DKQPEARNEDKREEGAMSRRLSEMAEQAFLEGGRSARKNLSQAGFSEELKKELEERVA AATFKSEHAAAHSIVNMPESAGQGTRDIAGAAPWTGTESTHDVTLRMLDDSKKPLRTP YKIPQPHQPGPIDTRLTPKPPRHPGLRIADAKERTATYTLSKSEGVTETEREAMRREM RERFTPGARPMPATLQGLASLANERIEDAVARGQFDKIKRGKGVGTQTDYNANSAFID TTEYFMNKIIQKQEIVPPWIEKQQELAREIDRFRQRLRVEWRRHAARVIASQGGSLET QMRKAEAYAAAEARHTARLELEKAFNDTKSSNNNTSTKNNVPTSPPASDPSSTETLHL PPLRDSQYISNERSFLELSVKTINALARSYNLQAPPVAQKPYLNLERELESCYADVAP SLADEIKRRATEKVRQPSYTGAKTASVLSHLATSQTARVYDEDESKGYGFKQFWQDLF SKK ANIA_00457 MPFSIYSAGFIAALTGLAQALPTATTPSIGDLTSASTSTVPELP QISSSTGSPSGSSFIGLAGSNELDLGDDEELPELESSFIAGSLQHLNNANDEWDNSDS DSDSGLPSGSSFMGFAGSSELDLGDSEERPEFDSSFLLGSLQNFESNDRQARSDSGPI PTSGTSFIGFADSNDLDLGDKDELPENESSFLLGSLQTSNQDEEENN ANIA_00456 MGKKLSVVQGVKSENYTTPGNAVNEIWVGDTELISRLPFGSSDM DQASWPTNGVPKIPWHNFIIPMKRDLETLGAYNSNLPLDFSGIARVPPDQLVCCNQRG CITDQHFTQTVFTAKLVSQDYSHLDRAILLTFCAIITLNLYRGVRRVVTGSLRYSHLV HDFMDVWYAVSFSGTATMLNIIGLGAGWWAISAWSGAHEAYQFKADDWKLMEDLMKLV LFVQMLFSVCTIIMDWLDVLRVLRRRSRRRSQNQPQWIFNVSENRMPMPQKPPAALV ANIA_10090 MLPFVILASSGNLVQRDSDNLLNRPQELDEPLLAVQIGGIVGAY VIFVAIILTLLLVVGRRLRRTVQSSNYTLQVEMMKPKHPPIAASATATKSNAAFNSVD PSPVTPTNKSHGFRSWTSLTKGQHSRSNNGSVATIDHESVVAADRRRNQDQMEMLYAA VMEHDERRAAATASPVSPTDDVSLKDLSPRSPTSYQNANPFSDYAARVPEDKPLPPHP HQQPQPQYHHPPAPAVTAASPPTSPGGRSRTSRLSRISNLSLFHSNREGNNASHSQSG GSRIRSPRFTGRKHGHTVGINISSPLASPDPTSPHSDQIPLSPRFYNPTPPPLPPKAD GPITRINTNNTTASQERQHRTPVPPPLNLQAATPTSAKAGRSSSSLPFREAYPQLLSA PPTKTTILERPEKQLSGPRTGLPTPYSPYMPFTPLTPLTPSRIVTKKQRKREGKENGL RALNEEDAVRSEDDMWGY ANIA_10080 MRTTTQLPNGQSFTVTPVFGGVNFKSNSLNQRGSLLLPGWNVVL GTRRRPEDLSDGDLTDVERGRARPMEKKPQVTRFTVPTLSSDELYISYIVNPPDSDYK PVSSPTRQIAMMLWVTLYWYFHEPEPEFQLYTEASANTPFAGKPKGDWRVNIRREGIF TGHNVLQKLERMGLIACDDSSVGPDPEDRDSWSHMFVSRRSFWQLDPRLYLFTLSPQS FAHSVGGTAFGRIASPTRESLYLGEPNGRPRSAGPGSGRYMPNEGPFASHSHLPTFFP PPPCQFTFTNGVRHPIRQKPPHQGEVFYVRYIPSLGQYLSFRVPYLRSPRDHTEQLSS EPDTPSDLEYLHKWMNEPRVDAAWGEAGPISKQEEFLRQNLSKRHSFPVIGCWDGHPF GYFEIYWVKEDPLGSLIGGTDNYDRGIHVLVGEQKYRGPHRVSAWLTSLVHFCWLADM RTQTVMLEPRVDNKKMISYLESAGFYKDGEVTFPHKQSALMKIRRDTWEAPSI ANIA_00454 MPITVLSGAQLRTLLTSLTRDQIVSIQRNLADALRDYSIGNQDS GCAATLQPRRTAVTRQCGRTTIFMPSSTRRSIGIKVISLQDRESKPGCEVESGEDLRG IKRFTASGPGEAGSRTSVSSISSEMSELSFSSHEDEPEAEQSVSLSTSSGESDPLPVA SVDKKPIGAGVSQTLGAWPGPGSRDTSPKGSVTLYDDDSLPFGLINAEELTPFRTALT TTMIFNKRKKVRTVVVFGAGKQAYWHIRLAVILRGEDIKRVVIVNRSFERAAQLLREI YSPENASWRGDVKFSAVSSEFGEYKRILHDAINKADAIFCCTPSHEPLFPSELLTSRE GRRKGRFISAIGSYKPNMTELHPDILREEVTISPPHRHFHKHVQRSGIVVVDSLDAAM KEAGELIQAEVKPNQVVEIGELLMVRDAAKDSSLADDEKHLREWVERGNVIFKGVGLG LMDLVTGSELVELAKTRKIGTTVEEF ANIA_00453 MDLNRTALQEFIAQPVSRDMVAYLAQQAALVIRCESHVTTTAST QTVHGQHTPPSTPPMDAADQQLPRLPSVEAFITSLVTRSQVQVPTLMTTLVYLARLRA RLPPVAKGMRCTVHRIFVASLILAAKNLNDSSPKNKHWARYTVVKGYEGFSFSLPEIN LMERQLLFLLDWDTRVTEADLFLHLEPFLAPIRHRLQQREAKMHQPREWHRFQASAEL LACRLRRQKLEARLEARRRLPPSPQPSASLSSVSSSSSLQSDAAVSAPDSDRYQPYPP RRRPSNRSRHSVSPPSIQEIPGLSRTETVPTLCSRSSSVAPSTRSNTPASLRPSSSYN SMDQEIQVVDVSRSPGLSSGYVHLPSSTMMVPLPADVAMAKGDDIAVQQPSKKMRMSG HSGSSGFVARFLASATGTYMGHRMRPRV ANIA_00452 MKLLALSLASLASAATITRRADFCGQWDTATAGNFIVYNNLWGQ DNADSGSQCTGVDSANGNSVSWHTTWSWSGGSSSVKSYANAAYQFTATQLSSLSSIPS TWEWQYSTTDVVANVAYDLFTSSSIGGDSEYEIMIWLAALGGAGPISSTGSSIATVTL GGVTWNLYSGPNGSMQVYSFVASSTTESFSADLMDFINYLVENQGLSNSQYLTHVQAG TEPFTGSDATLTVSSYSVSVS ANIA_00451 MRCLSIAVAFLALFTGLYRFFDARLEQFYIFDHHHLHDLSQRAI AAHGNDTRAIVQYIVSELDEKVGSTHLNKDEEWVFNNAGGAMGAMYIIHASITEYLII FGTAIGTEGHTGRHTADDYFNILQGTQLAYVPGSYEPEVYPAGSVHHLRRGEVKQYKM DSSCFALEYARGWIPPMLFFGYADTFSSTLDFPTLWATSRITGREMISNLLKGKM ANIA_00450 MAPSLCFKCQKERAVIIRPKNRHKLCRECFLEVFETEVHETITS NSLFHPGERIAIGASGGKDSTVLASVLKTLNERYEYGLDLCLLSIDEGIRGYRDDSLE TVKRNAVQYNMPLVIVGYGELYGWTMDQVVEQIGKKGNCTYCGVFRRQALDRGAERLG IKHVVTGHNADDVAETVMMNLLRGDLPRLSRGTSIVTGSAASDIKRSKPLKYAYEKEI VLYAHHKQLDYFSTECIYSPEAFRGSARTLIKDLEKIRPSSILDIVRSGEEMAVLVPI TDGGQAAAAGEAEDVSTIGGCGSQSGRTRGGEMAEMEKSLAQNEAAAELETEIKMPSH LAGQVPLPKRTNKPKRQQTQKTSKVGQVLGKCERCGYISSQRTCHACTLLEGLNKNRP KAAIELELDAEEEESSTTLRRQMEQVQLAG ANIA_00449 MASQPSRRERASSDRAQAGLVPQRPGLQSRTSSAPPGGLYKLDS TRTAMEGGSSVGRTLVEEDESSTFGGCSGVSSPQVGYEANQANLKSSFPHVSIAVVGG DKVGKTTFIQSALEMKHPLTSRSTTKKMSLDGTVYVVRLVEVHVDEISSDGNGRLRWP LLGNDSTPSIDGALLLYDANRPSSVPETSKMLETFIAASVPFVLVATKCDKTSPDGPF ETSFGSYDIHRTSPESPQKQKMCIAVILRDVFSQREAEKSPISNTHEWHHTRARSETP TSSLAGAAGGPFSSALDTGVEGYGVDRHPADSKPSPNLASNAQGSRFARSNSHPVRPH TPPSRLNPQKTSAAGESSPGKDQTRQRLIQSAWRNSGGSDAFNGFLDMPDEYDEPRST PSSPESKDKASSESSTNETGFTFDELVDRLVAPPISKQDSKFASIFLCLYRKFAAPAT LLNALINRFERNEKDITDQLTRIADQLRLLSVIAQWVSEYPGDLAYPKTRKRIVDFVS TLERSHFYMFAAKEIGSYLEVNAEDDDVGWAFKDGEAEALDENDFPPENFVKNSPSTF LGDFSIGNEGEDDNQNDEEEEDPIYSMSALDLSEGVHDQPMKLSATLSNPSLEKPGNI PSQSFTFLSIDGAQREASGMDLLGRIPLTKVVWRQLMEIPDEDFANELTRIDWIMFNT FRPRDLVRHVSISGPDKDKIQSLKHVNRMIKQFNHVAFFVASVILLRDKPKHRARALE KFMNIAQKLRRLNNYNSLGAVIAGINGTPVHRLNQTRELVPPQVHKDFMRLVILMGTQ KSHFAYRLAWDNSFSERIPFLPLHRRDLVSAEEGNRTFIGDNRNRINWKKFEVMGEVV LGIQRSQKTPYPHLHRYDEVARLILDTKLSGDDESRDSRITRCRSPARNPNLKMSAST TATAKSTYRAILRELPRRSLSSPTPLHNRIREAYRKPTLRPSNGAGESVSEGEAVLRR LQQAEQFAQYARAQRMYAMLVDRYNPGSLMDEEERVRLTARRVGLDLPELPGEQQKGK GKGEGQGEGSV ANIA_00448 MTSLCEVCASEPFKYRCPTCGLMSCSLGCTRTHKIYCAPKVPKN PEDAAEQQSHPSETNGHITGAEGQPVADGQDLTPQKLSIQIKDLFERYPPLRDQLRDI YKATLEEQWVEGPAHKDRPRRFGRGKPPPRGHGPWTREKGFKRGLGKVRKFRGQCEDG SETGKGAEGFMRLLTLVNEGHLQEHQ ANIA_00447 MASSSTSANMVKRTILSNRLAAQILNFAEASPRTIRPFAFGSIR SIHNSARLPTITASETRHRPTLRPHQLSAAHGRVNGQPPTGKRTIFIQTESTPNPDAL KFIPNHRVLPEDFPTSFLEYLSPRSTLAPPHPSTLAANLFNVEGVQSVFFGTDFITVT KASDTNWAHIKPEVFSLITQAVTSGEPIVNTVEKSGASGQKGGEEDSLSYNEEDDEVV SMIKELLETRIRPAIQEDGGDIEFRGFENGIVMLKLRGACRTCDSSTVTLRNGIESML MHYIEEVQGVEQVLDEEEEISMLEFAKFEEKLRQQKGAQATAPSSLDSAP ANIA_00446 MAAPSNSKPSSVSQTPPNNATARLEKSHPGIRRSTPDSEALASS DDDGEHPLPAPSTSMPAQKPVRRTSWLNEIPVSITRKAPVAGPLSTGASNPTSPATEQ SGWQTNTSPGLNSSITWNNVGNSSFPWGTGIWNTDSRKEPPPRLSELVPSPTMSIPST ASNYLAEELLSPTTRTTSGDSSIPFSIPLHPTPKTYRSQSYSVGQLDPEYSALNTGNK TTTAFSGGRSRNGAQFSALQHRSSRPSLLGELGHDPATLGRVREDEDDGVESPGGSDG SYSNINADQARTIQQLSRENALLRQAAGQIESSFRDRAMSTASAAGGFAVGGGPRNSH RIHGSVPEEGDPAVEDLDEVGNIPGYSDLYSNTRRRFSEHSVNLEKQFSGFAPLENRN LGRAHWQTSLGFGSLADIPQSRRHSFADIPMRHPSVSGDTQVTSSSRVGLNEQDENYA NINQVSVSNAPGQNREYQRLAMLPRPEEEHEMESEYLRARRFAESYFARDPSLRSAAD AQSPMTPPFHQTFNPYVRHQPVLPHQNQLLYIVTFKCHRADVFYIQEDTGLQVNPGDL VIVEADRGTDLGTIQHANISLQKARELKQQYAEEHYKWLMMFSRQGQNGAAGGSVPGS RSAIGGMGTHGAHGVQESAGEIKPKLIKRLAQNHEILTLRDKEGNEAKAKRVCQQKVA EHRLNMEILDAEFQMDWKKLTFYYFADSYINFNSLVTDLFKIYKTRIWMSAINPASFV TPPSAGLHTPNPLGYGQDSPGDRSHQRDGRGFGHSRDALDAGRDGVGLLRSGYGDTYQ QFVHNPRQPEAGVGGLASADPFTSYQPGGYGSLEYADYTATSGGNTGPSRMQPAPGEW MNRFQGLSLNS ANIA_00445 MGALKYVEEIQKKKQSDVIRFLLRVRCWELRQLNAIHRASRPSR PDKARRLGYKAKQGYVIYRVRVRRGGRKRPVPKGATYGKPTNMGVNQLKYQRALAATA EERVGRRCANLRVLNSYWINQDSTYKYFEVILVDPQHKAIRRDPRINWICNAVHKHRE ARGLTSTGKKSRGINKGHRYNNTSGGRRHTWKRQNTQSYWRYR ANIA_00444 MPSLAAHKPSAHQSASSAGVQPGTSPIPVPIVGGRSPNRLRYGE PRVTGAPISPPSGPTFHRGHSRSISQPFASALSGVGKRRNKSISVEADVDTDDDDEDD DDIYIPNPNPISSSPRKGLPRVPPKEELTTGKCMTCNNTVRWPRHLKVFRCTECLTVN DLDPCQDSKGSVNLSTRKNAKPIQTIPRKGMPFTIPLTVERTKNIIDRCITTYLQQCL GAPPVPPKDTSSESFRQTSSKASEHLTVPQHPTAPRGRSVSSAGTREKADGGDTELGN FSYSKNPYPPVPPPKDSRNAPNSVNSRERSRLRESGKEKHPYIFRELEDYIISGLKGC DTLNVSFNSAHHAPRATPENSQPERDVERNPRRAQVDTAVELDAKTLLVGDLVENSSW WMVDEDSTGVPSHSQHKHRTTKIVSSRSPRINWIDVDKWYQLVLTAGTTWVEKWSVMK VSEEEDRARAEAWLMADLSVIEKDSEGRAAGNKAHTAKAQSGGPVQHPGIIKRILGLL SDLPSDCHHYLVSWFSRFSVGHFEKLVELVGRFVTYRLSRQHVRRKSESANDDNYLIP SLSSAAMNTPSELHAAINGINQNKQAKKKADAPMTYSDDWQVRAAGRVMALLFTANNA DIPRKPPGTESAVMSRQQGAHRGHIIPISSFYNTRLDYSNLVVADFEAWESRTTKFSF CQYPFFLSIGAKIQILEHDARRQMEVKAREAFFSSILTRKAVSQYFVLRVRRECLVED SLQRVSEVLGSSPEEMKKGLRIGFVGEEGVDAGGLRKEWFLLLVREVFDPHHGLFIYD EDSRYCYFNPYCLESSEQFFLVGVVLGLAIYNSIILDIALPPFAFKKLLAGAPQTTGP QPLTTQDLAEYRPVLARGLRALLEFEGDVAETFCHTFVADVDRYGEVVSVPLCPGGEK KPVTNANRREFVDLFVHYHLDTAVARQFEPFRRGFFSVCGGNALSLFRPEEIELLVRG SDEQLDVKSLRAVATYLNWGTPKPESVPVVRWFWEYFERANPEAQRKILSFVTSSDRI PAMGATSLNIQLICLGDDSPRFPTAHTCFNRLGLYRYKTREKFERLLSEAVLNSEGFG LK ANIA_00443 MAADIPKTMKALLYDKPEVHKIAEIPVPTLRENDVLIKVKACGV CGTDLHIHEGEFIAQFPLVPGHETVGVVAAVGPKVKGFEIGDRVVADNSELCGECFYC RRGDELFCENFQAHGVTMNGGFAEYCAYPAGRVFKIQNLSDVDATLLEPASCAAHGLD KIAPKMGSRVLLFGAGPTGLILAQLLRLNGGCHVVVCAPEGLKMELAKSLGAGDEYIG LSRQDPSAQFNKLKADNPYGFDIVVEATGNVKILEDSINYVRRGGKLVVYGVYANKDR VSWPPSKIFGDEIQIIGSFSEVYKFPAAIDYLDSGKVKVSGIVNKVYKIEQWEECLQS MRNKSAIKAAIVFE ANIA_00442 MVKPLTFKGDKPKSKKRKNPSSTSTDFSAPAKLPKQSQSSSDLR QDQTPYSNEEAENTAEDQSWVSADTTSDISGPILIVLPSDPLTCIASDMNGKVFASEL ENLIDGDARTAEPHDVRQVWVATRVAGTEGFSLKGAHGRYLSCDKYGLFSATASAISQ HESFLIHPSPDIPGAFFMQTQGGTGESDTFVSVKEGAKGVEIRGDENSLSFQTTLRVR MQARFKPRIKANKETKAREKISRKELEEIVGRRLNEDEVKRLRKARREGNFHEEVLDV RDCPWRKRILTGYR ANIA_00441 MSAISLTTAATLFAGPGKASNSTPSINTLSAQHAPKDGPIEGLL FVPSLNSHDPCNNITAPFIPANVTRHQDVTSFGYHTIGLAPWLTPNCSQSFLDAARRA GSEALMFFLPASDDTKPPPPADSTWLLHGESSWESENMYPVYAIPGPAGIALMKQLSW YSNNRTLPQDQSNEFVALSESESWRVLLFSVIDLEKHGRKTPTIWGFLLAILGTILVI CLILLLLYQLLQRRRREILQRQLEASAVDHQPYDLRPFRVPREFLARLPLYVYPGLDG SDSHTSHLGSGSCDSRGRADVVEGAATERMEAEKEDAEAEAHMTKYGSYRLSHPQTIC AICLDDFIPASSTVRELPCGHIYHPECIDMSLTQSSSLCPLCKKSVLEPGCFPISIPD AVYERVSMRGM ANIA_00440 MPSANKGKGKGRDVRPSRSRNTTPSSGFGAAPAPTSAPVTSYLE NDASKLVIPVTIQYSEILERMGGVGPIPDSKSLELLMEHLKSLSQSAEARGDACNAGI RELSQKRKEVVDEPEPYEGDDRVKMKREDDDEEESKWGKAGKLKKRKDRGSSSKEERP LAHGAREITRQDGGDAKVEGATSPASKKSKNAVSDEMSSLSPPSLASPRQEVDDANAG SPGSDDSSDSHQPEPAPAVPQIQVFGPNPLKFDDPTIYHIREVTPNMTDEEKKEIYSV NVFPSSDLRHLMAGTPPDRDFSNAKPTNQVNNNTFLVWVDPYVRPMMEEDIAFLKEKG DRVTPFLMPKRGKRKYTEIWAEEDGLMNIDQTNGDRERLPLNQGRGNIDQVTDETIET DKVSVGPLVSRLYSLLRYEHRAPEENTTNGSTNADVSVNGMNGDSMDIDHPTGESENK PQPSATTFRAASPSGFKVPAAKLDHAQLDERLKAELRHVGFLGPDDNPDYDAHYDDDI AQRLRLLQSELKKQMIINSARKARLLEVARERMAYQEYMTIHDDLDSQVQQAYLKRTR TLGKSKKGSQAKHRPGGAGGGSHVVSAAGISRPAIGDVARTLMDRRKRWRDCIGPVFK DCKTTVPGPGESLFTPSVMAEYEKAELDGWEEEQE ANIA_00439 MIKAIFYSKFDTQEGPKVVHQVPDGAITFSNTAASQPPFFTFSD ISFFVIPRQELCGNLIQVCTNGYRILGYPICMKSPRYDRNEFIFNFCLVLAEEEDFSS YKSVVQKLADLMHGLEEQSGFLSRDHSKSGEGKVYSLCETLMEDLNNYCECMIPIDEL NTLNIKLFPVYPNPPPVRAWQVPLFTVRYQAFLDENWDLTLQRIVPHINGVNSIRIIS LLADTDFSLTCRAIRHLLYYGCIFLLDIFSFSAIYAPTALFSSTIAADENMQRECARY VNTLFASPMTAPTTPAAIPPGHDKDAVWPPVGESMPSRGTDSIATSTSENISPTATPS PANTSALISSTRSPTDPLAMSTNSIGNREVVDGVGIVELYASLKQGQSVKQWYLQHSR QLAHIDIRRFITFGIIKGFLYRVHKYAIATGNPAPQFKSGATTPRSHYQHSGPSSRGP GTGANSPYASSAGDDPPPIAQHQQHHHNQHHHRNHNYRSEDGKDKDRASVYSGSRSAP LYDDDDDEDFIDNKDLAKYLDGMHCFDQICTELEISERELTARLKRYQGEVLIVHR ANIA_00438 MHKPSLAQIVHRATFPRQRTSDPATFAAHIALNLVPEVRIETST FYGSLDSVEAQYPGLDYSYGPHRMRLSRFPWHRKLFRVFDELGLTEAEISSLCRWEGT KSARQRYEKEEGVKVRDTTADAIRPASPCPRPSVTVHVEDGPEPIRETEVKTTTYEET EVQNEAVNDRGIDCVLPAEETEESSEEEMESCGVELHHRLLAATAARERGANVPLDED WEQWLKEAGERGSYTDVLNAIRRGQPLNYLYDLPASISQAGRSSIPQSVSLSEPLDLL TSSRLFSTSNDIRPPPHSPARTAR ANIA_00437 MAPNLIDCKYAIQDRRCRHLMESSKVFASEYLHCSSNIDSSNSQ SSLSLLSITSEETQNGHPLWPASSAMLTVTISKDSSPLEPLSYTAHQLPESGILESRK LHYKHLSKLLRPIPLNTPTEIDLTPKLSIRVTLLDANHCTGAVMFLIEGDGKAILYTG DIRDTTFVHTSHIPHTFPTKAEGIAELLRKLEPYPEDTNFYFRAWTFGYEEVWMALAA AFDTKASICTGLFSSSLHISVTQSGTVEAASLCGFRLGNRVVSGCLSEDERSRIHSCE PGVHCSAASSKNTVHIIPIISRTDKGADIPELGAGGGLGDLYQIHELEVPDQYSLDQL EQLCSEKIPDHEALSKAKEALSAAFRSNTKVLSLDSYGMKDAHEMPLEELVNILSRGP LSEEHRSGEPLPRTIRFPYSRHSSYAELCELIKAFKPRDIHPCTVDPLDWDEDVSMES LFGHLCSDNKFVHDQYMREMTALENGERPRKRRRRNPESPSQSTQQLTVTENSTTSQA SQMSQISMRTGREINGAVPTMGGHSSSLSLDPPPIRPTIASSSPPSPPPATMAQPLEH TYRTTNNASDNGSNSDSLPIPTLSPETAKARRYTIQQAWHYLNDAQKSQSNKFQLVSL PSSWSTNGEISGPRLSISEEHDVRELVGGFSSSQPTAIYEPIASTVRQGKPPLPTSTG VGINVDEAIDNTEPDLYHNIILETDNDNGSVNETDTEHETNQHHFSQNSISSSAFASQ SQSQNQGRLVEYFEEETASEEEYISDAAQGLVDPDRDLAPALQLESVLETRNRPRAQH PFLVRRESSLRNRQAAYQAAREDNYDAWAGISLVSAGNNHTEEEIEL ANIA_00436 MDLVQKEHERLSKRLKSSESIQSVQDAIDLLQSTRDKIASDPNQ ASVALAKLQNPIKLSFDAINDNLKETHSGLNKYSKALDKLFKDRPLPSSEHDVLSSQE HLINRAIAMHLLREGQFSVASAFLSEVAAKRAAEKQQSFDSDNMDEAAALLDIGGVPS SKVRDEFHNMYRILHELKENNNLLPAIEWSRKEENKVALEARGSNLEFELCRLQFVWL FHGGQEQRGPTPEGRQAALEYARREFQAFFPRYMREIQQLMGAMAFSPNLPDSPYKNI FNNPSAWSDVSHSFTREFCALLGLSPDSPLYIAATAGAIALPTLLKLQTIMKAKRTEW TTEHELPVEIPLPPSYLFHSIFVCPVSKEQTTDANPPMMMPCGHVIAEESLKRLCKGT RFKCPYCPNESHPREAKKVFL ANIA_00435 MLTTRRNHQSHNDSFSSRYGTSKASRSRRHARDASVASRGPVRR RTPASPPDGSSTSSSVITISVGSDRRLFAAHKEILCVSPFFATACSRARSLNPSSSRR ISLPEEQPEVFSCILEYLYRGDYYPRLVQNEKHNTWELENPKLEDGQTDGATLFHPAA GAEILRDTAVYCAANKYGLEHLKRLSLVKQGLHTGIQCSTILASARYAYANTPDHESK LRAHYLALIIRSRSTFKRSGTMQMEMEKGGKLFFDLFVAMCNHMDDLAAAAPSTKRSL AA ANIA_00434 MDTILRDLEAGHRLGSGPRLAAALTPVDTPEHPDRLKSFYYFSN AAQLPASLRYYISQLNGEKIPKQDLNAWVDIFSAYWKAVGEIVKFDEFASRASWANVF DNWKDLANVLIRAYTNPGLETWTIPCLYVVGKYLRVFASKADAESSSQGSVEFSEDDM VTDFGKNAKTEEAARVLNRMFTLCLNDRAPKEESRKWGVYYMSNLLFKTYFKINAVGL SKNLLRALNAQSHDLPDKELYPRSHIVTFNYFVGVIFFLDENYAEAEEHLAYAWNYCQ KSSVKNRELILTYLVPCHIVNTHTLPSKKLLQDFPRLETLFRPLCDCIRKGDLHGFDA AMSAGEEDFVRRRIYLPLERGRDIALRNLFRKVFIAGGFEEPKDGQPLIRRTRIPVAE FAAALRIGTHADARARVDIDEVECLLSNLIYKGLMKGYIARERGMIVLSKGGTAFPGT GV ANIA_00433 MRIFAPNAVVAKSRFWYFLTQLRKVKKANGEIVSLNVIHEKRPL KVKNFGIWLRYDSRSGTHNMYKEFREMSRTEAVEALYQDMAARHRARFGSIHILKVVE IEKADSIRRPYIKQLLQKNLKFPLPHRSATGNKKKVFAYSRPSTFA ANIA_00432 MFSRYAFRCAQPLRQSARQYSTEAPKSKSLAPVYVAVGLAGLGV GLYRYQSGAATAEAPAERPKVFTGGDQGWVNLKLSDIEILSHNTKRLRFEFPDKEAVS GLHIASALLTKYSPPDGSKPVIRPYTPTSDEDQPGYLELVVKRYPNGPMSEHLHNMNV DQRLDFKGPLPKYPWEANKHKHICLVAGGTGITPMYQLAREIFKNPEDKTKVTLVFGN VSEEDILLKREFEDLENTYPQRFRAFYVLDNPPEGWTGGKGYITKELLKTVLPEPKEE NIKIFVCGPPGMYKAISGPKVSPKDQGELTGILKELGYSKDQVYKF ANIA_10103 MPKNDSKTVTIDVEEFTKTRDSFLAKLAQLQSLTFELSRAYINH TSAVLGQDNANVDISAITNTLAASLRDTGVLAAAGTGSGAESGEKKKRKRRADPNAPK RTLTPFFLYMHHNRARIAEELGPDAKPKDVSNEGTRRWAEMPDSQKEVWKKLYADNLA AYREKVAAYKAGLPFDRDDNDKAADQLHLDVAAAEASDEEEEEEEDEHGEEEEEEEEE SPEPAKEPTPPPPKRRRSEGKPSKDVSSPVVEKKGRNESPEKKRRGAAKKDKEEPRKS LGGESKRSKKKRKSDVGGDDE ANIA_10079 MHLIPKELDKLAISQLGFLAQRRLARGVRLNHAEAAALISSNLH ELIRDGQYSVADLMSIGKTMLGRRHVLPSVPSTLVELQVEGTFTTGSYLVTVHHPISS DDGDLEKALYGSFLPIPPADTFPDPDPEDYLPEKVPGAVIPVKNARITLSEGRKRIKL KVMSKGDRPIQVGSHYHFIEANPQLHFDRFRAYGYRLDIPAGTSVRFEPGDTKTVTLV EIGGHRIIKGGNSLASGPVDLRRADDIIQRLQTAGFAHVPEPAADNALVAPFTIDREA YARLFGPTTGDLIRLGLTNLWVKIEKDYTHYGDECSFGGGKSIREGMGQASGKSHKDC LDTVITNAVIIDWSGIYKADIGIKNGTIVGIGKSGNPDVMDGVHPDMIIGSSTDVIAG ENKIVTAGGFDTHIHFICPQQAQEALASGITTFLGGGTGPSTGTNATTCTPGPTHMRQ MIQACDQIPINVGITGKGNDSGGIGIEEQIIAGAAGLKLHEDWGSTPAAIDTCLDICE KYDVQCMIHTDTLNESGFVEQTIQAFKNRTIHTYHTEGAGGGHAPDIISVVEHPNVLP SSTNPTRPFTMNTLDEHLDMLMVCHHLSKNIPEDVAFAESRIRAETIAAEDVLHDLGA ISMMSSDSQAMGRCGEVILRTWNTAHKNKEQRGQLPEDENTGADNFRVKRYISKYTIN PAIAQGMSHLIGSVEVGKLADLVIWSPSYFGTKPSQVLKSGMIVASMMGDPNGSIPTI QPVIMRPQFGAYLPSTSVMFVSQASLDTNTVQSYGLKKRVEAVKNCRNIGKADMKFND TMPKMKVDPESYRVEADGRLCDAQPAETLPLTQDYFVY ANIA_00430 MSSTASGAQAASPTTNRETTRASVNAAENPSTKPHGAELPPRRG HQKIVFTDPVALRYLEEDPSTVVLHRRLALEGYEIYIVEQWACSRIHPTFVITTFTGD SSHKVVAGVLGVPTDESTWSPRLKLYFNAVKRFQLREKETPLGTVMVTDLNSFPSGLS VIAVPDGDILKHREDFVVNENLKRLGCAGRAGLKLQEPAPATVAKFHQLYRTSERIPL YSAVVELVKQCQIALMMFGKLAPEYVDGLLCDVTEDAVGDWWTDFGMDLYNIEPSDGK LGPTTVAALLGTLMGARNRLHASGAPVGKDAFDIVNLKRGIGSFQKSQKIERTRRLDR HTLDRLHRVTAKAANAEGWTDAVKSTMAELSGHGGEMVMGMVRGKEKGGIADIETIDI DNFAQLISGERAKWLWRGKPRKSTVESNGPPAADMMFTTDEQGGYVWTSRKRHSHEDL GMEPSFQRSERSWKQAEAAGLPEDKDQNLSRMVMKGVSEKVSDARIGFGKFKDAVGIP GLRSHKAKDGSEFGGDAAQLALMESETETGPWPNHEDAPLPETHISNDGEMGEQPLST ADQPAEKSPPAITVESAAPNEDTETSRKASVSRTDEEMHDLDLFKTRSTDASAVSGDQ ERAPTPASCAMVLRRPHSCDELYSDDSFERRNEYWPRHLSFSIVEEVVLVRKGLDDRT IFESSDATLEEAIVHEDVLASDARISRARIRDLELNTVPWVESQVSSVDRLNHTLYET HEKMSSKYLERFELYQQVRERSGNVLAAEHSQLTEHMKRVEMLGAKLDYELHALETKV EDVETGLGEFERHVNEIELRVKSLIRGEKKQNYSWLSWLSRLGGHWQ ANIA_00429 MSFLFGGAPKMSSEQKIAAAETEVEMITDMFNRLSESCSKKCIP NDYREGDLNKGESVCLDRCVGKFFEVNIKVSEKMQGVAGQQQGGAGLSL ANIA_00428 MRIRSCRVACRSFVPGRWYSKATSSKEGSLKQTLSKEALSKKQA FVTRLAQVTEATSDPYPRFETPANPFAISEFIHRYSYLANKEFVDFDQVTVTGRVHPL QFESPGTGRGLKADIQEILSYDSPRRCLLLNQNATAITGKPHRTERGELSIVATDLPQ LLSPCLHDIPLDAHGHENSPYPRHVQMLGDPATVKVIKSRSLITQHIRQFLLDKSFME VSTPILNGIPGGASARPFYTSATEFPDRQLALRIAPELWLKRLVVGGFDRVFEIGPSF RNEGLDKTHNPEFTTCEFYQAYSNLEELMNTTEELLCGLAQVFIAEFNKRKEPPPTAI DFTIPFHRIDFITGIELGIDRQLPDLTAPDSLEQVTQIFTDLKLQLPEHPTLPRLLDE LCSIYVEPQCVQPTFIINPPECLSPLSKSFVHPDNNQRVAARAELFIEGKEIANMYEE ENSPWEQRRKFEDQLKYSKDANEPGEIDEEYLRALEWGLPPTGGWGCGIDRLVMLFTK VKKIAEVLPFGNLRHVTRR ANIA_00427 MASKPIKQAQAVTVSLQELIDGTVSFDTLTEAFGPSSLGIIVVK DLDPKFQHLRAQVLSNASYVAALKNDELESLTSPSAKYLIGWSCGKETLRSGHFDTLK GSYYVNCAFYKDPSLQGAPSDEHPDLPEYTAPNIWPDVQKLPNFRSGLEELCRLIIDT AVLVARACDRYAEGNIEGYKAGYLEKVVRGSLTTKARLLHYFPAPDGVHAEEARKDEE NEEDDDWCATHLDHGCLTGLTSAMFVDEDAHPPASSSATSNLPELPASPDPKAGLYIQ SRTGEVVKVNIPKDCLAFQTGEALQLITKGKFRAVPHFVKGAKVPKGQGKIARNTLAV FTQPNLGEEVEEGKTFADFAREVVERTY ANIA_10089 MQQGSGEDDGHVVVIPINHSFSDGDSSTWPTEERFGRPDDSYYR QKLAELWLKETGAYASAPGVTAFIDELPDGYAVFDRPRKSDPSTTSLRELLDAVGLPG DLLEDPLGNRLGRQMKRHLEDTQEGPQAVAGLVVGRPLKVPDDLQELVDPAGRQESQL KQQGEADYKISEPASMDWRAERAAMDEYLETLDVRPSYLPRLGELVLWTPDFDGDLVW NPATQSIEIYSVIQKKYVRKPQWRAGVVGQLPTEETVLQDLVERTEKKWDLNYSGFRV ETLPDPNGTDKSYSLHYSYVALKCIKPYNAFEVFLQGTPREKLHPSIEHAMTIMSSFS LLDKYRFKGSWPNASIYCRGIFIGAELLVVGDAVRLKPKGYKEKGSLQKPPVVDVMVI DEIRLELIECDEDLKSKQLAEKYQVRISGRLYTTNRERAQMLNNGPPARPLLPLTLQE VMDVFNTVGMGGYGDWYKLHSGATVDISQDMILGRCYEPDAMKLLFNSLSLGYDLPGV LRAREYSRNVDERIPEGKTWFWSDFRTQTLGIESLNGEDVGHYSETRDVKMWRANLRI IDGTASQADFRDAKQPAERGRPSMKTDSAGLEEIGKTSKLVSASLGAVDTSNPVSSEE ESAGESESPNGFPGRPKVDVKAEIEDSASEGDGTTRISRLRSGTEETGNGKYVPDT ANIA_10078 MAAQSTLRQKQDPLLTLYIYYTNLLRGKFRRSSKTAKILAIIAL LLSIVGTGYGGYNWFRERANERARGKRLLRRNSGIRGKDGSRTIYVPYKDSLTSKVKI YPTKPTTFDAHRRLFLNPPASARTGDEDSLGRIPPPTTKPGLNLAFLHQFLSLGSIMV PRWNSKETGLLMSHGVFLLLRTYLSLLIARLDGEIVRDLVAGKGRAFTWGIVKWCGIG TLASYTNAMIKFLQSKVSIAFRTRLTRYIHDLYLTADNNYYKLMNLDGSIGQGPDQFI TQDLTLFCSAAAALYSSMGKPLVDLFVFNYQLYRSLGPLALSGILTGYFSTAVVLRKL SPPFGKLKAVEGKKEGDFRGLHSRLLANAEEISFYGGADIERVFLARSFKDLQRWMEG IYSLKIRYNMLEDVILKYSWSAFGYLITSLPVFLPAWGGLGGAMELADTSEVSGRERG RMKEFITNKRLMLSLADAGGRMMYSIKDISELAGYTSRVYSLVSALHRVHANAYYPPH DAGSELYSLEDVQGTIHIGFDGVRFEQVPVVAPSLYPRGGDELLESLSFVVHSGDHLL ISGPNGVGKSAIARIVAGLWPVYRGLVSRPRGFGLDGIMFLPQRPYLSVGTLRDQVIY PHTEIDMRDAGVSESALQKILDDAHLGYLPSREGGWDSRKEWKDVLSGGEKQRMAMAR LFYHEPRYAFLDEGTSAVSSDVEGLLYEQAKERGITLITISTRASLKKYHTFNLTVGV GSEGEQWQFERIGTAKEKLGVEKELEEIRKRLSKVDEWKKRRQEIEDELQKVWVDHGE LAPSSYEEDFKAAEDEVEVAGN ANIA_10077 MFGKSPERSPPPTTSKSTEQAPMPTATGSLEPSNESPSHGRSAT TDNSPPAPRPNLSEKVQAPFVPGQTSEAAPTAVTTDAPKKHHRLLPLPSRTSLKADRS STSDRTQDGPHDGSENTLRGSRRSLLRGRRDRSRGSSMRSKEQNPDEPSLEENKTASP DVHDQTRSDKASKPSRKLFAFLSCCSSSDVDPEDGSIPPKKTPTRLSVVQTQPTPEKA DVNAADSSMAESKMSSYFKDEKPNMTVIPDKQSSHEEGAAEPSSQYDGTKTAPVIPES VQNQPSSSADKETRVPDTQSSIQPAIVATEPTTVEESDENTNADENAAEGQETENFEV SAQPAVTEVEPKQLPRDDEAVRLPPPPPLGKQPETSVQERAQQWLLPPALPHLRDRKC LVLDLDETLVHSSFKCDLKVLERADFTIPVEIEGQYHNIYVIKRPGVDQFMKRVGELY EVVVFTASVSKYGDPLLDQLDIHGVVHHRLFRDSCYNHQGNYVKVGRDLRDTIIIDNS PTSYIFHPQHAIPISSWFSDAHDNELLDLIPVLEDLAGTQVRDVSLVLDITL ANIA_10088 MKDSKGWDGKLRVEPKATITNPEALEDPDYSDSDAPPVEEIEAD EDLLEDEDKDVEDIDLVHCRIHSILALRLERFTKLQRLCLRQNQISRIELPSSLGETL QELDLYDNLISHLKGLDDFHNLTSLDLSFNKLKHIKNISHLVKLKDLYFVQNKISKIE GLEGLTEIKNLELGANKIREIENLETLSALEELWLGKNKITEMKNLDALTNLRILSIQ SNRLTSLKGLSSLKNLEELYVSHNAITDLAGLESNNALRVLDFSNNQVSKLEHLSHLK ELEELWASNNQLSSFNEVERELKDKENLKTVYFEGNPLQTNGPVVYRNKVRLAIPQIM QIDASKCSTTRLYELLDQCCQ ANIA_00424 MSFVKNLQQVQERTVHITTNRATRSLAESRLILAALQKFGEVVH FRNATLFRTNNKHLQDLMTKGENLSTQTARKCDGADFGIYAMFDTPLAARNALDSSPL HVDLSPLSPQARNDATNPDAASVDATAEVNTDTGAHSNIECTIKQDPVDHLETMSRNP YYGPFVQETDHPVYKDLIKQLDEKLYGLADTPSASKKPGNPKKTKSTQESIRRLRAAS LMRLWWLGQGPRAERNSTEEQATEASKRQGELERTLGDLPLEKMIEEKVRELQAEREE KEKQNNG ANIA_00423 MSPPTVKLNSGYDMPLVGFGLWKVNNDTCADQVYEAIKAGYRLF DGACDYGNEVEAGQGVARAIKEGIVKRSDLFIVSKLWNSFHDGERVEPIARKQLSDWG IDYFDLYIVHFPVSLKYVDPEVRYPPGWENAEGKVELGKATIQETWTAMESLVDKGLA RSIGISNFSAQLLLDLLRYARIRPATLQIEHHPYLTQERLVTFAQREGIAVTAYSSFG PLSFLELSVKQAEGAPPLFEHPVIKDIAEKHGKTPAQVLLRWATQRGIAVIPKSNNPA RLLQNLDVVGFDLEDGELKAISDLDKGLRFNDPPNYGLPITIF ANIA_00422 MATDWQPECMVSQNQAALESIGAHSDRALQNTSGNVQAYSDSLA HHDTTGRDDPLQHYTLKYPHPPVPVPSHPLPTATANLYHPQLLSHRYQVKKLRRLQSN GSSYAGSRRGRSYLKSQKYLEYRARPRRDTGKDGEPVWSDELEDAFQQALEANPPMGR RKWSERGKSYGRNELIAEYIYKLTGKRRTRKQVSSHLQVLDSFLKGDPDWERLVREQS DRSTAQTQPVGPRWRTSMDHLPSSHYGTHATSSYPEPMRLMPPYSADLQLPQYSPTST QQDTNNNTIQGLSFDMWVSAPNKPDRIDDAYHLYTRLQGDQRQPPMPLEDLKNWRVSF PHLSSSLSDVNDPLNCEIILLETNLELMDDFPPMGSRLGIHLELDIANPMSGTAPTVN QMENWTCSTYIYEDGRRTMEAYHNLTKPHTTKVKPPFESSWWAKTFTKLTQDKREAES TGHHHAADERTRRYFHSLTAVQEIRATVPPSLRRLQNHYPGSPAEESKRMAIILWKFR QTRPNEVGTTTWRKLITSPDRALTNSPRPSTAIDLPPLSLDSILLSKPTSNLYQAPPQ HHDLLHQNAPSQQSWSLYQPSHDHVNSLYHSAGAFDFLNSITKPEEGLSDKTAPTSVL DPFPNLTQQTTSQTAGINVSSGTPVMLQIPDLSLSSNLGTYGLGHESHYVPSHHNAAN LHDHSSTTGLGHYFAPSTQSLDEISHSHAPWSAPNTTISGDTSGGNYHHLPFTTSDHS VTVSRESHQNHSFEGLLPSDDLVGIVGGLSGDPNMNGAGPEHTSSAYAEHTAVEAV ANIA_00421 MESTRVFVSGLPPTLTNDQLKKHFETRFHVTDAHVLPKRRIGFV GFKSSEAAQQAVSYFNKTYMRMSKISVDIAKPIDAEPAHRKDSRTAQPDDALGNNLKR KRDGDTIKDSKTQEYLSLLQQPSKTRTWANDDQLPDPDETDSHAQEQEQPFDVDDQEE LTYAQRKKAKLGQDANESSHVPVVAGYQPTTDESDGQPSPEKHEEELEDPQKDQAPVS DSDWLRSKTSRLLGLLDEDEQETFASPAAATNPTPIINSNVEKPEAESPEKPAESDLT KAPTAAEVDTNIENIRISARLFVRNLSYETKESELEPVFSPFGKIEEIHVAFDTRFTT SKGFAYVQYADPDAAVEAYRNLDGKIFQGRLLHILPASQKKTYKLDEHELSKLPLKKQ KQIKRKQEAASSTFSWNSLYMNADAVMSSVAERIGVSKADLLDPTSSDAAVKQAHAET HVIQETKAYFKANGVNLDAFKQRERGNLAILVKNFSYGTKTEDLRKLFEPFGQITRLL MPPSGTIAIVAFARPDEAQKAFKSLAYRKLGDSILFLEKAPKDLFEADVPPQNPLPET KAVSQGFSTADTFAADEGDEEVMATATLFIKNLNFSTTNQSLIEAFRPLDGFVSARIK TKPDPKNPGQTLSMGFGFADFKTKAQAQAALAVMNGYTLDRHTLVVRASHKGMDAAEE RRKEDTAKKIAARRTKIIIKNLPFQATKKDVRSLFGAYGQLRSVRVPKKFDRSARGFG FADFVSAREAENAMDALKNTHLLGRRLVLEFANEEAIDAEEEIQRIEKKVGEQLDRVK LQKLTGAGRKKFTVGAQDDES ANIA_00420 MASEKKDKLEPQIKSVDMSEDMQQEAVEVAIEAMEKYHIEKDIA QYIKREFDSRKGATWHCVVGRNFGSFVTHETKHFIYFYLGHCAILLFKTQ ANIA_00419 MAICITFGTHEFTSMLEGYENVRAYCYNCNHWNGRCVTRWPFFT ICFIPVIPLAMHKYKEVTCYTCRFTQDLRDRPDINPDTRPPPNAGYGPQPPPQAYFGG YQQQQTPQQQMQYPPVASGATSPPPQPYAYK ANIA_00418 MVAVIAAPDEAGVETVTFTAPLTQGFGYGIIIGLGFAFALVMIF ITWSLKRYQHEIITSEMFSTAGRSVKSGLVASAVVSSWTWAATLLQSSAVAYQYGTSG PFFYASGATVQIILFATLAIELKRRAPNAHTFLEAIRARYGTVVHLVFIVFCLMTNIL VTAMLLTGGAAVLNSMTGVPVVAACFLLPIGVVLYTLFGGIKATFITDYMHTVVIVVI IFIFAFSAYASNDRLGSPGKVYDLLVQAALRNPVSGNAEGSYLTMRSKDGGIFWVINL VGNFGTVFLDNGYYNKAIAAHPVHAFPGYVIGGLCWFAIPWLCATTMGLSALALEGTR RIASVDVTAGLVLPFASVELLGYSGAVCTTLMIFMAVTSAFSAQLIAVSSILTYDIYQ AYINPAAKGKRLVWVSHLSCVVFAIAMAAFATGLHYAGIGMGYLYLLMGVIISSAVFP GAMTLVWKGQNWIAAAASPVLGLAMSLVAWLVTTKTEYGVFTVETTGANYPMLAGNVA ALLSPVVFSPVLTYLFGPQNYDYESMRAIRKVDDSDVAAAAHVDLELIPGASNTNSSP SQQQQEEEEIRKLNKAAFISRCLTVGMVICFLILWPIPMYGSGYVFSKKFFTGWVVVG IIWLFGTAFGVILFPLWEGRSSIKRVGKLMLLDAMGRQWKSSALVGQGDEESEESGSG AVTPSEKIVAK ANIA_00417 MSSPRAASPLTSGAESGPESKSAGAASAVSSVNRPSSPTPPGGP RAALRRRAAADHKESLRNARPSSTRAAGAGGSSSTMLKLYTDESPGLRVDPVVVLVLS LGFIFSVVGLHDHSQILLVSCRAVIGLLLIFGVWSYLGAIELDAGRTAWHMFKQNGSH VCRTVTTWLAEHVHLPFCKTSTIKERQSIYSTFLNLRIPYTPSTPFSVSFHAPVSLGC TVHGNYLFKPDFSLLSAFEANTHLQYGSYFLR ANIA_00416 MYKPNSLWTWSFAIVTLVQTVITLALQCYIFANFQLQLTAEAEL VTSSKTIPTFLALYSFGFLYELFLVYDALRLKNTIQVIGLCLCNIGLLVYGAVQVQQI KEAVTVLTDNDAVNPDIWAETQPFLIIIPIVVAMGSVLMMIVAWKLYDEFAWSIYKHI SADLRMKRRYLTYQIYIALLKFDLFFFLGFTIQFLVIVTNRKDTEFALTIAAIPVTIL ILLCAALFVKRESSIGMIAIILLYFAAMAYFLFKLVRMYQPATANDYSTARRSLTFFA IITLILIVMTIINACVCMHNFHRGLKPHINKKKKGKDGEKGSTELSNVAGQVPTRMMI D ANIA_10076 MESLVYENSPLAEYLRGEGEHSSDWPVKESEHEDDLSDSTTADF APRGASKFQTRIRNKLPKPVNFNASRQTAALGRLYDVCTSALNSRLGRNDNERFLEQF GYVIVASQLLNEHSAPSYTSATDVLSNTVPTDLPAISTTFGLQGAFVTASTSFSIAWL LHWSRPRAGTGINPRKVGVLLVLVPVLGVLFYAFARRQWLKYLRHQAVDAAVLLIGNA QGFDSAASASVVLEDQTQVRRCLRLRRIVSECFYSMLDHYIQAQHVLQPLTDSANLAK YYDIYDISQEDLIEVETALADRETEDQFSLRGLRTLFGRLYLVRKSILCCLLALGADG GGSDIARWSTAIEQMRNLTTVTGQNMQRMTSVLNEEDRAADVPPSPLPTASPGKERLR AQYRKLNLLSQGIRALHAKMHVFKEMSTNDLDRTDTSELEASLLAQYESIGSDIRGLL QEWESGKASLTNSLDRDSVASRSRPPSGLFPVSPTPSLGGTTAVEGSPSDALRALNGE SRPVSGINYSIDDVEEVLEAVALPRNNKRASLTREERIARVKEDRAKQAAARDRMDAN THMLKELEMVIKQRPKTTHSKRVTSL ANIA_00414 MSSLFLYEASTPCPGAKGARASNESGNGSDPMRDLWDEDLGNYD DTRNIEFTTEIRAPILGAKPKRRTRTTTSFSIHSDYDEKPQATVRSKAGNKSAGIAPA NRKTSLLAQPAQRFRSRPRVSFVPSPLKHCQQHDKTEPEKRSTRPDVQKNNELLKRIN ATSEEVVAKHVLKDARRTTAFLPPEDTTAASVFMGIFSPLKCDVENYTSKVSDVCNSE PRVTKERQAKPSIVTSSGRVPLKPSSNIVQESCDRADIPGQNGGKENIPPGMNLAGLK GKNFRQMDKPMDDKLNYSNLISSKPLATRKVNQPVKVHSQSILKASVSTAVGERRTLS IRTKNPATRSCTSSSVLSSKTSVLTADSTSRNGLKHLRHEYPLASASITNPAMYNDNW LSHQEVILTQLINGVLNHPAREVSDPSMLRNELLQLYQGAYFTNLYKRLHASLVYGAL SIPKDVLKERRLRQDLGMKRKFIDIWVQTYDSNALRAALEAVTGRIIPLAKANASSTH KSANGASPHEKALTKKLAKFLDAFLIQNQDMDRSNSEHDTDDEEALAGTYRRTLLRSM MMVILLDKARTSPKTLLSPCLFLASSPYKSSSAVLQALTRFLLPSCGNVGKAFAQLNC QLTYEQHPLEEYDFLVSNLAVDLRDGIRLTRLVELLLYPSSRAAPISDCRWPLSRHLK YPCLSRAVKMSNAKFALEALANTEEGKQLTTDIRAADIVDGHREKTIALLWGLVSNWG LSELVDIDSLKKEISRLERRSDVEYKDDTLSADDSVENNEPIKLLGQWASLVAQLRGL ALNNLTTDMANGKVYECILDEYEGYIYSQSTFTEVRASLRDRLRALGCSEQFIKIISP GLEARILDTPSTTGALAYLCSCLLPIAKRARAATVIQSAWRRMLDRREAEKRAMARDI AQQCAAVVQTRDRILWAKSVIVHWWRLNRARRRRRRIIVASAKSTTMKRQSRIPGKTS TKIPLRCVK ANIA_11269 MDIRRQGGAAQVPVMASAMAPLAPGLVYVNSQIVLSVESAGRQA AAPLRIQDQSLSEQHVESRWAEDIDSLGAYRRHRVCQI ANIA_00413 MSGVPTPCVFSPCDSCCRISSITEIRNGGNLLSPRLFMHTDSKA PSFLFWSPKNPKQTRLSTYPTFASLRLLASSRPGCETPCQQARRRWNAQKQYSVVRPQ SNKRKRTADILSPKSGLARRLIVDISVITQSIAVALFSPWALPSAAVTVDHEISCMRA NGSPAFQQKHDGVTGTVWSWLAHSNIAQTAENAYLARETGRALPLPKDEGVSQFGLVT QQISSSSHISPRFVTDGIEYGIPITIGGRVQVTVSSRRFFDRSSGKAEVHMSRRQPRV SLCEV ANIA_00412 MVHLLRGDRPIFSVHHLLKMLLSSNQSKPTDETTDVPEDMDEPP DHRYLDTSAVMDDGVVYVKSPAKLTDHKESLLTRALKSSPEFGPTDQSTSTHEHTFYH SYSYTNASGISTAELTSDGGLTSPSLSHTPSPPLPSRMTSRAPATATNGKELGAGSGE SAVEANLGRKRCISFACGRKAEDQKPQAPTPRAESATKSNEQAPEFKRKTTLTFVCPA RDPEFRRERSPTRACSLRARPRGSPAPFARKASPEKQASLPTVATREPNTPPTQNTLP TQEPKALEHYRGVPMKGLGKFEDSEATRFHEFGSSFEEDAEWVNREADYKDKITLNDC MKKENAIRKLAEEAEEEALDEEEEDADLPDDSSTLHDFSSDDDDGNESDNEAGFAESD ESDDGSEYGFWAPASTTAATSPLEHPRLPISRRDSAMSFDSMNEEHPRTNWPPALAGK PTGRPIKIPRLRPGTPDLPDSTDFVCGTLDEDRPLEVAYKSCLEQRRLSKQVIIPQDI DPSFPTSDIDDDDDDDDDEEVKGSGLLDEGPRGRSGGEQSRRVSPHPSPKRMISPPPR RHGRASPKRLRSPPPPIKLRSTANGGVKGAPTLHHGLNISELVQRPVLARTKSLPRTP NPFFAMESSHRWSGIVPIQESHERESSRNREIHTRGPVDIVEGLEKKRQKRKEKFWRQ HCRKAAKEQMERRPIPGKGAERMKELGLEVAERTRAFGVGESSQLVLSV ANIA_00411 MWIINWFYDILASLGLLNKHAKLLFLGLDNAGKTTLLHMLKNDR VAILSPTAHPTSEELVIGNNRFTTFDLGGHQQARRLWKDYFPEVSGIVFLVDAKDHER FPESKAELDALLAMEELSKVPFLVLGNKIDHPDAVSEDELRHQLGLYQTTGKGKVPLE GIRPIEVFMCSVVMRQGYGEGIRWLSQYV ANIA_00410 MADQDVDLDSIIDRLLEVRGSRPGKQVQLLESEIRYLCTKAREI FISQPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLET ICLLLAYKIKYPENFFVLRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPI AAIIDEKIFTMHGGLSPDLNSMEQIRRVMRPTDIPDCGLLCDLLWSDPDKDITGWSEN DRGVSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFSKRQLVTLFSAPNYCGEFD NAGAMMSVDESLLCSFQILKPAEKKQKYVYGAMSSGRPITPPRKQKK ANIA_00409 MEKLDTTDGQLFIKNLASFVRTHEKALANALQLKRQPTRNGHTT QSGSSSGSTSSTLAAALSFGALKFTSQSVKPAKLTLTPHHLFYLLSRFEEISIAVGPM NVRLENIHTDVSQSYVSFLNKPQRSKGDRDSIHSVSSVRSVMSGMSSLWSSFGLVSKE SSSKSEKAKAALEADIKYLYSAFTKIPCLRLAPDRRARLIRGYEEFPFDTAVPLHSFK NLSALEIIDIDFRSFYGWDRLSEQLRTLTLKRANIEDPAELLTGIVLDDIDKRRRRSS KHQSSPVLGWSGTTNPRPVSRHDASGSLSAPGSPVADTALGSSASPQAVSMIRLASEG AKPRPRAGSVSPSRPSASKHSSHRHNRGQSSRIRRTGSGSSNSSENSGYRTGSSSNLL ATVLPPSKWRFLRHLGLADNSLTSVSAAGLAPVANTLHSLDLSTNLFTEVPDSLASLI ALRALNLSNCMIESLHSLSRNPLPAITALNLRANRLRSLAGIERLLSLERLDLRDNKL SDPMEIARLTSLPEIREIWVAGNPFVKTHPNYRVVILNLFRRTPGYSEDIIIDGSGPG FTERKQLIERAAEPGVVPVIRSTVADNSTLVSKPSVTPASAASATRPAQDVDASRAEH LANDNGIGSSRRKRNNRKKIIDQSGAASIDGDRDSGAVVPSVFSAQDPQLPVDPFVSS PTDSQWKSDGGPQAGSFHLQGPGAAKKKSRDDSAVPASEFVLQQTLQSLEWGVDSDLQ KHQLTALQQELGSSFFAALRDHAWNQAQKTVAVPRTGTNFSESSRLSPESLTRANTQP ILSGRHPIV ANIA_00408 MEKSAAGDNIDKYSPSSIPDYDTEFLNPDDLRAFEKALTDQDAD PLVALNDWRPVYQRVVRRGRGRRKSAAAAPRRTKDETREGVLYTVLKWPFLLFVLGWI TFLSVGYALTRIYIFLYEQWVTWRGKRESLRRELYKHENYDDWLHAAQALDEYLGNQR WKKIDEYAYYDHLTIRKLGRQLRTVRMQVEEEMKRGESGSTVVVEELCNLLEACVKAN FAGVENPRLYSEAYSGTKDLVQDYIDEVHACVKVITDSRQARNEEKYSHFKHLDTNFG RTALCLSGGATFAYYHFGVVRALLDNEVLPSIITGTSGGALVAALVGTRTDDELKQLL VPALAHKIKACSEGFTTWARRWWRTGARFDTMDWARQCSWFCRGSTTFREAYERTGRI LNVSCVPSDPHSPTILANYLTSPNCVIWSAVLASAAVPGILNPVVLMTKKRDGTLAPY SFGHKWKDGSLRTDIPIKALNLHFNVNFTIVSQVNPHINLFFFSSRGAVGRPVTHRKG RGWRGGFLGSAIEQYIKLDLNKWLKVLRHLELLPRPLGQDWSEIWLQKFSGTVTIWPK TVPSDFYHILSDPSPERLARMLRTGQQSTFPKIQFIKNRLKIEYAILEGLHRFSADGE SVGATSIQPFPFDNGAAGADQKSNDPREERLNRNFPERSSEYSYDYVKSFSDFSDDPI VSAENSSVDDNYIVPSRQRDAGAEAGVGTGTAERRGSFSSLFNLEEMRRQSAVFFDDP DLYRDGGDL ANIA_00407 MDYFGEDDPNHSPHLGEIAYPDIDETVQYPWQGSGQSFSHEASA IDPRLYKDLFSGGESQEPTQSAADEEQFAPEEEQSLELDNSDESSSFVASSEEETSED LSDDDAPGSDQEDGLRRRRRRRGRFSGRFGARGGKGIKRGPRKPVEPSPEFKLLHSEA TSAFIDGDYDRAIDLVRRAIQVNPEMFAAHSLLSEIFLAQGEKEKAVTALFSGAHTRP RDTTVWLKVARMITEQAGDDRQAVLNDVLYCYSRVLEIDPQNHNTRFQRAALYRGLGH NGRAVTEYERILRDLPHNVRALRLLTDTLSEQNQYQKALDYWSESIQHYMAQEPEETP EFTWSDANIYIELYTYLGRHAEGLKAAKAVSRWLLGRKDDTMWDDFDEDDREWDHADF PRRIKADGYIPKQWPPDSYGPGLPLEFRIKLGLFRLKLPERHINEALHHFRWLKPEDT SDGSLVYDYGDLFREAADALKDAEMFEDALRFYRPIQKTEYADVSFFMAMGDCFKSLG ELEDAENCYLTVAEHDTSNIESRVQLAKLYESIGMTEQALRYVNDAVLLGRQEHRSNR RRKDTRLEELAREFKSVNVAAGPKDQSVESSSALGNKGEAERTENIQFLFKKLTQLEP KLQAGDSEATEDWLDIADALLREFRSNRIFYPLQRNVVFLGYSSKAGKDALMDELQEM ANRLQESLGASTIPTDYHGISFDEWLDLFLQYALTVAGQGEFSEAYDTLGAAADASVW YHSRDSSRLIHVCWFTCALRLQDEETLANEARWFIKEYQFVTDTYRLFAMLSCLSGDP HRSLFHSSPLMKFMLRQIKAIDFTLPDHIAGARHTKAIRESIYKERAALSTKDEKGEP IPAEEMDVALLVLYGHILYSGNSFYPALNYFFRAYALDDKNPAVLLSIGLCYIHHSMK RQSENRHFQIMQGLSFMNEYKRLRERKGTPLVERQEMEFNFARVWHTLGLAHLAVEGY QRVLDLGKEIQAQFQTKQLLTPAHAANGAQADDNDADISMPDVDSNVNIDVNVDRATH SVEVKPQSPQPVIENFSREAAVALQQLYALNGDFQSAQAVTAAWLVI ANIA_00406 MASHIIGNRNSTPEASKSSLRPPSSSRNLATHQLRASADMSGFP SPLSSRSIRPASEVYFNQQAQTPGNAEDPLDRAAQQWLADIDQYETTLEEMAAATLDQ DFKDELSAIEQWFRVLSEAERTAALYALLQQTTQVQIRFFIQVLQQMAKSHPMSGLLS PANFGEKGIDAMSNRLNDAMSKLNVDSSRNSLGRPPPSPGAKRNSGLDSSTINAMFPD AAAAIAKKKAEFTQQTGNAPPSNRNSAVFNERTSFVAPTISAPDNSADNLSQPPVSPW AQRGASEPQPPIARPKSSSGQQPMGQFNQSGLRSPLPTSQTATIPAPEIEAPLLSPYN VNASWASMTNTPMTATFGSQLGAPHQQGSDMVANATAMKLAALSTVNNRIALDDARKY RRARSNDGQGKNASNNTGAQSIQGGLASPGLPVAGQLLNAQQFAALQAQQQAAMAGHR SRPTSPGIAMQGGALGPMGFTSPQNNGFLTAYDPNNPLIGNGLGALGMGQFGLSGHEG YLSDHSEINRGRSPRGRRGSSKPPEDPTDPNLLKDIPSWLRSLRLHKYTDNLKDLKWT ELIELNDKQLEERGVNALGARNKMLKVFEQVKEAKAEGKLDNATA ANIA_00405 MPPTKQELSLLINPLVPESVQHNNRVLTQLHSLTSFLLGLTAGI LALQSAAGFIFYFLGTITVSGLFHLALLYRSAGKGAGGYFPGAGGEIEGLVVQKDGIV KNAGSGMRKGAWRDVWFGGGVLGEALSGFVLGWAGVGGVLR ANIA_00404 MGEKTAEAEAEKPSLLTRCTTFTRLLFYGSPSLKEALVLLTGLI SAIASGIPFPIMAIVFGQLVDEMNSSTCNATPENADSYQSSINAKVLQIVYIGIAYFV LIYIYVFCWNISGEWLAQRLRERYFQSLLRQDAGFFDTLAPGEASSRITGDISTIQQG TSEKVGIVLNSIAFFVTSYVVAFIKDARLAGMLVSLAPAYLIMSLAGGYFVRKYFGRA LECMAAASAVALEAFSNTMLVHAFSAGGRLEERFIEHLGPARVAGIRKSIATATQAGL LYFIAFSANALAFWQGSMKIAGAVEGGADGVTVGNTYTVILVLVDASLILSQVAPFLQ SFDAAAVAFKKLEKDIERPSPIDGTADSGLVPAAAKIESDIEFRNVSFRFPSRPDKPI LQDLTLRIPAGKQTALVGLSGSGKSTVAGLITRFYDAEEGQVTIGGHDVRELNVRFLR SAISLVQQEPCLLDRSILENIALGLVNSSAHVHLMDVLKSSALEDIATAIREKGLSLN HAIDLQPSNKDQIREIVSLVETAAGLADASNFINKLDHGYATMVGSSGNLISGGQKQR ISIARALVKSPQILILDEATASLDSATELRVQRALEAAAAGRTLVTIAHRLSTIKKAD NIIVMRQGKLIEQGSHAELLAADGAYAELVRLQNLNVNASQESASSSARPSLDSTALE KERNAEVTSVQDDESSTPSPKEKPADEEPVTTERSVGSTSKAIASLFRPYSLALLVAI IGAVVIGGTYCGSAVIFGNVVGKLSSCETAESIRHAGEFWGLMFFVLALIEFFANLIS WSLFGWIAEQLIYKVRVLSLRSILEQKLEWHEARTPSGLLSLIVKDSNALNGLTGSVI CTILSILVNLFAAIIMTHIIAWRIALVCLSVVPLLLGAGFMRVSTLARFEERHNDAFA RSLGITVEAVTSIKTVHALAIESEVLSTYRRSLQGPMREITGQSAFTNLWLAVGYGLS NFVYALAYWWGAKQIIAGHYTQTQFFIVQLALLVSSQLWGQMFALAPDVSRAVQATRR LLNLLDLGSTKRLSDPILPPHSASTDIEATSHPSEKPPPPDPSGTQSGLSVSFKQVQF TYPARPDTQVLHGLDLTISAGQFAALVGPSGAGKSTIISLIERLYTPSSGTIELGNRN IAHMDASFRDEVAYVPQHSVLFDGSIRFNLELGARPNQALSQTEIEEACKLANIHDTI VSLPDGYDTAVGPNGDRLSGGQKQRLAIARALLRRPRLLLLDESTSALDAESERLLQD GLEKAARGITVIAIAHRLYTIRKADVIFLIEEGRCVERGSHTELMERSESYRVNALNQ AVDG ANIA_00403 MLTSRLPVVQYRVRPRHLARYFWKNLLLQPQTRPLSLLQTPRHQ TPRTGANLQRCRYVHQSYRNVSTTGQTPESLKSQAPQTPGRGFAVKSLYRFEQAQPFK VLILGGSYAGLAAALNLVDLCHGRRHRFSITEGDNGTGKRIPVQVTIVDPRDGYYHLI GQPLALSSQEFAKSFWIKYTDIPALQTPEIRCVQGSIDSLDCTAKTATIATESGAVQE KYDYLIACTGLRREFPSAPRSLTRETYLAETAENLANIRGAEKGVAVIGGGAVGIEIA AECKMLHPDTPVTLIHSRSSLLSSEPLPAEFASKALEALRGNSVNVILGARVTSITEK DSAQNQTLTLTTNETLTASHVINAVSRYTPTAPSFLPASVCDEHGYIRITPTLEFPSD SVLPASVAGDHYAAGDVARWSGVKRAGAAMHQGHYAARNIHQKLMQRVYGTTPEFVRL DEVEPGMGLAVGKRAVAYFPSMGLSEGEETREMFFQGDLGFMICYKWMQLGTPMPPPV ADAPASTPEDKPVNVATTSRTVEAAA ANIA_00402 MRGIFTFSAASALFLTLARAELDKPALTSDLDYLLEGNANNLPT VNSHIAVWPSGYIPKDCQDLGSGEGYNASDFEVYEVTYDDCADPWLFCRHRDVEVDIA TAAETFSKLPVKVRDWVRQILLMPGANSAFAINGNVAFFGTTGSNVDVMIHESAHGLD GFAAFGENLSSSDGFLAAYDADTHVPDNYARSSQAENVAQNTVVAVYDKNVPGGFPGV QEQYTAILNQYSYIQDKAGDALVPGGTCDRHLENSEIVVLSSAANTTVSRARRGMAAR WKVPQTEFKGEYSNVVKEFVPFRFKEEF ANIA_00401 MSQYDYHLIGTNTRYSSWTARVETVLEYFQIPYTNEILPLDQAR TCSPSAKFPLLRCHSLCITINDSLAIVEFLADRNPHLHLWPSDPALRALARSATAEMH SGFMTLRSTFHTNFIAKYTERVAQDQDEGFLFGKFSIADAWFWPVLWRFRTYNLPLDN VTPEALEWMEKMWSDPLVKKLVYGFYRQAEDPKTRIEKYEGLFAEEGVVYETFPEDWV FTVSTGA ANIA_00400 MSSPHQGGSLSDMAPSGTRIPNDAGRMNTIPSVPRDDQKAEDDS FDYAGQGQPSLAFAADNYTDLPRSTKDMGFTGEVMTGTGNTLPAQAENAGNEIGANWP GAKGQTRSLKRSNKNRGGFDIQAGEDEDAGQYIGEHEGRNVGDY ANIA_00399 MKPTQVLRLAVAAPDVNPQTRKARSIPVLNPFDLYGRVFFFSWI GFLVAFLSWYAFPPLLSVTIKKDLHMSQDDVANSNIVALLGTFVMRFIAGPLCDRFGP RLVFVGLLICGAVPTAMAGLVTTPQGLIALRFFVGILGATFVPCQVWCTGFFDKNIVG TANSLAGGFGNAGGGITYFVMPAIYDSFVHDRGLTPHKAWRVSYIVPFIIIVSIALAM LFTCPDTPTGKWADREKTSGQSIVDLSSTPNASSANSINISSDEKKAVHPEVTDSEAQ VHVRAGQIESSDAVIEAPTIKRYLSIALDPSALAVAVPYACSFGAELAINSILGAYYL LNFPLLGQTQSGRWASMFGLVNVVFRPMGGFIADLIYARTNSVWAKKMWLVVLGLAMS GMAILIGFLDPHRESVMFGLVVLMAFFIAASNGANFAIVPHVHPSANGIVSGIVGGMG NFGGIIFAIVFRYNGTQYHRSLWIIGFIILGCTLFFSWVRPVPKQNH ANIA_00398 MQQTPNPQVGVWQLLFERQDPSFPESHVIFQDGASKASYTYNDL RTQSARFGSAMKKKWGFKKGDVLALMSPNCIETPGVTWGCHYTGGIVAPVNPSLSARE LQLQLERSQAKGMVVHPSCLGTALKAAKRAGLASERVLVLGATNPGGAATTTVQFMSS VPSEPVGPVHIEPDDIAFLVYSSGTTGLPKGVMVSHRNVVAAVVLQAAIESPHVHWKK DRTLAVLPTYHIYGTSPSGPSRTSTDPLGLICLVHLPVWLGTTTVFMDKFDLQRFCKL IREHSIAHAYVAPPIVLHLAKNPSIDKRDLSSLRMLTSGGAPLGEALIRETYDRWKVP IRQAYGLSETTSVSHIQRWDSWNTAIGSNGAVLPGLEARIVLNNDPSKKAAVKEEEGE LWIRGPTVFTGYMNDRASTDACLTASKWFKTGDIGYEDAMGNLHITDRAKDMIKFKGF QIAPTELEDILIEHPAVRDVAVIGVWNGEMHSEVPLAYLVAKESMAERERETAALSVM AYLRGKVVHYKHLRGGVIWIDQIPKSASGKILKRALRDRVGTLDQGKQILAPEYARYR AKL ANIA_00397 MLIPQRDCQSRPSELDGLLDEDESQLRQHHSLPRSLTHSEVKEL SSAPGIDALTPRGDSRHSKTVRFALGSKSIQYFNPTERPSLVQKFIIARRVMENWLIW GVLQAVYFPCIIR ANIA_00396 MTQATTSSFPAPLPANADPTAYPLQQVPRGPPQPITKPAVSAFP GTVDCWPPSSQGAMSLFSFVGSAPPADAIESPYELLGFDGTFPDSSLPNNDLLNFHFR PYPDTSTEEPEASTAVSDLKFDTNPLQIGPQPRPPLLYPFGTVPFEKPGLESNLFPPR ADLGPRRSSAPSLNVRDLERERTQKLKHQNRRASHNVVEKRYRENLNRKFHLLETIVN KGTEPYSCSPCSSPRSSPSSSGSRKGNTTFSSSSSSSARRQYTSPKATIIDSALSYIE SLRSENHALKGRLFFYETSNNPCLRGRPQGQTAAQEYEQDDESGSESDDGDEEMSGIK SEERQ ANIA_00395 MVMLSKLPLCASILAILPALTSANATLPFDYNALSLRTVGARNT LDWRIWLEHNKQPISFWHDVPLYPHPPSRQIINFVVEIPRNTDGKIEIRRSEPLNPIF HDERDGSPRYVESVWPHKSYPFLYGSIPQTWESPNFKHDFTKEPGDNDPVDLFDIGQD QGFTGQVKQVKILGALALNDGGETDWKVLGIDVRDPIAGLVDDFKDVEKYRPGLIASY RNWFTTYKVARGDSLIPIVNNTYVNATFAASVVQQSHGYWLDLVSGTVDSNEINYNQT SRPDIAVSFVSRSNATAHFDLPAVSDERPAEAKPERFEQWFYLDKEGRLIEENVVG ANIA_00394 MATALKNIIVVGGSYVGKTTAQELARVVPNTHRVLLIEPHSHFH HLFAFPRFAILPGHEHKAFIPYTSLFSAARNPTDHAVIQARVLSVQPHHVNLDREWQG LGKIPFEYLVVATGTRLSEPAGMRDNDKASSVAYLQKHQEDIKNASSILIAGGGAVGV QMATDLKEYYPAKEVTVVQSRPHLMPQYHPRLHELIKERFDELEIKFITGSRVKVPPS GFPHTTPFTVQLTDGTVLPSQYDFVILATGQTPNNDLLSGLPASSPSSGLLNPSNGFV RIRPTMQFVDEKYPHLFAVGDIADTGLRKAARPGAAQAATVVKNILAMIEGRQPMEEY PRAPAGIHLTLGLKYNVVFRNPLEAEGQTEPTIIKRDDGREDMGVEAWWERLGVAVNE RQQYHL ANIA_00393 MRWGSLLSLGGAALLLQGQLQHVLADIPIEVTSKDSLKEAGKTI TGPMWEYYLANQTEGIPGKLTDTWYVAGAMFMTMIQFWHVSGYNEHNSVVSHDLMFQA GRNYDYFDSNYSQWLGNDDQMFWGLATITASETGFPEVSNKPTWTSLARAVFNMQANR WDERACDGGITWQIHPWQAGYTLRNSISNGGLFQLAARLGRFTNNQTYFDWAEKIWDW AAASPLIDTNTWFVADSTSGSNDCVDADRMQWSYNYGTFIAGAAYMYNATKDVKWRNR TEGLVDHVFKHFFPTKYTTAIGPGTIFSDVACEPLQTCDRNMLNFKGWSSMWLAMAAI MVPELREKITPKLQGSALAIGRSCDGSSEGKSNLCGSRWYQETWDGIQGLEVQQAALG GITANLMLLTDVVAKTIDTNPGAKEQFLDTYNDDTPDALPHITTGDRVGSWILTVLWG SGIVAAGWWLVKQQ ANIA_00392 MKSTITLLSLVPSTLAATTGSFNILSFNVAGLPEIFNSNDVPGD KTENTELIGERFAEYSYDIIHVQEDFNYHAALYSTDTHPYRTSTSGGVPFGSGLNTLS NYDWIDFERVKWDTCSDASGFDCWTPKGFTVMRVKLEDGVYVDCYNLHADAGSEEEDV SIRSANLQQVSDYISANSIGNAVLVYGDTNARYTSSGENIRTFETQNGMVNPWVELVL DGVEPTEGTDAVVCENPTTTQTCETVDKIFYRGSPALALQALSWAYEDEKFLNNGSIL SDHNPITSRFTWTKSDSFRLSNLYGLTAARTWFNDLPSLSSTTRLSSISISGGNRLDS LTLTFTNGTSVTHGGSGGTAQSLTLADEEVWTTTKLCQDQGNAVAVGTETSECVTYEA ESGWGVVGAWGRAGDEVDMLGVVYGAV ANIA_00391 MSGFTAASSSMPAHTANAVDGGPSSLPILRGAVDTVSQECHARL GLTPDELQEKSPTVDVFFDAIAGERLRRMPADGSRLDSTLRRASRLAFAVASLRDSVT SFMDGAEEATTMIWGATLLLLEMGIDNIDMIDAIFSRYGRVTLGISYLLQEENEFQSS RALQREVAEIYAHLLQLVVHISSEYRQVMRTQNWQSMNEVLNHGFFCYFSRFTIHWRR IRKASWGAVKSKMQHVDLESVYQFLEIQDRPLQMLLESQAHSLADGSFSWFESHLTTF TVGPRSLMLVRGNPGAGKSALAQWTIERLQVSSEYDIWNVIPIVIRSDVHITTLTLSI LKGILIQMLDCCVTSRKTQDDIIAVVADALTEAETGASDSQVEGLLWTAIRTAAQSNL HFMIVVDGMDQIKNATSNVGAFLELLQATVADTPSKLIIFTRPMNKEQIPIRETTTTH QVAMDASITENDLTASIHDMVTYDPAFVGLSTSQRQPLIVAIASRCQGCFIWAQLAVE EVRAQHTYSDMQSAVSEIPNNLGGLIDLHLRHTNLQRPGTHSLLAWLTVAERPLRPHE VEQLLCVDTQTHKLSTWHGNIERDVFEPLRRLVTVRDGFVTFRHPIIREHIQARAKMR KVVNFTMGDAHHDILIRALTWVRRNLTDEIPISWDKMPVLARDRYLDAFILLEYTARY WMSHMLSSSMATEDEVSYSQAFQRALPDSVLFAQLELTNRESQFSRSSIVRLYKIAVT VRRDVLGIDSPAVLQSLIMSARAADRAQAPWANEHLYEAWTRSRMRLGPTHPITHDLE QLLVATSEGAGRADRTAGLKTDALRGMALDGWGSSDISFAQRLQYLDRLVKACDKENQ HDKAYDVSKQFYHQTIKVYGPYSSETMAAAEYLTNNFDIAPPDELALELAQAKYETMV RSMDASDPRRVEYSLSLTKMYEENSQPARAEEVLTKLWQSLCGPDKEHKAPWDQKTKV VFYYSKFLERQGHHDEAAALLRQLSADLEAEGGVRSAQMASRAQQLRREAREMQLYDM DRTLGMQLWKYYKSTNQQYHADAVTLARNLIEGAIPKEGHTAEMGNLSVEESKMLPEW IDSIASAVTTETSSRMPLLRLCHQLARQYMKDSQWRQGSEIVCAVLKHTWPSFEDPGS KEKFSWNEAPIMADLAQDHAYCLFRRLDVPTASIVYGNAFKAAITAEQVEVQSVTTVV KTVVDFYENTFQFDKALVLLRQVSEFFALRLGEKDKHTLDSRYYEGDLAFRLDRRAEA ESSYRHIYKACIRDGKISSSGVRAAVALVAIYEQNKQWDAALGVYRNLWPTLVRFDEK DGYDRALLEGLLPKTYPAYMSLLTHSAVKADHTERLQVASEHQQLCRKLYGPTHARTR DATLLLAELSAESDQHSGDAIHLYQQVLNTHDWVPQSEASRALPDMKDPLSIDIKHRM AQLYLRQGQASPEARKLYMEELTLAKQQQGLSAPTTLLWLQEICRMHASSESATSRQQ GAKILTGHVDEVIRVTGNQQTLADRGRKLAEIYLECGYIDEGNALINSLRQQVVQETP AAHRQALDEHRPAVFVAAFEEVFGKRQSAREILNELTHEGQIYNNFQQSLASHDLMPT LAVGDKLLRLQNQRKQTQAAKNTEVQLYQYFCNTLSVARPARQKEIVHVFYDMCRRES LNENYTFDIVTQVTGLVRDLCNTGRFEDAATVTGVFHSFAHLTDSLRAMETIFTAIKL CLYLSGYQTNKCTDEAIAKRMAVESEMLLQGIMKNAKEIPLEFTELPFTELNDLITVL GEHEMFEDLESILTELWTSRMIQKTWSLSAVVWIGRRLVETRFCRGHVNAAIQLGKDI CYNLRQVWGNCDPVTLEMNKLLSGLYTASGNYLAAATLHETALSELLNSDEHGKNGAV EAVTQHLELLQHAQMRLAKEGQSAAIDAATAHERVQQIATKFGLPVERLQAATASSDE SVGMWQRPRRFSLDVEEVENHENHLRQSSGSALLSGNAGAKRISITAL ANIA_00390 MPALSLHLLSLHPSINSIDFLSELKKAASTKVIVASRPRRTIIS PTLLDKDPLLSEKWDILLLLQPPNPREPLFPPALASHIKTEYKIHVGIPSRLLNTYPE RDAELKREKNAQRVPLTGSLDKLKEKQGNDGQGQNLEVSPELLEFMQELTKEHDKPVT MLNLLHFHFPGGKENYFKYGQGFNPVAAKRGGSAKLVGNVIRPAAGRSAAPDSDSGFS DSRGSVDRPEEDWWNEISIVHYPSIRHFCDMLAAEDYQEVNRKYRLGALKDTFLLCTT EFDLEGEAAKL ANIA_00389 MTEASMPCDVPNWGFDLANSNSGSTFNYEPGVAGDEELWYRNQF GVGSFDVPPWQPMEMNQGYDFASPASGKTRYQQLPPLSPAQNGGFSARSEGLSPRYGH GEVELPKQRMLTLDGPNRFENVQTENSPYSLDDLLRTASQVATERALYMNIPSTGAST AGANALSPTAEPGISSPGAISSHHSIASDTDEHDLPAFDVPQVYQLPDFSANSNANAA GSLASRDLKETSLTPLEMPDGTTRFTANWLPVDPEGGFTIRPPSHHALGMDLDPMLMD HQVPLYQDHGQHHYNYRNAFISLDNLGGEAGV ANIA_00388 MASSHQGNGTVPNSQTDAPPDSSTKRRWRRNRIACDSCHARRVR CDRQFPCSRCLRSEITCEFTRERRKRGRIARSKLAEMAKNKMETSETPAPAKTMNGIP APAGTEIPGHVSPASTFHHRSPPANAPTVSAPSVDGRRSQTDPQLPVRRPEIGGNVTE EWLAGTHVSPGSYEFLNGPAFGEGLGPFPHMFDVWNGVDLAAYSAGTSQGSKATNAPS TSTAPLKYPVLQPLMPFVEATLPRKLVFDLLDLYFTSAFSTHMHPVSFLSKDAPRPSS PALLSSMLWVAALDDRAFSLPISPPQRKRICQFLCALTIRLLRPLIHVSFKDQGGAAA AVAAAAAAATNNPAFAGVGQDLPPTTVHHPFEGGGDDRGLVGPAGSLDDVITYIHVAS IISSSEQKAASMRWWHAAFTLARELKLNQEIEVMPNGDSQVEGSSPPFGYSLPGWDGA DPGPVFNYSNPTRSSLNCVCDRQDQNTITEEHREERRRTWWLLYIMDRHLALCYNRPL ALLDAESEDLLLPLDEASWQSGIIHSNSPKSDGPQCLLSADKNKRRLFPNFICHDHSV FGFFLPLMTITGELIDLNQARNHPMLGMRLNGKDAWNVHVSEVLRQLEIYKASLTTFA ATTSDPEAPLSAYAHAQSEHLPAEPSLSQAYAWHTQTVISYASYLVHVLHILLVGKWD PVSLIEDKDFWTSSPAFASTISHALDAADSVDQILRYDPDISFMPYFFGIQLLQGSFL LLLIVERLQKEAGEGILNACEVMIRATESCVVTLNTEYQRNFRQVMRSAVAQARGRPV NHSEIRHRRKAVLALYRWTRKGTGLAL ANIA_00387 MRQKRKLSNGADERYHPNAQQTADFGIVLRDFYPPEMSNARCEA YNSGILERPIESWQKAYTETSAQQNAMTANAAVVHWFKSDLRLHDNRALQMAYRVARE HKIPLIGLYILSPEDLTAHLSSPARVDLTLRTLEQLKRDLGELDVPLYMETQACRKDI PRRIINLCQEWGANHLFANLEYEVDELRREAKLIRLCAENGIRFETAHDMCVVPPGVL SSQQGRQYAVYSPWFRSWLAFLNENPEYLEVSEDPTSNPGDARRYFKELFECPVPATP ENKRFDDVEKADRVRRAFPAGEHEGLRRLEEFLEKATAYDDERNFLSGETTSKLSPYF ASGSLSARTAVAKAREANAGKISRGEAGYISWISEVAWRDFYKHVLVHWPFICMNKCF KFEFTNIDWSYNNTHFEAWAAGKTGYPLVDAAMRQLHATAWMHNRCRMVVSSFLCKDL LIDWRRGERYFMEHLIDGDFASNHGGWGFGSSTGVDPQPYFRIFNPIRQSERFDPDGE FIRRWVPELRGVEGNAIHAPYERGAGDIARANGYPEPIVDHARQRGVALRKYKDAARG A ANIA_00386 MSPELSPPGIGGLNHDSIVTVVLACFASLIYYNAIELIVLCLAT FKRRGSFYFWCLVIASTSLIPNTSGYILLFFRPDISRFAAITFVVLGWYGTVTGHSLV LWSRLNFVVHNTRLLNGLLVLIIVDAIILHVPTTVLLYGTVSPNESIAHTFSVGYGIA ERIQLVGFCIQEAILSGIYVWETAKLLRLRPERRHHRILAQLLAMNVVVLIIDFVVVI VEYAGFYAVQVMFKPVAYSIKLKLEYAVLGRLVQIAQGGSSAASGPFETIPSSSACDN QLHGICLLRNEIHGLETHVLKRPAPVDAG ANIA_00385 MASQSFPPPPVDTIDWSNIGFKVREVNGHVESHYTPATKSWSPP KLVKSPYLPIHGMAPGLNYGQQAYEGLKAFRHPNNSKITIFRPDRNALRMQRSASFIS IPPVPEDLFLEAVELAVGANAGFVPPHETGAAMYIRPLIFGSSAQLGLSPPEEYTFVV FVMPTGVYHGVHAVDALILEDFDRAAPEGTGSAKVGGNYAPVLRHSAKAHAEGFGITL HLDSRTRSEIDEFSTSGMIAVKKNKESGKVTLVQPDSPNVIDSVTAASVCEIGKLWFG YDVEKRRIPYEELNEFDEVMAAGTAAALVPIRSITRRSSGNRFEYECGGEEAGGGEVC VKLLRTLKGIQLGKIEETLGWNRVVKAPPAEWVGAADEKEEAGIEVPQACRMICTASN WY ANIA_00384 MVFLRLTVKVYPREQTQPSNSFSFRSLLGDRERDDDSRNSSSTA TGKPASFLIVLENPEDVTLGGLAGMIRAKWRKLRPGAEPLSIKKLLDDDHEADDLDTD MTVADVFVDKGKARSDGHDQRRTVRVIQKPAGGGESPVRFPSVAQDWDAAAEHYEILR QKKQKQEAESAVKKLGAITEESGQGFGSASPFGAGDWADYTPNRVHRRDIPVSSVEKD VEIPGSPLQSSQPIAKSLTRGMSQDLNGEGLSAAQGHRAGSEELGDSPLSPRATTPRK RSTPRRASVHSQASADRSDAGDSVAVDSPALQLTREHAHSVSPQKRPALEATKPDAVT LAAETESESDSESDSDDGSETSDSDRASQDKDGDTAMREATPKQKPESPITAKKPDEA TTAAPAVTGSQPRKRKNSADQLSPKKEPRLDRTTTPPPVNSERRGSEASAASPRFSPS GRRLGGTTAFTGTARRLSFTDRTSEPPSQGLGLGITKSPSKKPSAATNLSQESTQSTG GVPQSTPIPTSSAPTVRRGSVSRNVSTPTDLHTPVDKAKNLHSALRKDSLTSSARRSV SFAESDDFLVADSQPAPTSTPIITTKPSTSTPASQTPSSEKRRSSVSMVFPPGVSMER IAQYEREAEEKVERQKKERAVFEEKIKAAEKDNANSEYLKKLKAAFNTWQSLVSSRSS QRKGIAERLERLQAELKKMEESSTNVSSQGKGKKSQESKPARSQQASKNDTPASKTLT STPATNGDSKMSVTHSSGWNAINNKSPSSDQKSATQTINGTNPKSATRAAPKEPVART VATRTLSKASQPPSTQSRNSTASEELDLPAMKVQARATANATKKAAPQKPVEVSSSSE ETSEEESSSEESSSSDSESESASEGEGKTNNPASSVKHSTVSASQKPKSTPPSAQRTL NSPAPLSQTTQSQAQAQPQSSDWRWPRSSQTGVTRLSLKSIKGEVASQAQAQAAAKAS ATGKRGANAHPRRGVFSPPDSDSEETESESESESESESESERSSSSDSEGGSGSDSDK GRVKKRSPSPPSVADAGDIMSSGQIRKLRTARTGGRA ANIA_00383 MSQYHGNETGQIPGKVPESWWEGAAMFMTLIQYWYWTGDILGPA AMTAAELNFAEKEDQPLWLSLAQGVFDAQVSRWDETRCGGGMRWQIWPFQGGYITKNA ISNGGLFQLAARLGRYTENETYIRWAEKIWDWSATTPLLKSDNWTIADTTTLGLRTCT TSPMVPRNGELQSTAYSQQHATTWRTFIAEDAMSEVWCEQTMSCDRNRDMFKGFLSSW LTFTATIAPYTASDIIPKIHRSAIGAARQCLGGRSCGRQWNEGDWDGSATMESDTSAL SVYSSSMVAF ANIA_00382 MAIPVQSSTTSVELSSTTGTGERLHRADPSPLSAHDTSMMQASA EVDSQLPDGGYGWVAVSGCAVLTWWFTGTSYSWGILQAALVNDGVSSASTLAFVGSFA VACISFLGILNATLIRKFGTRVCGVLGIVFLGVGEILSGFALRSIGGLFVTAGAVMGV GISLCFMVVSVTPAQYFRAKRGIANGIVYAAGGLGGAVISFIVDALIQGTSLAWTFRA LGLITLATGIPAALLIKERAPIPKSAFVEWNLFRDVRFALLFLAGAIATFPLFVPPFF LPLYTSSLGMKSSVGAGVVAAFNFSSALGRLMCGFFSDRLGPLNTLFLSLLLSAVSML VLWPISSSVAPLVAFVIINGMANGGFFSTIPTVVGNVFGSARGAPIAGYILDASGGEQ GGYEAYRPAIFYAGSLAFAAAVLAAAIRLKADINLKKRL ANIA_00381 MASFANPTQIFADDVIEEKGENARLSAFVGAIAVGDLVKSTLGP KGMDKILQSASTGEILVTNDGATILKAIALDNAAAKVLVNISKVQDDEVGDGTTSVTV LAAELLREAEKLVNRKIHPQTIIEGYRIASRAALDALDKVAVDRSDDMEKFRKDLHSI ARTTLSSKVLAQDRDQFAALACDAVLRLRGSTDLSHIQIIKKAGGKLSDSYLDEGFIL DKKIGVNQPKRLENAKILVANTAMDTDKVKIFGARVKVESTGKLAELEKAEREKMKAK VERIKAHGINCFVNRQLIYNWPEQLFTEAGIMSIEHADFDGIERLALVTGGEIASTFD HPDQVKLGSCDLIEEVIIGEDTLIKFSGVAAGQACTIVLRGATEQLLDEAERSLHDAL AVLSQTVKDPRVTLGGGCAEMVMSKAVEQAAQNTTGKKQLAVDSFAHALKQLPTILAD NAGLDSSDLVTRLRTAINNGMTSSGLDLLTPGGGIADMRELGVVESYKLKKAVVSSAS EAAELLLRVDNIIRAAPRRRDRM ANIA_00380 MTAEEEQAKLAQNKRPHSEVEADEDGSESSDDDFGPALPSADAP KKKRRKLPFEKVYVNALPASARYSKSLMHKDQLSFVTMTPHTDFLITSSIDGVVKFWK KMAVGVEFVKEFRAHAAEIKGVSVSADGRSFATTGADKTVKIFDVITFDLLAMLTLDF SPRCICWVHPRGASLPLLAVTDDASSTIRIYDGRGENPAPLHTLKSVHRSPISAIAFN DAYNCVVSADESGMIEYWRASDGTFEKPDNVFELKSSTNLFEFKKAKSTPSSITISPS GKQFATISFPDRQVRVFDFGTGKLYRKYDESLSTITDMQQAGTALYTLDAVEFGRRLA VERELENPVTKPKANVIFDESNHFILYGSLYGVKCINTYTNRVVRVYAKDEPFRPLNL AMYQGQPQKKGVVTVSMAASANPLLAEAEERDPILVTTGFAKLRFYLFTNETEISKST RDVHNEKPRDIDSAAATAASNKSGELGTSAILHTTMGDIHLRLFPSAAPKAVENFTTH AKNGYYNNTIFHRVIRKFMIQGGDPLGDGTGGESIWGGEFEDEFSALKHDKPYTLSMA NAGPNTNGSQFFITTEKTPWLDGKHTIFGRAVQGLDVVHKIENVKTVKEKPEVDVKIV SISVS ANIA_00379 MLLGSSGSSGTSATSGSWPFAPSLSLSTFFYLSLALTTSILAQD VQLRPTAASSSFPACGLTCSVLAQADDSCTPPTAQVTNQETYVSCFCQSDLLKNFQTT ADGTCDDTCTSTEDRQLLQQWYVDFCNSGGGTESNSGSTEDSTSNSNSENNSGSGNNS NNGTSNANSTADSSGSSAASGRAGPESWWDGHYQWVIMVIVLAVGFTVIAIVGVWLKR RHDKKHPNLYHATQSASDSRIFQNRNPEALVPRARSVNTVSFASSSRTNVGPLNRQGP SPSPLQNSQGVDSGVEIREAPR ANIA_00378 MSSQKVYIDHLLLQFSQEQFDYVPKWISDHFTVIDGGVHTGGWS RNKLIVFKDGTYLELYNWITKPEDWRKRLPGDFALTALDPISAEASRERIVDALASEP GDGGIGVTYLPPQGGGRKNAEGVDIRWEIVKAGYTHGESTPRDEFFPRGRTDAPFFCH DLTPRRRRVTFDLPSVTQHPSGATGIDRIEVLVPRGKFRAYTDLYTSIVGAAPQEDEG RAAFKLSAPGIPNLSGSLYIRPAETEADEQFLQEKGVGISSLLLRSEIGESFSFPIAG YLQ ANIA_00377 MSNPKSKPRTILGLMTTGPSATTGARITSLADFQQILFSFQEHG YTELDTARIYSGGQQESFTAQAGWKERGLSIATKWYPLQPGQHRPEVIREKLDESLAE LGTDCVDIFYLHAPDRAVPFAETLEEVNKLYQEGKFKKLGLSNYTSFEVAEIVMTCQA RGLVRPTVYQAMYNALIRTIEAELIPACRRYGLDIVVYNPIAAGVLAGAYKSPSVPEQ GRFSAQSPTGHTYRDRYFKDPTFAALRIIEAAANRHGLTMAECAFRWLRHHSALRLAV DGDGDDGVVIGVSSLEQLERNLADLEKGPLPVDVVEAFDEAWGLTKGSVVKYWHGELE YAYDTQEALFGR ANIA_00376 MTIVGLIKGVLLWRKIQPCFPIFPHTTWVSELDSTPVTKTQGSA SYTKRCCYGSFAYTRTLDLSDSAKACAVLKAVSHLQDFLTTTILLSPFFFSDSFLMRR PFTMTMEEESVTLLLQQLQELRTEMRTQKQQLQEENNSLRAELQAVRNSQLRNHPPVT TTVTSATPTPYERSYPRPRHPDVEPFTGEDPKDYPPFQMNLLYYAYSRMRGKASQRVL PWLLARQKSETPVLWAEFSAVLDKAFGDPDRQRKALVRVNTIKQGRRDFEEFLNEFDE ELLNAGGINWDDNQKKALLDTAINVELLKAMGWLTRKGSHAAVPMHVARTRPAGGSDR TGTPDQMDWEATHAQIAALQKEVAALRMKGTRTPRKASQAPAEEKQKRLSEGKCLRCG DPDHFVQLDIGAHTEKGAYFYVIPDNLGYDLILGLPWLEQHDGRLEAKRGRLYLRTTG VRLWSTTKRPLPKLDIAQISAATMGGFIQRKRCHGQDIEIFAVSLADIQKALAPKRHI DPRTKLPRQYWKYLRLFEQDKAEELPPHRGDGIDHKIELVREESGKDPEVPWGPLYNM TQEELIVLRKTLSELLQKGFIRVSHSPAAAPVLFVRKPGGGLRFCVDYRALNAITKKD RYPLPLIHETLNQIGQARWFTKLDVSAAFHKIRIAKGQEWMTAFRTRYGLFEWLVTPF GLANAPSTFQKYINWTLREYLDEFCSAYIDDVLVYTNGDLRQHRKHVRMVLKKLEEAG LYLDIKKCEFECKETKYLGFIIQAGKGIKMDPEKVKAIKEWETPTTIKGVRGFLGFAN FYRRFIPNFSGIKGTPFLWTKECQDSFDLLKEKFITGPVLATFNPSYRTVVETDSSGY NTGGVLSQYNEKGELHPCAYFSKRNSPAECNYEIYDKELLAIVRCLEAWDAELRSCGE FQVITDHKNLEYFFSPRKLTERHVRWSLFLSRFNFKLVYRKGSANQRADALSRRDQDM PDDKDDRVKSRTMQLFSKKHLGKMVVATLQPTGEPPREPCEKGDMWKEALKQDKGYNG AIQCLKDGARKFPPHLQLKVGTSECQLDAQGYILFRGRRWVPGSEQLRTNIIQAAHDS MLTGHPGREQTYMLVSRINRRLSTAHHPQTDGSTERMNSTVETYLRIYTCYDQRDWNR LLPLAELAINGPLPEEVEQLAEEPAKSPIQKGEAIVRKVKEALDWAQASMAYSQQNAE NQANKHRSPATNYQVGDKVWLSLKNICTDRPSKKLDWKNAKYEVIGLVGSHAVRLNTP PGIHPVFHVDLLRLASSDPLPSQKNDDTQPPGIIVNGEKEYMVEKILDERPRRYGRGH RLEYLVKWSGYARPTWEAATALEEAQALDEWLDRTKQYRLQDGSLNRDAYIKAKAT ANIA_10070 MTAPLPSTETMPARHLLQTIPTPSSWTLLRTLKSENPNDIQGDL HGTATFTPLRTPQTETNDTDTSGEGHTDLLYSESGSLPTSFGPGLRWTKKYVWRLSAN GRISVWFVKPDKKPAPGGEEEEEEADYLFHEFDFASTPSSDSNSAEFVAPPTPPEVQG LARGSTTKVIAARGNHLCINDMYRTAYAFRVDESGEVLSWASRHVVRGPRKGQDIVNL YSRAI ANIA_10075 MHPYRLILLKPGQPPCRRVPLFRIRPQPFRACARLEPVPVIMAE KSIQENSSRTSDIEKVTSLHDPQKSRLDQFPDPDEGLSEEERAKIDRALLWKLDMKLV PWLSLLYLVSFLDRTNIGNAKLDNLQEDLSMSDNQYNSSLTIFFVSYAVFEPLTNVLL KRLRPSVFIPIIMVLWGICMLSMGFVHNWAGLMTARWFLGLTEAGLFPGVGYFLSCWY KRSEFGIRMAIFFSAAALAGSFGGLLATAIVQMDDVGGKAGWAWIFILEGLATVLIGV ASFWMVYDFPDEATFLSEDDRKRVLRRLAEDQQASAEHEEFKMTYFWSSLKDWKTYAG MVIYMGADGSLYAFSLFVPTIINQLSQLLSVPPYAAAALVTVAVGFIADRTRQRGICN ILVSFVGIAGFAMLLGAKSPGARYAGTFLGAMGIYPAIANTISWTSNNVEGVYKRGVT LGFVIGWGNLNGIVSSNIYRGKDRPDFYPGHGTVLAYLVLFQFGGSLLQYIFLRRENA KRRRGERDHWVEGMDEGEIRALGDKRPDFIYTL ANIA_10062 MSDCEKEENVQELQTALKELGLEFTPDGQYVRWANTNPKHPRNW PTIQKAYNIGLIIFLEFYTTSINASGATTAKDARHELEIDLTLAVFLFVSTYALAQAF GNVVFPPYSEAFGRKKLYIISTVLFSGFSVMIAAVPSLGAMVAGRTLTGLVSAVPTVI ITGSIEDMFNTRDRIWLVFAYMVVANFAVAMGPVISGYITAYLGWRWVYYISGIVTGL VSVLLLGIRESRPSLLLTWEVEKLRHVTGVMNLQALNPDEVPKLRIFVRDGLIRPLRL FFTEPIVFACSLMSGCSVALLYLFTESLPTIYESMGFAQPESNLPFIAMGIGFLPSIL IRLIDGRIAAQRHRDGLPLLPENKLAGICLGAPFLAVGLWWFAWMVPPTIRGVHWLVT LIPLFFVGFALNEFGTVLAGYLADSYHSYAASAFAAMSLARSLLSSIFPLVAPKMFGA LGANIALSVLAAGAMIFCPVPFIFRYYGASLRQRSKFAQYSLRVYEENTVEREY ANIA_00374 MRFRLPYKHDELPVDENNQRPADNEGFVEKRTESQPAEVGIKEQ KQDDIVSPDFQHGVQAAQAMTQVWSFSHIVAAYTLIWVIHFIMAFASGMIGNLTPFVT SSFAEHSLTATTSIISSLASGLIKLPYAKLIDIWGRPQGFALMVGSMTIGLIMMAGCN NVETYCAAQVFYQVGYTGLDFTMTIFIADTSKLKNRAFWIAFVASPYIATVWAYGPAA QSALGTIGFRWGFGIWAIVIPVVSAPLFFLFYYNQRKAEKMGLLPMIESNRTTLQSIV HYVREFDLIGIFIFALGMALFLLAFNLYTKQADEWRSPLIICFLVFGGLLIISFILYE RYLAPITFIPWELLKNRTVIFTYTMAASIYIAWYIWDNYFYSMLLVVYNQDVTKASYI SNIYTVGSSFWSIVMGVVIRYNGRLKWQALYFGVPITILGVALMIHFRHAGANIGYIV MCHIFIAFGGGTLVICEQMTVMAVSRQQDIPAILAAESMFIYIGSAVGSSIAAAMWTG IFPAKLKENLPADALPNLATIYGDITAQYGYAVGTPERDAINLSYSQTQRLMLITATC LYSVTLVSVLLWKDVNVKNIHQVKGRIF ANIA_10069 MAEGSLQHFPNGEVCNVSVLHGDYNVYAVFLFSLLTPFLLWLCA FSIFIHTHILSLNSPSPSRQQHRDCLRRAKGKSDKDEMTHNPLAYSADLLDGGLEDIQ IKSLSHLYQNVVQNENHPMLVSSLEAPMDGLADNLKISALDPKRTSPIFPQCHPPPAP PSRKKAKTEPIKPVEWYGEISWSLKGTSVFDDAEQELDAFMNRINMLDYRKPYNELWV FQYGLRYIPSVSDKNVYRTIRIDEIPLDKTPSQILPFIVGEVYSARLADTYRITGYNT AMITFVTEEDAANFLAGFANRTYALPFGRVIPVHTPTYPIPADTEKLIIEQGCTRILG IFHCRPTLKREITRAMTSPFQNYILQLENIVDGPGIGEVSVKMLSVKAAAVVFDCLRN HPTLSKCQFRFLKQDGTPSEGTAIVSDDGYQTAPW ANIA_10059 MEVNAAHDEPAEMLFWDIRLAGEMTLGRTYPSRLHDIQDSPTVP DQSLKSPNRTTDRAAVEMDLARGQDMTTWPSSSTGDTGPLPRERNPDGLVATPFVPQY LPDSENNTNIPSLLEFNFLSGPISQPISYVRPAIEASEYAFKQASLPSYENCSGPAPV DMGGTGVADCTTASPSDSHGALSPYSLDTSLFASGTPQDFEETSDSSLARVMDGSPGV SLNRLKATRSSSIYARSGRHALGDHRSLTNSEKWQAYLTTVTDHYGVDCGRPDMDLGK NDDHSAIDVSDALDTVQSQTGSNASSPGIISTRIDRELCDRKYNYYGSPVPINIPRYL SPLPASLLNVPINLMYFHHFLNHTAKVLVPHDCSDNPFSSVLPAMAINDSNLLNLMLA YSASHRARFLGHPEPANRIAHWVSNVFPSLRVALDEQEKITDSHLATAIMLLSLKIVS PSTFEVPIPWQSHLKLARDLFLARQAQIAYPGNRVGAFLTRWLGYLDIMGSLSCRHHQ PPLLAYYSVLNTCTAIEDWDEFAVDCFTGFTPRTGLFLMQLGGLVHHCDNERFDETGA FLPEWQPSPEIVHEAEALISDWETLDTHAHAFEKHYQDAESFDMVAVDRAFRYAGLLH LHRRVLGNSSGSDAVSEALDGLMQSVAAIRSGSAVEAGVLFPIFTAGCETQDSERRTE IKERLEVLEGTGMKQIHNARTLMQRCWDTKLPWIAFAQGEFLG ANIA_00372 MSSISKFHPLQRLPSPSRGFSALIHASGLASFIWSFKYMNDNPN HANEAYGWHFQYLTVIGLSLATVTFAVGLLADITLSARLFLLKNLLSVCSAPMEVLIS ILYWGLRLIDERLVIPDWAFIPMHADISFHAIPSIVFLIDLLFLSPPWTITIGPALGL SSAIAVGYWFWIERCFQHNGWYPYPIFEQLPFEGRIGLFALSAVVMALSTATLKWLHG RVNGFGTSMKAQARPGSVKANGNL ANIA_10067 MHTTLLSNSMRGAALCTRVSSTTLNPVTLQTSAIYQTISLKNQT RPSSTSTVRFLKASPTLRTSSARPQAQIATSCINAKNTISVRSNSTTSTSAREEAAKL DWNSYFKLRASRRRYTLASSIVSSAVSTVVGVQVLSSQNLENLGAQVMGLDPFVVLGM ATAACGAVGWLVGPFLGNAVWGLVNRSYKKAFLVKEKEFFDRIKRYRVDPSSNSMTNP VPDYYGEKIGSVQGYRQWLKDQRAYNRKRRSFIK ANIA_10074 MQYLPEKDYELPRLDLLTLLFESQLSLTTESTILHAEAADPTNH ITKAQARTITKRLAHVFRSEFGIGADGPGKDAVMCISANQVLLPAVFCGIIGAGGVYT AASTALTASEVSKQLRQSRSKVIVACPENRAKARDAARECGIPPDRVLVLESMGHRRL LCQGNFGRNYLQATAELDWERIADIDALENTTICLLYSSGTTGPPKGVMLSHMNLVSE ALFTQLVLRDSKEGKPHLNVPYRTVGHLPTAHIAGCLGCFITPAVAGGTVYWMPRFNI DEFIDYCKKYAVTFLSTAPPVYHGIVRSDRVTDQFKTLVRAESGAAPLSLELQEQAEK MLGCTISQRWGMTESTGSVTTMPWGQSDNTGGISPLLPNMRLRLVDERMRDVRSGDEG EILLKGPMITKGYFENPEATAEAFTTDGWYKTGDIGVYKDGKIIMVDRKKELIKYKGL QVSPVEIEGFLLTHPGVADVAVVGARDPEAPGNELPRAYVVIKAGSSVSEAELKEYVK SNLAGHKQLRGGVVFIDEIPKSASGKILRRILRDQARSSAGREAKL ANIA_00370 MYFTPPPIEIPLSYESFPTQHIKLSHYPVGSANATPVVIVTLNR PEKRNAFTMAMAEDLERVFGLFDRDERVRVVVLTGAGNTFCAGADLEIGFKALEERSR EHRDSGGRVAIAIHRCRKPTIAAMQGSAVGVGMTMVLPAAIRIAHESSKYGFVFARRG ITMESCSSYFLPRLIGHSRANYLVSTGAVYPSTSPHFGGLFAETMPEQSQAAALNREL MWRGPESAEEAHLLESEVIYHRFTSPDQKEGVTAFFEKRKPNFVGDVNKSLPATYPWW SDVNIDPPSSVKSKL ANIA_00369 MGSKTPERDGHKGQENTTGPVECPLGGMPRGMHLATDGDGTIGD SGDDDDGEAHSTALINANANGNQKKKRKSKKKGKKKAAKQSSPPRVPLSQLFLQGKYP IGEVQEYQPNVENTSRTTAEEVRYKSRSHLEDDSFLNDYRKAAEVHRQVRKWTQERVK PGQGLMEIAEDIDDGVRALLGHAGLEPGDSLKAGLGFPTGLSLNNVVAHYTPNPGQKD IILQSSDVMKVDFGVHINGWIVDSAFTMTFDPVYDNLLAAVKDATNAGLKTAGIDVRI SDVSAAIQEAMESYEVEIGGKTFPVKAVRNITGHNIKHYQIHGGKSVPFVKNSDQTKM EEGEIFAIETFGSTGRGYIYDDVGVYGYGKSYDAPRQVSLPLASARSLYKTINENFGT IVFCRRYLDRLGLQRYLAGMNTLVQHGVVDVYAPLVDIKGSYSAQFEHTVLLRESNKE VISRGDDY ANIA_00368 MKILQANVGRGGAVHDLLLSFEADIILVQEPWTNTAKHLTKTHP RYQLFSPPTRWTARPRTLTYVRRDLPAHSLPEPISPDITTIYTAGLTIINVYRPPNDP QPDTESHAVTPGATGLLDWLDAHELELRLEPGTPTRGPNTLDLVFSNLPLRALVEDHL KTPSDHATIGIILEQEEPPPIYKLGSTNWEKARALASPPDPTLPIDLLAKQLVQTSQL AIQGVSRYNTRRLPRTLWWTPELTDILHQTRQQQNPDYKQLRKAIVRAKAEYWKQRIE QATAPIDVFKLAKWIQHPDQLAAPPLNIQGAQVTTPQGKADAFLNHLLEKGALLPNQT EEGPPNKPLGSLHLPTKEHCWAALCAPPPSAPGEDGLATTAWRELWPVLGDTITQLYY RCMEEGCFPLSLKSAKVIMLPKPGKRDYTQLNAWRPISLLSTLGKGLERLLAQQIAVR AIQADVLAPCHFGALPGRSAIDLVQVLVHRVEEAFQQGKDASLLLLDVKGAFDAVIHQ QLLSHLRLQGWHKGLLQLLKDWLTGRSVSVHIKEGTATAPIKGGLPQGSPLSPILFLL YAARIVSTLEGSFCYADDMGILLTGNTLEESSQQLVEAYKQITALGTETGLPFSIEKT EIQHFSRKQQQHLPTVTLPGIGGITPSLYTRWLGVLLDTKLTFKAHINLVFSHGKRLA QHLKRLSNTQRGCPVAFMRAAVIQYVLPTALYGAEVFYTGKQQKGVVNSLLSLFRTAA LAIIPAYKTTPTAALLREADLPDPEALLNSILRRAAVSKGHGPAGPRTEVYDAEIMGA VEGLRTALGQPCVGYSTQLVILLDNLAAASLLASYRPTPHRHGLSETFSQLAAQWMES PSILTMQWKPLQVRWIPGHSGIAGNELADKLAKLGSSIYSPDIPPSPAYLRQEAKQWL RTETYTAYANKAPETYKALNIRPHTKESRSREHKLPRWVLGRLVAARTGHGDFTAYHQ RFDHTDYLESCTCGKAKTPVHFFFCPYTRKRWKDRWRCIKDGPSKTIDWLLSTAAGAE EFSRIVQESSFFKDICPNWARRSA ANIA_00367 MIFFTRKQKLFLVGFAVFYLFLLIVYKFRSARDPGSFFFDPEEG YRPEYSLKRLDESLEYLQPYNQSFNDPTHPARNFTTSADDATICVGVVTVKRPLEQKI STTVASILDNLSEKERDTITVHVLFALTSPTEHPDYNQPWLPNVVDRVLTYDQFDVKF STLRRLEEKKDVKHKSLIDYHLSLKSCYEDTQAPWIMMLEDDVVAQRNWYNHTMQSVK TIQSWRERGLIKNWLYVRLFYTEKFLGWNSENWLLYTACSVGIIATVAVICIQTRRSI RPLQGILNNSFIGVVCFGCIPLLIILYFLAGRVTMLPLKPGIHLMNSHGCCSQALMFP RENVPALIDYLGQARYARPLAVDSAIERMADANGLDRLAIVPSQMQHVGAASYKENRK QYQLKGPHPVQGAHGVWSMGFEKAYEL ANIA_00366 MSTPSADSGSPKAAETLDIERQGAPVPAHYVKPKTLGAGSALAL GAFGTTLTTLSLALMEWRSVKTTNAFVANFFFIAAFGLVVTAQWELSIGNGFAYTVFS AFGLFYAGYGALLTPAFGVAQAYGGIDTVEYNNAVGFFMILWTVFVFTFLIASLPSNI AYILVFLFVDLGFLTVAASYFALADGHAESAIALQKAGGAFCFVAGLIGWYIVFHLLL QDSLLDLPLGDTSRFFGKRKEKGV ANIA_00365 MTRNISHGRGGAGNIFSSEAPKTTPQDLVTPTIKQEVFTTGRGG SGNMMHNDPDRPELARESQDVEAPPIRVQEAPHHTGRGGVANQYIPSAEEEKKAREEE EQLRRVITSRSMREPRDIEEGNAKAEGSQSN ANIA_00364 MPSRRSYRASSRHACLECRGRRIKCGKEHPQCSFCISRNLGCEY LHRASSLPWPRVKSRSSTPSLSPVKSSPSVVDPALLVQYQDIMEPSVPGSLDKELNIQ DLELMMQWCTTTYRSVSRNSTVENIWQAVVPREAMRHPFLMHGILALSALHLAVTSDG GLREQYIRISKEHQNQAALGLESIAGKLKQHHSNAAFTLSNIMIIFSFALPEIMGQSI GHHPVNELYELFLSTRKSRDVLYNHWGVTGELKPLLQCDKAQPKMPDTSRLAIMSLNQ LNANLARQDPHHDKDTYDATIKQLSCSLDKVSRGGETMIVAFQWIFQVPEKYIELFRK RDSFALVILAHYAVILHFLRRHWWMGEWGLRLIREIGQHLDANWRNSITWVLDATGYY IPPV ANIA_00363 MYAVEDRAHSGHHPPPLSMDRIPPPSTMYPSSAGPSAMVSPAGQ PEPESLSTVHDGRIWSLQVVQQPIRARMCGFGDKDRRPITPPPCIRLIVKDAQTQKEV DINSLDSSFYVVMADLWNADGTHEVNLVKHSATSPSISTAMSSSYPPPPHPTSSDYPA SYQTNPYGQPVGQPVGQPVGYAGVGNYYGGSTQLQYQNAYPNPQAQYYQPMYGGMAQP QMPAAQPVTPGPGGMFTRNLIGCLSASAYRLYDTEDKIGVWFVLQDLSVRTEGIFRLK FSFVNVGKSVSDLPQSDIAEVINKGTAPILASTFSEPFQVFSAKKFPGVIESTPLSKV FANQGIKIPIRKDGVKGQGSRGRHSDEDDGLDNEY ANIA_00362 MKLALASSLLPLLAAAVPTSLPNGAEQPAAFTVMAARSASPIHF LPLTASGQSFWLGGNTTTYCPLPSNCPPGNTTVLAGNGGSLSVVVPGGQQIYVDPTGA LRFTQAHSAYIPPGSSLGPFEYIPGPEGSVFGHYMYSGWGASGFMACPTEDNRWKVFG ALGNATVPSGDVEDCLGFSAIAVPSELVGQTWQYV ANIA_10058 MATLLPPPSKRQKTETAEKARLQQEIQGIPDDLGSVRVQFFDQA TGSATGPAVSVPVADATVKNLETLLNTLQGNEEDERVPYRFTFQSDDKDSKDSQTIDI LADIYHSLLKPGVKTTEDTIQLYFTPQAIFRVKAVSRCSASIAGHGEAILATSFSPVS SSTMVSGSGDSTARIWDCDTGTPLHTLKGHTSWVLAVSYSPNGAMIATGSMDNTVRIW DAKKGQALGAPLKGHVKWITSLAWEPYHLQQSGHPRLASASKDSTVRIWDVISKRADI VLSGHKGSVTCVRWGGTGKIYTSSHDRTIKVWNSQTGTLIQTLSAHAHRVNHLALSTD FILRTAYHDHTGKVPESDADKVAMAKKRFEKAATINNKIVEKLVSASDDFTMYLWDPE SSSKPVARLLGHQKEVNHVTFSPDMAYIASAGFDNHVKLWNGRDGKFITTLRGHVGAV YQCCFSADSRLLVSSSKDTTLKVWNVRTGKLSEDLPGHKDEVFAVDWSPDGQKVGSGG KDKAIRIWRN ANIA_10068 MTESQPPQAPAPQSQTRGGRRRGRGGARQGEHSDAPDGVSRGPR SRGNGPRRGGGRGGRGGQNRDISKPETEGDVDGSSSVETTTTADKGKARQETADDADD GEICFICASKVEHNSVSPCNHRTCHICALRLRALYKNKACAHCRVCSVITEASYVIFT DDPTKRYEEFQDSDYSQKDDNLGIKYEKDEIFEDTVLLLRYNCPDRNCDVACLGWPDL HRHVKSKHGKVMCDLCTRNKKVFTHEHALFTHAELRKHERHGDHVPGALEQSGFKGHP ECGFCRQRFYGDDELYAHCRDRHERCHICDRRSTTRQHQYYIDYNALESHFQTDHFLC LDKECLEKKFVVFESQMDLKAHQLECHPNGLSGRDARVDMTAFDFRTPYQPQQRQRRG AGRGRDPNAETAPLSTVQPLRRDEIAYQRQMAIQSAQSVSTRSFGGQLSRDETQTVRA PARSTAPAPTRTPPAPTSPVNEFENLNITSATPEDQARRLRHAAVIERASNLLGNDQT KLSEFRTRVSNYRTGGMSATELIDAFFSLFDTSSSELGKLIKELAEIYEDAGKKNALL KAWNDWRAINEDYPALPGPGGNIPGMSPGTASGTGATGGRRVLRLKSSTAQSSRAAAG SSSLSNPFPPLSSSRPTPRAVATSAAWGGSAIAAPPPPPISRTYANPSSRTNNAPSTS SGRVNTRDTEAFPSLPAAPKPNVLMAGLTRGTVRWDDRRPPPVNAWTSSADSRPGSST EDFPDLSAAEGKKGKGKKGKQTLFHFG ANIA_00360 MSLSPGARDQYIPIIDSILSASDLNTISEKRIRKGLQDEIGYDL TPQKAAVKQLIMERFDIFAEKKGIGAPPTPNGQNGHEQQHNTATPVEPSSPSQSSTAQ KRQADSADSDDRSSKTPPPKKQKANHDIDADALYAAKLQAEENMRARPTRGASTRKAA PVKKKKSTAKTAKKVKAEDDSDIGSGSESGKKVNRSGGFHKPLNLSPALSALLGGAAT LSRPQTVKKLWEYIHEHDLQDPSDRRQIRCDDPMRAVFKQDRIHMFTMTKILSQNLYS PDE ANIA_00359 MAPSFENLSEQDLHEEEEEEIDFSDLKAQYEVKLEEGLDTFVVI DGLPVVPEENRQKLIKFLLRKLNTVGHTSEDAVFMPLNEKNMSEGFAFVEYETAEQAV AAVKQLHGTPLDKKHTLLVNKLMDIERYGREGRIDEEYKPPNIEPFTEKEHLRSWLGD PNARDQFALYRGDKVGVFWNNKSNPPENVVDRAHWTQLFVQWSPKGTYLASVHPQGVQ LWGGPTFSKQKQFPHPFVSLIEFSPGESYLTTWSARPIQVEEGHPVLTYEEDGKNIIV WDIVTGKPLRSFVSHDLAGPESEAQPKKKVQWPAFKWSADEKYVARMLQGQSISIYEL PRMNLLGKTSVKIDGVMDFEWSPATVNRDGVKQYEQLLCFWTPEIGSNPARVALMSVP SKEIVRTRNLFNVSDVKLHWQSQGNFVCVKVDRHSKSKKSMATNLEIFRVREKGVPVE VVDSLKDTVINFSWEPNGARFVLITTGDASGAGAPPKTAVSFFAPEKKGVQAGNFKLV RTIEKKTSNAIYWSPKGRFVVVATVHSQSNFDLDFWDMDFEGEKNDNEKDLAANLQLM KTVDHYGVTDIEWDPTGRYVVSGASAWTHQMENGFNLHTFSGETLAENPTDKFKQFLW RPRPPTLLSKEEQKQVRKNLREYSKEFEEEDKYAVDIANTAVVEKRKRVLNEWVAWLK REKELLTEEKEAYGLPEEADQPKAAKDAPTNTEDKGETVVEEIVEEIVEESEEIIG ANIA_10057 MREISFGQRKIHLIDPRLLPGGMHTSINRHQHRQPTHLQTILFS RVLKIIIYFLLLLLPNAISATETTVIVTVTESATATTTATTTTTPKVPQDPSYTSPRQ FRSSILRTTNAYRAAHNASNLSWNETLADYAKDWAKGCKWKHSSGPYGENLAYGYKKA SSAVTAWGDEAALYDFSKPTGFTEETGHFTQLVWKSTREVGCAAVDCGLTDLDDDEKE RAQGWYVVCEYMPAGNVVGADDGLEYFRVNVQEGSEDSDSSSEDDSEHGDGGEGSDVS DFGNRASRGLVEWSKERVACPRRPPPN ANIA_10061 MSKARNGPRTGTKPRRDVLASLKMASMEEISRASLPAEIMASIL DHLSPIDLIRAARASKLLREMAYDDSRWVQRLKRIGCWDEAEARKNAEEKFGTIANRA SVELQDSTVAQQVPSQPKIDVTPISDGFDQINLSASAANDVVDLDTDPVLGALKLARS IRGEARQEYGKIHAALAPFYDDIATLGPSPENLLFQRYTEPQQQAQILSQLQAFARCD TTTGCENRHENLRAAVSMFETAALKEFRHGYETDDIDGRMKNYAHVLYTLNGGGSASE LFTHHNHIITRKAELGQTNDCIDTLTQKVKLHQTQAFFTRLSVAFNEEVSIINRAFPP ALQLAQPFVEKVGRDVLYPFLTAIFDELHRSNKEEYLVSVSSTFAQCMNLCDNLLPIQ NSLDTFEDLLHQTIAQVYEPHMDLYLAEELDFFRKGSEASVAEWDRQLSEQAASTESF LLSNVNRQADKRDFLTSFKKVIMAPVNILPSFSNKSSEQQKPDRDSLAGDSGSTPRAN RFSTISSPGTPPIQEAPTTELAAKAAIMKSKLEGIRSLFSIEVALGLVHAAKSSLERA ARFMAIGGETGAAVKQQCEAIFVALLRILGHGHLIKGFDKAVDHLSDYRPREQGDRDQ SGVEPLVTFLELVNVGDLILQMIDVFYEQEMIGSNITDRNDFLDPAVKEKKKFEQNLD ERVAAGLNKGIDVLMEEVDYILATRQLATDFNPDVSTDPHRKTMDVSVTEAAAAVVDV VSSHTQMLVGSTDKSTLDVFNQEVGLRLFTALCKHLKRQRISIEGSLKLISDMNHYFK FIQRLKNNELLIYFKAFRELSQIYLIDSSDAKELANFIADAGRFSGIWRVEEVYEFAE RRADWYQIKRDVERAMYGIGCNVM ANIA_00357 MLARTVLRSVPFRGLARQSLSKTSSRASSSAAGAEAASSPFHLT LTASVATAIALGSATYLYGKEAFAMTPAEEGLHATQYPWEHAKWNKTFDHAALRRGFQ VYREVCASCHSLTRVPWRSFVGVTHTVDEMKAFAEENEYDTEPNDQGEIEKRPGKLSD YIPPPYKNEEAARFANGGALPPDLSLIVKGRHGGCNYIFSLLTGYPDEPPAGATVQEG MNFNPYFPGTAIAMARVLFDGVVEYEDGTPATTSQMAKDVVEFLNWAAEPEMDDRKKM GFKAITLLTGLFALSVWVKRYKWSPIKTRKIVYSPPVPRR ANIA_00356 MCPQGTSYFTHCDCHRRSSQMEKAKGEAQRLEEASKAPFIALSQ SYTLQQTKEDLLPFEYQDGLTARDVPETTLTRQQASNSVQSIDQNEYLPARLSRIHEG ADDSALCAQKSVLYLAYGSNLASQTFLGMRGIRPLSQLNVLVPDLRLTFDLPGIPYFE PCFAGTHFRDTSATNGGEAEEKRALINTPDTDQDRYKGPLIGVVYEVTLSDYAKIIAT EGGGRGYKDIVVTCYPFPNGYDPADPIPERPETRPLKAHTLLSPAGMQELLLPQTRSR IRTPRNARYTQPSARYLSLITTGAAEHNLPLAYQAYLNQLQPYCITSFRQRVGMVVFL VIWGPWILLLLGLPRVFARPDGRSPEWVGRLNDLLMAGVWSSYDNVFVHIFGDGEKTI ER ANIA_00355 MIAGFFQPSVAASRTAADWASPPARVKYLTTMLEQSEYIPGTAP DSATESSLTDLTRTPSLHGTSSSKDGDSFRSTSPKSQTEIQRNKKARPVERAISQDDK DLPENGPASNTRSKSSQRSKSVTRGSVLRPVPHNTQESLIFSRRRSKGKLYTALRTKV VDWDEDLRASDGSLEPQPQEDADFTSVSSPSSSGARYTFNKSSTGSWTSSARKKQATK IRRQSGTGSKMKPRRRVNNKAKHLLTQHMRSRNGNNQCSPNSSSSEKEPDCSTAAGKH DICNGISRSLASESLAGANNSSQACKTEDISTGVFKSSLPQNSNNSNRQSMFETSLRE GQHLHGNTPGRGQSVAEKLIAALRESDTPNQHHNDINANEQLSCDNEHGIEDVTESHE MQNEPDCCKSTVEQQQCKCDKQDVIDSWTSAMSQEGISGDELYPVRLYSGNSSDESRF GSSQGPGEHNRILRKGEAMSGAEDGEEHESVREAPSLASSSSVEPLSTESTPKAYYSS PSMKESTPSLSHCYRGDATTAADRFEIHQPPPKRRSSACRGHSEAFALSSSLNLNLCA THPEEITTKDYCSRNPRFGNIGAARPIISALGKCPDSDITHATEFLESSAKTIVDKNG SPRLMQQASMGAEEHLTLKRQDAFPRMGQRSRKRTRGISQDDKQHTTYHTTENQTSET PCTFQLAPRESDTDFSASRTTLTEEMGHQPCASHPQVSAGERHLLKVVASVSPGSRQE EQHQIQERVLGLYGSAAAAEPEQNVAFELSKSTAGQINWQTTLQELRVGMERTLRNNN EYLFRQIESEKATVNEVLNGYRKQCHSVLDQLFKAQIERTRLCKQQIDSIKQQHADVC QELIRRLKENERTLADWESQ ANIA_00354 MSSFFLPNPNLGDSNHLEDSRIRGYNPLTPPNLLQHEIALTEKA RQTVLQGRAEAIAVAHGTDTDKRRLLVVIGPCSIHDPEMALEYCDRLLKLKEKYKDEL LIVMRSYLEKPRTTVGWKGLINDPDIDNSFNINKGLRISRQLFVDLTNKGMPIASEML DTISPQFLADCLSVGAVGARTTESQVHRELASGLSFPVGFKNGTDGSLDVAVDAIGSV KHPHHFLSVTKPGVVAIVGTVGNPDCFVILRGGKKGPNYDAASITEAKEKLIAKGLAP RLMVDCSHGNSQKDHKNQPKVAAVLAEQIAAGETAIMGVMIESNINEGNQKVPPEGKA GLKYGVSITDACINWEDTESTLETLAKAVATRREKLAPSS ANIA_00353 MPGVVNPLIYDTDFDAQSTNVIHPSVAERCSESVAETSCWPLRL APNPWAQAIDEALPLQRAPANTLPPEILTQIFYELSPRDFDNARRVCSQWMRASLNER LLESMLKRAGWWDSWLRDNKNQRRKMNVERTGFVTTTVVDFSQLSQAGQTSTSRDLSY PYPSSKDVSFTSGFHVSNCGNNLLVISGCNIHIYQLLGRRRVGSAPMSKEDLGNVDIA PVASITCPTEVLSATIDTSTSNFNVAALLRNRLGTICELAPVDRGGNFSSMDFVHNGT NSCCARGRHSASAFSKKITSRHFFYDVCSVHDPPLSISICPGYRCVAFGSESGIELRW VDQETNIDCRKYLPMSQPSEILHFMPNRLDTPLEFRLISSVAGPGVEGCRCQTLSAGE LHPSCPFHTVKEDVQTFSRWAPERKDQVGLVRTTQCHHYRAVPVNDGIHLLFVEPRSG YLCIGSNAPLDGPTCLTRALVCVPPFKNDTPNGSQDAPVPTVFASGSDLSWGLRIVAA YGNRLVFYSVPLDVFNVLKNERERQGDGVMADSDLARDFFLIQSDRPHRESLTSNQNE DWEFLLSVSYRPTAMMWPFKIYGKEIGRVQNVVEIALQSSHGCARIWVFSASGETNII DVDTFTSASQDASKFPCKSFTIGSDGRIVDAQLVSRSEHCLVTPGNLRERKKTKSRAG FSGRHMLCRYSSSMSDGGRDATAANVFDAQIVNVSIPELGGRHAQPEVVY ANIA_10056 MSLTESVAAMATAITVANHHGTNNGTDDDGPGRKWDDQLKGDLY TQLVISLALGITAFLSFCFLRPKWTELYAARRRQRRAALYLPELPDSFFGWIPVLWKI TEEQVLQSAGLDAFVFLSFFRFAIRFTSTVFILAFVVLLPIHYSYTKKLGIPDWDKSI DVGEDGKKKFIDDPPYLWTYVVFTYIFTGLAIFMLFQETKKIIQTRQKYLGSQTSTTD RTIRLSGIPAEMGSEENIREFIEGLHIGEVESITLCRNWSSLDHLIEERLKVLRNLET SWVQYLGYKRVRKSGDTLPLRRQPIDSSIFSEDDERMRLLLENGQDDAFDRSRKRPMV RLWYGPLKLRYRKVDAIDYYEERLRRLDEEIQSARQKEYPPTELAFVTMKSIAAAQML VQAILDPHPMKLLARLAPAPADVIWKNTYLPRARRMFQSWSITVLICFLSVFWSVLLV PVGTLLKWETLHKVLPQLADALARHPLVKSLVTTGLPTLAFSLLTVAVPYLYNWLSNH QGMMSRGDIELSVISKNFFFSFFNLFVIFTVIGTATNFYGLWEHLRDSFKDATTIATA LANSLENLAPFYMNVFVLQGLGLFPLKLLEVGSVFLYPINYLMAKTPRDYAELSTTAT FSYGYSIPQSILILVICVIYGVFPASWLICFFGLVYFTIGNFIYKYQLLYAMDHRQHS TGRAWPMICNRVLVGLVVFQLAMAGTLGLRKAITLALLIVPLIGATVWFSYFYSQSYE PLTKFIALKSIYRDTPTSGDISPSTTSTFSPPLALDRDAFPIRLGGQVLGLKLKRYVN PSLILPLDSAWLPGRNPMPELQEDFEYYEDQNHVSV ANIA_10060 MSNETFYLLPLNDDGSPDVPGGFIYLPPPDHPKYSLRFLIEGSS SICRDGTLWINIPEIGKSFDRQSFRPFKLYPDFSRNIQIDVPVTCPGSFAYYVTYSPL PEFTITSSAPVKPARTPTHYIDVSPRLSLAGEGIPLNALSIFSVNSKFMGKYPEDWNQ YLNSISRRKYNMVHFTPLMKRGASNSPYSIFDQLQFDDIAFPNGENDVQKLIKDMEEK HSLLSLADVVWNHTANNSKWLEEHPEAGYSVETAPWLEAALELDTALLKFSHELQKRG LPTDFKTVDDLTLVMNEVKNHVIEDLCLWEFYVLDVKSNARRVIDEWKVSTNSDIERG RWAQLDLGAYKAWSLEDQASLIRQKGVPTAGQILGRYSREIDTQLGVAIATALFGNFD ATSSDLTAVENTLRKLLDVVNVPFYKEYNSDVTEIMSQLFNRIKYLRIDDHGPKLGPV TDQNPLIESYFTRLPLNEITKKHSPKALALANNGWIWNADAMRDNAGPNSRAYLRREV IVWGDCVKLRYGDGPEDNPFLWDFMARYTRLMAKYFSGFRIDNCHSTPLVVAEYLIDE ARKIRPDLAIFAELFTGSEEADYIFVKRLGINALIREAMQAWSAGELSRLVHRHGGRP IGSFELDLPSPGLDLSKSQVSGSEREIIRSIRPIPVPALFMDCTHDNEMPAQKRTATD TLPNAALVAMCDSAIGSVMGYDEVYPKIVDLVHETRLYSFFDLPESPTLDELVNLKGI SGLKRLLNELHTMMGQMGYDETFIHHDGEYITVHRVHPQTRKGIFLIAHTAFPGQNSG ALLAPIHLAATRAKPIGSWLLKVQELSDDKERALSDKVYLQGLPSEVLKIEGARIEET DRETIISVLDPFIPGSIALFETSIPSLEHADELSNDAITDGAVEAFSELSLIDLNFVL YRCDAEERDSSGGQDGVYDIPNHGPLVYAGLQGWWSILEGIIRYNELGHPLCDHLRQG QWALDYIVNRLMKATKNPQYAALRRPAEWLEAKFQIVRGLPSFLLPRYFAIIVQTAYV AAWNRGIQLLGDDVRVGQAFTQQLAMVSVQQTGFVNSASLWPKKSVPSLAAGLPHFAT DWARCWGRDVFISLRGLLLCTGRFIDAKEHILAFASVLKHGMIPNLLGSGKLPRYNSR DSIWFLLQAIQDYTKMAPDGLELLQDKTPRRFLPYDDTWFPFDDPKAYSQTSTIVDII QEVFQRHAQGISFREYNAGPELDVQMKSEGFNIDIRVNWETGLIFGGSQHNCGTWQDK MGESEKAGNKGVPGTPRDGAAIEITGLLYSALKWVTELNKQGLFPYDKVDIGNGKSIT FEGWAAKVKTNFERCYYIPLDPNDDDQYDIDSGIVNRRGIYKDLYRSGKPYEDYQLRS NFPIAMVVSPELFDPSKALGALAIADSVLAGPLGMATLDPSDLNYRPDYNNAEDSTDF ATSKGRNYHQGPEWVWLRGYFLRALLYFDLARRKTADERTEAFQQVTRRLAGCKKALR ESPWKGLTELTNRNGNHCADSSPTQSWSAGCLLDLYYDASRYI ANIA_00351 MRLCQLLRSRANPVIPRLRPSQQFRSIQIIRNSLPRSTSLKLSG PSCLRPPSKSISSYYPTTTTAANNMGSLGPYKQKHKVTVVGSGNWGTAIAKIVAENTA SNPAVFEKDVQMWVFEEKVEIPKSSKHYDPASSLCQGPQNLTDIINHTHENIKYLPGI TLPENLIANPSLVDAVQDSTILVFNLPHQFIINICEQIKGKIVPYARGISCIKGVDVN EEGVHLFSETIGKILGIYCGALSGANIANEVAQEKWSESSIGYDPPHFDSKAPSPPNR SPSASTDNILHFEHKDVSGQLSRVKLQALPSEFPPIDHALLKSLFHRPYFHIGVVSDV AGVSLGGALKNVVAVAAGWVVGKGWGDNAKAAIMRVGLLEMVKFGEQFFGATINTRTF TEESAGVADLITSCSGGRNFRCAKLSIERNQPIEKIEETELNGQKLQGTLTAVEVNSF LKKQGLEEEFPLFTAVYRVLQGTMSVDEIPSFIER ANIA_00350 MAPIRSTKQQTNRTKKSAGSAVSKPSVFGDDFRTTKKDKRLIKH SSFVSKIEKNSGKTNKRRRASRKLVANLESLADALPDAETDTNSSGQVNIIKQKTLKH RPGAQKRKEKIEKLERERFVKNMAQMSSISAMTTSNSQPVAAESVSSRWAALRGFISQ TMEQQPAFKTNK ANIA_00349 MLRLPCRPLSVHRTALRYRPLAIHPSHLRRGFSSSSVSFPTFTQ FDRSDFTSQPFSGVYETGLPTAGPLGSTPAFGVRITPKSLKQYLDQFVVGQERAKKIL SVAVYNHYQRVQELQRRQEEAEQLLAKRLRREDIQRRQEEREELLGKHASTDSVEHHP VEDEYPGQQRTIYPNNPPTQPSYATDNAEIDESSQLQIEKSNVLLLGPSGVGKTLMCR SLARVLSVPFSISDCTPFTQAGYIGDDAEVCVHRLLAAANYDVEQAERGIIVLDEIDK IAAAKVSHGRDVGGSGVQESLLKLLEGTTVQVQAKQERSAPRLSGTTSSSYPPNGPLG NTPFTPPGGGNVPHKGEVYNVRTDNILFICSGAFAGLHKVVMDRISRGSMGFGQPVRN PPQTSTDRPHSYDSTANQPVPILPGSEEEALYKKHLPFFTPPSLSSPEAEPTYFNALD LINQTDLQNYGFIPELVGRIPVNAALSALSQPLLVRILTEPRNSLVAQYTTLFSLSGI ELRFTTPALHKIAANAFTMGTGARALRTEMETILSDAMFETPGSSVKFVLVTENVAER KEKPVYLGRGQGSRFHSMIAAEEDRWEERMRQKKLKDRKGKSPLTFEDGPSISSFKEY RSKASV ANIA_00348 MAMDSDCGDDISLTSTVESEQASEYFVDTILAEYEFAEDDIRYL VKWDGYDIHRCTWEPKGNFNNVHETLLEWEEKKQRIAEGKEPAFDVAVWEETCRRLDE KKQERKRRRAAKRREIAASQGKRSHTAAKDAPTVQRITSRKASTASARRPPGPARSLY SDSVHPARQLSGSSTPRPPPPVLFGNSQSAPKTVRPNKILSPDDGKPFNSIYKKWKSD QRAKNKELMPHISQVDIRRPSDWATMPSVKATQPGNSRSLGTGYDSPEQHAPRSALAS PQLSHPHPQSPDASIRLECGIWNGSESEQDLVAKRTCSGLAAEVEPKTSKAESNLKTP PTEPRAFVAAKSGPRAPMELDFRDRRPSFRWRHIPHSNPRRWWNQGELFVTLWFGPDK QCLGDARICGMGAQYTAGILGTRSKYNKGKIDIWLRHLCSLDQYEQFCSGMTNTKYAN GWIEGFDDTEPHIQKAAETLYSKHKAAIAHIGSKEVLVAYPPGSPPFSFLGDTHQPRG YLTLALRDTLGPVNRLLRISEQYSYNHSAEVNNTFKSGHRESTLTTAPGPRKPPRDFD VAQQSTGQSSTASPTLNVPDMAFSPASGSAMAQPQTNISPVRNSHAPSLSSSIQVSTN TSNVVDCSTPVDSMNLDPHPTQALTPGHNGTEPGAAQVDLNKLFSDGFGITFEKLAAI GGSDKKAQRAKVFYIWYPEDSKVVKDEKDLITRFLRIHTRLLFSNSVNVDWERFTTMV NENNMHGVVLFHESFVEYDKVPQLQKALRRTTGFWKVSLSKPIQYVDRPLHVQRLFPH GGIFLLTEDLIVHEPVAAMIILQWFYEWSKKKYPGLWKIMLRPNILNWLTNQMKLADY SQQSRWLAVHHLVKQLGFCSTSNPLSSYESWEGVVISPPALPKYGFRTADDSLEIPKN CSQEQRNADHLSEFFAGYSLVHAHRFRRFYIITALEPLERWKKWQHVTVSGYQEFFHS HDVKPELIQERLSKGASSALSSTDPTPVSPAPPRSSRPWGTPLSEQQSVSLAPQFASR YGQPYQ ANIA_00347 MANDEYDFLFKVVLIGDSGTGKSNLLSRFTRNEFNLDSKSTIGV EFATRSIQVDSKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDISKHQTYDNVNR WLKELRDHADSNIVIMLVGNKSDLRHLRAVPTEEAKQFASENNLSFIETSALDASNVE LAFQNILTEIYRIVSSKALEGESGGASVGERRQIIDIEKTQDTENKGGCC ANIA_00346 MQAMRNDSKDAALNAAVPEGPISPPQVQQTNSSWTHLVAGAAGG MATALLTSPLDVLRTRLQSDYYRSQLKSTTTSSHARFSLARSFIQHFSETFEILFSIH RVEGWRSLFRGLGPSLTGVVPATAIKFYAYGNCKRLYPEVFGLDRDATSTHALSAATA GVVTGTATNPIWLVKTRLQLDRTHVNSDGTTRPPQYRNSYDCVKQVIRQEGIKGLYRG LAASYLGVIETTLHLASYERIKVAVARHYERKGKTQSGEVTQGLILSGSAAVSKLIAV LIAYPHEVLRTRLRQAPMADGRQKYTGVLQCLRLMVKEEGFVALYGGLTAHMIRTVPS AAITLGTYELVLKLLSA ANIA_10073 MASPSQYSPLWLQGTQKYLPDIIPSQLLMVMELFSVFAYPSGKE SPSAMSFQYLVTELLLHIFRSCDTISDIINLAATCRRFRDVINRSNKLQILMDVAEME FGPVDDIIQIATHNTSQPAHLFRTAPLTASLLKQIAQIGRAAQKWATIYPVKKWKLDY ENRRSLTDEEQFRLRRAIYRLWLYHRAFHRREYDRYSRNLLNTVVERAQLLHNWTTSE LADIEDIRTVIGDVVQNHICPSNGTIQRKFRKRYPESNYQLTFNIHLNYPISNTQTPY GFHDSSLNPTDHDQYFHLTHYTSFGNYSAKYRSRFRNDIFHEPGSEGWGDEIPHYYVV QDMMKLDPGQILWLRENCPLKEQVETFVLSLGDWFRDNGETFGDTLAWVIKERGEDLE EFRVAIVERELGIACN ANIA_10055 MASVYKAVSKRKSRDITKQQDQDDEDVMMEEMLADADDTSDSDE DEENTADAAKKQLAAGMMPKTRVLMLTSRGVTSRHRHLLNDMTSLLPHTHKESKLDSR KKAAGYNLLLNSLADLHSCNVIFFLEAKKNGQDLYLWLSRPPNGPTVKFHVNNLHTMA ELNTGFAGNCLKGGRGIVVFDKSFDEQGPVMSQPGNEYRGLIREMLRSVFCVPKRGVK GMKPFIDRVIGIYGVDGKIWIRVYEIRESESGNKKKDGEEVTEKPAHNPKDGPEISLV EIGPRFVLTPIVILEGSFGGPVIYENKEFVSPNQVRREIRISKAARYAKRRDVQTERL AKRSNLQLGQGERKPGALDTRRLFG ANIA_10054 MVLVAVGACYIDTILTTPHYPGEDDKLRATSILRRRGGNCPNTL EVLKQLVPQPSFGQEPPLKLIAVLPAQTSVASKQIRDAFEPQVSLNNCIYRQEFKEPA SSYIIKSQASGSRTIVNYNELPEMKLEEFIQIADKLGTEARWFHFEGRIPDVTLSCMR HIREHYPSIKISVEVEKPGREGLQELAQEADVVFYSKSWAQANGYKSAKECIEKQSFQ LGKATLLCCTWGQDGAAALEPRVGSAVQSPAYTPKGFQVVDTIGAGDTFIAGMLYGLT VKGDTWDLSQKLSIANRLAGTKVAQEGFDNLGRVLEPLSDH ANIA_10066 MAIPRPSTPPEAPLEVTEISERSQSSRWLSRDDRIRILTLRDAG FTYQQISSQLGFTYRQVQYTCQNEQSTPRKPPGQRPKLSEEDMDNIITFISSSQRTRR LSYKRVIEELNLPCGETALARALKKRGYSRCKALRKPPLSDDTKRVRLAWALEHVNWT IEQWNRILWSDETWVTPGFYTRIWVTRRAGEELDETCIRSSTPKKHGWMFWGSFYGDT KGPCLFWEKEWGSINAESYCERIVPIIDGYLRLNRQQGNYLCLMHDGAPGHASKDTIA ELHERSIYPISWPAFSPDLNPIEMVWNWMKDWIQERYPDDRQLSYDALREIVRASWDA VPTDFLKGLIGSMQARCQAVIEAEGGHTKY ANIA_00343 MSPVKDSSSAMNSAPIVVRRSKTMPIDSPTAKFLYTIIKQLDLK GIDWSLVASQLEISNGHAARMRYHRFRNQMEGYQPQQRKRPANKSSSKTSTGSCKAGL QKGSSPMRSPTPIPMTKTEPPEEGQCEPKSPSIKPEQHAPGQQVPHLANIPQYGSRII SAPFPHSQRHPHSAAFLPSIATPYHYQMSPLTSELRISSSPAYSSTPHYPPVPVYETG YRSPVAWTPVKAEPRTLPEEYKGLEIAETSTVKEEVQDEIIIRND ANIA_00342 MSFTTQTDATISPRCPRGDAASSFECLQNLLDDVPRAAASINQV DLAAVARRLQYTNANANARSVGNRSRASCNKHRFTGLECTIASPNISTEKRKAIGNET TNTMRKLALGLELWSMIWLRLLVPRGYRFGLAFASSWDLGV ANIA_00341 MSLSNDDHVVLLLSSIRLELMSILRVRLTSPPSHNNATSPLQEP PHREGKAIAGDNGAPAGTGPSEVNQGQTQTQNQNQTASGAAKKGRKRKEPAPKEDGNG DEPAPKRKRGRPPKAKAPTEIAAEEGEDGTSKEETDQASNTHRAFGGDETMDEDEEA ANIA_00340 MQGAYPTMASQPERNDLGGTAMVIQQYARYPASLEPGLQSASSI GSASSQMAISKSPPVQRKATPRSLQSSPTVARSAIPPGPTVRSGPSMSPPVFDNSRQK PMGDQIDRAEVRSLPSRDITDENIDDAYVLFIFFCNPNVPLSADSTELRKTFRSPPRS DGKSFSIFTLWELIKKLDSKELKTWIQLAIELGVEPPDMEKKQSTQKVQQYAVRLKRW MRAMHVDAFFEYCLGHPHSYYTQLPASNAVVSDSRDGVPLEEDLALRALVPQWKPKRG RKRAEEKDNSSERALKRPQLDTSVGSFHAGTFAAHSHTFPQSAIPFSAFPDDIESNDP WMTASSFPADAPPEASSTHQGQDFRWKGLDREISPTSYPQSAVIPRGHHSSDVFLSSA EPRSAVTPSSGDKSRARRRHGPAVSSAWPNITTSSTGKMRGRPPNRGTVSGPFSSFPV NPNRSDPSHSHSTGMRPSPSIVLQQDSTESQYNQSPTPLNTNGKPNKLQLQVPQHSGA PVRLATPPTLLVNGVSNEPAVANNGAAASQGEGSSATVVTNTASSINRSGVPSTIIRP DDVTRTLSAELLRARVTGRQTPLSPEEGQALASVVVTNLTALYSKLPFGAAPLASALH LGLGPYFGYPGTINSTIKVHIKHKDRNAGSSENSSPNKGPVAPLYNIFLDHRHGSGVL NSVSFEDLHIGNVGGNTSTGPSAGTFDNIPRQNDELDNSITELQSLTDADYDIDDGES AVAETTWKQRYMKLRAQMQKRERSLSQYKRKILESVMADI ANIA_00339 MARSARRSRPVRSNRTHVPSYNEDSGPSDSLGGDSETDQHRSGG LSHSLRSRDSNRVPISYREESTDDDVLNNSHEEDPDDSLHRTYPLPDADMRATPTTQS TASRPRRRRSVKTRSQTQKAKRTPTKRRLELGRPLNKRRKAADETDTLFASSGVIPPW QTLPYHILFDIFLRASYPLVDERALKRNRTVGWLLNIALLCRGFHEPALAALYHSVPT IPALKSHGLLSLLMKPQDSLSTNYRNKIKELHVDVEALLLYKSGPAFGYFDLPALVQH TPQVNTLRLYHRDDFMVGLPRWGIPSSKWLYPDALFKTINSNSIRLHSWDWNARFMTT QNLLPLMLENHNEASFKSIQDLRIFHICAEDPDGDDQVVGMTDTREDVLAAALNVLPM LRRLEFLGSSILNDCLLPKLPLNLTSLSINNCDDVTTANFSLFLGTHGHSLRELSLSH NRHLSLSFVVDLKGSCPCLEKFTVDISIHDLSSYHDVEPHFDELLSPSEIPSWPTTLQ HLELIQLRKWKENTAEAFFASLIEAAPELRSLRTLVISAILKTGWRDRASFRERWIGK LKKVFLRRSTPPNPALCTLARNSEGLSSGKPSEGSQPNDTEFASPSKRKSARIASLRH FDGEEIRSLSTRAHQINENDSELDTPATQGMCNVVEIRIDNQRPRDTQFNESDFLDDE LSGDEEWTGQDVDLGDGGHACSSKVCGENTNSLNSGAESAATPTLVSVGRYPGTLGFF IQQSASGLSAMFVSPFMAQQCSSFYALELQFLPKFGTLDGSISDKSILPL ANIA_00338 MDLKESSLRFLASDIRSVIWRLATLISVVALLRTAWVVIYRIYF HPLAKVPGPLLARITWLYSFWYNIVTKRFYLRVQELHEQYGPVIRITPDEIHLSDPEN LEKIYFVGSRYPKDAAFYGAFGADRSVFTAPSPDVHRVKRAALNPFFSRKKVLELEDI VQDKAEKLVGRMKKAFNSTGAIDLHHGFRAISVDVITDYAFGDSYNFLDRDDFGIDFF NGFRDTGPALWTFQQFPVIQRVAKKMPFWLAERLSAPLALRRSQHMSSRAQVLKVKAA VERDEKVSRTTIFHELLRPDAAEGYAVPNVDELSSEAQNILGAASDTTGNTLTIAAYN VVRNPDIYARLSAELKEAFPNPEGRLEFVALEKLPYLTAVIKEGLRLSFGVPGRLPRV VPPPGAEFNGYHVPPGTVVSMSSWIIHHNENIYANADRFNPDRWLDPSRAKVLERYLF SFGKGTRGCIGMPLAYCELYVTLGRMFREFDNLKTPAKSSEELIVDDGFSAYHPEKNN KFVFSLS ANIA_00337 MTELEVKETVALGLSSSPFACSSLTQLSGGTANFVYRGVLSRPL PNGTTTVIVKHAEEYLASNSDFKLTAKRCLVEEAALRALDGMQSETTTKEDSDFDQKH QVIVKSPKLLHFSRSTYTQVMEDLPDSVDLKTFLLSSDISERVSKEWVLSIGRTIGSW LRLFHVWSIHPAQSKFAEQLAENTVMRDLKFSINYDSLVSMIDAYPDILGDSHTSPGP LYSTLQLNADGTSVLIPKTAFEDQAASPTTPTPLFITDWELAQHGTRALDLGQMIAEL YMLNHYKDTDAGLWVIEGFLQGYGYQHIPVKIAFRTLIHVGVHFIFWGSTTPGWGSQE QVLDLVKLGRELVVRAWETDRTWFEGKFWEVFFKART ANIA_00336 MPMKWTPEKDQLLLLKILETHDFRLDPKKVAEVWPAIDNQDKPT PRAITERLVRIRAMVKPGKSNGSSMICPRSGSSSLSPVSKRGRKPRTPNSTPRSGRRK KAAGIIGNGKNAPTQSSQPKRDMGLDDDDTIVPTDAEHELDTDFVTGKVDSISIQTPT RKNGEGLLNFPTFKIASPPPALSPAAATAGFISPSDVQVGVSLGLGIGKEAQRSLDAV GDGSPVKRTSRARRATTTFGLVSYTDHLAEGEDGFEGYMDEVDSSASDYVPDSAVLDE EDFA ANIA_11268 MTVQGNPAANQTSQTNVEAVPSLSSSSSIRSMFTTSIGGTRRSG KVQTQMLHGHSDTGFVFVTGKNNSLHKVVSSELARPRLYRGIMVLHRTIK ANIA_00335 MAPRSLTPSLLEATSALSPENGSPDRLIARISRDWCTQHSVLGG YLTTLMLSAARRFINSNTDAVAEYPDPIHVFIQFLHKVPPGQVSVSCKILRATARLCV VKVDLELSRQPEPGYGEAQQSPACIAIATFANLDNEKGLTQDSTQSEQLGAHPLPSRE TDCVTIDDPVVDATPVTRKLHWVAPRSADGLWGHRLGGHQREVWLSFRDETPISDLLH LALLADMPLQPPATHTAGFYSRYALSTLCLSVEFKKRPGPSTKWVLVRSNSHKVVDGR YDVNVQILNEEGEILALSNHVVYISDLRKNSKRAKM ANIA_00334 MNKDSHRWADEHIRRRPRRTGTSMDQGITVFRKNESDLQRQTEA AVNKKPKRGPLNTIEQAALIKVCEKRARYDEVCNITSSQFWFGIEMALEREIGRRYSH YSCRKRINDYITNRAIYQNDIKNGIKPDPVLLPDPEIRKLLDRWEEMDKYKEQLEREK ALGQLVGREPEVPTKNKLQRVADWVRSLPDPEPQARPLVTPPSTNSSQSPVKQDESTV LWARYRKIEDYRAIARSNQLRALNNDLTSSRQLLSNIKEQLHSTLYDPPANQTTTGLK RTREDEVSPDRAAPRPRIELAELEAMVKPPLKQSPGNSNVLTQSEIAPAQMPIETVFS KFWESMLPYFKERALKDGISLIKSESIMHDLFKEVGAAMTKAFMKLEQQTSRSPSAYK PPI ANIA_00333 MASDAHQASGLQGVASDLDFALIWPDSENLFQSIMSSDSPDQWQ IPLGSLPIPPVVQDVSGMNFGSPNSFDDRASSIGTIPSGGSHQAVRDVSDMVTSSSSS VTAAIKATSITSVFLDECLHMFFVRFIPTFPILHRATFVFRDCTHPLLLNAMALGSLY LGPKDSVAKWQSLITHRGPYDACNGVQLLLTALLGQIYGALSKSRAIRTTSQVFRPLG FFWARHCGMLDSEPFSIEDVPFPNTPSSEKDRKWRTWAAREIQQRALLAYYILDGLVA QMSGDGASTRHVANPLTLPSSEAAFDANTADEWLTHMRSQKAEQPSFRLIFRSLFPPT SSFRALDYQLSAFSLRVILEGLQSLVSDSDECDLAAVGVPGRSDVRRALAQVHETITM SIHLSAPERLEVLLRWHTICLDTMINSTVLCRHVCTRYDIPQQVSGGSRTLRPGFDML NWVNTEDARRALLHAIAIQDIIEQLPRGRAHVIHMPSSLFAAATVYVVFALAGIANIH LPRTVVWQDALLSHADLNLGCESGFRPSTGSETSRFVTEGRTDSPPGVAAVRNLLYEM NSMQKLFRCLISQWGIAHDMEEIVNQWIILCH ANIA_00332 MPTSMEMHRTYTAGDSPETDIPQQGSKNGVDLVESTEAGIPSTY ADIVASRISTAHRDFLMERHGTLELDPIPSMDPADPYNWPSWKKITNLILVAIHACMG TFAAAGIIPAYETIAEEFGVTLHQVTYLTSLQIAILGGAPLFWKPLSNRYGRRPIFLL SLICSLVCNVGCAKSPDYASTAACRALQAFFISPASAIGSGVVMETFFKKDRAKYMGV WTLLLTLGIPLGPFIFGFVTYRASYIWIYWVLAIINGVQFILYLFFGPETRYIGTNED PKEPAWKREYLKVRRIDPTPLHWSEFIKPLGLCFRPCVIVPAAAYSMIFLLSNVLATV EVPQLLQGKFGLNAEQLGLQFLGPIIGSLIGEQLGGRLSDLWMNMRAKRVQRKPEPEF RLWLSYLGYACSIVGIIVFLVCTEKAEDGKWNVAPIIGIAIGAVGNQLVTTVLITYAV DCHPEDASSVGVCITFVRQIWGFLGPFWFPGMFENVGIAASAGVCVALMVGASVLPTI ALQWKGRSLRPSMKE ANIA_00331 MSSPKGPFTLVTVNTAPERAKRLIGRMVEALKDRYTIIHVDNCE SIEEVAPKVTQHKPDVLFSASMWSAEQAAEIQSIARSIKPDIKLHAIPQGLQVEKGPD AIVEYLLENVPGLLDEN ANIA_00330 MSDLATAPSPSYPGAPGLTYFTPATNPGAAINPAAKETPTLFRP LTIRSVTLKNRVIVAPMCMYSAESDPSSPSVGALTDFHMAHLGHFALKGAGLVFTEAL AVQPNGRISPNDAGIWQEGTESEQFKGLKRVADFVHSQGAKFGVQLAHSGRKGSTVAP PLAASRGVRALKADEGVFGWPKDVVGPSGGEENKWEPGNISYWAPRELTVAEIQEIVK AFAKSAEIAVKAGVDVIEIHAAHGYLVNEFLSPVTNRRTDQYGGSFENRTRILREIAL AIREVIPEGTPLFLRISATEWIEDQPAAKDFGSWDLKASIELLKDLPEFGVDFLDVSS GGNHKDQKFHFFTDYQTEMAAKLRKELRASGARTLVGAVGFITQPDAAAQIVQGADEE GVEAKADAVLIGRQFLREPEWVLNAAKKLGVQVNPPIQFGRAM ANIA_00329 MPGPERENVNHGGKVIMPPSALDKLTRLHITYPMLFELHNGAKE KMSHAGVLEFIAEEGKIYLPYWLMQTLLLEPGDLVQIKSTDLPPGRFIKLQAQSTSFL DISDPKAVLENAFRNFSCLTKDDVFTFAYNDQVYEMAVLETKPANDTNAISVLETDLE VDFAPPVGYEEPQRPSGTSTPGSVVSGRLPAGGLLHPHGTMAQSINYAAIAPESTDAA AGARAVSSNFLSGGHRLNAKKGSKTPTPTASTPTPGATNPSHPPPVKRTNGPQPLRLP PNQLFFGYAIKPVPKRDEGGNVVEPEKPRFQGTGQSLRGKKKEAIAGRSCRFEYSPDR DFIDFQPTTSKQQLTPIAAPPITASGFQLTRWAMDTSMSLSQKLIFARLPFVRYYKKR S ANIA_00328 MSTSLPRPKRAGEDFTRTHYQEDLDDSGASKKPRFDLRNPSTLA PDALEDDAVLDADEIGRRGQQVRRKAVNLDGYESDSDNEGFDARSSKKARQNEAKQEA DDDDMFAELQEDFGAENIDGDAALRKNKKNVRFLRDDEIEGQVASSKSGRTLRVDLSQ GGNDVNIDEDDESESDVAEEDRARVDSGMDEELGAGAKKKHAPLLDAFNMRAEQEEGR FDEQGNYIRKAADPDAIHDSWLEGVSKRDIRLAREAAEKREAARKEKDRLNDSVLTSD ALRTLITQLQRGETALDALARIGKGAPKKPKWQAKRNKNRKGDQDTEMAEEDPKEVMR KQAIEAVTGAADILMARGQAEIYDTEREMLTRQYRQETGEEWVDPPQNTDTATGDTDR VMWEYRWSDARDGGVVHGPYDGPTMESWKGAGYFGEGVEFRKVGDTGEWDSNASFV ANIA_00327 MAAPISTVAESKELRGLNLIAAHSHIRGLGVDVDSLQPRPASQG LVGQEKARKAAAVILQMVKEGKIAGRAVLIAGPPSTGKTAIAMGMAQSLGPDVPFTML AASEIFSMEMSKTEALTQAFRKSIGVRIKEESEIIEGEVVEIQIDRSVTGGNKQGKLT IKTTDMETIYDMGTKMIDSMTKERVMAGDIISIDKSSGKITKLGRSYARSRDYDAMGA DVKFVQCPEGELQVRKEIVHTVSLHEIDVINSRSQGFLALFSGDTGEIRSEVRDQINV KVAEWKEEGKAEIIPGVLFIDEVHMLDIECYSYINRALEAELAPIVIMASNRGHSRIR GTTYNSPHGLPLDFLDRVVIVSTQHYSADEIRQILAIRAQEEEIDLSPDALALLTKIG QESNLRYASNIITTSHLLSQKRKAKEVSVDDVQRSYRLFYDPARSVKFVNQYEQRFIG DQGNVNFTASNGDAMEIS ANIA_00326 MNLDSSVSRSRDPRRRPPINTNLSQNEVAQQRPPSGPWNHSSPE AQHDVSDDRFIRSISNFIETAVKTRTKVAEREHLSKRTAETKDLLNKASSHAGFPSTV EFYQHTKDGEDKALHSLNSEIKGHETELQELESVLRDQWAASANSRTSTSDDRVRQLE QSLKLANDKISGLRGDIAGLIERNKSLDAELKNLQTLLGAQEKSFGTFTHSLGLLKNE TVQFSSRLKQIEEKSSIQPDGGITPDTKKLLDDLSNQHRILEQRTAGLGEKDTSIQSL KEKAKLLNARMDELIEIQRAKDEFYFAEMDTLKQDLNKRLSEFQQTQERLTESVKEAM LRVPRERLDSKVDGLFESVRRLGADLEPMKVALLSLESRYNNLTTEPIVQHMVRAMHE MYPSVDQLWKELTVHKQSLDQTLPSLARKIEQLETQGKTSVITQDELKSIRAQQEDLK RLIGGFVERHQWPSQEEFRLMQARLEFLAEKQNNTDSAFLQKQTADQETLQEVKRQGT SLSDRLKVLSDVIERLDEDYNLTKENNQGDMHSLQLRMTSIEQSAKVTYENTKKELNR IKKVVQLPEQPSQVDSLYCDSPSGQLPPALRPDLLHADRSQGMKIKRLRSESDEDTSQ PTSNSPAPRSPGLNGTQKDSPDVSRRKRKKTTRSR ANIA_00325 MLLSSRIALRSASSIRCFSTSSRHLRSDLSFQVYGPEKAHPRRN PIVFLHGLFGSKQNNRSISRAIARDLKREVYIVDLRNHGNSFHDTEHNYPVMADDVAE FIHKHDLSKCVLIGHSMGAKAAMTVALNAPELVSALVPVDNAPVNAPLRTDFDKYIKG MQHVESANVTKQSDADKILQEYEEALPIRQFLLTNLIRSPENQTMKFRVPLSTLGASL RAMGDFPFSQPGSVQYKGPTLVIRGTKSPYVSEDTFPIIKAFFPNSKIADVEAGHWLI SENPEAFRKAVVDFLQEID ANIA_00324 MPELEQPLDADALQGALQALDEELGKFDFIVAFAPIKLITAGGF LAVNYLKNRETTKDLNYLLDPEWATDEDIKGPLEQAIFRVSKRLQISEQWANEDMALY VTKETRIHLFRKALKQNITLFRGDHLVILAAPIKWALKRKIRWMFAPGRDRKVEVDMS DLLAMLKCMRDRKGGPLNREHLRTQSLNSFDVVPDSPGMEQIAAAYRDKYKDEIFA ANIA_00323 MTASPNGTDYLASYTKLSSCIYVHEPDHSADDVGDYPRTIVIAF WMNAFSRSLAKYIVGYRQLAPRARIIFIRTSSAEFILRPTKRAQYARLAPAVEDLLAL PADSPVLIHMFSNGGVFAITHLLEAYQQATGHPLRISSTIIDSAPGTATLTASFKAFS FVLPRTWILRLLGKVVLYAYLASMFALGKAVGKLFGVRDAVSVARQAINDGRILRGSG TAGLPRRCYIYSDADELVDWKDVERHASDAESMGFVVQREKYTGSEHVAHMRADPERY WNTVKLYLK ANIA_00322 MTEFQIPLEYLTSIKDKVVLITGSSSGIGKATAHLCLHHGAKVI AGDLYPLPAVFLQSLDQRDEQSCTGAASTSPPHQVDVSENLMFVQTDVSDWTSIRNLF IRGVERFGVIDHVFANAGIGPLSNFLEETFEDKDGGEQLLAPPDLKVLDVNLIGVIYT VRLGVYYLRQTAYGGRGGAETEPSPCITISASASSFQNFSAGDYTVAKHGVLGILRGL FSDLQQEQPNSGHDGKSPRRVRLNAIAPSWTATGIVSSGILRGLGVHVQKPEDVAKSV VMLCNDSRRSGELIYSWEGRYCEINQREGGLLDGVAKIVPNVAEEGPVMEKLKGR ANIA_00321 MPPRKRAVAPASARAVQSETTGSTKSTTETARRKRAAQAKEAKE ATTSEHPTQGEKDNVADAETEPVPEPKYPYRHRDPFDALLEPFYYNKSLTDPISTAKD KWNLLPAFLKVKGLVKQHIDSYNYLVEVQLKKIVESSNTIRSDVDHNFYIKFTDIYLG FPRRADEPQDVRTEFEESTVTPHECRLRDTTYAAPIQVDFEYIRGRQRVRRKGVSIGR MPVMLRSSKCVLGNKTPAQMTVLNECPLDPGGYFIVNGTEKVILVQEQLSKNRIIVET DPKKEIVQASVTSSSNERKSKSYIILKKDRLYVKHNVLSEDIPIVILLKAMGIHTDKE MMLLVAGVDKVYQEDFAINFEEAIKVGVFTQQQALDWIGSRIKINRKQMSYRRTHVQE AVEAIASVIISHIEVKDMNFRPKAIYVANMARRVLMAKNDAALVDDRDYLGNKRLELA GQLLALLFEDLFKKFCFDIKMNIDKVLNKRNRVEAFDAWSVIGMHSNHITQGMNRAIS TGNWSLKRFRMERAGVTHVLSRLSYIAALGMMTRISSQFEKTRKVSGPRALQPSQFGM ICLADTPEGEACGLVKNLALMTHITTNDEEGPIKNLIFMLGAEDIQTVGGKEIYAPGS YTISINGTPMALTRRPKYFLNAFRRLRRMGRISEFVSIYINHHQRAVHVATDDGRICR PLIIVEDGKSRVKKHHLRKLRDGTMQFDDFLAQGLVEYVDVNEENDSLIAIYEKDITD TTTHMEIEPFTILGAVAGLIPYPHHNQSPRNTYQCAMGKQAIGAIASNQFLRIDSILY LMVYPQKPMVKSRTIELTKYDQLPAGQNATVAVMSYSGYDIEDALVLNKGSVDRGFGR CQVFRKYVTNMKSYSNGTKDILSPPTYENDAPIRKHALLESDGLAAVGEQVNAGEVYI NKSTPDQSMSSGMPGSDAGRPISYNPTPMTYKLPDPAYIDKVMISATENENQIIKVLT RQTRRPEVGDKFSSRHGQKGVTGIIVDQADMPFTDQGINPDIIMNPHGFPSRMTVGKM LELVAGKAGVLSGQHGYGTCFSGTPVEQMTQTLIDKGFSYGGKDYLTSGITGEALPFY VFTGPIYYQKLKHMVQDKMHSRARGPRATLTRQPTEGRSRDGGLRLGEMERDCLIAYG TSQLLLERLMISSDRHEVDVCEQCGFMGYLNWCQRCKSSRSVVKMVIPYAAKLLIQEL MSMNVTARLKLEDEFPETKGR ANIA_11267 MDLWEKVVKSKTIPAEGPEKLRHNAARLTGSSVVQEYDVMLEAG LEYSYLTYGLALVLLRVDYDEPGTLYTTAPLTAILDIVFVPHELPLAIS ANIA_10053 MAFKNGLSERLDELRFPSPRSPPSSEIPFPGYSSLSPGHSNFVS AFPRASGDVRSNLQRRFTTDASKLSSWNYMSNVGASPQMPDALDLLSSFEKKRQHIEY MREQKRRFEEDMKLLDLQHEREQLEMNQLAKDLAKAGISGPVSEPTTPPEYRENGFPG AFSRPTRFSTSSVTSSPGFFNVFASSVTSPPSQLNNDSVQTPTNRFAVHSVPGSRRNS EKEDFESDPKSPFRPGPS ANIA_10071 MPQNSLSMFRLGHIGGSADESHSASPNVARHAARHSLESNALYS TEGNHESSTPTAMSRPAPLQSSYSTNDLPTVKGDSFNPAITPPKTHAEQFHHHNVSLG RIPVTAVTPRQQKDSPERDEAKSFPSKSQPTTLQPNAPPFGPQLGAATSGNGTMPQPS LAGFPQPFFYGIQPFVAPPLPVNGQYNQSTPFAANAYYGNGNYRLVESQPKPVGSRRH ADGDSAQLSRFNNHPIEYYRNEIYGLCKDQHGCRYLQRKLEERNDDQLQMIFAETHSH VIELMTDPFGNYLCQKLLEYSNDEQRTVLVNKAAPQLVKIALNQHGTRALQKMIEFIS TEEQTQTVIDALKDHVVELVQDLNGNHVIQKCLNRLTAEKSQFIYDAVGAQCVTVGTH RHGCCVLQRCIDHASGAQRARLIEQITENAFALVQDPFGNYVVQYILDLAEARFTEPL CREFLSRIPKLSKHKFSSNVIEKCLRTADEEMRRQMIEEMLAGDELEKMLRDSYANYV VQTAMDYADPATRARIVKYIEPILPSLRGTPHGRRIGSKIAPENSGRSSAAASGQVTP NEMNSAQLPQGSLQTPQKPLMYHHNSYSVSGTPFNNQSFIPVAGTGSNTPSGASENSS GAYSAALKQSNNNLGAQPQLYAPYYH ANIA_10072 MLPIEVSKSLSPQWPCREVQSRQLASLLAPGLSSPSTVVIHGIS ATCKSTIVTNVLALLEVPHAIVRSPECITGRHLLTKILWAVLNAVDRKDEWERFGKGR CEHVSSLAVLLGECLASHPGGALEKFVLVLDGIDKQREAPPTLLSALARLGEVIPSLT VILIVSSTPRPLFLQATGVPHINFPPYTREQATTIILSAGAPAVPGLPAETSLQLYPY FVSAVYDSLVGPTASSIPVFKSICEKLWPQFVAPITSGETPPGGNDEWDFSRLLVKNR SLFRRQGEAALVHRIVTEDAPSTTQNGALAKPSSLLTSISAPSPLPSLPYFATLVLTS AYLASHTPQRLDTIFFSKFSSSSLSARNKRAHHRRRLKVLSQAQAAEDKEAQAPAKRR RGLGKRTKTRITKSILENAFATTSATTSAAGGGPGITGPSTILTARPFPLERLIAIYH AINPNPPANPLRLTAIADSVYSELATLRRLRLVVPAAGRASGSRLGLGSAGVNSGNTT ADVGEKWCVNVSGDWIGEMAKGIGIEVGEWLAGGLD ANIA_00319 MDKTSPRWSMRNTAKGSLRRLSNQKLESDDIIQHRRHGKNPQSF VVKDKSPNPSQSKVLQVVSKICRLSNHFVWLYHFRRRHAVQLTDQRPIVSDPEKKKYF AIQANHKSAPGSQYSQDVVKRKRADEEVVPIPKRQRKARLVQRYEKETIKRATCLQHP LIQAQREVGALPVSSLVEQEQRGLAYASQFQRKQLHQFEPWPDQYTIKHVVRNSRSGI LIANSIKLICSAGGHRGGESSVSATMDSGPNGDSFLAPRMLPDPDEGGDYRWPPFFSH PIRIHTTSSLWCSAPSPTGDIPRFAVGTSDGLYTLEGFGSYWTLSKKPFPNDKSSGNP KKRRTDSSHALITAVEWLSPDVIAAGLKDSTIFLHDARSGGSATRLQHPHAVTKIRKL DPYRIVVAGINSLQMYDIRYPPNNLQRNPNPNKSHHTSTRPYLTFSTNYPEVNITPDF DISPELGLLASASPTDRDRTVQLYSLRTGEQVASPLTRYRYRDSIRSVCFESGNQSAA HGSQTPSLLVCSEATVDEWKW ANIA_00318 MDTIEAKTVLISSEKISPLTYPDCDDDREQDIDDLIDELESQDG LHDNPSRKSMDSGSRIPGMEAQFDTDITTGLTSVEAAQRRKKYGPNQLKEEKENMLKK FLSFFVGPVQFVMEGAAILAIGLRDWVDFGVICALLLLNATVGFIQEYQAGSIVEELK KSLALKAIVVRDGRVTDIDATEVVPGDVLKIDEGTIVPADGRVKTNHLLQIDQSSVTG ESLAVNKCKGEVCYASSVVKRGHAYLVVTATGDYTFMGKTAALVKSASSNSGHFTEVL NRIGATLLVLVVLTLIVVWVSSFYRSNETVTILEFTLAITMIGVPVGLPAVVTTTMAV GAAYLAKRQAIVQRLSAIESLAGVEVLCSDKTGTLTKNKLTLSDPYTVAGVDPNDLML TACLAASRKLKGMDAIDKAFIKALPNYPRAKEALSHYKIQQFHPFDPVSKKVTAVVLS PEGQEIICVKGAPLWVLKTVSEEQQIPESVEKGYSDKMDEFAQRGFRSLGVARKPAGG EWEILGIVPCSDPPRDDTAATINEAKTLGLSIKMLTGDAVPIARETSRELGLGTNVYN SDKLGLGGGGDLTGSELYNYVEAADGFAEVWPQHKYNVVDILQQRGYLVAMTGDGVND APSLKKADTGIAVEGASDAARSAADIVFLAPGLSAIIDALKTSRQIFHRMHAYVIYRI ALSLHLEIFLGLWIAIMNESLNLQLVVFIAIFADIATLAIAYDNAPYSKTPVKWNLPK LWGLSVILGIVLAVGTWIALTTMMNAGEHAGIVQNYGKRDEVLFLEISLTENWLIFIT RANGPFWSSLPSWQLAAAIFVVDLVASFFCYFGWFVGGQTSIVAIVRIWVFSLGVFCV MGGVYFLLQRSQTFDDIMHFNFLQKRDSVSQRVLDDLVVALQRRSEQHEQSSRTAERE DIGLWKMDKLRKERAQC ANIA_00317 MADNSRQPNLNLTPEEKRVFYQLFQAADTTNLGVITGEIAVPFF EKTKLPPETLGLIWQIADKENRGLLTPSGFGIVMRLIGHAQAGRAPTDELALQLDAAA PSPRDAGASSPQPAPGPIRVPPLNPEDVNKFVSLFEKSDVTRSGTISGETAKQIFERA RLPNEILGRIWNLADTKQRGVLDTTEFIIAMHLLTSFKSGIMRGIPQVLPPGLYEAAA RRGASRPSFSGPRPGLDVPPVPAIPKQFTGPQRTQSPINRQQFGTPLSTQSTGGDWLI SPQEKLQFDNYFNTVDTTKSGVISGDQAVAFFTKAQLPEETLAQIWDLADIDADGQLT RDEFAVAMYLIRQQFTNKGPLPQTLPPALIPPSMRRPSSTHAAPVPAPVAASSHPPAP VHTAADDLFGLDPFATGPAPSAPAPVPAQVPQSTGNSNSPFATPSSPTRQTSPPGSAT AFKPFIPTSTFGQSLQPQATGASSVPSTAKSPQPSDDLLGDNDPEESNKLTQETTELA NLSNQIGSLANEMQNVQTKRSATEQELSQTSQQKRDFESRLAQARAMYEQEVKHFKEL EERLRTSKAETTKLQQEYALIDGSRQDLQNQYNQVSADLAADQQENASLKEKIREANA AVAQLKPALEKIRSEARQQKGLAAINKKQLATVEGERDKIQEELGELSKEQTVEPEGV VSPVSNPPRVASPATSTTSQQTNPFFKRTMTGSSESNNVTSPQISNDQQRAFDNLFGP SFGAAPATAATPPPPTSFRADSRTDSVRSPSGSGVPTPSISPPPSSAGAFGSEPPPPA LSRQMTPNALPLTGTLSETTSTKVSPPISRFGTQEASSVGTPSQAEGSDARPTSASPF DETEDSKERFPTVPGAFETPSGTTTSQGSEEKPADTKDPSFDELFGGPAHQRSKSQQN NDFEEAFAAMKAPNAANTQGAPESEFPPIREIDDDDDDSTDSETQLGFDDNFTPVFPQ SHAVSKSDQIEPSQLDAFPAPGASSTPTGPPPAAEAQPSPPKYDEDAEKQASGNIPPE FGGLLPGRADPTLPPDAPHSIETTTGAPIVGGEAQNNVTKAAPPAQAPNGGKAPDFEA AFSGLNLAPAKEADDDDDDDDFPQSEGKPNMDFDFSFDSGASQHEKAAAPTSTSGTAS SDFFSFDQNAHASASSGPVNPNAKSEDHDWDALFAPLDNMKSPGTEATNATAPSTSSP SSKQPGWALQTDTQDDPNLQRLTGMGFPRDASLAALEQFDYNIDKAIDHLTSKS ANIA_00316 MREVISLNVGQAGCQIANSCWELYCLEHGIQPDGYLTEERKKED PDHGFSTFFSETGQGKYVPRTIYADLEPNVVDEVRTGTYRSLFHPENLITGKEDASNN YARGHYTVGKEMIDQVLDKVRRMADSCSGLQGFLVFHSFGGGTGSGFGALLMERLSVD YGKKSKLEFCVYPAPQNATSVVEPYNSILTTHTTLEHSDCSFMVDNEAIYDICRRNLG IERPSYENLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPYPRIHFPLVAYSPVI SADKASHEANSVQDITMSCFEPNNQMVKCDPRNGKYMATCLLYRGDVVPKETHAAVAT LKTKRTIQFVDWCPTGFKIGICYQPPQQVPNGDLAKVNRAVCMLSNTTAISEAWSALD HKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALERDYEEVASDSLEEEGEEVEY ANIA_00315 MLLIYIYVIFLLSLLARANVEKTIFIAPSARSTDLNLDELGLKR LTPASGIFRTRLNASFPTNAETHGTDSWYSLENLCPGQRYEVRICWLATQPTAFTLST YTIPEVLEDRALSDALNSYLSAVITHNRQDGVSAVADTALEPDSVLLLRISAAADYFS LDQELMENVPPVLADIILDPFLGNVFPKSLVPTAAWIGIVSCMAVVLARWIATEFASV VSSTGVHEQANGKKVQ ANIA_00314 MSIKRALSKIKPKLEENLPSPSSSRQGSSSRTSSPRRNILSSFL RDRDSASSSDDISDDASGAGSVSKNQQKRLARRQRKSEQRSRMSEDIGGSSESERRHK EEIDQAIREETPEMRARYGELPLLQSSTRKREKRLDFDTISADMVGQQVFFQARLHII RRMSAKLVFLVFRQQLSTFQGVLHEEPGAKSIAMIQWAEHLKTGCIVQVRGTIKAPDV PVLGCTVHDVEVDIDEVHLVVRREDPVPFSVYEAEIRTAEEDLVEGRRSHISDRTRLT NRLLDLRTPTSQSIFRIQSAVCNLFRSALDEQRFIEIHTPKLQGAATESGASVFDVNY FGRDAFLAQSPQLAKQMAIAADFGRVYEIGAVFRAENSNTHRHLTEYTGLDIEMSIEE HYHEMLEVLDAVIKNILKGIYTRYRREIEIIKHQFPSEDLVWLDETPIIRFSDGIKML NESGWRDDEGNPLAEDKDLGTRDEIRLGELVKEKYKTDYYVLDKFPVDARPFYAMPDP DDPRFTNSFDMFIRGQEIVSGGQRIHDPHMLEENMRRVGINPDTMEEYMEGFRWGAPP HAGAGIGLERMLMLILKLGNIRLASMFHRDPKSFPAKPPALQLRHPESSTIEPPWVKE RRGTVAQSESELQPIEHLIANYGDATSTSWGDERFKIWRDMATGAAVSYVVSSNNYVV IPGNPLCDARQYGRVISQFLQWLRRETKYRPIWLLCSPEVETVLGEKLGWRSLSCIAE EVVEPARNQAAMDGELARKIRRTENEGIKIVTINQGEMVSESVREAIDKRIEDWKANR KGTQVHLSDIHPWRDHEHRWYFYAVDKEGTICAFVTLVLISPTYGMQVKYTFDFPGSP NGVIESLITHAIQTAGRSGVKKLTFGAGATNTLTPGHNMHGAKMKMLQHTYDALAKQF HLVRKSEFRAKLGAEDEPLYIAYPPHGLGQKGIRAILHFFED ANIA_00313 MTTSRWWLYVQAVFWRCLMRLGMIFHNIPHPRPPSPSFSRSFPS GSSKVVLQFYCPPGYSQTRKEGRRLPVVVNFHGGGFTLGGPSDDSRWAQAVLSEVGAV VVSVGYRRAPEHPFPAAVDDGVLALQYLASHAVELGLDISRIALSGFSAGGNLAVTVP LRFRDMLIQAEHEGWLSRADSTVQLVSPTASDLHIVALFCWYPILDFEEPREHRRAMS IEPNKTLPSFFTNLFDESYLPDLEQRKSPYASPVHATDDALRDSLPHDIFFFICEWDM LLNEGQLFCRRLQDINKHVRAMMVEKARHAWDKSPNPFRNTTEVNILYKDACADMKAI FEK ANIA_00312 MEEMDLSLSPSSSIASDADGQPSPRKKTRVQFDEDVEMREISYN AETQGGSQWTTDKSAAVVREEVRRAIQRHVSGGDSEAYDRVKEVFSINPRRLDANGMP PSNVPTHTTLKHHLMGLLSNVAALDRSCNGLVQAVLDSEWLGRDESYIKLYIRFLGNL AAAQGSYLGSVLKMLANYMGELPRGTGRLPGYPHVHPAEAYTRVHMAMRHVLQLVPSG SGSLSQIISSQFPFDTDSAKANIAYTQNLVKVIRYAPELQADILALITEKLVKIDVQI QVDMEDLEDEEGEEVLHAISPEAVMFAEDLDDEDDDDNASVVSDESVEPESRRLKTIK ENILKLDGMIDTLFEYYAPPFTSGTLDDKENALDLLLSHFSTIILPTYRSRHSQFLLF HFSQSSPILVDRFAATCVQLIFNKAQPAILRQSAAAYLASFVARGAHISSEVVRDVFD LLGTHLNNLRLDYEPSCRGPDLRRFGPFYSTAQALLYIFCFRWRDLTTAAIEGDTPEQ IDELEPEDITFPPSIRDVLHKAILSKLNPLKVCSPAIVSQFARMSQHLNFIYVFTILE TNKRLRMSTYRNIAALSDPRYSHVERETRAGDDLGYQLDAYFPFDPYQLPRSRRWLEG DYVEWRGIPGLDDRDDDDDSDDLEELSDDEDDLSDGTETDHE ANIA_00311 MSTLTRAFTKRSKRIEVSSPMPYREGQVKFSSGTIKRGKISGPV ELLSTTNMLAYNAPDLHSASSSSTSSLQSPDDSDRSFSQHSFSSPLTTPDDSPIEPNP LSGYFPKRSATVTSHPRSSSSTTSSNDAPMVPRRALSHTKRNHQELARQRSLKRMSPP PSSSSNPERLAYDTYHAEPHPFGKELEQVNEVVEEFGGAPLFDEEEQILRRKGLKKFS VNDYLVEIEDLYGSIFNDRLGPIASTSWL ANIA_00310 MSSMITTSAWVRRGVAAQFPTKYEIDEKEMDRISKLARMQLEDA REDLSAAQGNGPVVDKDEDAMEEDNVEKDNKKDAPVKIDDDDLKEYDLEHYDSDEVDE DGEKITMFGNVKSLAYHQPNEEDPYLVVPEDEEDEEREELQILPTDNLLLAGKVEDEV AHLEVYVYEDEADNLYVHHDIMLPAIPLCLEWLDFPVGKSGENGGATGNFVAVGTMEP DIEVWDLDVVDSMYPNAILGQGGADADTDAKKPRKKKKVKANDEFHVDSVLALAANRQ HRNLLASGSADRTVKLWDLNTAKSAKSYTHHTDKVCSLDWHPKESTVLLTGSYDRTIV AADMRAPDSKARWGVDADVENVRWDIHDPNYFYATTDAGMVYRYDIRNVPATPKDSKP VWSLQAHDSSVSSFDINPAIPGFLATGSTDKQVKLWNVENDKPSMVVSRKLEVGKVFS TTFAPDAEVGFRLAVAGSKGTVQIWDTSTNAAVRRAFVSRLPSLQGEVQERMVGMQPD QDESDDDGAPETGAAGGADGWESMDED ANIA_00309 MHSHLHTPYNINCEEIMTALDECHAKGFLHKALGNCNDIKRDVN KCLAGERYERAKRNREDAREKRKRIEKIWADERAAALGPSACEGTTSPAAAAAAAAAA GMEKQ ANIA_10052 MRAKRSKKYRKLMHQYELAFGFREPYQVLVDSNLLRAVHSFKMD LIPALERTLQGQVKPLLTKCSLASIMAGQPTNPRTNNPIRPDFLPPPTTLPLRHCSHN EDSTPIDETSCLLSLLSPLSDVKRNKEHYILATADPPAPKSNSATQQEIGKKRKRDAD DGLQALKRAQALRRGARSIPGVPIVYVKRSVMILEPMSAPSEGIREGVEEDKLRTGLT DQSVQKSGGPDGGEKKKKREPKKAKGPNPLSVKKPKKRVEQKATAERERPRDEAEKRD SEKAAEDGEAAPKPKRRRRHHKGAKQGDSGGDETEAIATAPIEATDQ ANIA_10064 MVPRIPGTPSAVSSSSSSLLRASATSSLPIDPRWSWGLKKTLVR RYHRAVWPSYGITTPVPDRSPHFPILKSPFYFQTGYALCAKRTSRPFPPPFLSPPSHS FSDPLTTHYHSQDKRLSVKGELIRGLNNGDDAVLVTENFLGVNDGVGAWATKPRGHAA LWSRLILHFWALEVERIPSPDAAIDPIAYLQRAYEETTQATTSPSEWFGTTTSVTALL HKTLDGSGTEKPLLYVTNIGDCKVLVIRPSEKKVIFRTEEQWHWFDCPMQLGTNSMDT PQKDAVLSLVDLEEGDIVLAVSDGVLDNLWEHEVLSITLEGLDKWEHGRYNDKELEWA PPAVLAEEQMVFLARELLKSALAVAQDPFAESPYMEKAVEEGLAIQGGESDYIFDSSE PSSDYFR ANIA_10065 MGSNRSYSHFWDLTLRKFFPKRESLSGTTTGSRSQSSWGGPGSS PTDEHTDGFYKYSQEVLEQEKHDGFRLRSSDSTAGSYASALMGPSDRPPLPYTVSAPD WNIFSPYVKYLDELENDSVCDWLGSVLSVWTGVYAVSKVVVMAFMHYGVELEDTPDGI LAHTKRAEELVVSNSIIDLIDNTESLYYAVYARLIMEIAAADLCASFTLEVRRLATNS TFILPEPRQLCKILFNCKQALDGSTICVNLNKELVRQHQLDFIRRASDKIQDAGFVLD DLIGYRQHAIKIVSDKSSNFRQKWGKASVLYEMPPENVLALQSEKYLSLSPKPAVQQV VPLLRLPFIEPDTIPTSIRERDIIMDNRQATLAKLEGKCVGEARRTEGRRRLIDLVRE RKCICRSVCICAHDCTQDVERHCPCSERLLSLMVAKRRNSVGPLPFGPRCSALAKAIF HGIASVRPDADDIELTAEIDRATMIFIEEVRKQRSANIFSHGAGI ANIA_00307 MTDWIRLLPPEVVLQILSNLSFNDLLAFGATSRMNNEYHIASLR RLRLGVFEKRVHSIISLLQAGWASPEQLGSAWVDNETEHNYTISIVQHQKRAIKQWPL RRDLRQIINPDQQILTQHDRPQTQERMIRLQNQIFARVLQRYGRSLRNLEFMAYDLNL EGATALGSYCQHTLRSLALRFEHPHIRDGVMRPSIWLHPAPANEGWNSLIGVGRTKNI GLCNLECLILERAGITPWQLMMLVKRNPSLTTLKLRTCRGATPEFLYWLGGLNSDLDD SETRLDGPAPGADLEVLALEHCHRLLEHPIDECNHQSYGELDSGFEWVRSLSNLRSLS FSESTHIPSKLIDRANKIIWKIPEVILPYDPYDGNTPIAVDPRWK ANIA_00306 MLLLDYENVLIRSLLTERFSGAPPVSIDQIVSDFDGVTFHLSTP EVKTKILISLNVKCFRELVQYGAQQVLEREYGPYIVAPEPGYDFSVLIDLENLPDDQT AREDLITRLALLKRNAMAAPFEKAFDEFAQLAEEASKYTSEAAPAGVAEGGEVMAIHY REEEAIYIKASHDRVTVIFSTVFREETDRIFGKVFLQEFVDARRRVATLQNAPQVLFR NDPPLELAGVPGLQDAGDGKISYITFVLFPRHLTPQRRQENISHIQTFRDYFHYHIKA SKAYIHTRMRKRTADFLQVLNRARPENEERERKTASGRTFRVQG ANIA_00305 MVKSYLKFEHSKTFGVITSASSNAIWVRDDAIAGISRQTGAGRS VVGAGEEVLCWDIKKGELLGRWKDSACRAQVTVITQSKTDEDIFAVGYEDGSIRLWDS RTETVMISFNGHKSAITQLAFDNAGVRLASGSRDTNIILWDLISEVGLFKLRGHTDQI TSLHFLVPTLELLNEAGLSEHAGFLITTGKDALIKVWDLASQHCIETHIAQSNGECWS LGLAPDQSGCITGGNDGELKAWSIDEGAMIEISKEKTGSENRRILADRGSFYRNGKDR TTGISFHPRADYVAFHGSEKAVEIWRIRSETEVQKSLARKRKRRKEKEAQRAAETGET AEKTENGKAEDDVSTAPVTEVFVSHTIVRTGGKVRSFDWIRTKSSGKIQLLAATTNNQ LEAYSVTTLNKKSKSEGDVEYNRTLAIDIPGHRTDIRSVALSSDDRMLASASNGSLKV WNVRTQNCLRTLECGYALCSAFLPGDKIVVVGNKDGELEIFDIASSTLLDTIKAHDGP VWSLQVHPDGKSLVSGSADKSAKFWNFQVVQEEIPGTKRTTPRLRLVHTRTLKVSDDI LSVRFSPDARLLAVALLDNTVKVFFNDSLKLFLNLYGHKLPVLNMDISWDSKLIVTCS ADKTVRLWGLDFGDCHKSFLAHEDSIMAVAFVPSNNEGNGHNFFSVSKDRVIKYWDGD KFEHIQKLSGHHGEIWAMAISHTGEFIVTASHDKSIRTWEQTDEQLFLEEEREKELEE IYDNNLAASLEEEEGGADGEKAEAVDAGKQTTDTLMAGEKIMEALDLGMEDLEVMREW RVIKAKNPNAAPPARNPLYMALNNISAEQHLLNVVQKIPPAALQDALLVLPFSKIPAL FTFLNIWASREWNVPLTCRVLFFMLKTHHRQIVASKLMRPMLDSIRSSLRRVLTRQKD EMGFNLSALQFVGEQVKAHGTKDYIDEQAWEEEQRTTGTGKKRVFSSIA ANIA_00304 MRELDPLVGVYQHDRHRPRESEALLILRKIASLVRPIMRRRSWR VGTLCEFFPQQRNLLGLNVNGGEKICLRLRHAGDQSQFLPLDQVVDTMLHELCHIVHG PHNQQFHALWNQLRDEHTELTMKGYTGEGFLSHGRRLGGAKVPIDEARRRARAAAEQR RTLYAGSGQRVGGTGPARGADMRKVIADAAQRRIEVTQGCASGADNSTELAEEASRNG FRTKAEEDDANEQAIMQVYIDLIEEEEREKYGPSYIPPSQDNPAGSRTTLTPPPVPEH SKPSLPLVCRILDLSGLHAGKPIQLSVLRCMCIRTSSACHFPVGFWAPQHGEVQLHDY AQ ANIA_00303 MTSDDQFFFDYLASVPHDVRRYANSVAEAIDRHVDHVADAVRDT LSHQSWLPPSVRPSPIVRHFSSRPQSLYDRVHSWVIQNRAWSAAILAFVGTTGVLYLG DKKLRTKRRKAKRSANGARKEIIAVAGSPHEPMTRSIAADLDRRGYIVYITVSSAEEE HLVRAENRPDIRPLWLDLTTLPSSPSEIHSSLNEIHSLITQPHAPMAGVPPHVCQLSG LILVPSPKFVAGPVATIPPSSWVDTINTRLLSPILTTQLFLPLLTLRNTNSTIILVYP SISSSLSAPFAGPEVATARALSGFATSLRRELSLLQHHNIDLVELKLGNIDLGPQYRA PNSHIAGTEVLTWSVQQRALYGPQYLNSIEQKPVASAGPGYIRGSPARALHNALLDAL EPTSKNIFGQRTAKKPVRYAGRGARTYHWIGQWTPPGLVGWMLGLRKGHASPVERASE SGSETGWEKVDQ ANIA_11266 MASQLENVLLPFRPHRPGVGLNHYRVARGPTVSYSGGIRLLAI ANIA_00302 MAYRPQFGNRSPSNEKFRSGAASPRVEHFDGEIPPALSPLDAFA FQGRLLARQLEDSARRDRRMSRLPPSSVARSLSRPRPGYFRSQSSNDAQRPEGGLARQ PTQRARPEPEEPRFRPQSEHPRLSAVSHLASEKNYSGDEDETPKNESHSPAVDNECFK FDAVRAESPEEDVQIQASTEAPRRFYAASPTGVAPPGLSKASSTDSVSSRLNINRGLA PPASPMLRPSSSPRLQPESSDDDYSSNAGSTFSTSRKLSSASAMSMPHSPMPSTARSH PRSPSISSDASNSSHLPRPSFNFSRPMSRSSTSLSAPIGLGMSEQSSADTQGVMNRSN KPGPIVVPGPSDMPTTPSDEPSSAVSSYIYTKYTLPRGRGVPRDSVVFSGLQTPHFEW QEPLFESPEQRPKTAEPRESARTPSPSSTRQESPAPQKARSVYEAPASDDKLLTPVVS RSSANTTPLPDKSEPENVSPRARSSEVPRNDDVSETTSSADSASTLRPQTAKTAVPSA AITAEEHVAKGIQLHEKGSLNESTYHLRVAAKQDHPVAMLLYALACRHGWGMRPNQQE GVRWLRKAMDSVGLELSAESDPNVSAKSKELQKQYRAQFALSVYELGVSHLNGWGVEQ DKALALRCFETAGRWGDVDALAEAGYCYAEGIGCKKDMKKAAKFYREAEAKGMSMVGN SWIYKEKYMSDDGSDTRSRGRGRHAHTPDKKGRSKSRTRSLFHRKKSNTTEA ANIA_00301 MADKAAVEEVPVGTSAKPSFGARVKAHFKKWWWAHLIAFIVVVL VVVLPVVYVGYPNIAQHDIDDSTLTVESMQISDPSPDGFHLTQRQVIGSDSMFHPKIF EFDADVSLLGSPVFATVKVPQVKADDGAVVNVDQWLDLTDAGAFGDFATAVMMSEEFH LNVYGEPRLKQGGLPTIDVDYNKTVTMKGLNKLDGFDIKSLEMVDDRNDGNNAVGSVY IPNPSVLQLDMGNLTLDVSLQNGTSLGQSFLNDLVLKPGDNTVEMLGNMDQDLLFSMV LAGERVIPLRIVGNSSVYNGQEIPYFTKALAANVLTIDLNLTATLA ANIA_00300 MGLRVKALAVAALATLSQASPVLYTREDTTSNTTYAFTNSNGLN FTQMNTTLPNVTIFATGGTIAGSAASNTATTGYQAGALGIQTLIDAVPEMLSVANIAG VQISNVGSPDVTSTILLEMAHRLNKVVCEDPSMAGAVVTHGTDTLEETAFFLDATVNC GKPIVIVGAMRPATAISADGPYNLLQAVTVASTKEARNRGAMVVMNDRIASAYYVSKT NANTMDTFKAVEMGYLGAIISNTPFFYYPAVQPSGKTTVDVSNVTSIPRVDILYSFQD MTNDTLYSSIENGAKGVVIAGSGAGSVDTAFSTAIDDIISNQGVPIVQSTRTGNGEVP YSAEGGISSGFLNPAKSRILLGLLLAQGGKGTEEIRAVFGKVAV ANIA_00299 MFSGSIFPHWRRKAAERFSGSSSEIPFFLNAAYYPNWRIYRKQP PSSLRLGFISHIFYAFAWVKEDGTVYLSDEWADTQMPVDGTTGCLRAFAQLKPQYSKL KLILSIGGGGKGSENFAAVARSKSCTETFAQSAKRLVDEFGLDGIDVDWEHPADSQQG QDYVRLLARLREYFPPPYVLATCLPAGQWALQHIDLKKAQMYVDMINIMGYDFAGPWG SETGHHAQLYSPSYGSGVSCQSAVEYVLGQGVHRKKLLLGIPAYGRSFLGSDNIGQRY AGCGGEDGVFDYCELPRPGATEYHDEEVAAAYCVGGDGGFVTYDTPKTVQQKARFVTR EQLGGLFYWHIGGDTRGPRSLIETGYNTLHEM ANIA_00298 MAVDVGHVASQLVSTDRTREPGFDRFSFVPFLRKSYGFGLVTDV PVCKAYSEGHCPLGPACPDRHPTPSRVTTSTTTASGLAPSTTHGSLVCKHFLKGLCKK GMKCEYLHEYNLRRMPECQSFSRSGYCPNGDDCLYQHVREQARLPPCEHYDQGFCPLG PLCAKRHVRRRLCPYYVAGFCPEGPNCANAHPRWSENLPKPTIRVEKTEEELEHERNL IREEQEREREREREWRNERGRGGFGMRGRYRGRGRG ANIA_00297 MAPKIAIVFYSMYGHVEKLAQAELKGIQAAGGNADLYQIQETLP EEVLAKMHAPPKSSVPTLEKPEQLLEYDAVLFGIPTRYGNFPAQWKAFWDRTGGIWAT GGFFGKYAGLFVSTGTLGGGQESTAIAAMSTLTHHGFLYVPLGYKTAFPLLSNLEEIH GGSAWGAGTFAGADGSRQPTKLELEIAETQGKSFYEHVSRVNFA ANIA_00296 MDNPSKRKLDQHATTGAATGDTDNASDKRRRVIGPSLPPPTSNT DNHSDSSNNPDSDSDDDDFGPSLPPPSGSVPQQPAPEQSLSRHDISEVESKPAPKRDA WMLAPPTGGSDRSRVDPTKLRNRKFQSGPRVGNAPAGRGGVDSSWTETPEEKIRRLQD EAMGISSSSGPDAGGVGARGDDKVAQAMREKVQRYNERVRGEDASSLVEEKKRKDKEK GEKEDEDDPSKRAFDKEKDMALSSKLTNAQRRELMSKAADFGSRFSKGKFL ANIA_00295 MTSRTIILRFESRNGQFRLNVSPQDMFPSLETKILEHLPPDTEP SSIKLSNKPIGAAGDERFLNTLDGVSFEQVGLRSGHGDKLYIGYQSKQDLQDGASNGT AAGSSARRLNGAPINQEITPTSRAQADRVASVTVKNPWDAVRQSALDDRLEKKDGKIH RSRDNKMCKHSAKGMCDYCMPLEPYDPKYLAEKKIKHLSFHSYLRKINAATNKPELKS SFMPPLSEPYYRVRTDCPSGHPPWPEGICTKCQPSAISLQPQEYRMVDHVEFSTPDLI NSLLDFWRKSGTQRLGYLYGTYEEYDEVPLGIKAVVQAIYEPPQVDEVDGVTLHEWEN EKDVDEIARLCGLEKVGVIFTDLLDAGRGDGSVLCKRHIDSYYLSSLEIAFASRLQMQ QPKATRWSRTGYFGSNFVTCVLSGDEEGAITISSYQASVAAVEMIRADIIEPSAEPSV MLVQSEDDDTNKSRYIPEVFYRKINEYGVSAQVNAKPAFPVEYLLVTLTHGFPTESKP LFIDSTYPIENREVIGEGQEFHSLARKLVSHGDPQKAIRAVSDFHLLCFLRTFSTFSK EEEALLCRVATTQNPTDGLQLINTPGWATLVTILQDSGERPPKRPWLDPSHPVPQPGK RYSPSSRHESPRSESEQLAKRFKGASLR ANIA_00294 MVNFINRMLGRDGERHEGGNEGEHRAPDERTQLLPREREQYLSP DDPALLDQVSPYNLWSVRALRGLSTAFLAVSFIWWTFLLVSLFVSAPALHTRGSGFFA FAYTTLTVGYILFGLLFFSVPSKPMTVWGFVLAIFLFIDMCITVAVPSIRVEEGWVGI ASVVWAFLMALYNIIQNRYVAWGKREEEERLTGREETRWTLREWLAVLTETLFMALIA IISILFMITLALRARDATLHAPGQKYWVSAHNYQVHLDCVGNSSYSNSPSTVLLEAGE GPVEHTLQPFINELYQKGAIPRYCYWDRPGMAWSDNAPSPFSAGMASDALSEALALAG EEGPFVLLSAGIGSIYSRIFASRHLLETKGIFLIDPLHEDYLSDIASPGRGFWLWIRG ILSPLGLDRIAGAIFRHRTREDRVFGQSAYQTGKFIRAKLQENLVAGSMTSSEIQAAR HVQMADTPLIVVSSGDKVGNDKKWADKQEDSTAITKNLKNWDIVQDAPHEVWRTTEGR QLLEERLREMVSGE ANIA_00293 MSDLQKSFAKSKLAKLPPEPPPIPESVADEDDDSGSSTETVTPS PVKQLFARPGGTRGFFDQELYLQRRVNDLDIVHHVYLTSPTNSGPLFVMHHGAGSSGL SFANCAAEIRKILPNAGILSADARDHGSTSVKRASEDGEADPETARLDLSLDTLNQDL LFVIRETQAKMGWETLPDIVLVGHSLGGAVITDVAKKGELGGKLLAYAVLDVVEGSAM DALQSMETYLSTRPSRFPSLPSGIEWHTRSRTIRNRTSARVSVPSLLYHEDVPKDPSK PWVWRTNLAETKPFWEGWFVGLSRKFLEARGGKLLLLAGTDRLDKELMIGQMQGKYQL QVFPDAGHFIQEDQPARTAQILVDFYKRNDRSALVLPPKVADMQASAAMKKGAGAGVP LGKAEGGTTGSFKRS ANIA_00292 MVTSLYTPEARQFFDGNKNLFLPEHTEDVRALEPISLPEHVQDN SIAKLYRGNKYRLVLSNPAFGSLMQFLESKQKEGGSVMSAILSSYCTIITKERAADDR FSFAALLSQAGMGQSFPTEDEGIPGHHPGSAYTGDNPAMAGTLPRLRLAKLSMEQALE GDVRAELADEDAKNPPGPGQNSLTQELDQMIKKEEDDEAPTRADIPYPPSTARDVAIE VQKVKENRDRFRIESRTGGVGPAVSVCMFTFHNTYDGINCLDFSDDNLLVAAGMQESY IRVWSLDGKKIPSDDSADEPPTNSRRLIGHSGPVYAVAFAPSATPSENAVAPTNARWL LSSSADRTIRLWSLDLWQCMVVYKGHDQPVWDLQWGPFGHYFVSGGHDKTARLWVTDH IRQQRIFVGHEQDVDCVCFHPNSAYVFTGSSDRTVRMWAVTTGNAVRMFTGHTGNITA LACSRDGKTLASADDHGSILLWDLGPGRLLKRMRGHGKGGIWSLSWSVESNVLVSGGA DGTVRVWDVMGPAHDPSQGRVAGEGGAGTKIDGASSAAANSTQTPGTVGPGMGSGKKK GKDVVVTPDQISAFPTKKSPVYKVKFTNMNLIIAGGAYLP ANIA_00291 MTESNDSGEPFQVFTSADRIRQLNEIDKDVAKLIHSAGLAIQAL TNARSNDSTTLASADNSLDSHKARFKEATSQYFALLSSIDVRLRRQVYALEEASILAP DSSSRTGDSGSGAAAGGASNPLDVSWLNSRKDTVGKEKEAELWAAARQFVQQIHQANS EDKATVKVEGEQETMEVD ANIA_00290 MADAQFDSALDLLRRLNPRDTKQNLQAITSIVPDLTEDLLSSVD QPLEIRRCPKTKRDYLLCDYNRDGDSYRSPWSNEFDPPLDDGTVPSERVRRLEVAANE AFDVYRELYYEGGVGSVYFWDLDDGFAGVILLKKGVSPGGKHSGEWDSIHVFEATDRG RMAHYKLTSTVILHLSNENEALGEMDLSGNMTRQIEVDMNVDSDASHVANVGKLVEDM ELKMRNLLQEVYFGKAKDVVGELRSIGPLSETNRDRATHQEMIRGLQR ANIA_10063 MRTAVAERDGHSTGQEQDFGDDEDLTAAIEASLQSFEEENRTEA KSANLKSSGDAPAFAPSDSVNLIDDIPDDAFDSDLSLSPPTTQAPKIPWTTSEARNRP LGVRQTTLFDIAARSSATQPLVGKQITVPPDKVEPPTQHKLNQDALETWVYPTNLGKT RDYQFNITQKGLFYNLLVALPTGLGKTFIAATIMLNWLRWTKSAQIIFVAPTKPLVAQ QISACLRIAGIPRSQTTMLTGEAPPGIRAEEWKSKRVFFMTPQTLTNDLKSGIADPKR IVLLVVDEAHRATGGYAYVEVVKFLRRFNQSFRVLALTATPGSTVESVQAVIDGLDIA RVEIRTEHSLDIREYVHARNTDIQTFQNSDEMILCMDLLSSTLQPLVDQLRTLNAFWG RDPMSLTPYGLTKARQQWMLSDAGRNAHFGLKNKINAIFTVLASMAHGIDLLKYHGIT PLYRHLLHFQSNTDGKKGGKYQRQIVQDEHFKKLMNYLTPWTRNPEFIGHPKLEYLRQ VVLNHFMDAGEGTHGAGNENQTATRVMIFVHFRDSAEEVTRVLKRYEPMIRPHVFVGQ SSAKGSEGMGQKTQLDIIQKFKKGTYNTIVATSIGEEGLDIGEVDLIVCYDSSASPIR MLQRMGRTGRKRAGNIVLLLMQGKEEENYLKAKDNYEKMQQLIASGSQFTFHDDRSPR IIPRGIKPVPDKRHVDIPNENEASDLPEPKKRKGRVPKKPAKQFHMPDNVETGFTKAS SLTGTSKPAAKPRTKQNETRKARIPTPEPVPVPDINDVLLTPAQQKELEQHYQNVGGT SPEFIRYPRSDAFPKLQSIGRPTKMVGHGSLTQRVVDALQRINQIGADCEERFKEVLD REAKLSFEESLLSSKCATAERRLSSANSGRKLNAPQFPLGDSGDIDTDVDFPSPSKLL SDILGYQQPKPFQPPRKVSRIEDDFNFPNPTALLTPDAERRDHPKRRRIVLDNDSDE ANIA_09450 MKVSLVTLTSVLALVAAQDSSSSSESSTETSTAPTTTPSLTAAE RCALNCGDNDLCCVAACYSVPCPSDQQANNTNTCVSQCDQGDGSEEDIQAYAECQARC ISSTYFPGTVTVTSAPTGSSTGSNTATTTSSGDDADSTDDSNSDDSSATSTNGDEATA TGSGDEPSDTNAAARLGVSAAGLAGLLMAAWAL ANIA_09449 MSEDPLIAPISAFVTKCMKDYDPSHNPAHINRVVNLALRILQSE EAKTPKPIDKLTVHLAALLHDISDRKYLPQDSEQDANTIPPHKLVEYILLKHGADATL AQRVQTIVSHVSYTTECKDPSAIRRLIKDEGLVELAIVQDADRLDALGAIGIGRCFTF LGAKGRDMLKEGEEWEMENSIRHFGEKLERLEGMMKTETGKKMARSRTERLVEFRRWW EEEIGDISSN ANIA_09448 MLPAASDDLSRQQSRLPGDIGPVEDTIPAKPDNETGGKSKKKGK KNKGAKVTSRVPVDDLIEGSSPSQAEPSSYPDLPPEPQTEPTTIASPVLDALGSLRDT PEQDFAYRTNNWAKSIPFGKSPPKDGYEDDFVSGSPFGGFLTSQDKGGFSHPSSASPP PRTRPMSYGNGYSSGAFSRQQSADRHKSQSANIPFSDRIPPPHLPQPHFYRAPDIDIP SLSGQSRTPTDSQYSFCAFDTISSPPFKSSRMGGTVLLVGSDGTLEVLTIEDRKARPI GRLNGLNGRVVEAKILAGDNLASGSRPHVAIILHGPCIPSDDEGHVSSNGSESNDLPT GGRYSSADRRQIRDDTRFYQTRVEVYSLRTGDHISTLFTTKPSPCFENLPGLANLAPS PIGNLRLFTSGSYLILGSGISGEIYIYNINGYQCLGKTWTSIQSREARRYSTSSSSTD PDGSRNDSPHGTAKADNPIVALNGRWLAIVPPSSTYRASLGGTVPAPLVKGKIFGLET RSPPSRPATTCVTDVGEGESFLDKVARGVTQEFVRGARWMSDQGLQAWNSYWNKDQQQ NSQQRRSQAMDFPQGYSIFPPTHAQDTQNTSPAEPDLVSILDLKKLDEGGDSKSAIMF SPVATFQVPNGCSFLSFSPSGLMLLTASKKGDVQYIWDLMQAKHCRAGLFLTEDSATV SASVRQVARYSRLTESHIVDVIWCPPSGDRLAVITLKGTVHVFDLPRTAFQWPPFRRA RPTRGRQSPKDVGSEELTMRTTNANPLSTAIKLVGGKTQPIFEAVRGRAPSAGAAFPA MNGFTIPTAAGIGGKAVAAGLSKSMGAAASGTVNTLRHVGENRLHISGFTREPAASRV TWVLHKGQPFLALVANGYFRLYRIKRSMLPNRSRQPQLVVGSKEVEFRLPANLSSSCG PMGISSFNPELMVCASLTLPSATARPSASSKLKCQPLSQAELETNAPYQPFHTDQRVS LFTYSETGEADGSTTATPGGQWVFGGSMGMSKLHVRRVSISSEDEGDVAMHELQQGPG GGIVNTISMGNSTGNVEEVVITTRRKKKHSSPFQAGGEDGFFEDDCEVLDFAVDRV ANIA_11234 MLGQLVGSAMLLAATAIFLYYTAWTLLMPFVDPGHPLHAFFPPR VWAIRIPVFLTLLGSAVVGTFIGIVMINSNKKKAAKAKAAAAKKKT ANIA_00289 MKAQAASLPFTPIYAAMAAIVNTKLPQVGELLLSRLIIQFRKAF KRNDKAVCISSTTFIAHLCNQQVVHEMLAAQILLLLLHKPTDDSVEIAVGLTREVGQH LEEMSGPIALAVFDQFRNILHEADIDKRVQYMIEVLFQVRKDRYKDNPAIKEELDLVE EEDQITHRIGLDDEIETQDGLNIFKYDPQWEEHEEAYKKLKAEILGEGSDDEDESGED DESSDEESEEERQMDIKDQSNTDLVNLRRTIYLTIMSSIDFEECCHKLMKISLPAGLE PELPSMIIECCSQERTYSKFYGLIGERFAKINRLWSDLFENAFAKYYDTIHRYETNRL RNIARFFGHMLSTDALGWHVLSVIHLNEEETTSSSRIFIKILFQDLAEHLGLPKLRER MTDELLRPSFEGLFPTDNPRNTRFSINYFTSIGFGVLTEELREFLKNMPKPEVPALPP ARSPSVSSRSSYSSRSRSSSYSYSRSPSYSRSPSRSRSRRRSISRGRSYSRSVSGSSR RSYTRSSYTPSRSRSPAPRSRRRSVSYSRSLSRSRSSPRRTRRGRTDSRSPPPRRSLS RSVSRSLTPPRRGGRARSYSRSPSRSLSRSVSPPPRRASARRRYSSESISPPGRARRS IPGSRSPRRPPPSRRARNYSVSRSPSPRYGRNQRRRNSSPSRSPSPPPRRRPRSPSTP PRR ANIA_00288 MAIDTERLLQDRAAATTELYADPHNPSRHLTRARIHERLGFPDL ASADAYRALSLLDSVVDPDGCEFHARKKVTPAPTPTPAKVPEEDEQVEEEEDEDENDG FEPITEEEYRSMIGDTYCLLVNGLKQCGCLRDAYEFAHRGRVVLDELLTDGKEIEGLQ VLKQELTNLTLEIGRESRMSNGAGKGQGLADDCGEFNDKTRLDPSKLKAQGFARRILY PWNEHEPNRKSPETLRLLNERLRDIAPKCEVRAVALPVLHETTTANKNKEKEDEGEQE EEEVSIQLGLFAKEDLAPGETILHESSLLTATNRLHDDTCDACNGPLPPLSSENPPVA CAECYDIIFCSQTCHDQAQVTYHGAVCGLMENLESIGKDIPDPKDKADYLYLLLLGRA LAMAQTQEVNPLDLDEVKYIWGDFVPYVTSELVSSPPAKQQNLKSDSVEEQTKRHRQR LETLPFSFHLSILQPMRIVEEMGLDPYATLHRYDTWILNTLYAKFRGTASGRLSTWDG GPETCAVHPLWCLANHSCDPNVRWEWGGEIAFVVRGDEERVVWKRQGEEREKAKGGIR KDEEILNHYCDVELNVKERREWAAGALGGMCLCKSSIWYE ANIA_00287 MTRSLYDRIWLREAGGGSTKANIRGIYGSKEWVNDLDIVNELGG HTGCVNALSWSRSGRLLASGSDDFHLNIYSYQPDSSTAPFALNTSVFTGHTGNIFSVA FMPHSNDQTLVTAAGDSQVRVFDIEYSSSRNGSQGIPPAFANQARNPHLNRFFGNTRY LGVGNTNARIYRSHADRVKRIVTESSPYLFLTCSEDGEVRQWDLRLPSSAYPKPRGGL LYMTQRVGEGHDDSNVPPPLISYKRHRIDLNTISCSPSQPHYIALGGAHLHCFLHDRR MLGRDMTAERGDPGTSPGIGSGYDEELMGQATRCVRRFAPNGKTRTKSRYKGHITACK ISNANPNEMIVSWSGDHIYSFDLVRSPDALSTQEQQSSVQGQSSSRKRRSSKDRKRKR QPRTSQSSQSSQSSGGRRRSARRSEETHGEGELAVRVRYGNGETEDIPFPSLLHEGST ASAVASAEAMEQARYSVLNEVQRQSLRIAKGLVKLRKALFSLEASAREHANMATPFSV VAFHDSFSSALMLALTFLPQMEEIMRTWRYPLNPTPEIVRVQQTLRRNRESAYRFVQA AGTLARALGGDVQDYGVDDDVLEKFQQIGPAPGEDNVIGRREQFGYDFLKALILFAEG GRSAVLAGFKRSAAHGRNRDRFPIPQFATEGALEAVLIPYLHDLADDSLVVNVDSSRF EHDETRILFPSQKAAVDAFENALRSAIPNDTASGTEAGEGCANGADGTNRLGGSDSSA TDPATSTTHFWLLSVGRGILMDAGTGVNFEFVNRSYGGLNATVEDDSDEEIEVERSQD DTEANVEEEAIQDISLAINRGSSQQSHRARSDEIPESQTPMSTDTGSEMENFGIAVAS SSSDEHENDEDDDDDDDEDMDDSSESDAAERLFRSYGFERSGREDVENHVPCSSHTRV YRGHCNVKTVKDANFFGLNDEYVVSGSDSGHLFIWERDTCKLVNILKGDDEVVNVVQG HPYEPTIAASGIDDTIKIFSPDQRAQEDARNGINILDPNNPANTLGGGYGGLKSAKCM HDSYRIMSENDVQRQGGMSDAFLTRHMLAQFAATVRQQQGGLVPGEHSRIVLDENCSV M ANIA_00286 MPIPTRSVSTRETRKQPAANVGRTVSNLKPSTTSQLTDEAPSKT ETTRIASSNLTRRQSLVRPSQLRIPSSAKPTTLPTSTRSVGRIPTSPVKRNVTVRQQN GEPVVPKKTDMPPPARPVRSSSLRQPTRSSTVTSTVPRGHARHQSQIVTPSSRNLAMP STATSTASKTRNQFSTYQQQFSPKKTQEPTASTPDNGPDEASSLIPSSWPEVAALQTE LLQLSLLYQSMQQRNDRWQRDAQAHLRKKYTSVAGDYKAILNEEKELQRRLNGQALHS WLRNSGEHNGQQRFAEHVQLLSQVADEVYGLSDSHGRHAIAILEFEEWLQTVEKIQEA RANIGHEGDDFIEPIRREWREETNALIMKLELASRQLQSLDILGHEDVEALSRSALIR MAKGLGDLVSQMVEELNVIRKIEADIVKSEKSRVSEMAQQLVEMPPIEELAMPRTGLW TRPALKS ANIA_00285 MPGPAPNLFSFQDTDALAKQLRPYVLRNQNAALSRHNTFRVAVS GGSLPTVLAKALLAPGDGTPEDTAQFSKWEIFFADERAVPLDHEDSNYRLLKDELLSK IPSELGSPNVHTIDEKHVNGDPQELADLYQEELMRSFAAKDSVKLPVFDLILLGCGPD GHTCSLFPGHELLREKDAWVSAISDSPKPPPKRITLTLPVVTHAVSIAFVATGGGKKD ILKQIFDAEEGRSLPSALVNQGGGEKVSWFTDHAAVDGVAFPRRGSL ANIA_00284 MQNAFYTISHHHFLWIMHNTSSKAKHIRDSLASVWIRPPLPSVA LQLQGIDLSDCSWFSPALFIQDAVSYILPLIHIECSILGQHLTEATRMTWHVGGYSLT VGTFILVAALDRSLSLLFNRLITWHVQLLYFALAFDFDELDSLDRCDQVAGRAGHSKR EISITSHNFKIRQRLQWVDFIGRHTESDPRDPGGVSDQAVMYPRNHVIRCKTDSSVPE RHCPHPSPTLRRSVTLACQGFTCCQQFIDLFSWNLQEELSSIGRCYCCCISLPCLVPL SQVFGGPSRCIFWLAHDNITLLDICMSSWYSSTKYKPLG ANIA_00283 MAETLRVTQLALRGTLPGGPPGRPCGTLVAFGGPERAVNEFSTN DRAATEAARGLYKAALLAMLAVREPQIWQNNSKALLGQMHACKCAAALAALVAGEEEE EEEEEEEEEEEEDEEEEENARRRKGKAPATSARKGVHFASHDTREHADRVPRRAQRLH TCWPWQPDRYLPPLLPRKLSPRRCSW ANIA_10051 MTTRQNDERRPNHTVDSADSICTISCTSYLMTSLKHKYGDIQSR KVN ANIA_10050 MSSSSVQVTRPPSSAGTGKDVANTSAPGTMSFDIIRCSRCQKSL SIESDSTPGVVRFGMNSYYCSRCASMVGFIR ANIA_00282 MSFPPRGKGRGSRNRGTEEEYVLFLQGIPPRCRWQELKDLVRQT ALHIRQAVVYDDHHGFPTGLGQIIVKEEDEAWRTYHRLSTNGWEGQSLVVTLARTSAP TRPIAGPTKSPTCIIQPSYVTGYSPPPRMAQISAIPPSPVPTEPVVPSSPNYHSADYS AMVNPIYVSHQTYMPVFTDPLYQAVSGVPNSPTIQPSFCDPMVFGLIPPYAMSHQMHP PVIANSFRQSHPGTAPGKGMHSYNAYSSTSPSYPCQNLRRCILIQNLNPTATEHDLLD FLQESVAIEKCEILPPHTTSNSQTTRSRLTARVTLRSAEDAKRVVALYNNTIFMRFRI RVKVDRDAPHTFSYDIAPDQHHSNTAELPTSVFLDGACAINKVMPFRCAYTSTRSGPE NLNLTSFTVSVIQWVNHLIIKNPSIS ANIA_00281 MSSQPPTQAQLNLAALAGSPSPRTMRSLRKIQSHQHLSSRPPLI SQVSFDSASAGGEELSKPAQLESPVRLRTHRRARSNSDASSRDPPKLTARRPGRKTGS GIGLKRSLLESLLRDGPQQGNVQEALQELRYLVLSTRVEADGDGMSTYRVYLWLILLD IPPLPTDRYLSLIHRGRSPAYTKIRNDTFRTLATDPLFKRRVTEASLIRLLNAVAWKI HDAKNRNNLKTRSSSRRREMELLINTPPSIAEEEPSADDMTPDSMISSNSSTSAVSPG ITNETAIYVQGMNVLCAPFLYAARSEVEAFALFHTFITRECPGYIRGAMDGVHRGLNL VDRCLEIVEPKLAAYLFSKGLQAKLYAFPSVLTLCACTPPLPEVLHLWDFLFAYGPHL NILCIVAQLILMRDTLLKSQNPNKILRSFPPLDAKETIALTVLIVRKIPEPLYAELID HAK ANIA_00280 MLYSDSDKLVFRFDDHLLWVEPWSENALRVRATKLAAMPAENWA LSSRPQSDRSAIELPSDKNGEATISNGKIKGVVTKRGKLTIYNSKGTKLLEEYARHRR DPTDPKCSALEIEARELRPILGGDFHLTMRFESLDAKEKIFGMGQYQQPGLNLKGSDI ELAHRNSQASVPFALSSRGYGFLWNNPAIGRAVLGTNTMSFEAYSTKALDYWVVAGDS PAELEEAYARVTGYVPMMPEYGLGFWQCKLRYWNQEQLLNVAREYKRRQVPLDVIVCD FFHWKHQGEWKFDPEFWPDPDAMVKELQEMNVELMVSIWPTVETASENYPEMLEKGLL IRHDRGLRIAMQCDGDITHFDATNPAARSFVWSKAKSHYYDKGIRIFWLDEAEPEYSI YDFDIYRYHAGSNMQIGNTYPKEYARAFYEGMQTAGQTNIVNLLRCAWAGSQKYGALV WSGDIASSWSSFRNQLSAGLNMGIAGIPWWTTDIGGFHGGNPDDPAFRELFTRWFQWA TFCPVMRLHGDREPKPEDQPTAPGADNEIWSYGEEVYEICKRYIFIREKLRPYTRALM KEAHEKGTPVIRTLFYEFPDDKKSWEVDSEYLFGAQYLVAPVLEAGQRRISVYLPAGA SWRVWNGDNGGENAVYEGGQDVQVDCPIESMPVFVRI ANIA_00279 MAPTHRRGPWVPEEDQLLLQLVREQGPNNNWVRISQHMHYRSPK QCRERYHQNLKPSLNRDPISAEEGLAIERMVNEMGRCWAEIARRLGNRSDNAVKNWWN GNMNRKKRGLQQSINSSPHSRTPHGRIEAPYHRASIGGTSPIFRSRLPSVSYDRPYTS WTSRSPISSRRESFSTASTFSRQLTPIYTLPALNRPVEAPLTSPAFSDTSNAPSLDPP SMVSDHNSVSSASPRTLPSPQLHSLPPLVDTRYPYGEVSRPQTSDDVYFSSFPGKSSG LFSDPKPRWAPEQRPCWASEEKVEPRRDSRMGLDNLLN ANIA_00278 MAKSKNASQHHRSQKAHRNGIKKPKTNRYPSLKGVDPKFRRNHR HALHGTAKALKERKEGKREIA ANIA_10032 MMTPLRFARSALRARPAAFRVPLQRRGYAEAVNDKIKLSLVLPH QTIFRSTDVVQVNIPAESGEMGVLANHVPSIEQLKPGLVEIVEEGGASKKFFLSGGFA VVQPDSQLSINAVEGFPLEDFSAENVRAQLAEAQKIASGNGSEQDIAEAKIEIEVLES LQAVLK ANIA_10047 MAEELPTNPPTSFSHEFGLMGDENYLTGYLLETPRRWHVKTTLS ERQGPTSPSLIQNRSRTLDRFSDGDDYKRLLFTFPMLYSDSTLERDPFFDGRGMPLNP NNTPISRSGCQSETGSIGKDKQDVHSPAKYDKHVNQSSDAVAHGDDPLTSHSNSREKV SKPPKPPKPPFLANINISTQADLENIEPSLRAVPQQRADDRRPMLETLYRSLPSRVAS RKFDQPVEPAVAELTPEKHPARLKQSWNTGRIVPGRYFPRPFTPRELEVLGIPLNNPG MPFPAPIPTIDKLPLRRGIICFDWKLADQYPNDIDSFPATEKKHTALPQGSWKTLRRI PHKLPSPRQLTQTALYYLTPWKQFTLKQNPSLRMTITIPFASKSETFAVEQDQVLIPG LVDGAYVLQFNLVLPLLLVYQTTRLTAAIRRCMSLRVAAIAASTVRAILVTLIRWVLF VFRVEVTVELRQFHEYTKCTAY ANIA_00276 MSDNSGLTSPGEASYSSNTLHVGDGTWDSDRDTFLLPNLMGVNF ETMRYNGMGNRFRDMPHYHTLIVAHGVIATIVFLGLVPLSILLVRYYSLRNPYQAFRY HVWCQVLTLFLSTVVFVLGWFAVGPNRSLTNPHHGIGLAIYVIVIFQVFWGWLVHKIE RNKKRFHVPLKLVLHRWMGRALAILGIVQIPLGLTLYGSPKVLFILYAVAAFILLATF FVLSYKYDSDGGSSGDGDYDSRYSYISRRPDDDHRRSSLGRMAAAGAAGAGLATLFRR RSRDRGSESSQTSYMDEKYSDEASRHGGWGRKLLKLGALGGGAILAKRLFDRRRERES DTESGRYQPAHRRTDSMTEESLSRLDDRPPPRPSYPAPINRPPNRPPSREQSINSSYY DYSYFSRDEERPSHTARNALFGAGALAAIKGFFSGRKRKDDEQRHVEEMRRHDLANEK IARANSKRRYTGDGYYPQRKRPASQTATDISTDLTPRPARAQYPPESALSAAPVAPGV VGDAHSQQPPAPPIHYDPPSAMMPAEPTTSQPPPPIAPVAPAHGGSAGPSSGHLPIPG GSGWQRDGHVESPPVSIKVKMHDDGRNITLRRLTEEEAAAGREARRRERRNSRRRNGS VSSLSGNEGTGSRDRWRRVEELERQQQEQMDRERAAAAAAAAATANTTPAPVPQTPLA PGPAASGHSIPPPASSFLPPHQSMSYIPPRAPSTNPYGSITSPGTYTGTDASEYATNR RRRRAERARARQERQGHSVDFT ANIA_00275 MSQLEAFIRALSRLLGWIYTFCWSASFYPQPIDNYRRKATTGLA IDFPTINVLGFVCYAVYTGTFLYSPVIRHQYAARHPLAPEPTVRFNDFAFALHAVVLS GLVYSQFWPSIWGFRVSRFQRVSKPIAGLFWGAFVAVAMVICVILVKSPDDGYEPLSW AWIDVIYTLSYVKLVITVVKYVPQAWVNHKRKSTRGWNIVQILLDFTGGVLSLAQLIL DSAFQDDWSGVTGNPIKFLLSNVSIFFDLLFMVQHYILYRDAENKDKNNGPSMYSPLL SGGLPTSA ANIA_00274 MLVATSLSDGFHGTLHHREQYFIGTQRKTWNMADSRSRRHRDSE PYKTTMDEPLIVAPDNSILYASAASFCPMPNNPVRVPDEYFYGASSQLDPMGSCYSAP QPQPQTYVNQTQYYPTTTLSQTPSSSNTQWLHPSQAASHHQHQSYQDAIPSPDLDPDF DFDLDLDQGSFSSPSPSYHHIHYQTSRSDPSNNPDNQSHAQCPSRTPSTTSASSTHNN TNSKDLSLYGIPSPTHPGAWRCAYPSCSSPSLFRRGCDLRKHYNRHRKHLFCRHDGCP QSNPQTPGAGFSSKKDRDRHEAKHNPEIALDAGPGMNRRGPRNMQTTCNAENTMQRRR EKREQNERGEIRKIKSSTPKPKPGNTPRSVFAPRYGRGEQSECKNE ANIA_00273 MQWITSPLLGLEEVKPILAPRPPTPPPFAHQGLSPESSVKGADK GSHKSKQLSLQIGAAAANAALLRNNFAAHFPDLWRYEIENPLLDPSSVDRHSNRKLNQ ARPVNSFKSAPEPKPIELEFKADLKGIAEEALLKEAKTQQPPSREPLEEKENGNVVLP PLSALVNGSAGPKAQPILGPSPSPLSKFHISAPESRDSLAAFRNPPAAQTADTSGLRL PPIQTKLSQLNELGPVLPPPNGPSPRPVGTPYSLPPVTAVSPPLTRVDTNPREQYHSA ASLAQSKIPPTPYSHLSPASTQAISTASSPASQQPYWRNVKAAYPYDPPSTASNRSPA SSYPTPIERAPTGACEPVGFAPSSQGSTAAPTGTFKCSHPGCTAPPFQTQYLLNSHAN VHSQDRPHFCPIEGCSRGPGGKGFKRKNEMIRHGLVHNSPGYVCPFCPDQQHKYPRPD NLQRHVRVHHMDKNKDDPALRHVLSQRPEGSGRGRRRRMNPQ ANIA_00272 MAGAIRQQIDIPALERYIDQHVPIIKTPLEVKQFGFGQSNPTYQ LIAADGQKFVMRKKPPGKLLSKTAHKVEREYKIIHALEQTDVPVPKAYCLCEDSSVIG TAFYIMEFLDGRIFTDPTFPDVTAEERTELWKDAVRTLAKFHRVVPKSVGLETFGKPS GFYDRQIATFTTVSKAQAQAVDVETKEPVGDLPHFMEMVRFFQDKSAQPKDRGTLVHG DYKIDNLVFHKTEPRVIGILDWEMATVGHPLSDFCNLTSPYFLEGTEYKLEHFRPGAV PGLPTREDCLAWYSEYAGWDPTPEIAWGDAFFSWRSSVIMQGIKARYALRQASSARAA EYGQKTVPFALKAWERVQRVQNGLRQKGKL ANIA_00271 MTKEATPPPRETTTTSTTHEPPSLPASPLAKRPKPSDTTGTSAS AIKMVSTDSTAPVATAPTASTPTPALLVKKLTDTARAPTRGSAFAAGYDLYAAKETII PAKGKGLVDTGLAVAVPEGTYGRVAPRSGLASKHFIDVGAGVIDADYRGEVKVLLFNF SDVDFTIKEGDRVAQLVLERIYTPEVAVVEELAESVRGAGGFGSTGTN ANIA_00270 MPADLVPETRVLAIASHVVYGHVGNKMATLVMQSLGCDVAALNT VHFSNHTGYRQFKGTRATAEEIRNLYEGLCMSNLTDFDVMLSGYAPSAAAVEAVGSIG MDLKRKAADRPGSFFWVLDPVMGDQGRLYVNDDVVPAYKNIIQHADLILPNQFEAEYY PRPIPARSPRDTTNSTRVLSGIQITSLETLAKAITALHETYNIPHVIITSVQLSKLLP SPENPSPDSTQNLLTIIGSTTLSTGKPRLFRIDVPAIDCFFSGTGDMFAALTVARLRE AVFADADENLRYKKSWIPADDVKPTELPLAKATEMVLASSGDTAGAECAVSA ANIA_10031 MHQHQQSWSILAHRWTIFFVLWAFILPAVFAEEDQATKSADASR PGPDQTIVDIASAQNAPLIRRHDHQHDHDHDHEELQHTHSHIEPRSTSSSSGSTFPTA FDTSLSNNFTTTSCPSFFTSFLSDSSFTDCHAISMLLRDSTAFFHILTSAASTSRVLD IACAADVSSCASTLSSLASDLIDDSNCGKDYADGNPLVTNAYVDLITYEPIYRATCLQ NPDTSNYCFVDAVTNTSNAADYDVYSLPYGSTINNSTSLPTCSSCLQATLEVFSEWAQ VENQPLADSYLPSAEAINGKCGSDFANVNVTVGTEDFPSSAEPRLALSLPLCVASLTI GLSFLLSL ANIA_10046 MDDDSDCFLLYWKCLDYCMLYSYNLIDHKTNHRHSVAVTILVYG LYRTEQEGDWN ANIA_00268 MSIPLPIRTSDGTGNLSSSPPKSNGLPRLSPSPSFVQKHSPRSS DTSGLRRSASHHNARQTFKQRRCKSQYPRDSPERHVEFILVASFHIDRGPIMEHQYPG PISSDEGMLAELMLPDQTHVRSQDWTIFFLPLDTGGEGEEDDLAGENNKRKGKRNRVR SSSGDEGTSADTNNESEVTEEEESSDEEDGGEGPPLMYVLNLVNTKQDNTVKRGAVVK AMAICTRHSFLHIYKGQPILLLALEDYFKNPYPETLETLYNAVNAMDLSPMPKLNLLE RQILQATNSKDMFIEKFEQMVQQRAIEDGENDIDEDNPPSPRRGTAPRYTLPRDTHEF ESKIIYNDIPIPVKVPTVIWPEIVGDFSLVKLIQIFSAPHAASPQPFPLHPHLTTSGP LTHPIIVLVNAMLTQKRVVFLGHNRPSGEVAEAVLAACALASGGILRGFTRHAFPYTD LTKIDDLLRVPGFIAGVTNPTFANHPEWWDVLCDLPTGRIKISNHIEPAPVTDGQLYF QQQSPVSASGPNADPTGDNLFMEDVLRSIANRYGENAIRAKWRAYITKFTRVAAAFEE TVYGASNIYIIGPNEELSPDSPSGLQSDPGDPTTIRGHGYVWPDEASKQRELMASVSR IEGWRTTRSYYSFIQDIAAMYWPSRPIQKPDLHHHHDRLRTLKLSAYEAGAIYLAFAH AIKDYAGICQLLTVTPESQAGLFYLSMGLLHPDRNVREATADLLERIALHPAGRHFWA QLNRFAKTAYFRIKREKEAAGNSSPIVKSPSDSFGPQQSLVGVAISGVHSQGS ANIA_00267 MPVVSPSKLNQSYTVQDHQDASPKSREPIPQPRFTRDPPSSPSR GASALGSSPSSMEAKTTSMMAATSDAAARLAPAQLREIREAFQVLDRDNDGFVDKDDV ADVLSNVGLDSSSLSQFFPPGSASTINFPTFLNTLSQLLSPLSSRQELLNALAAFDED DSGQIDVEELRDALLHTAPEDGERPLTEREVNEVLNGFTSRRAFRGKGGKTQGTGKRG EVLNYQEFLNTVMGGAENGQAAKPK ANIA_00266 MDIDMDLDLGPLPEPEPIEMEQTLQATTAVPVDGAIIDPQTAEA QSEKVHIRGVDELTTDDIKQFASTHFPLEQPARIEWIDDTSANIAYSTPEIGLQALSA LTHDGELEGGISGDGTAPTAPGEIPALRLRSAKVLASHPDSVLQVRSAVKTDKKKPRA HEASRFYMMHPEHDPRERLRRELASDRRRGGGGDSDGDYRRRRFDGRELRRRRERDNE DGITANMYDDSGAGDADRSDGDRDWDRGRRRSERRDREMELFPDEGANSGRLRNRSAS PGRDTLMQEGGYVDERQESRRRFRERSPPPRRRNEGKELFGSSNGDTKSRELFPNKTA NTYLKKELFPSKVSNHRRSDAIDAAGEAADLFSRRTSIPLVDGSHEITEPNDRRNRNV ELFPSGTLEREPVNIRGAAGAGQDQGFAIRGAAESGISIKGRGSASVRELFPSKYKNN AGKELFSDKIEGRGGPRRKAEDMFT ANIA_00265 MLPSVAPFPPVQPHHHLNRYHETESLNGSYGLDNSFASTNPPYD YTNSNSFQSVPRTRLQYPHPVQRPDIRDPTNPAALTLNNVGEHALRRKTPNGTLAAGY DGTPGDMTIQPPATKHILVSQLEPGQLISPQTGFPMDSWQQSSLDQSSAQPLNFPPVH KTDTNRRNVGRGDLAQGVNGISWIRSLNAAPGMDSVLNQTLPMQPSQQRFYWHNGAYV PTVLPATLQPCIGPTASAGTGPYGPYWPDGAYIPYRPAAFREPRLNPQGPFVTPINHS APQYFDAGQQLFNAALNPSSNLEVGDWSQNSLGVSNREGPVKKNFPPRHSDQKTFDSL NNQRVLPFHTRQNNSVSGFASRPPLHEASASWSGAPGSGGYQCTGQLPGSREANAEFK EKVLSWAHGVYVDLLASIHRARRNSVSNATQDGHTQRVMKPSIYPKPPRQPGLDFSQT SAPEFSRHNSYPSSHSLNENTTVVNAASSLELLSHLCMESGWEWIDGMLLGGCLAYGL GDYHKAMRWYSRIIARDSAHVEAISNLAATLLALDRREEALQHWLRAVKLRPSYFEAV EHLIGLLCSSQRGKEAVNIIDFVQNSLRLAKNGDCFKADEHASEPESDAESRVSGASD VGSYEKATFDYDDDFGRSAFVSRQSGEGAAGGFGSSGYSVPGCDNGRMLALVHAKGNM LYAMGDYASAAVAFEDAILISAGRRRYGIQGIIKHIFAAFSQESRPGYIGDRHDPQET ILLYPDKALQTSKLVFGPCGTPPGIKYVPEGLARKAALSTTSNSLLSLAKIYQDGMST APANGSSRSAPGVRDILALYYLSLSLQPSPSTANNVGILLAGIHNNVPRKDAALSSGD IQHPDIPGVIPGSGVSLALAYYNYGLQLDARHAHLYTNLGSLLKDIGQQFNVTVYFDI ALANLANAVKDAGRVNDAITYYKRAVKVNPDFAEAVCGLANALNSVCNWVGRGGIANG FGFRDRWHVDDQGMLRDSYGVEAGSGWIKRVVDIVDRQLKEGETWGCGILTPNTIEQL CAQLLPSADSRRRPASGNLAALLKSWAGQKWEGSRVVKLVERVIRSLTWHWYQDRYVY GREYPSSKYRRPQLPPGLSAPNAPTVLPFHTFTCPLSAKQIRQISQRNGLRISCAALR SPWLPSTVYPPPPPPNPYLKVGYVSSDFNNHPLAHLMQSVFGLHNPTKVKAYCYATTA SDKSIHRQQIEKEAPVFYDASGWPVERLVKQIVDDGIHILINLNGYTRGARNEVFAAR PAPIHMSFMGFAGTLGAEWCDYILADELSIPPETLSPGTRKTRIEDRHLEENHGEEAE NWIYGEKIVFTRDTFFCCDHRQSAPDARDSYITWEQEQSRRWRMRKELFPTLSDDTII LGNFNQLYKIEPTTFRTWLRILASIPNAVLWLLRFPDIGEQNLRETAVAWAGEETASR IIFTDVAPKNTHIARAKILDLFLDTPECNAHTTATDVLWSGTPLLTLPRYKYKMCSRM ASSILSSALPKTESGQQAREELIALSDEDYEKKAIHLCCSLFYEPGGEGRARGRLFEL RRMLFEGRQRSSLFDTSRWVRDLEDAYQRVWNQWVNGEEGDIWL ANIA_00264 MLDADDEPRQEQESVGVGGKLEEGEEKREAKRRKQNKDQDTYSI KEINTNHKQKDEDRRKESGLTALKESGGKGWTVDMAPVVIKSVLPLSQLWFVIYTVWL GLELVWGGDGPNGRRRGEERGAARPKRLGSRMCTVWGINHAYVRLGTLSDSSQKQRSK LRLVSAAQSHDGQSRTWGVDRAEPRRRQQSTSVKADPTWRGRSGLWWGGGISMVTGAE VPETNKELEATGWMEAR ANIA_00263 MPRGGFHPVELRVQVLTLSAIGFSTEKISKSLNLSPRTVQSIVK KGRDRGYRPENTVITSVTADQAGREKLSEILAYEAGISHSSVLCILYSHGFVIAKPSW KPGLTEAACLRRLEFCLAHQHWTLEDWKRVIFTDETGVILGHRRGAIRVWRTVKDSHT RNCVRRRWKACSDFMVWGCFSYNKKGPLHIYKPETAAMWKQADIEIEAMKNGSWLQVF LVFIYAQIAAVFLNGIGTRRMEVLKPLLIPFAKECMIERPNTIVLEDSVPAHCHRIQQ HVYKAEDVQKILDWPGNSPDLNAIEPCWAWMKKRTTSRGAPRDKKTGEAEWRQAWADL PQETIQHWIERLIRHIQIVIELEGGNEYKEGREDCDTRSWAGRRIKG ANIA_00262 MPPKFDPNEVKIIHLRVTGGEVGAQSALAPKIGPLGLSPKKIGE DIAKNTGDWKGLRVTVRLTIQNRQAQVSVVPSASSLVIKALKEPPRDRKKEKNIKHTK SIPFDEIVEIARKMRSRSLAKELKGTVLEILGTAFSVGCKVDGRSPKDVSDDVKSGEI DVPSE ANIA_00261 MDYEGLKDQWSDVEDRDGIRLSWNTFPSSRMEASRLVVPIGAIY TPLKERPDAPLLQYEPVTCKAPCRAVLNPYANVDVRARIWICPFCLMRNPLPPHYKDI TESTIPPELHPLSTTIEYQLARPAPAPPIFVFVVDTCQEDDSLKAVKDSLILSLSLLP PNALVGLITFGTMAQVHELGYTECAKSYVFRGSKDYNAKQVQEMLGLASGIRPNMPNM PQQPVRPPLGAAARFLLPVQQAEFQITNMLEQLQRDPWPVANDKRPLRCTGVALNVAV GLLESSFQNAGAHIMLFTSGPATEGPGLVVSPELKEPIRSHHDIDRDNIKYYKKALKF YDALAKRAANNGHVVDLFAGCLDQVGLLEMKNLANYTGGHILLTDSFTSSQFKQSFIR VFDKDANDNLLMGFNASLEVLTTKELKVTGLIGHAVSLNKKSSSVGETECGIGNTCAW KMCGIDPSSSYGIYFEIANQGGPAAVQPGPQRGMMQFLTYYQHSSGHFHLRVTTVARN LSGPAGDPTLAQSFDQEAAAVLMARIGVFKAEVDDGPDVLRWVDRMLIRLCSRFADYR KDDPTSFRLEKNFTLYPQFMFHLRRSQFLQVFNNSPDETAFYRHVLNHEDVGDSLIMI QPTLDSYSLEHEGSLPVLLDSASIQPTHILLLDTFFHILIFHGETIAEWRKAGYQDQE GYENLKALLDLPKEDARELISERFPLPRFIVCDAGGSQARFLLSKLNPSTTHTTGGYG GGVSSQTIFTDDVSLQTFMDHLMKLAVSGTS ANIA_00260 MIRQEFNRIDPKRRANLNYKKTQFATPTFKQQDYPYRLNFYDTP PTAEITLEQFEQWAIDRLKILAEIEACSYRNKTAAETTAHITPLLQKFLPLSANTSSP KGAADPRIKNERQKDHYSHFILRLAFSATEDLRRRFARAETMLFRFRFQADDSRERRA FIDSLNLDWESVGEDERRELSEYLVAATPGLRRSDEDTWYKVDWERVPELVERRSVFL SKGKAYVPEREQLSMIIAEFTARLERALELTSRALPRLDEDDRLSPILNHLSKNFGSA ESVYTEGEGFVDGAPITAASIDPLSQHFPLCMRSLHMSLRKNNHLKHFGRLQYTLFLK GIGLSLEECILFWRQSFKGFTDEEFNSRYKYNVRHAYGDVGGDINRRGRGYPPYSCQK ILSDTNPGAGQTHGCPYRHFSVDNLIGLLQSTGVNDKDLLRGVREDVEKTRYHIACNR VFEYTHKAEIKRAKEDGSAGEIELDTIVHPNTYFKRSYLLKQLGKTPRTA ANIA_00259 MTLTTRQLKKAARIILIGAPGVGKGTQTERLLSKFPELASISSG DLLRENVRRRTALGREAEATIQAGNLVPDSMILNLISSEFNSRGWLSKSQSSGSSVSP SASFILDGFPRTASQAASLDSLVPINFVVHLVTPPSIILSRIASRWVHEPSGRVYNTD FNAPKVPGKDDVTGEPLTQREDDSIETWKQRLHKFEETSKALLQHYQQKGCLWRVEGN SSDEITPKLLAEFERKFC ANIA_00258 MRWPPWSSKTQEPTIADDKQQNCTSLLPVTTNQTDKAAILDWAA FTELRTLIPTLILTTAILSAARFHRSYLRRFPDAPSIDAAYFRRRSIYGKVTSVGDGD NFRIFHTPGGRLAGWELLPWKRIPKGKKELRDNTIHVRLAGIDAPELAHFGRPEQPYA REAHEWLTSYLLSRRVRAYLHRPDQYQRVVATVYVRRVLDFPIPFRRRDVSYEMLRRG LATVYEAKSGAEFGGDAIEAKYRNAEWWAKLKGNGMWKGFRRNKEFESPREYKTRVGL EEKK ANIA_00257 MAGQSKPALSPWGSAVAGATGAVLANAIVYPLDLVKTKLQVQVK KAGEAESKDSDDVHYKSTWDAITKIMDKEGVEGLYSGMAGSLIGVASTNFAYFYWYSV VRTLYMASERVPKPPGTAIELSLGAVAGAVAQIFTIPVAVITTRQQTQPKDDKKGLIE TGREVVNSEDGWSGLWRGLKASLILVVNPAITYGAYQRLKDIIFPGKKSLKPWEAFLL GALSKAMATIATQPLIVAKVGLQSRPPPARNGKPFKTFSEVMRFIVENEGPLSLFKGI GPQILKGLLVQGLLMMTKERMELLFIVLFAYLKGLREKKLRKAVDAAASKAQASLPAT LK ANIA_00256 MSRTSSAPSQKSLISRALKAERDVITASSQSQALDAAIDAAEHY MKALALTSSSKDRNVLDAKCKEWLTRAEKIKGSEDWRSVAQSRRSRLRTPASTRKLTT REDIILLQGAKLNGFIFPPWKAEPSLTEFETGTNGDVLFTDKPDLHLSNLQRDIFAGW KRPHELLSGQVDDAGMPLNPVMTVSGNTDLVQDVLTDCSVVASLCATTSRSERGLDDT LLPIVYPCIHNSMKSDISPSGKYIFRFYFNGCFRKVVIDDRLPSSKTSRSLYMIDRNH RNFMWPALVEKAYLKLRGGYEFPGSNSGTDLWVLTGWIPEQVFLHSDEVTADQIWSDL FKSFHSGDVLLTIGTGKLTEREQKELGLVSEHDYAILDMKELKGRRQFLIKNPWAGTD AVYPALFADPGPFPNSPFLSPGTFWMDCEMVLQNFENLYLNWNPGIFAYQEDIHFTWD LSTGKGMAGCFVKNPQFSVYTERGGVVWLLLGRHLRTIESRASEEDERFGFISIYVFK GGKRVALSDGALHRGPYVDSPNTLMKLDVPPRSTYTAVVSEESLPRVSQNFTISAFSD SPVRISHAPNKYICVTKVQGSWTPTTAGGNAESARYSLNPQFSIVLSDPTDISIVLEP SDQELATHVKLFWSGGKRIARVRSRDIVADSGDYRRGGSLVEKQDLDPGEYTIVVSTF APDQYGSFTLWVSTNITCEVTQLPSEAAGRRAVLSDIGVLLPGQDRMLAPLTTPRLTR VKLIARSRESRIGNRPVGPSPLLMTVELGQGPYKEILATSEDGDHSDSISGVRVEDFD LQPGLEERGGVWIVLERIGGPGGQVEDHFEVEALGEERVEIGEWIVEDA ANIA_00255 MASNIPAALKSADVGRFALRAAQLEKAKPIIAYWCNFYIVNQII EKGLHTTDEDVKLYTTELMEKLEQFQNGNRDNDAITDSMAASAYVEEFGLEVFGRAEA ALRANKVTKFAAATFLELCQIWNPLEAEVAAKVKFAKYHAVRIAKAIKAGEDPNATNP KIEEPAEESLVEKSTESDAATAPSTALLQPSVEDDPEESQYAQSSGPSLALPQPPTAL NKLPSATEEPASSRVADVGSAEDLPLNLPSTPNTFASSAAPNLPDTPTNIGGYHRSKP SNEFQSFPPPSAIPPTSSSTASQGEDSFYNVPSAAPSQAPRPTHQPSPIATPPVVAPA PSTQANSHDIDDQAIALAQKHARWAVSALTFDDVNTAIKELRNSLKLLGAE ANIA_00254 MTDKKPSVLIIGGLGFIGRHLALYIHENNLASEVRLVDKVLPQL AWLAPEFEEACSKDKFVQADASREQHFPRIFDRANGEQFDYVINCGGETRYSQPDDVY EARVYNLSVALGREVARRGIKSFVECSTAHVYKGGSTPRKEGDKIQAWHKLAKWKLKA NDELMKTPGLHHVVLRLPNVFGEYESGYFAMGICLARVYLDMQKDLELLYSKDLKTNT LYVKDAASALWKAAEWRYSAPTDGSAPILFNVVDHNDTRQQHVADALTEVFGLKCSFL GSLASQFAKLNLDDVVDDMNEVSLQGWSDLIEEKGITRPGPISPFIERDVLQDQDMSL DGTLFETTTGWKPTRNFGPDSVRDMVESYKRMGWWP ANIA_00253 MSSSDDDTPLVKMNGRSSGGQSDVKVNGAADTNGHVDPGVSIRF GPVQKDEDVEMNDANGASASKRKARSSANQAQSYAEPESSEEDEPLSKRRRTSVKHED PETDDDVPLALNGRKLPKASETAIGEESDSDVPIERKLAAEKKKIQVKGEKDADPSAQ ATKSAASGKKQANGVKKEPASAKQTLKQVKAEPKSAQSTPAKKNAKATALKKEESEEA EEPEEEEYRWWEDPTKGDGTIKWTTLEHNGVVFPPPYEPLPKHVKMKYDGIPVDLHPE AEEVAGFFGSMLNSTQHTENPTFQKNFFADFKEILKKTGGAKDQKGNKVDIKEFSKCD FQPIFQYYDAQRQEKKALPPAEKKRLKAEKDAQEAPYMYCMWDGRKQKVGNFRVEPPS LFRGRGEHPKTGRVKARVQPEQITINIGKEARVPPPPEGHKWKEVKHDQEGTWLAMWQ ENINGNYKYVMLAANSDVKGQSDYKKFEKARELKKHIARIRKDYQKNLKHELMVERQK ATAVYLIDQFALRAGNEKGEDEAETVGCCSLKYENVTLKPPNKVIFDFLGKDSIRFYD EVEVDPQVFKNLKIFKKPPKKEGDEIFDRLTTSALNKHLSQYMPGLTAKVFRTYNASH TMSELLKSMHATGNNAEKVKAYNDANRKVAILCNHKRTVGAAHAHQMEKMSERIKGQR YQKWRLKQMMLDLDPSLKKKKGAQFFELDEDIDKEWIKEHQAFLIEEQAQKIKKKFEK DNEKLLAEGEKEMKASELETRLEAVKELEKKFNKENKTGKVEAEGRSPTVEKLEASIT KLQQRIENMELQAQDKEDNKEVALGTSKINYIDPRLTVVFSKKFKVPIEKFFSKSLRE KFEWAIKSVDENWEF ANIA_00252 MLTRAVRPAVRAGAAAVTRTAPPNAANFATLREIEGRLKSIKNI KKITNTMKVIASTRLTRAQKAMDDSRAYGQTSNTLFEQAETKALEDKKTLLVVASSDK GLCGGIHSGLSKAARRALEANPNSDLVVLGEKAKAQLSRTNPNAIVLSFANVCKDIPT FADAQAVADQIALLPTDYASVKVIYNKFVNAQSYEPDTVEAYSEEAITQSPNFSAYEA DEETLSNLREYALANSLFWAMAEGHACEISARRNAMENASKNAGEMIDKFQILYNRQR QAAITGELVEIITGAAASAE ANIA_00251 MASSSRLRMMNCYDILEIPPDASLKDINSAYKRLALKHHPDKTK GDDAAIKFQKITEAVEILRNSITRREHDMQLGRRYRPYSEEERLFASPDYKGWKPNGI YGDTSVRKNRYMFSFGESVHMNPQSKESQEEIARWDRTREEEVERENQEKICRAAEEF AAEESESIHQRAWYFVWNHPESQEELPRFDGTREASAETEEQENIRISAGKFISDDST ENTDCQKAWRSVLNSDEQPFDVPRQTYSGRAYNGLWPVCCAGVRPNEESHGVLKTDPV GGAGIGLEAEVREKHEHDCDTDAQSETDGYCNIASGLSFDLDAYPNVVPDTEGNAKFG MQPVNVLKADQNSDVKSEINILLSRIEYERRNTAAEADIEIFLPLEKELQTGSSLHDA TDADVKTTVEDHTSIHMASHQRSIEKDLPASEYSAVYAMIGAWPCSSEDTRVKANAIS SGDTEDESAGSIYYDFSDAPSYHSSHSENEDHHDLFTEEISSVDHSDASPTPVWYDFA EFDEANVYPHLASFIPYFASKLADENGRYSREDFYGELKGMIMETYCGWLETVRMTIP GAASSANTLDPIGCRHLGYWKKDLGHEECEGCDLWRPIYTLVCPGCGVKSGFHDFLLN CLSVGLSMGQKASVTIE ANIA_00250 MGGAGDTSAALDAAAQIRKVNLKASSGLPGLIQNKKVFGVAMFA CLGGLLYGYNQGVFSGVLVMHSFEEHMGDAVTNETKKGWLTSILELGAWLGALYSGVL CERFSRKYTILAHVCIFCIGVVIQLTAAAGSGSSSNILGGRFVTGMGVGSLSMSVPMY NAEIAPPEVSFWINYGTNHIGGTGETQKDTAWLLPLALQLVPAVILGVGMIFMPFSPR WLVHHGREEEALKVLTSLRGLEADDPVLQLEFLEIKAQSVFEKRTEKERFPHLERSSL WSYLKLEAAGYKSLFTSWPMFKRVIVATVTMTFQQWTGVNAILYYAPTIFEQLGMSSN TTSLLATGVVGVAMFLATIPAVIWVDNVGRKPILLIGAIGMAACHFIIAGIFAENETQ WESHRAAGWGAVAMVWLFVIHFGYSWGPCSWIIIAEIWPLSVRAIGTSLGASANWMNN FIVGQVTPDMLAGIRYGTYIFLGLMTTLGALFIFFFVPETKQVSLEEMDVIFGSEGTA VADHERQAEISREIGLDEALARFSTRSGATLAEVEPKNA ANIA_00249 MPDSQTSHGLDLPLLPHELFCMVLDYLDPSEIVRCRRVSRLWNE AFGDPAILIPLLKKLFPLAEEVRELYGRHGLLEDSKNSENWRLLFDRIASRYDHLTRG KPRSIRRLRLCEEFGISGEREWFQVQPWDIHASHLMQRVDLPFSESFWSYDEGLLVYP SADHACLVLMDLDSGREFMVPFIITGKVIRRVRLQRQVLVVEWAEPKAFHWLNDSDGV HRHFASSFDVRKESSRWSITFRNEWKIMFLGHPLSERDRFYSTHSQTHYAIYIWQPNR SLYTADEDAPIESLSIWDISKPSSYRPSLDPTGRLRVDGEESGPSIVSRFGFRELGFY SVRQRGLPGIQWLNITEDNQSIEIFENLCTGPVDRFVGPAEWTSQVQVTSIPVNGYGP CYRQNLDLILPPYRGNSSLQASPLTLKVCEEPWYTTISESWDGKAQVGFCLHLSQATW PFDLKASLSIRTPSSAVTLKHADVFELTGKGKICGTERYLLGENGNRELLIYRFDK ANIA_00248 MLQPSSALLLVASLLAALPVNADGLYTKKSPVLQVNQKSYNQLI ANSNYTSIVEFYAPWCGHCQNLKPAYEKAAKNLDGLAKVAAVNCDDDANKPFCGQMGV QGFPTLKIVTPSKKPGKPRVEDYQGPRTAKAIVDAVAERIPNHVKRITDKNLDEWLSE DGETPKAILFTEKGTTSSLIRALAIDFLGSIKVAQIRDKETAAVDRFGIAEFPSLVLL PGNGKEHIVYNGELKKGPIVEFFSQAAAPNADPAPATEKTKSHSSKSSASGKEPTSNE PLGSEDSTIEAKHSAPTPTPPPAIDTLGTPDALEAVCLAPKSGTCVLALIPESGDPDT EASASTKEALESLAEVAHKHTQRKTKLFPLYSVPASNAAAKALRAGLGLPEDSKSVEI VALNARRGWWRLYDSSDRADFSPVRVEAWIDAIRLGEGPRNKLPEGIVVTTDEAAKKA DHDEL ANIA_00247 MPRKAIDSRIPALIQNSIQEKKRNFFVVVGDRAKDIIVNLYHIR SQFDVKQNKSVLWAYKKDLLGFTSHRKKREAKIKREVKRGIREPNEEDPFELFVTLNQ IRYVYYKETEKILGNTYGMCILQDFEAITPNLLARTIETVEGGGTVIMLLKSMNSLKQ LYTLSMDIHSRYRTEAHDDVVARFNERFILSLGSCDSCLVIDDEMNVLPISGGKNVKP LPPPDETDGTSKGTKKELEKIKDDLAESQPVGSLVNLARTVDQAKALLTFVDAIAEKT LRSTVTLTAARGRGKSAALGVAIAAAIAYGYSNIFITSPSPENLKTLFEFIFKGFDAL GYADHADYTILQSTNPDFNKAIVRVNIHRQHRQTIQYIQPQDAHVLGQAELLVIDEAA AIPLPLVRKLMGPYLVFMASTINGYEGTGRSLSLKLIQQLREQSRGGAKIAGDDTDVA SRATGKIEKNSDKGLGGRSLREITLSEPIRYAPGDSVEKWLNRVLCLDATLPKSKMNT QGCPHPSQCQLLQVNRDTLFSFHPVSEKFLQQMMALYVASHYKNTPNDLQLMSDAPAH QLFVLVPPIDEDAAKLPEPLCVIQVALEGRISRQSVLNSLSRGQRAGGDLIPWLVSQQ FQDEDFASLSGARIVRIATNPEYLSMGYGSRALELLVDFFEGKFTDLSENIVDTQEEM VRVTDEELANSNLLDDNIQVRDIRSMPPLFGKLSERRPDQLDYLGVSYGLTPALHKFW KRASFTPVYLRQTPNDLTGEHSCVMLRTLSTGSNDISWLGAFARDFHRRFISLLSYQF RTFASVLSLSIGESATAGAKLDPSLAPSPLTKSDLDAAFSPFDLKRLDSYANNLLDYH VILDMVPIIATWYFSGRLAGKVNLSGVQQSILLAIGLQRKSLDDVEKELSLPSSQLLA MFLKIVRKVSTHFRSLEESAVADTLPAENVPATSAAADAHDEVVDERFKPLETSLDDE LREGGEEINAEMREKQRALIDALPLDKYEISNGDAGWEEAEKQIRSGGAATVSVKSSK QNKRKKGETAREIYEQEIESKRQKIIQKGTEGKKKKRLIGYIQKLK ANIA_00246 MIRLSVENGLRALLGFVHRIPTVSPTPVKYSTIPLTELDQSTAE VLSSTGVDDVAKDERTLKWWLKVGVLSGVSCARIALFRYITDNIECAPTGYSYLIPFL VAIYDLWRNQRATRNWTRPDDAEDDLLCIPITFASRLRSIILQSRLRCIIPAALLALS GFIITTFQDARHSTYICPIVSGKHFRLQTLRILAFVLDCLILTGGAELSGEGVRRDGR KLQTLLSWGYSLLGVVLSLAGWVIYLTATAEAGTFVTSHYLRSAWGQAVLITILTVSA SQLLPYYGMAGLCVLAGFIASYFNLMSTLFEGQEPFPLIPASHAFAALFLLCLGGLLF LLSRTASEEEPQFLHRLNLISRLTIAVMFGTGLIWVLGQSRLSHTHPIDLLIHEAAKS HDIWLKTAKESSNDLVEASTNGMTMPIQEGIKPTHAWMVQSAGRMIENFSEHLPDMDL AFNLDDEPRVIAPWEKIAELQNRAKAQQLPADDDISDEWSPERDATWGPIEPADQTPE TMFIDRSFQKIFDPYVAPACPPGSKARTQRVWDKHDLCIGCIRPHSMGQFPTDWSVTS DICHQPDLAHMHGLFLSPATFRITQELVPVFSQSSTSVFNDIIFPSPWNYVDKIKYEP SGEHPDSRYTEKENTLFWIGSTTEGVSHEGRWKGMPRQRLAHLVNNNTYNHVSILLPT DEPKSYSYQIFDGLAPTQVLGLNASVSITDPIVRCRNEDCTDQKDELGPGGRVDFQDH WRYRYLFDSDGAGFSGRFLPFLQSRSLPFKTGLFRQWFDSRVTPWLHYAPVDVRLHGL WSTLAYFAGVDANVDGRKIEVKRHDVQGRWIAEEGRKWAETALRKEDMEIYFFRLLLE WGRLTDDRRDTLGFKFPS ANIA_00245 MRLTSLFPALSLAAELASAAYVLQDDYSPDVFFDKFTFFTDADP THGHVDYVDRGTAQSAGLISSGSSVYMGVDHTNIASSGRQSVRLSSTQTYHHGLFIID LSHMPTGCGTWPAFWILGPDWPNGGEIDVIENVNVATNNHMTLHTSDGCTIDSSGFTG TLLTSNCFVNAPGQANNAGCGIQSPDSNSYGAGFNSNSGGVYATEWTSDHISIWFFPR SSIPSDITAGNPDPSTWGTPAARFAGNCDIESHFTDMQIIFDITFCGDWAGNVWESST CASLGSCTDYVSNNPEAFADAYWDINSLRVYQDSAAAKRDEIEGREKTSAKGFPRKSM RARRDAGL ANIA_00244 MSSTIEGRDDWAREDDLDLGQDPNQDELQLHPAAATSSNSNGVI KSGNSSEELKDGSIAYIEEDIQDPAAQNHVSRTELDSPSPLQSPIKSPRPELIGSDGV DDVASNPDDTPSLHGSIRSSPSSSTLAFRGSSPLPSPSPSHRPFDLRFQSRLSASSLG SIRPSSPFLAQVRSRKSSLTSRLSPATIESDQEGRQDPWEVVRWTKLRKITGQAFSEV GKRNFGSPTCIVVSTSIVIGTSRGIVLVFDYQQNLKAVIGHGTKAVECGSVQSLALSA DHSTVAAGHVSGDIFTWEISRPARPFLTIPPIPVNQVETRTSDGHISGSPVIHLGFLG TRRTALVSADKRGMAFSHLATRGMGAMGRTVKTTRVLGRYPQLTSENAKPRKPSSVLA FSPLPLGNVEQSTDSLGLVAMLTPYLLVIVSTTPVAQTQHKSPRPKEVAAHGAMTGAL AWFPAIRLKGSDSQISRTKLVYCWSNLLTILDVLEVESDDSSRDRPPSLEFRPRSRWR ADEAIVAVQWLSRSVLAVLTITQQMLILEDHTMRVTNAVDLLNRHIYHVDLFSAQLHS LIEQFNEEDTSMHGVVADAFYMSFRSYKGRLFMLGFNELLIGNLSNWADRLLAIMEAG DFIGAIRQATAYYSGNSEKLTVGLPDEDALRQPIVRERLLEMITASLKYAFGRNAEAT NDRLERQQLEELAQVSISACVCMADEDFLWDEVFNWYEEHGSEGVFLDALEPFIVEGT VVALPPTAVKALINHFVVTHTASQLEEILCLLDTSTMDIDQVTTLCKQHNLYDAFIYV WNRCLGDYVGPLEELIKLIPFQQSIANGDSVYEPKDFTNAMKIFPYLSFILTSRSYPT GSDMDDTEASRAKAALYDYLFSGTRGSISPLEVLLKFDTSSFMSMLNEAFEDSFLNEQ DPDEVPQQRLSFNRQYLISILLQTMTSGSFPASDSIYLDMFIARNLPKYPQYILLSGS TLHQVLERLCQYPTEEMAEDCELSAEYLLSIYHPPDIQEMIPLFREAHFYRILKSTYR TEKQFPELILTYLEDPSERERIFTCLQDCLRPGSNLSKKQRRAVVGVTEARAHDIAAI DVRMAAQTMRDFAPETHGTFIKALQDNDYDQYQYLGVIVEHKVQPALDNRNSRSIENW MVERYVQLLCKHDPAKVADFVDTLREGDIKLEELLPSMEECGAVDAAVILLARQGQIR AAMDRLIAHLKTLESGLVGILQSVQETPNSATTAQAIEDLMESLNKYAKVGTWLCQGQ SRTAKNSLLDRNGGGKNGLQQPLSFDEELWLDLLEGIVRIASSVFGQINGGLANQVKT AEKSTSSFGANASQLVSSFRTLVQDVFTILLSSTVRTSGSGPATGERNDVAFLRILRA FLTRAARWSPSLLELRAVLASVFSAYTYEKSLLSLANGMLDRDLFVHVDEVTRLRQRG WRPRGQVCEICRQRIWGPGVGPQHWHAWEKRQNELKQHRLARQLEERVDPATARGKGK AVASPTDPPLHHLDRHMGAGTSEEAAMKFHEDEGVTLGAVVVFSCRHLYHRQCLVDIG QSRPTRQRQHDGEWEMLVCPVCPVHSE ANIA_00243 MPLWRTKSHHSQAESDSASVQNKADTTPADPKNDPVASASQAAS DAWLVGHLNHLTEEQEAKLTEFKAFCEKEGYYKPGGEGEKPSHDDATMLRFLRARRFD VNGAWGQFKDTEDWRKENAIEALYENIDVDSYEAARRMYPQWTGRRDRRGIPVYVFEI KHLNSKNMAAYNATMADPEATAETHKSSAVPQRLLRLFALYENLLNFVMPLCSELPRP HPETPIVSSNNIVDVSGVGLKQFWNLKGHMQDASVLATAHYPETLDRIFIIGAPAFFP TVWGWIKRWFDPVTTSKIFILSASEVLPTLTSFMEPSSIPKQYGGELDWQWGDMPNLD EPARELIGALETAPADGQTKPGFIKGPVLFKGDKVEVLGKENGKSRATTVPVPESKLA QTNGVSATNQSEKEQELQPAAEKEQEVSEKVFGNDTAAKTNGDVAPPTQLSA ANIA_00242 MPLEARVKSVLSGDTVVLSHVHNPAQERVLSLAYVSAPRLRREG DEPYAFQSREFLRELLVGKVVQFQALYSVPSSQREYGKIKLPTFEVTLPEIVVQEGWS RVREEAGKRSDDSEETLAMLERLRALEDHARTEGKGVWGSGNGRIETRYDLENAKSLV DEWSGKHLEGIVEKVLTGDRLVVRLLVAPEEHLQVIVVVAGVRAPATKRVGADGKEQP AEPYGEEAQQFVESRILQRKVQVSLLGVTPQGQLIATVLHPNGNIARYLLEAGLARCH DHHSPLLGAEMAAFRRSEKVAKDARLGLFTGLVAPKGPAGGATEQDYVVVRVLNADTI FVRNKAGQEKKLSLSSIRQPKPSDPKQAPFAADAKEFLRKRIIGKHVKVTINGKKPAT EGYEEREVATVIQGNTNVALALVQAGYASVIRHRQDDSDRSPIYDDLMIAEAEAQKDG KGMWSSKPPKTKQYQDYSESLQKAKMEVSILQRQKRVPAIVDFVKSGSRFTVLVPREN AKLTLVLSGIRAPRSARNPGEASEPFGNEAHELANRRCMQRDVEIDVETIDKVGGFIG TLYVNKENFTKALLEEGLATVHAYSAEQSGHATEYFAAEQRAKEARKGLWHDWDPSKE LEEEEEATSGSAVETEATTRRKDYRDVMVTYVDPTTARIKLQQIGTGTSALTELMSAF RSFHINKSNDNSLPGPPKAGDFVAAKFTEDGEWYRAKIRRNDREKQQAEVLYIDYGNS EVLPWSALRPLSAQFSTQKLRPQAVDAVLSFIQFPVNLPHYLEEAVSYIEEQTYNREL VANVDYVAPEGTLHVTLLDPEGSKSLDQSINADIVHEGLATVPRKLKAWERAAGETLS NLRALEDEARESRRGMHEYGDVGEED ANIA_00241 MVKAVAVLRGDSKVSGTVTFEQADENSNTTVSWNITGNDPNAER GFHIHQFGDNTNGCTSAGPHFNPFGKTHGAPEDEVRHVGDLGNFKTDAEGNSKGSKTD KLIKLIGAESVLGRTLVVHAGTDDLGRGDSEESKKTGNAGARPACGVIGIAA ANIA_00240 MSSSLEQLKATGTVVVCDSGDFATIDKYKPQDATTNPSLILAAS KKPEYASLIDAAVEKGKKQGGSVDDQVDATLDYLLVEFGKKILDIIPGKVSTEVDARF SFDTKASVDKALHIIKLYEEAGISKDRILIKIASTWEGIQAAHILQSQHGINCNLTLM FSLVQAIAAAEAGAFLISPFVGRILDWYKAAHKRDFSKEEDPGVKSVQSIFNYYKKFG YKTIVMGASFRNVGEITELAGCDYLTISPGLLEELYNSKDAVPKKLDAASATTLDITK RSYLNDEALFRFDFNEEAMAVEKLREGISKFAADAVTLKDILKQKVQA ANIA_00239 MNQAVSLSPHSSLTQSPFVEFAPVIDELKSICDDYIDISLTGTV TECLLRLRHTLIDNPRPTEAKELFRQLSGFQTLLSLIRKLSEIYTPSVHTKEERRSLL AVYKDCLTILAECLRDHLGNKRHFANRIPGGGQLVLEEAFSTLILKLDAAQGDVEYFC GSVLAASLCQETVVDVFTALSTKLQKTDESEITPDAVEKEVGRFIGASDIIEAPELLG PFLRVWLKHSFLFKPVQTVLRLAVPSCLNRLATQSQRNVMILHNTGAFSLTLPLLLGE NLLRTEKLFYQELAQQLCTQGTKNMDDAVYLYKKAHKCPKALKFLVDVLKRSKEPPLI QFDLSLYGFSSLEFATIGRSFPPVTTNGFTLAVWARFDHFDPSTHTTIFGAFDSSQTC FILAYLEKDTRNFILQTSIKGSRPSVRFKSMAFEPNKWYHICVVHKKPKPPSHSRALL FVDGEFIEQQKIEYPCVPLPSAQNRSPRVQAFFGTPRDLAMKLGRGVSSSRWSLANAI LLGEAHSDDMIAVFYNLGPRYYGNFQDCLGSFQTYKASATLNLRNEHLHPGKEEQSDI VIAIRRKASTLVRESGILINVSARSILDDDDSNAMDGSQLVKSLSKQARKLLNQFTKG GGNAIAINGASPAINDALIQPHGVGILTGDPVVTSPHSLDDMSWRIGGCASVHLSLIY SASSIESTLLAVEALYEAVQDSWRNSEVMEKENGYGILATILREKLGYGWASTKVAAV CSNDEQRSALSLDLLRLTLKFVGYDFEQPTRSIITNPLAYRVLLVDLEIWKYGAPSLV ELYYSQFCVFARESQFRRFNSKRLARMRVNKKLLEALKGGSVSSQVLRPFVSAFRSLM ESCLSADLLRSLALFITHALHKPKESSGLQKKKSMRFRTNSGRQGFPSNKGPSMSTSQ VATEMLRMLCSLVCDTRDLALIKKFARTVTNKWLLYLMCEDEPEIVVLASRILAKVLV TNGSTYNKRFKEKNNGYIIMRGCLRRWWNIPILWPLCFSVLFGIDLGTTMADRLFDNA SLCRVLMANEVKVCFPEIFPVIADMMRSALRKSVMAHGSLHGLDLDQTARPLMAHPVP VDTNDQEALLLATVIEFLAGLHLKSEGFREFASKSEYAEDVLSVLFPVVVGSDSVSAD IELSYRVSGLGLDDHNSRASPPQSRNTNELHTTTVEHSGTRDETSSSLPRSSSFILVS QHGGKQSRSPLQIKHSFALGSMDIEGLTEHPFLSRILCLILSIFSEQLLERKDFSGLG LFSKTPPGFLEHQAYFNSWVLTKLLQMLKDVAFSKTELLAEPRVITNLGRFTTHLAEA TLEGWFIDGAMATLEFSGTILEYLQRPDVSSLKSIRLCSQIIATIRSNLFRVVLVKLS EVQGPDALSFLNCLSYWQVVLLQAGESQADNLQLLCYLLYTKLIDENKDVRVAAAGLF RVIMVQKPAELFVILNHAAVPLQERLSGGFEALAGMDDAAFLEWIDNHADDLNTLFLG NVSKSWEDFIHQQNTAIETSWRIRMTKRHERLKQISQMEKARDEVTRRHEVTFPHWSS NIANSELLRYHRALQDQQDSHVFMWNEFFRLTLDLRRFGGILAEEKERKWRLDQTEGR SRMRLRIVPDDTKERQDYQPKRKASEPPVVKVDASGPSNGKTLAPPLDDERSTDSSHG IGPDNASVMEESFEMISDPAADLEDYDDKNRKVMRSLHRGDEVENLCNVSRIVGLEAF EGLLIQGKDHIYILDNFFQRVDGEIVNVSQAPSDERDPYVRMIAGREASERKPQEYET RSWKWTDLVSISKRRFLFRDVALEIFFTDGSSYLLTLISSKARDILCSQLAGKAPQVT GNVGHSRPEDIWRFETLRSSEDAPQSLGSKFASVFGHSPVYPATRKWVKGEISNFHYL MLINTLAGRTFNDLTQYPVFPWVIADYTSQELDLTNMKSFRDLSKPMGCQTPEREADF RERYQAFAEMGDGDSPPFHYGTHYSSAMIVSSYLIRLQPFVKSYLLLQGGTFDHADRL FYSVGKAWESASRGNMSDVRELIPEFFYLPEFLVNSNKYDFGVLQNMATTIDSVELPP WAKGDPKIFIAKNREALESPYVTRNLHHWIDLVFGCKQKGEAAVEAVNVFHHLSYQGA KDLDAINDPVERLATIGIIHNFGQTPHQIFNRPHPQSEVHKHKTLRLDTLAESLTQLP IALLETGERVSSLCMRNDRLLCTAALRLNIPPNYDKYLEWGFFDGSVRFYSTDNRKLL GHFEHLHVGQLTAAAFADSRTLVTSGADCTVSIWTFTANSRSVDLQPAGSLFGHRTPV TVLAVSRSFSTLLSASIDGQLMLWDLNRQCFLRELPLKGPVDCAQINNVTGEIAVCRG NRITLYSLNGAVLLEQVVCESSDDTTLACVFYEGVGDEWQERELVFTGHKRGVVNVWS KIIRNGRFELELIRQLHHIDNNRDNGANIAAGISCIQAFPQVVYTGDEAGRVYEWSCV QRR ANIA_10030 MKGIFGLSLLPLLVAASPVVVDSIHNGAAPILSSTNAKEVPDSY IVVFKKHVDPVAASVHHSWVQDIHGQKSGGRADLKKRFLGLEFGFGEEIYDGLKATFN IAGSLMGYSGHFHEDTIEEIRKHPDIEYIERNSEVHTLEDTDVEKNAPWGLARISHRD RLTFGTFNKYLYASEGGEGVDVYTIDTGINIEHEDFEGRAFWGKTIPNNDADEDGNGH GTHCSGTIAGKKYGVSKKANIYAVKVLRSSGSGTMADVVQGVEWAVESHLKKAKKGGN GFKGSVANMSLGGGKSKTLEDAVNAGVEAGVHFAVAAGNDNADACSYSPAAAEKAVTV GASTLADERAYFSNYGKCTDIFAPGLNILSTWIGSKSAVNTISGTSMASPHIAGLLAY FVSLQPSKDSAFAVDFTPEKLKKDIISIATEGVLTDIPADTPNLLAWNGGGSTNYSDI IAKGGYKSGSHVDSTPFKAGGILAQAM ANIA_10040 MRFSSLFGGLLLAGAAIAQNTSCSPKSSDAEVIEYAYALQGLLE RYYTSQPINQTFLRDATNGSRVEYYQNLLGIQRQNRLGVRALQQVGSQIPGFSNPRCD YSIPNATSGEDYVKNAAVLEGSVASAFIGATGYTQSPEVSFILARLAAVHTADASWLA AEQRSVVFPSNVSSLVPAYNPEYVLGSGKEPGRLGQYLQDCVTAPSDPCGQTFFIGPL VGSVGNRSSAAAVPSGSSASITPSISPTAAARRRFGF ANIA_00237 MSNNDDGFHTAKRRKLDTSQEAADSSAITSHTQLRSLLVFQQNV VESKQGIRRFKEFLTSIGQTNHEGEKAKKFRILKAYCDTQITRNTEEAICFPEIIQTW NFADSSNNESLLTSVPSVLAIFLKTVSSNLDFREFGIALCKYLLQKDQLRLFNRGLTA NKSKEHLISPCLRLLTEIVSFDGGAVARQLYIARHITFKRMDVFLTPNKAQLEDNADD PNASTLRRNAQRYVLANLKFQNTANKTDIIEQQKVIRAFLEHLRKDPHEIVIDIVKAI ERDVIQDSSLSRNTKTKFFSRWNLERLVTLYGYDRESEQENATGLSLADEAHKILLTV CTKTEHGVLLPQTGWYPVNSDPELLPIEDDASIDLGLDSAVYVDKYRESVPVRNGTLS YLIQVLRPESDSLQIALLLAIFKAAPELIADYYTKKALFMADPKPTPSWMAESTVLFS TVSLPVPANCGWKDKLPAMPPPVSVVIENILPRPLTQKVLTRCFNLNTEIVTLFAVRV LTMSFNKLRDTLKIFRADHGAGQHLWTQAADKLTVEFGHRCPQMKDVVTLFRRTAKDD LQQLEAVSELLACFYEVLTNAALEEGFDVSLVLVDILKRLDEGNLDAESTELLISQLQ NILKIAQQSASLRWWNQPGSMQFSAFTSILRVLINTSSEGSFKEIHSLLANVLIEYSV IRSSSFSAVLSSFGSSDSESQHQLAFFDNCACRVTKKPVHYQDLLGSLVQTPELLSPL VAAVIEQWPFVLKAGNDSAKTNVSEFIVRLLRNLHPAGESLQGLKAARDELVNATEDR KLKSRFRKALKGCEEDEKEDQNPDSEPREQPSSAPKKEWQNIDLEEIFGSLPAEGTTH NELYRWDQKEVAESLEEGRIAELFLCLCSEHEEVRRQALPNISRFMMKLKVISSLPES KYAEWRSAYILTGEFLETVKQIGVESPVPWIVGECAASCLAILVDPMHKLYGKINKFL QKAPAWEVEKIPSYWIDKILLHEPELDDGYFEETAWLLDLLVKGLRTEQDMEIYRRAN VFERVLSFYDSPGAGFSAKKKILHLVYRSTQVQGSTTLITRAGIISWIQSQIPSVTGR DASTLTAMAQSLLQSSDHDRTVKWSEGAVQRAVEQITA ANIA_10029 MARRYQIDELIWLRESPLVTRPANLPPVEEWMGPLPDRTAQRNP SNNHNETSGRRPSNFETRHISRNSNSEEIVLGPPKTAFASASRIPGKGSIDASERSPA SDETKNDRFKFFRDREAGERDFAGREGKMGTFNNRRGEKEDWNNGRTRRALGQDEQDK RSKRNGDFDRWESRDSQDHNAAKDKDGRFFPRREALAGRARHEGSWFREDKGQEAPDA EEEKASLRSRDWRRDRHGADREWTRGAKLEQEPEWLDATGRDEPRRVHTQEDFERWKE KMKAGSSQAQPEERKDSPNERVPEPVQRSEPRPTDGEIFSPSGAALHDSTMERFFGLL GDTKPAQDLGSPLPSESTVKKESTVGKPVKSSRFAGLFSPPLGSPAKEPESPISSRSP APMNSSTDADQEGFQRILQMLGGGKSRNTTPHNDPTQNNAQPNRPSSLVHAEQTRSPI SPSREQGQRLEHIATQGTPARGVDAPLKENLFSQESQGRDSQYLLRLMQQVRVSPGAG PNQGPQNQPQSTGPPPGLMNMPDGMPHPHGVEPSMKGPSFLNDPAIATMQRSDVDQLR RRPTNGPPMGYFDDVPLAQGPITPGGSRVPQGHAPPMGIQRPPGFEHMPPPGWAGHHM PPQQTAPPAPLAPPPGIPTPNRGVNPNYISNVMPMPGNMPLNERQPFPRGPIPPGMMP PPGYMNGLPPGGFPPVPHNGENVMGLFDGNPGIQGPPPSSRHLLDMFGQVGTDARGGM VGPRQFR ANIA_10048 MISPHFHISPSPVNKHLRPETQQQEQDINMMDEIELQLCLSIDP TPISTPIKETDNQKEKQKRTSQQGQTQQLQILGAKRLAENLVNHGESGTGEEGRGLTH RDISPNFGDGDGDGDGDNNRIPNGLWSEESNDHLGYLQLPPRKKVRTLFAWDPESTCQ TMSEGSASAESDTDKDKNHTPNHAAQSADVFDPMSLCHPLGDTKPPSSEEPGEYMHAL IASLQLLNHPHPHPDPPDIPRRPQRFEIYEDPDDMDTDGVGFFNVDLNTAWYLSPDEN KENAEEDANGNRQHNHGNLSRVQSQRHRQVT ANIA_00235 MRWRLPGARSTLPASVALLLLPVLVAPQQLQEQPLHDVPVPSAV SVPLRPTAHVPANANANPSPPALNVKSNDASALATLALAGSGRAVRAPPIQASSSSAS AGLAPQLHARSLQDWEVEDFVLLATVDGTIHARDRKTGAPRWALEVPSSPMVESYYHR ANRSSFDEAKPEDDFIWIVEPSQDGSLYIFSPDPNAGLQQLGLTVKELVDETPYSGTE PAVTYTARKETTLYTIDARTGSILRVFSSRGPMPSAPECRKVDDFDADVDEECESPSG TLVLGRVEYAVAIQNTETGDPICTLKYSEWTTNNRDVDLQSQYFRTMDQSHIYSMHDG VVLGFDHSHIERPRYTQRFSSPVVRVFDVARPVNIDNPEAPKPLILLSQPLQPPDPDY GSLDDRNDLVFIDYTEGGGWYAMSEETYPLVTGRAKMAQYYEKDYFRHGQPLMSLTPE QQRDALAGVHALNSPRIPRRQIPSISGSSSAEFSNGTPREIIRSPSELALPPALRHST IIRKSWDNAADIVVMLILLFFAPLSTPSTPIVSGSMKRESSTVRAVNDVTVDIKVDGP PVDGEMTPKPDRTRDSVEPDATPRVRIREPSRGPDDDKDVEELSLQDGEKKKKTRKRG SRGGKSHRRGKKKIESEKEESDHAPGTLQPPAGPDAGLALTRTASNEVFEADGVIQIG RLKVFTADVLGHGSHGTVVYRGSFDGRDVAVKRMLVEFYDIASHEVGLLQESDDHNNV IRYYCREQAKGFFYIALELCPASLQDVVERPDAFPQLVNGGLDMPDVLRQIVAGVRYL HSLKIVHRDLKPQNILVAAPRGRIGSRAIRLLISDFGLCKKLEDNQSSFRATTAHAAG TSGWRAPELLVDDDKSPVIQGSESQHTESSEPAVVDPQTNRRATRAIDIFSLGCVFYY VLTRGCHPFDKNGKFMREANIVKGNFNLDELQRLGEYAFEADDLIRSMLALDPRQRPD ASAVLTHPFFWNPSDRLSFLCDVSDHFEFEPRDPPSDALLCLESVASDVIGPEMDFLK LLPKDFKDSLGKQRKYTGSKMLDLMRALRNKRNHYNDMPEHLKAHIGGLPEGYLNFWT VRFPSLLMSCHWVIVELGLTKTDRFKRYFTPLE ANIA_00234 MLAKRLNALVLIPDFFRGDGAKHEWVPPDTDEKTAALMEFVTTK ASFVEVAKSLPSLVDTYKGNFPSVQKWGAYGLCWGGKVLALSSGDGTPFTATVQVHPG RMDKADAQTLTIPHAILASKDEPADEVAAYKRIIEDKGLGGFVETYGEMWHGWMGARA DFEKEESLKNYVRGYEQLAEFFERYLS ANIA_00233 MVAIGKQREEDVSEPILETLIQNDCTPWYKKPNLRNLYLILFPA CMGIEITSGFDSQIINTGFLAAAYSLGAVLALPVVPWINQKVGRRWTIMFGSCISLVG AILQGFSNGAAMYCIARIVLGFGIPFCIVAGSSMLGELGYPKERPILTSLFNSSYFIG QITAAAVGLGTVTIPSDWAWRVPSLLQIAPAMVQIVTVMFLPESPRYLVSKDRTDEAV EILTKYHAEGDRDSVIVKAEIAQIERTILLEREESKQSWGDLFRTAGMRRRLFITAFL GLFTQWSGNTLISYYLSDLLDMVDIKDSVVKSKINVGIACWGLVCGTTLALTAPRFKR RTMYLTTACSLLSVYIAWTISMERAMTTETKAAAVLTILFIFLYSPAYNLGYNALTYT YLIEIFPYFNRSRGLSWFQFYGRGATFFATYVNPIGLDRIEWRWLIVYCCWLAFEVVF IYFFFPETSGRTLEELSFMFEGREKADEVAAAVHKQIDETEHKGATTEIREKV ANIA_00232 MAHSHSHSHSHDNIADHGHSHEILDGPGSYLNREQPLIEDRDWS DRAFTIGIGGPVGSGKTALMLALCRALRDEYNIAAVTNDIFTREDAEFLTRNKALSPD RIRAIETGGCPHAAVREDISANLLALQNLHRQFQTDLLLIESGGDNLAANYSRELADF IIYVIDVAGGDKVPRKGGPGITGSDLLVVNKIDLAEAVGADISVMERDAAKMREGGPT VFAVVKKDQGVDHIVNLILSAWKASGAYELSLQRWKNGAPRGSGSVEP ANIA_00231 MHLLSSLAALAAAITVAFADVQQCNAENASVRKEWGSLTPDEQL GYIDAVWCLRSLPSRLPNEQYPGVQDRVDDFVATHINLTMVIHRNAPFLPWHRQYIHL WETALREECGYNGTVPYWNWTKNPDLYTNPVFDTTQSPETSLSLSGDGAYVAPSPTDP DPDPGLDFAPGRGGGCVLDGPFKDWPVRMGPFSAAQAYPYAPVPENAFAHNPRCLQRN LDVARIQYYNNPSVLESLLAAPSIAVFQDILDRTIPGTWQQAIGAHGGGHISVGPTLA DVFASPQDPVFMLHHGFIDLLWDAWQRSGSDTGEGTDRMRALNGTTMYTNPPGAEEAT LDTVMEFGVLGSPKKIGEVMDIRGGEYCYRYE ANIA_00230 MRTTSFSLFGLNLVLLISSTVAKTTTVGVETETESRGVSVPMDD CFDIDVDELTYPSRDVTTLAITKKCRVFTGPMCTGRTTLLEPGEHSSPEPVMLGSILC EEPEVFSTEL ANIA_11265 MRKSVKRVSVQSTFLVDLAPFRRAALKFLAMPHANGEWLCQRYK SKNVKLLPSE ANIA_10045 MDNLPAHLSGLELAPPPPNVRICWLPKNSTSRFQPLDQGIIQNL KIYYQKQWLRYMLSYYERNLDLLQSVTILDCIRWLVRAWHHDVQSSTILACFYKSTLV QDPIELPVEVPDLRPLYTQVQQSGRLSDCIDISFFLNPVEESPEPISSGNKISSDALL EQLIAEASGNADIYPNNLDDDLGEPAPLPKPQDALDAVRLLISYMEGQDTSKTPILRS LERLERDIEGEIITAKAQGTLDSWLSNAR ANIA_00229 MADSENPQAASNSASSLVTVLVPSLVVAGAMVLIFVILRRSERR MYMPRTYLGVLRDSQKTPPSSTGPLGWIKDMYKLPDEYVLQHHSMDAYLLLRFLKIIT MICFVGSCLTFPILFPVNATGGAGKQQLDILSFSNVSETKYARFFAHALVAWVFVAFV FFTVTRESLFYINLRQAYSLSRSYASRLSSRTVLFTTVPEEYQSVEKIRFMFGANKVK NVWLTTDTAELAEKVNDRHAAAIKLEAAETKLIRMANAARLKALKKNRGTGEQSTAPE ATEDDGESGSVAARWVRPKDRPTHRLKPIIGKKVDTINWARSEIERLTPEIEALQTQH RAGEAKLVSSVFVEFHTQADAQAAFQSVAHNLPLHMAPRYIGLEPTQIIWSNLRIKWW ERIIRYGASVAFVSAMVIFWAIPTAFVGSLSNINNLTDKVHFLRFINDVPDWILGAIT GLLPTILMAVLMALVPIVLRLMAKIGGAPNLAAVELSTQNFYFFFQVVQVFLVVTLSS AATSVVTKIVSNPTSATSLLANNLPLSANFYISYIVLQGLSFSSGALLQISGLILGKI LGRLLDNTPRKMYSRWSNLAGLGWGTVYPVFTLLAVIAITYSCIAPLVLGFATIGLYL FYFAYRYNLLYVSNADIDTQGKTFARALQQILVGCYLLVVCLIGLFAIGTGTDPIALG PMILTIILLVTMIIYHVSLNAALDPLINYLPRNLEAEEEALLSQETNGAKDEYDGSAS PSAADGQTADNVDSAEKGLTQANPAEPKTNFLTKYLRPDKYSGYRQLRTLVPNGTETV SYPPEVERNAYYHPAISAQAPLLWIPRDEMGISAQEVAHTSRVIPITDEDAWLDEKNH IVWNVEKGVPPIFEEKIYY ANIA_00228 MSSLFDAVLQSELGSTAGSRDDRGLHSDALPSSRPQPMSESNAP MSDANAFPDDQVPETSRSTSTRLRNPYVAGAPPVVDLAGEKVQQAFEELLENYQEEAP PKSSHTPQSSAPMTNKYYIAQIHGMAKWELSTLYVDFTHLTSLDNPILADAIANQYYR FQPFLVKALHNLIAKYEPEYFVSHRQATSSVSSQAGTSLMAGNSSVADDPKLDRTIRE KTRHQQTDKLFALAFYNLPLVSRLRQLRTSQIGKLLSVSGTVTRTSEIRPELSLGTFI YWQKVKLQESSHEIPTGSMPRTMDVILRGEMVDRVKAGERCIFTGTLIVIPDVSQLGL PGVRPEAVRDNSGFRSNDVGGGGVSGLKALGVRDLTYRLAFLTCMVTPDTTTPGQQSN QQLSGQSNRILGSLNQNPDPEPDDDKAQEAFLQSLSPAEVEDLKTMVHSEYIYSRLVD SMAPMIYGHRQIKKGLLLQLVGGVAKSTEQESLQLRGDINICIVGDPSTSKSQFLKYI CSLHPRAVYTSGKASSAAGLTAKVVKDAETGEFTIEAGALMLANGGGICAIDEFDKMD ISDQVAIHEAMEQQTISIAKAGIHTTLNARASILAAANPIGGRYNPKTTLRGNLNFSA PIMSRFDLFFVIRDEPNEDVDRNLADHIVNVHMNRDAAVEPEFSTEQLQRYIRFARTF RPVFREEAKAVLVEKYKELRANDAQGGMGRSSYRITVRQLESLIRLSEAIAKVNCVEE IVPKFVREAYDLLRQSIVTVEKDDVEVEDDEGAANADEDMPDRDRDGDSPMREEPQSA AAAEPVEPRAKTKITYDKYMKILNLVVRRIREDEAQAGEGVEQEDLLVWYLEQIEAEL NNEEDLQRERSLAVKVLKRMVKDNILMPIRGEGLVDEASEVQTDRTIYVLHPNCAIDE E ANIA_00227 MSKRSAGSNGIRIPAMAASTPVLDSPGTKETKVSRLKPVASASP PLPSPTTMLGASRKDPFDVLPITSSDMELADYWTNKLTYWSGQNKHIKDCAFKTAMAH PLSFRAVILAYCARWKAQLYDHSNAREIDYHLTNARKGVEDAVNGRIQIDGDSLAMAF AGLALQEDRFGSKEKAGEYEEQAVQIFRAGARSSGLAEVFLHYVRYVMIPSPQTLTRS ENSQGWLVTFLRAAEGLMLSHNNDDYLTTCPQRRIAFQMESPLFSLLSSGPRPSRVPH DSRIYVVRNVPTQEITRTAALIYITAALWDFQDSPGKTQRFLIYLNNLVKKHELDRYP ACESFIWHLLEENCDIDLKDSERAWSTGELLTIHKRLPPDLQFQYNETLFSFLMLAKP IRGVEAFEKELVAFSEAKG ANIA_00226 MAERILMNEFKALSQEPWVNVELQNDDIFRWTIGLIVLNPDSLF YGGYFKASMKFPTNYPYSPPEFRFHRPLYHPNIYTDGKLCISILHSPGEDEMSGELAS ERWSPAQRVESVLISILSLLDDAEVSSPANVDASVLLRKDFEAYKERVRKCVEDSKAD IPEGFEMPTHESTIRSAPKQVKDDDSDFWAESDVDDDMFGGSGSDQEEVDSDAFEQGD DSMSDDE ANIA_00225 MIPQCAGPIWAVDDLSRCFQRRYLQEILPLAACALSLILITTRV AYRYIFSRKSFAYKLLPSDDVGSVQDIRGAEEDLTEESDPMLSKAARIELPHFELDRP RGEIAFVVLEAAALVGQVVVFALVLKSDAWGRDGRLPAAARLVSWSYLLFLVLVRLLL SVRQLHSPARLWNHTATLYGLQWLLTVLVFRSAVIYPISKRAMTFSSIEFSLSSFLVL LSVTTRRGNKPVLVPHEEGLEPARHPVASLLSLATFSWLDPLIFKGYRQSLELEDVWN LTASQKASTVLEDFRCRQYKGPLVVKLLRYFAGTILLQGAWTIFSNLFTYLPTLLLKA ILEYVEDPRSTTPNAAWLYAVLLFVSAATQGVADGQALWIGRRMGVKLRAIIIGEIYA KALRRKAGPSVEAKKDEEKVPDKKKRILSFGRKKKKPTTDAENDASKKDVKEDAESLA NIGTIINLMAIDSFKVSEVGAYLHFLWASVPVQIVIAVTLLYRLLGFSSFAGIIIMVL MLPINLIIAKKFSKLQNQILKGTDARIHSTNEILQNIRIIKYFAWEERFQDIVNEKRR AELRALRLRYILWSTAATVWYGTPILITFASFFLYTVVEKKRLTPSIAFPALSMFSLL RIPLDQLADMVAHVQESKVSLDRVDKYLNEDETEKYIQLADEDTSEPRIALEHATLSW GTGPTNENGDKDETFRLINVDVEFLIGKLNIIAGQTGSGKTSLLMALLGEMKLLEGRV HLPGGTANRAELPVDTRTGLIESVAYCAQEAWLVNDTIKENIIFASPFDERRYNAVIK ACALERDLEILDAGDETLVGEKGIGLSGGQKQRISLARAIYSRARHLLLDDCLSAVDS HTAKHIFREALSGPLMLNRTCILVTHNIALTAPYADFIVALDNGKVSFQGRPDEAGAS KALGEELAKSRPGSRSSSSPPRSRRPSNSANDDNESHANGIPNGTANGTANGAVNGTP GKTLQKTATSKKHAETKAVGSIKWPIIKMYLFSMGPWYYWIVAVLVFTLQQLGSVSTN IWIRQWANSYSADNVDINSEGTYAAMAHFKFPSFNVLSVPRTSGVAPQIGTQTVDSDN NVNVTYYLGIYALLGVLYIAISATREGVLFWGSLHASNSIHKRLLKTVMHAKFKFFDS TPLGQLMNRFSKDVEAIDQEVAPVAIGMLHSLASVVMIVILISIITPGFLIAAVFITM VYFALGAIYLNASRDMKRLESVQRSPLYQQFGETLNGIVTIRAYGDGPRFLVDNHRRI NNYNRPHIYLWAANRWLAFRVDITGALVSFLTATFILSNIGRIDAGAAGLSLTYAVTF TENVLWLVRLYSEVQQSMNSVERVKEYLEVEQEAPPVIADSRPPPNWPSKGSVQFSNY STRYRLDLDPVLRDVSFTVQSGEKVGIVGRTGAGKSSLALALFRGLEAETGRITIDGV DIGTIGLKDLREAITIVPQDPTLFTGTIRSNLDPFGHFTDEQIFTAIRRVHLIGSGTS GTATPVTASTLTVTEQGSASPSEGNAISSTVLDNKNIFHNLESPVSESGSNLSQGQRQ LLCLARALLKNPKVLMMDEATASIDYTTDAKIQETLRELRDNTIITIAHRLQTIIDYD KVLVLDHGRVVEFDHPWTLVNKEGGIFRSMCENSGNLETLLELAKKAWEQKRLVDDS ANIA_00224 MEKTTDLPQHNLASPPVNGRSSWKTRCALGVIAGGLIFWDVLAC YAHPHTYHDPVEEVLHLQPLTDGHNDFPEFIRYFYHNHIYQSNFSDQIALPGHVDFPR LAKGGVRGQFWSVYVACHDSPPEPDYEYVHDTLQQIDLVHRLASLYPGYLEIVPDTTA FRHTFESSPTKIASFLGVEGLHQIGSSASTLRLYHSLGARYVTLTHMCHNEYADSATP SKPRHNGLSAKGRDMVLEMNRLGMAVDISHVSAKTMHDALDTSKAPVIFSHSSVYALC PHERNVPDDVLLRLAENGGVIMITFLDEYTRCDNPLAATLSDVADHIQYVGELIGYEY VGLGSDFDGMVTTIQGLEDVSKYPDLIKELLDRGVSVKDAAGIIGGNILRVMGEIERV AREMNEEGITPLEDDVPSPTEML ANIA_11264 MIHLLPLLRFRLAQLIDPGDCELPSNDRTRQKILLEEMDEDSVT RAIDPEYCSPKHPQLIRGQ ANIA_00223 MESSSYTNSKRPPKLRSACNECHAAKVRCSGEKTGCQRCSNLRL KCAFSISRIGKVPGKRSKANRATVTGSTSSSASLSISSSSLSTPIMSPPLPMTSYSYD SPRAYEARNAIPIPASHPFTHEYAAGLSLANETSYAQSSPSYLTQSRPEESSSLNNLC WAPELDQLGGPGLLSPEWEIDAEESFLQVPPQPPTSVPTYVDVTSDGRNASEAYESPT ESIPPSQYPLYLHLLQSIDHSMRLANQCRSPGQHTSTQDMILAATQRYLTTLLQTTES LSFTHTYSEEHLLFSVALDKIIYLLKVGYTDLRRQMEVYESMCIGVAEPAKGWVRYGA FGMDVFEQVSYCRKLFVEEVKRAGLCLDRLMEAMGYLAMTGSSSSSPGRHERLCEEMK RRLDGLMDSLEGDQGAQGVHLSTMISFTCHFQDLLAAILSYQYDMPHAGSRQNEFYAG FDGTAFIRRVITRLETQGFILSTSKRNILQMDKFGILSSLLYDQYRTSGT ANIA_10041 MIAIAHTRRRLILTLALVSLLGIFHLSPSFLALASLPWTASRAD LTAPVKARRITKASMLYGPRNVFYERALQTHRRHAQKWGYGMEVLQNEIAKGYWNKPS YLLALLIRELSKPVNERVEWLMWVDADSIIINSLIPLELFLPPSTLDGIHMVASKDHK GLNTGIFFLRVHEWSVRFLIETLAYPIYNPGVDLDLQGQRGNMLVHFPGLGEKRWEHM ETWLDLVERGVGGWEVPVQETWYLEETERFWKRVQEARHIIGEYERMKELREKPGSGQ RDRDGESETDRLVGELSRALYEEPFEGKTLQQRIETLRRFFDSA ANIA_10028 MQPGLSFLVGNWPSVVVLLGFAYFLTLAYGVRKNPLSSLPGPQL TKWTDLLLKFYTVTGQRPRYVHALHQKYGPVVRISPSIVDISDVSASRDIHRIASPFL KAPFYKMLVRKDGESLFSTTDPEYHRRHRRLLSSPLSDTNLRTVEPLVKARIRLAISR IREEALSPRGVADIYKWFFFMATDIIGELSFGDSFRMLEIGKKNQYISDLETVAKIGG IRANFPWIISIGQILPLSIFREVVVSTDRILEYANQSVERYKRHLAMNPNQPKPTLFT KLYDASLHKEGDGECLSDREIRNDAQSFIVAGSDTTANTLTYLVWSVLKDRSIQEKLV EELDVLEELSDVHLRELQYMNQVINEALRLYPAVPSGLPRVVPDKGSTLAGHWLPGGA TVTTQLYSLHRDEEVFEEPERFDPSRWENPTKAMKDAYMPFGAGSRNCIGLHLAKMEL RLATAYFFRSFPRARISAREDMNDGDMEMMLYFLLSPKGKRCLVEVN ANIA_00221 MYLTGVRQHNVVPEPSLVSDVTHVALAFMQSSIFNRNSTSSWPL FTTVDAVRTEFSNGTSILISIGGWGDTQGFSTAARTDESRKLFAENIRRMVDDTGADG VDIDWEYPGGNGEDYKRIPNSEKFWETAAYPQLLAEIRAAIPDKLITAAVPGLPRDML AFTKETIPSISASVDFLNVMTYDLMNRRDTVTKHHTGVQLSLESIDAYIEAGMPPEKL NLGLAYYVKWFKTVPGEQCAKQPIGCPTVLMEDPDTGADLGQAGAFSWNDRVPQELAA SFEKAKISGEYDPFGGGYYFWDSNENIFWSWDTANAIKRKFPAILEKRKLGGVFAWGL GEDAPEFAHLKATTAGLGDSSAAPGGKLSTSRKDEL ANIA_00220 MACISPRSSTEQMGLLSSLSAGYPTCRFLQRVYPCAPPLERHTV LATGPLKFKADPNPTGNSNRPIRRFGSALARYSEDLVDVGSLNLPGQAVQEELASMTI TEDFPQHQRAPEVSWDMAKYEKTSKGQTYSTSSHLVKVLHSLGSHHHSLTNLKPGETT IPTPTDMSSNDNSTSDSKSFSGANEPNDLTSPAVSGLETNGSPLHPSLRDAVTVANSY FQVRAAVEAADDAALTDDEARAVLDTLGIQLAPGEDASLKLKGFAISLTKRRGSSF ANIA_10042 MRLGLTLSRSLRMIAHKTGPKMNDTTTSLFGPETTCVRIIKLEG NFNKAFLITMSDGNEVIAKIPCANDGLRTAPFIGFEFRKCMPGVPTNPVGAEYIIMEK ACGTELAERWGTMNALERYKIIDGIVKTETELEGMKLPAFGSLFRGILCQRNIHVNFV LESRPNWPHLRWTFKQSSSMS ANIA_00219 MASSLPPLVSQLCDLLHNPVLPAQLASAIQGNSSGNEQDIFLRI DASITTNAPSDSHSGSLPLGCINLRTGTLVPLQALTPAHTPDPRDEEADTTRSDYTSH FAPEEHGLGPSKRHKTVSGLRLPSHSSTGNTKLGIIPNGETSNDDSQDAAPKSSRQVH SDTRFPQRAKRDQGLEPPVLEPTSSDKLIAGIWRQIYSSVQLSREFSSITPTINIRSG ASLEVFQSVNSLCLHYYNRSQSSRALEMIVQAFWVESFEARTAVLQYEKPILSRTEAR MIAIREACVVLNWKEKDLRNRMAIWRGYKEIKDYGGWAALVFASAGVYRFCKYRNEFG EGLFSRLRHIRSSLEVAADTLHPGWRDLLKVIQQETLPAYRGHPHEWVIMPDGPALPL RDTYRHLNLPTGLQYKFIDECVLDKDVFGDMDPRKVPELDEDTCPICHEKQSDEIKEN QCMCFPALFGGIRAPVPVQIFRTTSGKNNGVVARCSFDRGTAIGEFTGFITSGITGVD VMIGGSKARPYQIFQGGMGNFTRFINHSCRPNSQFQRFYWRGKERIIVVSRGVPAGAE ITVDYSEGYWRELEKSCLCGEACCRFRGANTL ANIA_00218 MESGGPPVQSSPVFREKFTTSYACPSSRWRGFQALHPGRGMYHD VRRRLPYYWSDITDAFTYRTVASTVRMYFVNLLPAIAYTLDMSRRTGNFYGINESLFS SALAAMVFSVLAAQPLTIVGVTGLISLFNYTIYDIITRYDPAIYANFMCWTAIWAAIF HWIVAVCNFCDYMRYVTDFSSESFAMYVGIIYCVKGVEELSNEFALYGPTAGFLSSLI AVLYFFTVYGLERVGSSTVCKPWIRKLLADYAYVIGTLFWTGFVHFPGPLREAGISMV PITKAFYPTQPRGWLIHFWELEVKWVFAALPFGFLIMLLFYYDHAHVSLPERERKRLT SRAEYQQPHSASSPFSAEEAGRLSLGFLPTGMHGVYRRNNRVADAEWACATGISVDTT VQAPVHTDSLTVYETDLAVLTYTNSACPSNDITKEPEIRYPITKATSVTEQRVSHFLM GIALIGTMTGPLLIVLHLIPAAVTAGVFFIVKRRKIILYLICEIVPVAACVAISQTIS AIGFPILIIAMIPFRVWILPRWFSFGELRILDSLTTSNSAVMESLGGKPQRLENWDAE ANIA_00217 MFWLSSYLQHWGCCWLLWCRVVYVYCGLLIFWRFFMGVGLGGDY SLSAVICSEFAPTRIRGWMPAAVFCCQSLGSLAANMVALIAVAGFHHRLLEDDSGAGC TGRCVQDVDRIWRLIVGLGAVPEFIALWFRLTTIGSPRYTAEVTQNSLQAAADVSYFF RPDEAIPAPEMGQITATIVISPATTEPVLYPSSVNSTRSSVSEVTPTVDLFSIQPKPF RVLMATCLGWFFLDLPLYGPGLISPHSMVVVSSGAVVGNLIAIFTIDRLGRRNIQLNG FFWLYILNIVVGTSFCHPEQRTDSSALVVYISLCQIFNFGPNTTTYILPAELFATRLR CTCHGLAAAAGKLGSVIAHIFISFVDYGSAHTIKMILGTCWGFLFFGKFADPIGLHVV RPRRHLFLRPDVRDSDGKIKSLEKLADDLMSDSGPLPETRGAGGLFFFENV ANIA_00216 MLRKTVLITGCSDNGIGSGLALTFQAQDYYVFATARNPAKMSKL ADLPNVTLLPLDVCKNEEITAAVEAVKSHTGGTGKLDYLINNAGQGHFMPILDQDLKN ARDLYESNVWGPLAVTQAFAPLLINANGTVTFITSVSGHINCPYIGVYAASKQSLEII AETLRLELQPFDVRVLSVVTGAVQSMGQVGRFDEYKLPEDSMYKPIEAFIKDRAQGKD GIEREELMTYCNKVVSEITDGRAKKFWCGGSAGFARITSCRKERGLMFWQQIRRAINE YFQTQRLVCGGRFGSGVIVHIHPVFVFIHVRSCIISVHYACSTQAYVRQRNFSLDVGV EHDVHLHTGKALEPEYECMDWMLNR ANIA_00215 MAHLQARQHISPDSGFPVTLHPLFNPGISSHVPPEPIRDEITPP PDRASFADPEKRALFSPGVKRINLTESIGTVLEGVQISQLSASGLKFVNIHGSREDHR EILKYTPWPSGDFHADTSFEINRALPATYAPKYLRFADTKGTAPSYSLLRMEEHPEVG GDTAWARKVSQYGLYDALSDAYKKFLDGLHAVHTSRLQYDTILDLWGTGPNRPPIDTH HPAVRTHPVTGLKALNVNPGFVTGFAELKKAESDKVLDFLAYHIHSADDHYVRWKWAV GSVAMWDNRCTLHRVIPGTYEGKRRGIRTTVFGEKPYFDPASESRNERNAREKREKEE KEREKDKGDGVLPVETPV ANIA_00214 MDAEPSSILLIEPQSPGQTSAESDGSLTPQRTAVINRSPKGQPI SPTSKFNNLILKLAASTPPAKEAKEKLLLAVEKLISDGASLDCTDSSRRTPLHRACAS GTRDMIALLLRHGPELKGARDRRGNTPLHVVCSERRGSAFDLRTAVSFFIDAGVDVNC VNEEGVTALHLIAERGEEEWISTVELLLQNGAQIGLGDGMGKTALHKATVAGCAELVE ALLQNGAAVDAVDDLGHSALHMCVVSESLEAMEVLLRYGADVNLRDGRGHTVLHLVCR YGWVEAVDMLMENGADVEIKDQDGLTALQLAKETGAEELTPRQSW ANIA_00213 MPTTQMDALGAFTYLSDNLPTWISRLADLSAHTTAKNAEFAEAF KQHSAPSKPRRRRNSSVCSIQADSLAANHSQLGVKRRRQTNDGSIDSCNGPTVISTRH NLIIHYDGYTQKTLEEMVRNIGTARNNLRKGKMAQLPLAVGGLRSSSRNTARTMLPAL DPGAPESDLLASIRTARTRGPPAPPQAARESPFDLADKNLELAHSFCETAAYHFLRVG ACASELESVRDRFNVLLKLATEEVQRLREEQEAKKKEQLDEKKLEADASTKVTVTITE TSVSPKRPASNEGPVEVDDDQGSVESLDLTAFRVGRLRR ANIA_00212 MAPAVAPWRALFLASLEKSKSSSFSLASIAHTTANKPVPRSRTV EFRGFFPKPASTLHQSGIEALKTQGIGLNPDVYESDLFSITTDARMKKMGQIADSDDV EGVFWLEEVSTQWRVRGKAIAIGNPGNGAEEKEARETVRMALRVKQEGEGDIKDWDWD RQVATYFANHSQVMRGTFKSPPPGTPRSEAPSDPRLKLNQQVEDLKDEVARANFRVLL ICPQEIERLDFSNPDDVRRTNWALVDAEKGEWKETELWP ANIA_00211 MRLTVELIQNSLSYINPLKDRELDLRDNDISSLGNFPFFPRLRM LLLARNRVRQIQPSLANSIPGLTTLVLTANNIAELADLDPLRNLTKLTHLVLLENPVT RKEYYRLWIIWRIPSVRFLDYQKVKDAERAKAAELFGTATEPTALASKILGVKSRTFD IPSGGAADQPPPEKRLRVKLTDSERKRIEKMIREAKSLQEITRLERELNEGRIPGGAL DGAGNDGDQMQL ANIA_00210 MGKWHYGIVLDAGSSGTRVHVYRWLDNAVARKESGKHNLKSLPE IKTKSDWVKKIHPGVSTFADRPEEFGPEHLAELLDFARDIVPDDAIKETPIFLLATAG MRLVEDVKQKLLLDHICSYARENYDFALPDCNLHIQVIPGVTEGLYGWVATNYLLGSF DSPSDHDHGKGHHTYGFLDMGGASAQIAFAPNATESEKHANDLTLLRLRNIDGSTQEH RVFVTSWLEFGVREARRRYLDSLQSALSADNVKELPDPCLPAGLRTTLDGRPLEDSET KHYLLGTGKFDECLRGTYPLLDKDAPCEDQPCLLHGVHVPAIDFDVNHFVGISEYWHT THEVFEMGHTDKAYDFNTYQHRVEEFCSQDWESIEQGIAEHKWGKKLDRELAYEVCFK ASWIINVLHDGIGVPRVGLESTSASSVNGTKEVLENGMHKGFLDPFQAVNKIDSTEVS WTLGKMVLYAASQVPVETAESLPVGFGSNVAGVPSDFQYPSAELLPNPETLHGESWQD ALLEGNSSRRIPGIILFVLIIILALFLLCGRTRRLKVYHKVNNLFSCGRGPRLIQQRK RKASAPIKPSFFGQRAPSYERILEEGAQDIELGGSESSRSSYDHVSDTDSTAFLPPKR TSSWGQSSPARPHFNHDNSSSATVGLGISAAPGLSSIDRNGLVVRTESRDHLAPIALG PTTNGRRSRAPSPSRSHSHKSPSATPLHD ANIA_00209 MSSPEYDPSIPDGGDTTKLDVNAPFVGYEFHAVYIAACTFIVYL ILPGIGFLYSGLTRRKSAMTFLFQAFLVLAVTTFQWMFWGYSLTYSRDGGPYIGTLQN FGLMDALAAPSPGSAVLPEVLFCLYQLLFGSCTVMIVVGGAFERGGILASLVFAFVWE TIVYCPLARWTWSSHGWLYNLPSVDFAGGGPVHIASGCAALAYAVVLGKRKGYPDASM KRPHNTTLVFLGTVFIWTGWLGFNGGSTLNASVRSYMAVMNTNIAGSTGVLGWVLVDM IRNKGKFSMVGACEGAIAGLVGITPCAGCVTFWLGALVGFLTGIVCSACKNLNEWIRV DEGMDVFKLHGVGGMVGSFLTGIFADQYISALDGDSLIPGAINGEGVQVGKQLAEICA ISAYSFGVTWVILMVMKFIPYLGLRANEEAEMVGLDRSFFVDEQIGDYSMLDGINSSP LMGVSKTPSSEVQQTAAETKRA ANIA_00208 MDGHEAIKQKSSTAIATGDILRSENALASPLSEDEKRNEKKLVL KIDLLILPMIAFSFYLSIIDRGNYGAARLQHLESDVHMSGSEFQAALSIFYVGTILFG VPSNMLLNHFGRQSLHIGLAVLFWGTVTSCTAAVNNFEWMMACRTILGIADKGSSMCG NPILPLKMVKKIELSFRISICLSAGLVAAASGPLIAAGILNGLDGLRGLSAWRWLYLI EGIASICAGLALVAFLPDYPHTWAALTPHMRETNLTNEYRSYSASNIRRPETNLDGAK ILALDGVKLALRDEKLYILTFLQLCTIICIESQSFYPTMTATLGYSHVI ANIA_00207 MKSLITSLLPAATLIHAAAIWPTHSFHTTDTNTPILNITKFGPT APGFLFIAPSTSTGGSPAIYADTGDLVWHGPEGKTYAYQPQTLHGEPVLTFWQGHNVK GFGYGHISILNASYEEIHRVTLPGSKDSSFVTATNESFPSYIDIHESAITEHGTILVT AVNVTQTDLTFVGGERDGWVQDGLVYEIDIETNEVLFRWSAVEHSEQLPLEYVEYPLN DAGRNSSVPYECPHLNSVAKYGDTYLVSSRVIWFGYSMYARACPNLASMERANRYVQG QKGGTYTLPSTPGSTFCYQHDARIHAHTYPGHPNETITLSLHNNDNTDATIPRRLTTG LVFNLHPFNKSATLISRTYDARDPVSAVSQGNYQVLPSNGTGAGLGGYYVAGHGAVPK IEEYDSAGKVVMRGWFGAKIENTSTSSYDWTSYRGYRENWVGRPRSRPSIFACREEDE QKVDVWVSWNGATDVKGWRIYGLSSSSKGERMRVLRDVAKSGFETRAVLGADIDDIEV REGSVDVIIVEAIGGVGEGAKSEAVRVGSCSTQ ANIA_00206 MSPAKSSVGESAPDLEIVGDQVTIHPSGYTGGPEPQDGGITERN LINNMARFRENPFDFLREVSSYMMSGTGWRAYDDIIGQPIYYSGFTEQIKSHILASPI LREKVKELAEARLEVEDKEGLLQIRTGEFARTHTQRRKELESNLNEVVDQMLDNMICK MESKRFIRGAYYLASQLLTRAYHQGIHVSSEEILRLRAVAEEAAKKKQSIVFLPCHKS HVDYVSLQIICYRLGIALPVVVAGDNLNIPFVGAFLQHAGAMWIRRSFGNDPLYNTVV QAYIDTILQKGYNFECFIEGGRSRTGKLLSPKFGILSFIVDSVLSGRTEDTIICPVST QYDKVIETESYISELLGQPKRKENLADFLSSSSVLSLKLGRVDVRFHKPWSLREYISQ HLSRLPQPLQLKKGLKLSYSDRGRLLRSLGYRVLSDINNVSVMMPTALVGTVLLTLRG RGVGKAELVRRVEWLCDRVRAKGGRVAHFYRYPTEVVVNRALDVLGPKIVGEVTGLVE PTYFAVDRFQLSFYRNMTIHLFISEALVSAAMYTRVKQGGGPAHQRISYGDLLHHVTF LSQLFRGEFIFPPEGLTTNLENTLRTLEKDQVLAITRDSTGAPQFIELSETERQCGRE NYDFYCFLIWPFIEASWLGTVSLLGLTPPLNGPKDVWINLSKAQNNAQLGDLSYFEAV NKEALKNSYDRFAEEGIIIVAKSKENRSSTKMRLAPEWTPERDPDTGKLLPQGRLWDF MELIAKSRREGKNRRDGATVSSRVLTMSDNVGRTLFESAESRAPATDVDVDVSTRAPR RKALTSKL ANIA_00205 MFRQARLLSNASSLSRTLIHSHSSLVRHYSFKVFRDVPPLRSLR RELLLSKRTVGLVPTMGALHEGHLSLIRQAASENTDVVVSIFVNPTQFGVNEDLSSYP RTWDADVAKLEELNTELSAKKEHGRITAILAPTAQTMYPTLPPSSEVDGDGSFVTITP LSKKLEGASRPVFFRGVATVCMKLFNIVGADRVYFGQKDVQQTVVIKRMVKDFHIDTE VRIGPTMREDDGLAMSSRNVYLGIRRRKVGLVLYNALRAAESAYQSGKLSRADILDTA NSITQQLLTEQQSLSETERALFKVDYVSLADSDTLDELEVVDPSKGAILSGAIKMAPL EKTNVGEDCGLGDGKVPVRLIDNLILRPLK ANIA_00204 MADDGMLLNFALPSDVIKPQTKIKGGSWRERLSVKKIAARRATN PKRTADGDGNKDGNESGPRNPNRIQVSGSRPAKRQKTDGGFQKLGEGQAHGQGSGQSK GPKKGQGGSVVSSLFSKNPRPRNAVEEDKNDEPMEDAKPTNAPLIDGLDTFTNLGLSP TLAAHLLTKLELKAPTAIQKASITQLLKEETDAFIQAETGSGKTLAYLLPLVQRIMAL SRAKNEGDAKGDTSVHRDSGLFAIILAPTRELCKQISVVLEGLLRCAHWIVAGTVIGG EKKKSEKARLRKGLNILVATPGRLADHLENTQALDVSNVRWLVLDEGDRLMELGFEKE LQEIISKLDARQRPSRIPGVPAKRATILCSATLKMNVQKLGEISLKDAVHIKADPADE DGEKTAEDKDGDAFRVPAQLKQSYAIVAAKLRLVTLTAFMKRTFMRKGSVMKAIIFVS CADSVNFHFEVFTRKLAEQLEGDNPDEGSDSEHEKEKEKEKPTPASTHGTVAPATAFS NSSNAVTMYKLHGSLPQHVRTSTLSSFAKNRDPSVLICTDVASRGLDLPNVDLVVEYD PAFSADEHLHRIGRTARLGRDGRALVFLLPGCEENYVEILKRGYRDGGKALTRSTTDD ILKRGFGGNIESQKWQLELERWALDNPEYLEMARRAYQSHIRAYATHVANERHIFNIK ELHLGHLAKSFALRDRPGKINVPGLRPGKEDTKKDFKAERKSAGGKKRKATGYGGGRD DDDDDDRPSATTDTTLAAQKMRAKMKEQLAGASEFNLA ANIA_00203 MTTSVSSLHPPAIPIYILRGHGAPIHALQIFSQNLRLVSGDADG WIVVWDLIFKRPVAVWKAHEGAVLEVKGFSRGGGRLTEIYTHGRDHKLRVWRFTVEDE EILQKTLPVDLGAQATTTLNQKQTAIQPWLMHSLSVNALNFCAFAMLSLPDSERVPQQ PDTKSRTPPSAALIAVPNALDSGAVDLFHLPSERRVCTIPTDPAVKTGMVMAVQLTRT FSSEDVYVAAAFEDGTVMVFACRGVFHDDEPSVDAERSWKWERLYLTKPHSQPVLSID LAPSRDVSIQSMPDNKG ANIA_00202 MDPADTIDAFASDADGAALNIPPLPVAQLSASDADRESDVSIKK RKRVRTGCFTCRDRHLKCDEALGQCQNCRKSGRLCRRGVRLNFVDTQVVAPPTCVTPP AGTGVTFRDDSRIIASEYVGGFERYPPPEQDAPVEDVRQTSIPVQPGINPFLNTQYQI SRHGCLDDPTELSLLQVFVNQIGPWMDIVDEAKHFTRILPLYAVEQPLLRAVMAACVE LYVSIHLFKEASERMRHYDAAALMLSECIASPHRDPSLCATAALIIEIAEMLILGPIE SGMRIRAGNSARSLIRDCQWTTRTQGLGGTCSWLSILMELFDCIAFRQTVVWDPDTWG IDMGFVVEPSIAGNEEFWMQRIIYICAKVSDLRSSNLKGIGNSTRYAEAQRLEQWSLY NEWCARWLDSIPRSMLPLGNVQPWQRNPQSVFPQVWLLGRSAIVAQMLYHITRIMLLE TDPLQQDHLPELQEEQQRHAYSVCGIVSNDKNNGIPVFSAHLLAVAAGYLVDRKAQEE VVAILDQLRRTSGLSTEHIRDKLRETWGWHSHAHQSFPDTVDTSTVSIGIHTSDHGHE FSQVGITDPFTYSLVETHQYLDHHLIYDQSHQSL ANIA_00201 MDSSVGHFAIENFLLATGNARATLLRLINHISFPSMTVTSSPAG QPVHGSATESTPLLSDNSSRLQYSGKASDYPTAEASSSSSTEYSDDEDPALVGLSKVS SIPQAPEIDTGLERVLSATASKTIQDSEAAGAQSEATGYAARFINVSPTRFWLIFGGV QLGYVIGFFDSTLMASSHPVITSHFHASNSASWLSTAFLLTSTAFLPLFGRVSDTFGR KPVYLFAIAVFFLTTAWCAMAQSIGSFIVARAFCGLGAGGVFSMGMILSSDLVRIEYR GIYQSYINLCLGLGGCLGLAFGGYLCDQVGWRGAFFVQLPFIFVYFLAAAWTTPSGLG LKNQTEERMTFLQLLRSVDLVGSALLVLTVTALIMGLNLGGNVYSWTHPLVISSLVIS VILAIIFVPYEKRVERAVMPITFLTKNPRAVLIFGNFFGSIAVNTMIFNAPLYFQAVK LASPTDSGLRLVASTLAVTCSSVATGFLITWSKRLKPTIMIGDIFLLLGGLAAAMMGA NTPDIVAMTCVSLASLGQGFAFPTLMVSVLATSETDEQAVATTTLGLFRNLGSVMGVA TSSWVFQNALVYQLEEKVTQPDKDAVIQLVRKSVHAIADLDPLHKSQVISSYAGALRL TFASAAVFAGLMLALHVPVRLPRLGRKA ANIA_00200 MPRTPLRIAVLECDTPPESSNAKYGGYVGVFKTLLYSSVRELYK QEEVDPSSILEISRFDVVTAQNYPDLANVDAVLLTGSKHNSFEDHPWILKLVEFTKKA IEHPRVKLLGICFGHQIIGRALGVEVGRNSAGWEIAVCDVDLTEKGKELFGVETLKIQ QMHRDIVFAYPDGVTPLGSSPRCEVQGMYKAGKFITVQGHPEFKEDIVSEVVNLRAAA GVFDKGQAEDALERAGKPHDGIAIGVAFLKFLLE ANIA_10034 MFSVIIPGRPCLTDIVAVDPQPNGQATKFAFNFPLSPSFSELVV FFLPGTVLPQDTAAAIYIQYPGSEFRFIGALANEKPSSVIKVSPSVRTGAQGGDQMVD AGNGGMVTLGISIEPVQVVAPQLAQLEAANGGNGGASTDLIKQTPQQKGITTKVLAQR IVGNAFNFLASFASSDPSNRGQEVVPLKAFHDWWTKFERRINVDPTFLEREDPSASG ANIA_10027 MTTKSEMYSTCNIPEKPSDVEITLEVRGSLRPLELQRLYVLDGR RAGCFLALDSSILEHVASPEASQVNRILPSPIAAGVRSSELEDGLETNLGLAIVSGQE SLKERLIGFKMCRRGLHFWLYHVENHDLTHSLKQQLWTVYVGSEWEKHPALPWPGLLG AEARFGYL ANIA_00198 MAPLPPDIMATQLSTIYLLAAMFPSPGELELDASTKECIDKVRE WCESEDTSAAASPSAIPSSILLAVHAPLSNTNGKTIQVNVSIPLHSQDSRTADEAPTI TYSLRQPDWMSKAEVAKLASGMPAGDVFAALEFIQEATAFLGDLNATSICENPSIPTQ SIREPLVRVWFYFPSLSTRAKRADMVNYAPDYGLTGFVLAGKPGVLCLEGASKDIDSY MSFIKTHSWGDIPAHQKKVSERFRETVSVERVFAGMEEITDSLGERGGQRANRGDMQA LEAWLGRRGLGEAFEKHG ANIA_00197 MADMTTLIQKRACEPCRNRKIRCDKSSPCSNCRLSGASCITLKP AARPKQARVLISSQYERKIDSIESRLDRLSNLVESLALQLHSAPPCQSAIQHSSTHRF ATGDASSNNSTPDVCSRQVHGFPTLDDPAEKGRLLKGQSSSSLSAQSSFAVRFLHDAV DSKQERDITGEVTCLLKTISQFVESFSYQSLAATSLFPHARLEPAAGLPKYEMPPIEV TVSILREAQETDSVDIQLLAESFKMFLSSESLSDLCLKVYFSPEYSDAEFIIVNAALY FFATWAGVIQQQANSSLNDNPENLLSTCRVNLETALSRLPLYIQPSHEMVFALVLASS TILDSDITVPAPSSRTSSSPDSQLMEYFGYLVELARLAGRIYEELYCAGSLSLPVDVR RHRATESWLRSATQEHAQRIKSSTISEDVLRQSMLTLIYRAMPVQTGSSSTFNEESLE SARAALEGHQSFIREFGTTDTDLLSGHITWSILFVPFVPFIVLFCHVIETGSLQDLSQ MQAFVTSMESVCPHSPAIAKHYHLFHVFCTVAQRYCDIMSTASSSEEQRRLRMEVDAQ LCAFGVQSQLPAGISGRIPNKRMSSLEADTSSPGANFGDGTIDAVDGFNLGDWFSFSQ NIVGLLDRDDLPFY ANIA_00196 MDADDPCGPTPMEPDYVLDPRGEVILVVQRPNAPFAIWNQFLPP PTPPDAQQPLAMMGTRGMNIRDATGLASTLEAEDGLDNDDDDENDDDTNIAYPNGSAF VHDGEELEIETSVDGADGSESTSDAEYVDEMSAEDFTEPALNHGAEEDDSRTCILIPN QPGSPDHDKEADSHVQIQVSARHLALGSPVFDRMLHGSWKEGREFKEKGSIVLVVDNW DVDAFLVVLNILHSQLLKLPRKCAVLWQLMGPMPSKKASGVAFSF ANIA_00195 MSVTTNKKGNRVVYHYWDYSFEWTPQHRPGSELEPWIRTCDTLA DEANEVLDSLPASSEDPTKRDRYALLRDNHAQNPKLEELWNQINTVPEWVDWEQIQRG QDIFWRHLVPVTNALTFVSLLGGMGAIRVGEVLTRTGGFSAKVVRWRLLETVQHTIQV NKSAEALKPGGEGHLASVRVRLLHSAVRRKIMSLVEQDPTYYDAGRYGLPINDLDSFA TINTFSSTVIWLGLPRQGIHLTPQEEEDYIAVWRLVAWYMGAPTEPFESAAKAKVVSE SLLVNEFDPTDTGRILARNIAIGLENTAPIYASLEYMDALTRLLNGDQLSDELQIPKT SLYYRVLIWGYCFWVKVQSKTIPLIPAVDRHVIENRKKMFWKHLMDEKDGLGKETVFD FKYIPTLKRRTRLGERKRYFFKKPGVEILSYLGLLSAFATTVGFSTGLYLVITGAFPE TRVVIPRLLRALRDRLAMLPVGALTVPELVKGLRGIFSL ANIA_00194 MRVYDWARFAIALAPFSPIYADEVVTWPIRDTGLGDKTVQWDHC SLIYNGERVVLLWRRILSVSSTGPRDNWAFSFTPGQALTSTRRQVLWACHSGTQQESM VTCAIMILFYSGMESIYDQGGRDHGALPGYPQRHCHFIPIENEFNQQWINVEEKIPKP VPIAYMKTLFANPQSYGIVIPMTHNMPGRQYKSWPVDYDTVGAGGNVYIYGLDNYRVT ILGLSMIHGGTNWGWIGAPFVPTSYRYSAAIAENRTIGSKYYEIESLALFTRVAKDLT KTNIVGNSTSYSDNEAITTIELRNPDTDAVSMPSDIQIPPAIMGIRSDASKVRFVKSR KGLVVNFKEQGGTTVVTTDNDVRMLLMDRDKAHLFWVPALTADPLAPVDQVGKLSINL AYNSFSDRWISFRSRASPEIEVFTAKKVQAIEWNGKRLRTKTTRWGSLTAQIDGPGKF KTPELGAWRVQDSLPEQLTNYSDSGPAWVNADIWKLQARRATKPYLYSNQYGFHNGVH LWRAHFNGTADEIYLERECPSRHARYYRPRSKFRIPEYRGIVNSTLLNSQSGFSSWKV ARTAGGATGATLDPERTRYNEGGLTAELLGWHLPGFDDSKWPRASPSDGFTGAGVRFY RTNLAFNTPAGHDVVRWP ANIA_00193 MTKTNLRLVEECDRFPYYQDDPAAYTAHMKKYHSFKVKGCESVL GYMLNEVVDRFDWPTESWSIDATNRTVTLTAGSAPAERNLALAQVLERAVKQDLFAVL RGWRNELYPVYGPDGEFLLEMERSASPLFGIVAYGIHATAYVEDENGLKLWIPRRSKT KQTYPSMLDNTVAGGMSTGEKPFECLVREAMEEASLPEDVVRANATAAGCVSYTYTRD SRAGGETDLVQPEVEYVYDIKLPADVVPKPNDTEVEEFCLLTVEETKKALANGEFKPN CAVVLIDFFLRHGILTPENEKDFLQIITRIHRRLEFPTVSYAE ANIA_00192 MSDPLLFEDTFTVTGVNQEKYDRVSRLTCTSSDSQTTFTLDINS ELYPCTTGESLSMALASTLSLDGKEDGAKTGWREVGMGEQTLANDYDYVCHGKVYRFE EASDSPGNMAAFVSFGGLLLYLEGPYKKLAPLRIDYVYLLLKK ANIA_00191 MSFRKRNIGLSAGTDRTPASKLQSQPQRAVSSPPTTPGVRPSPD DGRPTTSTGSPSLDSLLAGHGGLPIGKTLLLEENGTTDFAGALLRYYAAEGVVQDQKV HVIGMPEQWGRTLPGLIGPAEAADDKSDKRKGERMKIAWRYERLGEFGAGVAGARGPS DQSLSSVDDGTAKPAFCHTFDLTKRLTHPSIGNITYIPLIPTKEPLLVSILKKLQTVI ASSPPHIVHRIVIPSFLNPTLYPPEVSEPENVLPFLHSLRALASSPSSRITLMMTIPL SLFPRSSGLVRWMEILSDGVIELCPFPHSSDALSTSGAATSHEEPPQGMLKTHRLPVL HERGGGSDQNIGQDWAFTLSRRKFEIKPFSLPPAEGDQEAQNHGQADKMPKKEDLEF ANIA_00190 MNPSPDLPIPISYIAGNYFVFSVDAATFLRREHHICGVLAGTLP QVPQQNIFTGLPLELMPEEARLLAEKGVACIVDEVNFQKQGMNCLVEEDRKKYLEELE LQGVESMQLQVRRKERQREEALKKKNEKAAAKAKKTERKQDTAEPASGEDSIVPFFDD AQPSVSPNHVSSRRPSTLATPDAMGITPAVSHPPLPQQPPAEHLLSLPEVPSSYPLFA HLHSKGYFLSPGLRFGCQYMAYPGDPLRFHSHFLVVSAEWDEQLDLMTIITGGRLGTG VKKGFLIGGADKSRGDPEEAGANVRSFSIEWAGM ANIA_00189 MSSKAHIAQTLLARAHSPDTTETLFNERIKQKPLYLRPTSPTPA DNRSRRRLHRLRKKEYFLRHQKPKPLSAREKREFGVYRLPKEECKYEIFQGLNRMWVQ YMLEVLDLGPGGGGWRVQQQQQQQKQEKGEKQQENQQKNRKLVSALAHGSKLVSADFH GAEVEVVRSRCSGRVGLKGIVVRDTKFTFVIVTQKDEVKNIPKEQTVFRFCVPLPDLK GAEENASTENGAEGIENSKNLVFELHGSQFQNRPVDRANKKFKWRNVEYL ANIA_10026 MENERDQSQFSGLPPFPDDVPTAPLLRLSLKDLLAGNETEIEKL SKACEDIGFFYLDMRDAGTVTQILEDADKLFEIGAGLFELPLEEKKKYDLSSQKSYFG YKAQGAAVVDRQGNLDRNEFYNVSKDDIMGISGPLPAPDIINKNRHILESFIKYSHSI VTLILSILNTSLGLPESTLTNIHRLHAVSGDQVRFVKAPPQPADDRRTALGEHTDFGS VTILFNRLGGLQVLPPGADAEWQYVKPLPGHVIVNLGDAMVKFTNGLLRSNIHRVVSP PGDQADTTRFSLVYFSRPEDDVPLRRLEGSSRIPNLDEGVVEENINSKDWIIRRALGR RVDVPDIEYDKSAGTEMLSRRLKV ANIA_10043 MIYWRNNGIGGILADDMGLGKTLQALSLFQYVKDNERADSKFLV VCPLSVLNTWMSEISRWTTGLRPMAYHGGSEERENLRTCFRQQGAEPWNIVVTTYETL CSDLWFFQKTLWAHVVLDEGHRIKNSRSKRTQGVYRLRSENRIVLTGTPIQNDLTELW SILHWLYPDVFVPATAKLFENAFSLTDGKFDSIFLSHITRFLKVVMLRRTKCDSQIGL DLPPKKETVFSVPLTELQLGWYRTILTGVDESILLGSIEQEKSQSNVVPMTDSIVESM TAAWETNKATNTKRRSHITTNTLMELRKCSIHPYLLADALPKEYNIGQHIVDASCKFI VLQKMIRQYVGLENKKVIIFSGFDQTLDLCEDLLEMEKAQFSFKYGRLDGSTSSAWRN LSVFLFQNDPRYMVFLLSTRAGGEGLNLVSSSIVIFLDDDWNPQVMRQAESRVHRIGQ TQPVQIFRIHAKGTVEDQMRRRMDKKAYLADKVMGEFGNNITHHTDLEETTEDEICLI PSRPIIPRSFDAKDLVNSDFHSIMSSYALDEVSIQGMSHAEKRAWLARSERVKTNIFN GVMVETKYRRFSVYDETVLSISKASRRIGKSRVVTVGEWKVSKESMEMATPVSPTFPK QGVGDKACKMNEAPALPEDFYSAV ANIA_00187 MKASMASSIGQIDLLPTTDYRLRWGVGDPAIQGSPRCSSRGVAD SENPDTATKTQLNYPRSTATDHT ANIA_00186 MSTSQSDGKQEGLAKVLSRMKTVLRRTPSRATTSTARETIAPVQ PESSKAPAQPKSTPQPAKPAPEPTVVSHWSAIQEEKARALFAKYGLTLEPGEWKATSD VTVQRVVKPIRMRVRRTCHRCQTTFGPDKVCVNCQHVRCKNCPRYPAAKPKDKQEQTE TALQAILAQKAQPVRPKPREHQLTLPSRSGGQDLIRKQPRQRLRRTCHRCNTLFAPHS TECSSCKHIRCKNCPRDPPKLDKYPDGYPGDAEPPYEPPARTWKKPRQRVRYTCHQCS TVYRSGEKNCANCGQEKCAETIRDPPKKIKPEPDPEIVRRVEERLANIRVSEGSETPK S ANIA_00184 MSSERLYAFSPETKEKLRKFRLTTSRAKEPQAIIYIIDAKSQEI RAEDGEVYTKMEDLADELPESSPRFILLSYPLTLGSGRLAVPYVLLYYLPENCNPNQR MMYAGAVELMRNTAEVNRVIEVESEEDIISIESKLQSAD ANIA_00183 MKYSVGRAGQKLIGQTVDTNSAFFARYCFSLGIQLKRIEVIADE EEEIIEAVRRMSKNYDFVVTSGGIGPTHDDITYSSIARAFNLPLKLHEAAFERMKKLT KPHALTPDFSWDTPSPALTARLRMVELPFDASLPAESQAIFVSDDMWVPIAIVNGNVH ILPGVPRLFERLLEHLRPSLLPRLVNPEGKGIYRYLFSTPLPESAVAPYLTDLATRTA ARGVKVGSYPRWQKKRNTVTLVGTDKEFLDSLVAEVEENVQGKKVSAEDELDSDTEEK SLTMRYIFPPHLIIWDAIGLAVVILDLEGFSDI ANIA_00182 MASKFLREYKLVVVGGGGVGKSCLTIQLIQSHFVDEYDPTIEDS YRKQCVIDDEVALLDVLDTAGQEEYSAMREQYMRTGEGFLLVYSITSRQSFEEIMTFQ QQILRVKDKDYFPIIVVGNKCDLDKERVVSEQEGESLARQFGCKFIETSAKSRINVEN AFYDLVREIRRYNKEMSNPSGSGAFGARAPDSKMDVSEPGESAGCCGKCIVM ANIA_00181 MKFKPMYLFGRALPQIISIGNRHPRSSPQPLLFTTPRPAVRKRF HQAYLPPGAQFSSSASALPASQMKPTASPENIEDLISSLPLIRYLRKFTLSAASPSAE TSAKYIEFRPLRTMHPSAQVTHLVTTSLISPTKLPIDPIYFLKHSFTKAPSKSPESAE ITATAYLSTHLTGHAGYIHGGLIGILFDDVFARLAAEIFPSGVGMTANLTLDFRAPAV PGRVYVWRVGVEKVEKERKVWVYGRMRCLNEFEAEEMRERGAGDGIPEEVGDGDTLSV EEREGVLVAEARGLFIEPRGVKASNGYDGKGLDNCSETATT ANIA_00180 MAQLSSIQSTKTPSGITTITINRPHRRNAIDGPTAQKLTAAFLD FEADASQKVCVFHGANGTFCAGFDLHEVAKYEPQAQSQDGSTASPQDSANVGDKYTGP IMSPHNRVQGRNTGPIGPSRMIITKPVIAAVAGHAVAGGLELSLLADIRVVEEDATFG VFCRRFGVPLIDGGTVRLQAIVGLGRALDMIITGRPVGATEALSMGLANRVVPRGAGV EEAMGIARQIVGFPQACLNADRASCYYAAFEAKSFEDALANEFEKGIRVLGDGVKGAR RFRDGEGRHGRFDDGGKGML ANIA_00179 MFFNRAYHQSIAVLRQFASPYPRISAFPRPLTSVLGSSFRRTMA SAMAKRLEGKTIVITGASSGIGRSTAREFARTAPKDLKLIVTARRIDALEELAKEIKE EVGEGVKTLPVKLDVSNPEEVKNFVPSLPAEFQDIDILVNNAGLVKGVAQAPNIDPED INIMFATNVTGLINLTQAVLPIFKKRSDGGRGDIINIGSIAGREPYPGGSIYCSTKAA VKSFTEALRKELISTRIRVIEIDPGQVETEFSIVRFYGDKSKANAVYANCEPLTPDDI AEVIVFAAGRRENVVIADTLIFPSHQASPGHLYKKPQ ANIA_11262 MVLYAVAWQWAPQSIIATIDGLKAVSYPQDGSRECRFGGRCSTK DHLDAAGVAADMRYPKSDAIRRRESSLDIVQSYLGNIEITVTGQP ANIA_00178 MYNASLLFTKISLLLQYLRIFPSYKFRIICYIVMGIVAAYSAWA IVSGFVNCVPVAKFWDRELPGHCLSFEVLWFFNASMNIATDLTLLILPMPLITQLQLP KRQKFALMGVFALGGLVVITSVLRLSGLRKVAKSTDTSWSNVAAAYWTAAECNVAIIC ACLPFLRPLVSRLFPKFLSTNSYNKYSMNPTRTTAGRSMRLRSTNRGMYSQHDPEFGL HTIDTEVGVGPGLRSVMGEKRGPGAIEVTTEVIREERTSPTAPSSPYGHVRRGGSSGD SSQTKLVLEGR ANIA_00177 MSLSTPHDHHILTIKYRVHTESPTINFPKRVEDDQYNGLTVKPA TTSRLYSIDLKARPVGRNGGFDVTYSMQTKSLPP ANIA_00176 MLASIPHMETLRSLPRNPDVVARHPSAEDLDAAQQLISSAQAGR EHPQDRHYTDNGSRKSEAGAPHSHHEGEYPIVQTSETPMNGHHVEKTSPKSQKDTSFL GHSCSNCGTKSTPLWRRSPTGAMICNACGLYLKARNVARPTKRNRTQASPEAYHPQNQ SVGSQPDPAVTGSEGCTGSCPGGGNCNGTGGAEGCDGCPAYNNRVYKSTARGNVAAHA LNRAGNSDAVPSPEAEAPARNSGQPEGNMLVACQNCGTTVTPLWRRDENGHPICNACG LYYKLHGSYRPTTMKKTIIKRRKRVVPALRENSPTAATHSSHGSSASPEASSPATLAY SHDERHRYYSSEPVDQYHRISPAAQRPFGFAPPPVDFTNFNSGAVTLPHHPPPPRLLE PGHPPLSQFARRSISPSSSGNSKKRTLAEAGANTDTGPVPTTLEAGSNQLPPIVSSAN PPPPARLSSISSILNHAHARDESRLDPSLAALGRQQQSQPHHPQSSPLAPTQAASQSL PGVSNMDNHVEDRRAKLQREAEEMREQLRAKERELAELAGQ ANIA_10033 MDLSNIFRFANVAVGVIMVLGGIAQFFPISLGHVITGVYVILFG LIVAGLEFFPTIPDYVYRYASFLFSFLGRGVFYIFVGCLILHGHVLQYIAGSIVGFLG IGYAVLEFVPSIEPPSNMREADQSWGAEQVV ANIA_10025 MKEYRAPQVEDGLETDDVTSTTKRKRSIETPELQEKDSKELKSQ RPRKAAKSGKPPEAEDDEGYVDDEGEFGEGEIDEDMSEEGDKEGSEENKEDEERENGH KSQDKPQRSPNREKLEKTIERFGRGPLEGTAIEGKALSGSPDTILAMLMDAMLKSKPM SHGLTDRTLKKLVEVGYHDIQKLRNASWEERAMVLKDGGYNRYREQGSTNLGRLVEFV DEKYEGDLNNLIKKAGYDPTTTRQLIKEVHGLGDLGVELFFNNVQSVWPTIAPFVDSR SLKTAEDAGLGTDLNAIYESLGNDSVRMCKLANALSAARLDKRVGDLVAIR ANIA_00174 MTKNNIVVLGAGVSGLTTAYLLSQDPSNSVTVVAKHMPGDYDIE YCSPWAGANYLPTGQPGTNHAKWERATWQPLKELTEKHPEAGIHFQESIVHNRKKDQE TTTGKWFSALVSENPWYKDVVPDFKNLPKDQLAPGVDNAQVFTSVCINTAVYLPWLVG QCRKNGAVFKRAVFKHVSDAANAHHSGQPADIVVNCTGLASKKLGGVRDDKLYPGRGQ IVIVRNDPGKMVSMSGTDDGEDELMYMMTRAAGGGTILGGCYQKHQWDPLPDPNLAVR IMKRAISICPELVGEGQGIEGLDIIRHGVGLRPLRDDGPRIEAEMIEGVAVVHNYGHG GFGYQASYGCAAEAVRLVKDTLQKKIRAKL ANIA_00173 MADVPKGGRIVPIIAPRHNDHPEGSLQAINDPKHEKPPEQGPQE SRRRGPKNTRSKADTECLELPSLTQLGLYALPTEGDGNCLYYALSDQLYGDFNHADHI RTRLADHIHANRDYFMSFIAAAGGERRAPRRAAAEAARNSYCSSSSASPAPPSTKDKE RSFDSRVAESRKNGVWGGAEEIQAFCQSFKKDVNVYTMYGIQNFRDVHAPADEERETI HIAFHDFHHYSSVRHCEGPHTGLPRIPKAEQSAQTSTAPPDEGVVNVASPWKISAIQA GLGDKYDRETIVEVLEQCRGNIDNAFLNLLGDDVNTQQPEATASRAIMKSRFQPSSRS SSPFSTGSKRSADDTDEEENPRPASRRSRVREQKRRILPDVTVGIAFRDDQNDLVSLR LRVSPDKAVSKSPAETARELTEASSTESFEESSALAKQGRRLKSRNKQTADISETSSQ QSEPNTNEQKLRRSTHYTIMGR ANIA_00172 MSFTGVSFILPVCVLLGAFYAVGTSEKAGYTRIFTGGVLTGGSV CGMHYVGQLGITNYKCSYSAGNVAGSAVIAVCASIAALSIFFRWRASWTNRWWRRMIC GCLLALAVSGMHWTAAVGTTYKEHDPTASRGGQLSRTQTVIICSVLACVACGILSACA ITASGDHRRLRMQAQQLVLASVFFDLEGRVMVTPHALLPTRKIVDRYIGKTFSDDDLT RTHPAFLWAFRASRNWSLIKEVVPYMRDRIEVEDEAHKRYIAKGIDPDQEAEANAGFD EMFKRHFCVAAYDLADQVRQPLDDLGMLYDDVLTTSTTSSRFSRAMAYSKLRTGKGQL LFTVRQLRKQEASRLAASGFRFATIDNVSSLLSRRLNVVSGALEVHLKDMRDFAASGR NYEPGVHLVSFITRPTVHDRFEVLTAKGMGNPLPSVTLKAKRLSASHLELISHLEGWL VATCIDWLSSDKARSYKGADEFRRDLSRAMAGLAMSLPPDLNSASRFFPRPLMAPCRS TRISDNKTCMLLAFCTVGSLSTRVTNPDYAFMPLRLFRIQQQVNSGATDVDGLARELS KGILYSTIRSNSTTDSELAPSARRIFNLNLWSSHRRARDRSTRTLPSQEILTDSMPLG DIIVQKEVKVDVARIADPATEAALGKHTSVTVVEAGDSTAQTYVDELYNLCYAPGLRL RPSPGF ANIA_00171 MAITGDNSPNVAGSVIMLTVLAFVTYGLRAYCRITRRSWSTEDW IMTAALVPFCVLVAGCVGGAFNGIGVHASRLSEPGNEKYQAEGQKFFLIFEVGYCAAI IPIKLSISWMLIRVAEGRKKYVYIQYVVIALFSTMNIIALIFILTNCIPVEAAWDTSL LENGGHCQPAHVLADAYYACTAVNIVTDWVTAIMFVPNTIPELQNCRLTPARPIPLLW NVQLDKRSKMAVIGLMSLGVFASLSACVRLKYTVNLTNQDNYLFAIADVVIWGFAENA IGMIVGNIATLRPLFHQFFDRTFRRTGYTSSRSRSRFPSNYELSQHGGKSDPGNAYLS TVTEVHGAPGRGRQDSQLSDDDSQKMIIHGAAARGHNDIMVSRQVNVTYDA ANIA_00170 MSSLGLTNQASTVLRALRPRCLRPSAVSVSSARSLTTRASTLTF TVSRPRISVPKNYNSFAKRAFSSSPTVFFHPSAAKMGASEHVPPITSKAEFQEKVLNA KGFVVVDCFATWCGPCKAIAPTVEKFAQTYTDASFYQIDVDELSEVAAELGIRAMPTF LLFKDGQKVSDVVGANPGALEAGIKALLA ANIA_00169 MQKSGNWLADDRAGARNREKAYGCVGFGCGIGRLELTKPILPPG LKAATDDVILSLSIGMVWNTAIFLPNQAETSVADAMQIVYETVSGVCLVATTILVIDQ FNYSDLHEIS ANIA_00168 MAIWPFGRKGKRHTIQADADVRAGGDVATSQGPRHSFDERTLGR KPSLKQSKRLTNRYSQPVDDFPSDLHPSVQYSLSGFRSEQSRQDRTHTFHPSSTTKLE QQSLPRNPSLRNPVRNSENRATLKKRLSKRKAYEIAREREVRMMASMPIEIPRRIASP FPGDPVYIDDRRAVSAQSRRLDRHRSDISLSIQESAASSVTDFSDTLTFKVNGFSAWT PRPVIRYVEAPRMPCSRSQKSPEPADRRAKSPALEVSDEDLRSKKRIDELANDLDAAA LRELMERDRRRRERKALEDQEKLVRKLQRNVKKVPKTQESPAPQAPETAENERGRAIQ NIQSESQPTAQETEKFLSGENGGSWLREPSRDPERDGRETPESVHVIGNIDDRSIRDQ KAAQRLSFGPSQDMTMSRSTLSASLSPSRQGVHSPNSSQLYGMTRDSVSDISRNVGSE RRSSDHSGYGNTITSIFRRGSSRLKRSYRERFPTRSPPPENNVSHESFFKVHTQASPP APYAGPKVLLGSSSFKRSQSKFTEHFGDEPLSPPDSRLQSPEIPEDEPQGEDQVPDLH SESYYPIPGSVADTQSRHQSWVGDNVDDPDNLPLSQSLASVDSEGSWMSGQFLRRISQ RHANSARQSLNSSRYRPEESLEKAREEDNPGDSTFVAFGAYPGETAAACSTTDDQGKD LVGHFQPGQAGETWHEDVARRPVLVNPTLRPKSIEGLLNNVQTLSTISAEDEFSPIEE HSAEVFPTDADTAIHTQARNG ANIA_11261 MKLSALCACPYIQTREVDHANKLHPWEKYTSHRALSSNPPAQYR RGALQPPPLPGHLQAHIHFGEMENPFVSYE ANIA_00167 MTATDSQITKPSEPFDIVATYNDLLRSDPDLTMPIAAIEALVLL LTHSPSSTISETLDLLEKSTTHLKKSIPNPIGLSAGTDLFQRYLITTLQRPGQLGPAG DFNAIRAHLLSNSRLFIRRAKESRDKIAGFGRGFVRDGSTVLTNGGSRVVASLLQQAA DEKGGPSAVRFNVIYVLSSPKGDIENPTAEPEGMETVRALRAKGVPVATIPESAVAYS LGKADVVIVGAEGVVENGGIVSRMGTYQIGLLAKAMGKPFYVVAESHKFVRVYPLGQY DLPIEQHVIDFKTQEEVDNAKQQREPADASKSSGLNTEFDAGSVDFTPPHLISALITD SGVLTPSAVSEELIKIWF ANIA_00166 MRLPDKEIAGCINDIGIPFTAADLIKPNPQQVQMVLEWFAELLM NTTRETVEPAMRAAADDICGDFPDIVPTDTRNLMGFFVNMRRLMAECGVNDFTFTDLT KPTHDRLVKIFSYLINFVRFRESQTAVIDEHFNKTEKTKQRIETLYTENQEMEQRLEE MRRVLKANEAEVKEKVRRNDELKSRLRELGRTQEKVAETLERVKAEKARQQNLLKEKM ERTVRTRQEVEKLRPYVMESPASLQSSLTELSESLLREKNQIDAMEKRARALQTSSDT FTVVSNDVQACIKLLEDIAVELQKEEDEESRASRNKEAISERGNNVREVEQTEKLLQR QLARWNERIEALRNTAHEKAQVAQKRMEELREVQIKLREERTEKQRDMERRRIRIEQT EKKMADLKESIETEIQSAHDEYLKLESHIKLYITEMEKSL ANIA_00165 METISRISSMLETARELTLEAAQSAAISRGTGIGTSSRDLSVAH IKKLLDSRSDRDVLDGLRRIISLMYRSEPSVPFFSAVVKNVASANLEVKKLVYIYLVH HAEAEPDLALLSINAIQKSLTDQNPQARAMALRTMASIRVPVINQIVSLAIKRGCGDM SPHVRKAAALAIPKCYRLDPSTQPQLIGYIETLLGDTQYFVAGPAVAAFLEVCPDRID LIHKHYRSLVKKLVDMDEWGQLATLRLLTFYARKCFPLKTRKVQKNPTKSKGFYDDDD GEANEGEGETYDVPVLDPDLELFLRSCRLLLQSRNAAVIVAVVRCYFYLAPTEYLGAA VGPLVALLRSPQDMQHIALYNIVVVALRYPKPFTKYVSHFLVHASDPPHIWRLKLEAL TILFPHCGNHFKNLIISELEHFAQGADPDLVRESVRALGRCAQGDPNAADKCLRILLN QITSLDDTLVSESLTVIRHLIQHDPGSHERTVIQLVKYLGLTTNPDARATIVWLVGEY AGLEPERNFAPDVLRVLVQNFAEESEAVKQQILLLGAKVYLHHILRNPVKEESDSEEP LSKPVDQTQLRNDWADADGEKQHDRNSLEEKKEAPGEEDTMTLLWRYILLLARYDTSY DLRDRARLYKALLSSPSSTQIANLLLLAPKPVPHAPSPSETRKDLLIGSSTLVLGNDA GIHGLQGYENIPDWVEAGHEPDPSLRVSDVKADKTTTVATTAGEQLDRALKEHEANIA ASSRAHAVRGRVAAPAAKNKTLDQWLDEPEPETDEETEYEEVTDSEEGDEEYSTEYET DSEAGDEDEEEEEEEEEEEEEEEEEEEEDADSEERRQTQQLLR ANIA_00164 MKESNVVHIAAPVTVVGDIHGQFFDMIEIFKIGGFCPNTNYLFL GDYVDRGLFSVETISLLVCLKLRYPSRVHLIRGNHESRGVTQSYGFYTECARKYGNAN VWHYFTDMFDFLTLAVVINDQIFCVHGGLSPSIHSIDQIKIIDRFREIPHEGPMADLV WSDPDTERDEFSLSPRGAGYTFGAQVVRKFLEVNSMSHILRAHQLCQEGYQVLYDDRL STVWSAPNYCYRCGNLASVLEVSDTGERFFNIFDAAPENDIHRGEQQTQQSKDGQGPV IDYFL ANIA_00163 MAEHDDELIASKTEGFKVGEKKTIAEYNELDKNDESLNRWKASL GLNTGEPTGDPNDPRTCIIKTLALEVQGRPDVVIDVSAPGALEKLKDKPFTIKEGAHF RIKVTFEVHHDVLSGLKYLQVVKRKGIRVSKDQEMLGSYAPCTTGKPIYEKKFQEEEA PSGMMARGHYNAESKFIDDDDKVHLHFHWSFDIAKDW ANIA_00162 MVRSLPKKNNPFVTPDAAPPYEELLMRRRLGKTNLAVKPTQVGT SNATKPENLGPFEYAHLRAPLPKDLKGSEIFPSHSPQQHPETYFLMRRSKDGYVSATG MFKIAFPWAKLEEERSEREYLKTRPETSEDEIAGNVWISPVLALELAAEYKMYDWVRA LLDPTEIIQSPSSAKKQITPPPKFELPPIQAPEALVPSSRTRSRRSASPSKKAGTPRK PRQTKAQKEAAVAATNEANATLQSALDDTVSNADGEINGDVLPSVEDKREPETSPVKG KKAAAKAKKQAVSEEDQEDKVKIEIKSDAAEGSDVQAAQTTISVEMPISLPEAPSAED TQEMIAKAKEMVKEAVKLQQEPAESSATAKKRGAEEAELGEEEEDEETKTLRTKRAKV LEEKLKRERVRNRALMGVTAAFALAASIPYFF ANIA_00161 MSLPGLELTQPSAESQSASAPPTQINLSAGSEWRFEVAFGTTVR VKLLTGTAELFGTELAPSQTYTFSGTKAAIYTWHGCTLEVSAGDAVSGLDGTTSASGR GGLGAGGCQSEYIAEETPMVEYANVHFALEGLRAEAKASGRDGPRVLILGPEDAGKTS LSKILTAYAVKVGREPIVVNLDPTEGMLSVPGTVSATAFRAMLDVEEGWGSSPMSGPS AVPVKLPLVYFYPIVNPLEAEGSVFRPIVSRLALSVMGRMAEDEDAKETGIIVDTPGI LSQSRAGALEMINHIVTEFSITTILVIGSERLYSLMMKSYDNKPSSSASSAASDERIS VVKLSKSGGCVDRDAAFMKAVRESQIRTYFFGNPVPTTASSALSISSSSTTNVTLSPH AQQLDFDAIALYNYTTSSAEEDQNDEDDYDPAQLTTDSFLPGNNEAESASSLPGLNSA ANASAAAAAGALVPLKKVPGPAPSALANTLLAITHASPTSSPSEIRDASTMGFLYVAD VDSERGKIRVLAPVGGRVPSRAIIWGKKWPAEVVGLVG ANIA_00160 MFCYRSYRHSRRKRQRLLTLALPIIALLTLTLPFYIIYKPPHLL VSYFARKYPDILWHVPKLKPGAQPIVALTIDDAPSDYTPEILRLLRENDARATFFLIG DHIPGREGLMRDAVNEGHELANHAMHDEPSKDLSDQELAAQIQAVQSRIQRIYAMAGR QAEAHDEVKLDDSEQDSLFAGAGTRSSSKQSRGVENAEGQGLSEVGESIPAGAGGPGR YFRPGSGLFTDRMRALVQRQGFRLVLGSVYPHDPQISVPWVNAAHVLSMVRPGSIIIV HDRRPWTAPMLATVLPELKRRGYRVGTVSDLLKEGASMTA ANIA_00159 MYSISSGLPLRWRKRPRWPPVPFVEDEIDSLSRELDGLSHIGEL PGLEGAKARGTVNQSPLIEDVELPNALKNSSNVEKKTSTGSRSSGFYADQSTKDARGP KQTLSRQPAGNSSQEPGKPSQRIQPKPLDVPASQGLKPVHVSEPQKSPTCVSQKPIPH QQEPAFQVTCEVPAQKLPARKIPEVAQKRQMAPSHSSSLHRGSEPHRTDLPSRFTQPE PVRNGGIRTSSPAKSLPDASERNQSVPSRTTSLRRAQKQHNESSSPTRFAQPESVQSG VRTCSPSKRLSNVAQQSQPVLSRTPSVRRDNDERRSASTTMTPRAESAGVSKVPAGSL PPKVLVAQEPQAISPRPLPVPQESQNRAPHFGPHQPAPARETSVRGPAPPPKQLHDTT RQSQPTVPRPVPTPPDDEQRKPRPSSVAYEAEIVVRDSGVRVETISPRSLAEVPPKTQ RPLSENVQYKSFATSITPPPDTPPTTTAMALQSFPSGAPLHPLPNPPAERQHSPPSST MKESASVKQTTSTRQSPAEPQSSTSGYVSDSAAARSSHVPPALSRSSNRESDTPSSPG PSVAERLEEKLKLRREQRDVPQNSLAQFNVKQPINSNSSTSQSPERKAPPGAWPSDLR SESSSSSLTEFPALEQSSVETRQHTKTAPLKSAMRSQSLDRNQPASTKVARRRTVAFA ENPLEPPSQALVKVDRETALTRIQSREDGPAHSSRSSSPNTCLTLAPCPRSIPVAGYQ DWHTIEGLPHLDICPSCIKQMRKSKFRDRLVLSPPKPREEPARCSMSEPWTRLAWVQT LKKKYETLDLLLEITRPSNTQGTKSCTGRIINEQHWYRIIDPETGLYLPQFNVCSACV RNIRLLMPAHRDTFQRSTTPQERVCDFVTDSPRFIRYIDALDLASNRAEQDDAPPDLK EFLSYARRKVVLRDCRRSRLIFNTWHYMPQLPEFTVCEDCYDDIIWPLAKARVWNPRG ELSIIFAANSG ANIA_11260 MFWPYERLSPQIGRQRQRITPKGDETHRVWLVVPQYGWLIFLDE LILETGGRSSVYAPRMACILFRSPMAQQG ANIA_00158 MPPLSTMKAIVTEKTGGPEVLEVRTHHPVPTASEGQLLVKNQII GINYIDTYFRTGLYPSSKPEILGREAVGEVVAVGPGANPYNFQIGDRVAWLSTGSYAE YTAVPQAFAVKVPEGISNEDVMGSFLSGLTVLTLAKETYPVQRGDWVLLHAAAGGAGF LMTQVLKSIGAIVIGTAGGPEKVELVKSLGADYVIDYRSEEGKDWVKKVKEITNGRGV DVVYDSVGKDTWEGSLEAVKRKGMIVWFGNASGPVPPLPLAKLSPKCVKVARPQLFGY IQAREEFEFYVNELFNMLKSGNLKVKIHKVYPLEQVAEAHSDLEGRKTTGKLLLKA ANIA_00157 MIKLATVTIQSFLSYLLYHDVCPEFNENINEARKSCDIVTKELW KNQQITANGPGNFNTSCSILIGGFEHDFEPEYDLSPEEREEWMKKRRQMPELMLFLEE GLLEHCYPGMKIITTIWEMNCGLHYFEEIKRAYSSIYTPLCNDLMLGWKRPRDLTANN EDKEITVEDGSAQPDLE ANIA_00156 MPSSSRSDSLKNQKLDNDSFVAFDHAAAGHDGVRCTLSGSFIAK PCTAQEIAFYESTAAHPTFREFIPTYIGTLSSADQKEPAAALTAAAASQQGAIVIPGS DHSTPAETPVTSETVRLSTSTAPSTSEAASDVTWTPSTSAGKKLDTGLSIVLENVASG FKRPNVLDVKLGARLWADDAIPAKRAKLDAVSKETTSSSLGFRIAGMKVWTGVNGEAD EGSKTNPYITRYQQSAGAKGEVTELDGYKRYDKWYGRSLTAENVRSGLETYLAGAKAA GTDRSKLVASRLAAGLRRVQRVLESEESRMYSSSVLIIYEGDTEAMELALEEERKAKE APPQDSDQADEDEDDFGGLELQDIANLPAGENGAINITIDAQTAQMGDPDEEEDDEEG PKVHDLRLIDFAHASWTPGQGPDENVLTGVRNLARIFEELSN ANIA_10024 MRIIIIGGGISGCAVYLQLKKHLPQANTSSQQEIIIYEAYDTGI DTKDENCDGQPHSSTLVVGGGLGLFPNGLKVLERLDEDILRDIVCGGYVIAHQNMKSK NGTLLLRMDPSSDPDPSRDGKRMHLLGISRHSLWSNLRRRVPSCDIQTKRVSKVVANE HGSNVVYFANGSPPVEADLVIGADGVKGITKQALFPNQQICKPEYQGLVGVGGFISTK EVQGLVEKGSMNLVFGGNGFFGYFYSNSASSAQQMGSAYDISEPGETLAWWSTYAVDE CPDPKSLDMDAVAKQLRERHAQWKDPVIQKILPSLQVRSMYPTWTTPQLPTWEKNGVV LIGDAAHALPSTSGQGSSQALEDAEAFAVLLSHTLRGVYKKDSADAITKKEAITTAAK QYEAIRYPRVQEILENAQRMQNSKRDMGPIADWLLLANISRMFPEYIVAFPEEGD ANIA_10036 MKVWIINQSARTPSYLRAQSYLQYRRIGQAVRKQLSEHPEWTLN AQQRRDDLTTSIQNNGVADDKPSSLRPLSLPPGVKQKEIIDANGVSRTVLVVGWESHQ DPTNPHNYSLATRITATLIVSALGFAVGAASSIESAVLPQNSAALGVSEVVASLATGI YLLGFAAGSLVSGPLSEIVGRNAVYLASLTLFMIFIMASGLAPNIGAQLAFRFLAGVF GCPPLTCAGGTIADLWNPLEKTLTFPLYAITSFGGPVLGPLIASYMGQGTLSWRWTNW IMLIMSGLVMALIVLLQPETYGPLLLKWKAKHYRKLTGDNRYRSEMDMQKIALFSRIT NACIRQFTLTVHEPIILFIALYMTVIYIVLFTFFDGYPFIFEEVYGVSQGITNVIWIA MYVGIALASLWVPVVYSWTKKEFAAVSTCPMTEGSLEGNATETEGSSSDDESSRKSHP TRPENRLWFAMLGAPLIPIGLFWMGWTDYKSISIWSPIIASAIFGFGTITVFISSYMY VIDSYDTYAASALGFMTVSRYCAAGGMTVAGIPFYSNMGVHYTLTILACISVAMTPLP YVFWKFGHIIRGWSKFAVNA ANIA_10037 MRWLGGSWGSSRRRGRGSHTSTRRTGDRAYFRGSDQWVNWMGVL FGFGWGFLTLLAVCNIVCPVREP ANIA_00154 MSDTKPPSTLPQSQPPPNSQDQSSEAIVVPKLEPDSDATALDTS IEQEAVMAGPSVNSAAAGPDAGAAPGAGVGDDQIGNPVQAPTSVDAAATSKKETSLRE FLGKMDDYAPIIPDAVTAHYLTLAGLPPPGHGPNQTPPHLARLLALATQKFIADIAAD SYQYARIRASNSSSASNPMGSLNAASGLGLAGAGGAGAGSAGGAGTGGAGETGKGGSK AGTHLGIQRPGFGGGGSGGSGQGRTVLTMEDLGMAVAEYGVSVKRGEFYR ANIA_00153 MADASASGPSVDTQGASDLPASPVPKEEGHHELPKLFHPIEDDS LSPRASKKRRLDEPEDSVAETTTTTPPSQQPQEQTREPSQQTEQSQFQQQHTNLLPGA GDQIEEELASALAAGVVDSVETADSKNGQTEIGASPVQEQNTNIDSDVATVISNIMNH SERVEEQCAMGPQQLPDLSGQGAPKGMVFVKANSHLKIQSLPILDNLSTQILSLLAKS TYQDITSFVSEPESENGQAYATMRSLFDHTKKVYSTKKSFLSPTELELTEPSQVDIIR KANLASFVSSIFGTQEISFSELNDNFLDVFVPEGGRLLKQQGALFLEMKTQAFIASMN NTERTRTELLYTLFPDNLEQQLLDRRPGTRQLAPSETDFVNRAHSRREILLNDINNEE AMKALPDKYHWEDFLRDLSSYITKNFDTINNQQSKKITKGRQPSSSNGDSEPPSAPLQ SQFPVATQAPEVPVDKNMHGDLVARAARAAQIALQGHGLRRSQQQAQQAQQQQAQQQQ AQQQAQQQAQQQQQARQQAQQYQQQQQQQQQQQQQQQQAQQQAPQQGIQILQGYTPAQ QPYQSSPAPSGYQQSQTYNFQQSPMQTNFQQYNHPSPSPIPGRPNSSTANHGYMPGIP HYSQSQPTQVLYERARMAASAKSSPSSRKSGLPSQRRPWTTEEENALMAGLDRVKGPH WSQILAMFGPGGTISEALKDRNQVQLKDKARNLKLFFLKSGIEVPYYLKFVTGELKTR APAQAAKREARERQKKQGEEDKAHVEGIKGMMALAGAHPQQVGHPHHGVPGVPHHGHE SMSASPMPPDPNFDQTAEQNLMQTLGKEVHGESFGQPGQPGHPGHHPENMHMGQ ANIA_10023 MSSSINILSTKLGQNIYAQTPPSQTLTLTNHLLQKNHDTLHIFF RNLNGHNHLVHNLLTRLVLGATPEQLQTAYDDDLPTQRAMPPLVPSIVERLSDNSYFE SQITQIDQYTNFLRFFEAEIDRRDSWKDVVIEYVFSRSPIAEKILPLMYDGAFHSIIH LGLGVEFEQPGIIAEALAQAAAHDSFGTDYFFLTAEKRAAGRNEEGETLVNLLQKIRD TPKLVEAGRVQGLIGTMKMRKSILVNAADEIIDIASRFKVTEETLARKTAEMLNLCAY LAGASQRTKDGYEPKIDFFFMHCVTSSIFFSILGRQDWISMRDRVRLVEWKGRLDLMW YALCGVPELDFEFVRTYRGERTGTMSWKELFAIVNEQHDDGHVAKFVRALKNGQEVCG QFEDGEEFMVKGDMWLRIARMAYETTIETNMQNRWVVMAGMDGAWKDFKVQSSD ANIA_10044 MPLATYAVLGATGNTGTALIQNLLSPPSSEMHINAYCRNKPKLL NLLPELNDTKNVTIFEGSITDLSLITACIRNTRAVFLTVTSNDNIPGCRLSQDSVQTV LEALKQIRTAEPNAVVPKLVLLSSATIDPHLSRKMPSWFLPIMKTAASNVYADLIKAE EMLRANESWVTSIFIKPAGLSVDIQRGHKLDFDEQESFISYLDLAAAMLEAANDTDGR YDGRNVSVVNTGGKARFPPGTPKCIIVGLLRHFFPGLHRFLPTTGPS ANIA_10038 MSFGTLYTHNPTPRSTTLIALAKLHNLDVKIIHAEKKNKEAFEE LCRYNPLGQVPTFVGADGFVLSECIPLTLYCNDERSSLRILQWMSFANSDLFPAVGGV FLPRIGQRQIIQQDDGDSLRAMLQRCKYLDEHLKRSRYLVGESITIADFFAASLLMGA FAAFRRSMQERFGALCSWYDGVLEIGWFKKVAGGVPDLGLELEIPEDIKW ANIA_10035 MSVSRSCFRPFLPAEIDGGHLPVDPSVFTHIERGLHQNPQGFAI QSTHQQPCHFSALVQTGSGTENGGAPNYDAVEREPGTCLAWTYTQLHHAALRIAAGLL ARNAQPSTRMLLLIPNGAEFCLLLWTAVVLRVTIVCLDEELLNVEQHDELRRMLKTIN PRVIVVQDVKGADVIDVALRNLPLDPDILKITLSELAGSQPDSAWRSLLSLSLTPALS ASETESLLSSARWDSSNAARTYSILYTSGTSGVPKGCPLHISGMSYVLQSQSWLVNAE NCTRALQQAHPCRGIAIAQTLQTWREGGTVVMTGNGFNAGDLVHAVKRHAVSFVVLTP AMVHPVADELKGRNGAADSVRTVQIGGDAVTRGALEICTRLFPKARVVVNHGMTEGGG AFVWPFNRPRDIPFYGEMSPVGSVARGAAVRIRGANATVARGELGELHVSCPSIIPGY LGGVSAQSFHDEDGRRWFKTGDVGLMDKQGVVFILGRMKDMINGKTCVVNAGGPFAVL ARYTGKKEAQIRRHVVRALGKSNALNGVIYLHQLGLERFPVNGTHKIARGDVEGAMLA YLQTEPTSR ANIA_10022 MSTPNPPATNSTTSEDRLLCLTILGYRKQGMSEEAYRKHMIEHS APLTKDLMIKYGILRWTVKCPDRSKIHNPTETRELMYEIMDPQMANIADYDCFSQVVF RNFEDYKKIKDDPWYKEHLVGDHENFADTKRSKMTIGWITQFIDRGVVTEGFEGFPGP KNLAGFSQTNSFILHISASSNLSANIKYSLIMASLTTLKNTAIVTGSFLSGAMITLST ITVPVLLETSTHPPQLLHQWVRTYHYGHISLPTISIATAILYFYIAAYQGAREQPWRK AALVGFLTIVMVPFTWIVMSSTNGMLFGLEAGNRDHSQFFEQGANRSGLKGANSSQSH GLGNVSVGIGVEMATLEGVRELLVRWKWMHLVRSLFPLMAAVLGVGICV ANIA_00150 MPVYTPQSGSLPEYSKMKLLYFSNELPKDDLQGLFRRLYNHSKD RRYPLLARFIHEATLAVREEVRQLPTAVKALVPAFETVLNLADYPELRKGPLGGSLEG VLLCVLEIATLIGHVPRLYFKEAADCCSYYENASERFDLHAVSTYLAGLGLGLLSTAA VALCSALADVPVIGAEVVRVSFRLGTLVDEISQNLEPRDTSGSPDTWASVVPGAKVEE VQAELDAIHAREKTPQPSKIFISAWNEGSVTISGPPSRIRRVLRLSEFFRRHRVVSLP VYSGLCHAKHLYNEQHAREIISTRSMDSINALYSPAIPVYQTSTGRPFTASTAKGLFE QLVLELLTQPILWDNVVQGVVDQAYATSATSCDVLVFRISVPINDLRTALGSKLQGFE TSTEELIPWILQKSDMEIPRGTAQSKIAIIGMSCRMPGGATDTEKFWELLEQGLDVAR KIPADRFDVETHYDPKGKRVNTSHTPYGCFIDEPGLFDAPFFNMSPREAQQTDPMQRL AIVTAYEALERAGYVANRTPATNLHRIGTFYGQASDDYREVNTAQEISTYFIPGGCRA FGPGRINYFFKFSGPSFSCDTACSSSLATIQAACTSLWNGDTDMVVAGGMNVLTNSDA FAGLSHGHFLSKTPGACKTWDVNADGYCRADGIGSIVMKRLEDAEADNDNIIGIIRAA ATNHSAEAISITHPHAGAQAYLYRQVMSSAGIDPLDVSFVEMHGTGTQAGDSVEITSI TDIFAPITKRRSAQQPLHIGAVKANVGHGEAVAGVTALLKVLLMYQKNAIPPHVGIKN SLNPLFPKDLDKRNLHIPYQKVPWPRVKGKKRYAVVNNFSAAGGNTTVCLEEPPLRET DYVDPRTAHVVNVSAKSKISFKKNLERLVAYLDANPDTSLASLSYTTTARRYHHNHRA SVAATDIAQVKKKLLSYIDKVEAHKPIPATGPPQVAFAFTGQGASYKSMNLELFHHSP YFRSQLLHLDALAQGQGFPSFIPAVDGSHEKDYAHSPVVTQLALVSVEIALAKYWISL GVKPNAVVGHSLGEYAAFHVAGVLSASDALFLVGRRAQLLEEKCQIGSHKMLAVRAPL ADIEKALEGTNYEVACINGPKETVLSGSQAQVEVVSEILQSVGYRCTSLDVAFAFHSS QTEAILDDFEEAAKDGVLFRAPNMPVISPLLGKVIFDDKTITAKYMRRATRETVNFLS ALEMAQTFSTVDEETVWVEIGPHPVCMGFVNATLPAVNETVASMKRGEDNWVTLCNSL TALHCAGVPIEWNEYQRPFEKGLRLLDLPTYAWNDKTYWIQYNGDWALTKGNTFYDAE KSLKAQQTGQLASVPSGLRTSTVQQIIEESFNGSAGKVVMQSDMMQPDFLDAAHGHKM NGCGVVTSSIHGDIGFTLGGYLYKNLVKGGKAPDMNMANLVVLRGLVAQKNTKKPQYI RVTISTTDINSGVAELIWQNVLNDNTADEPFASASILYDDAALWLKSWIPSTHLVQGR IEALERLAEDGIANRFTRNMAYLLFANNLVDYAQKYRGMQSVVLHELEAFADITLSTE KSGTWTIPPYFIDSVAHLAGFVMNVSDAIDTKANYCVTPGWKSLRFAKPLVAGAKYRS YVKMIQTEEDPTVYLGDVYIMQDGAIIGMCGGIQFRRYPRILLNRFFTAPEEAGAISH AAASSTPAPRTKPEPVPVATPATAAAPVAQSPAAPASVTPAPAPAPAPGPTPAAAPAA AGESDSVAAKALVLIAKEAALELSDLTDDASFANLGVDSLMSLVIAEKFREELGVTVT GSLFLEYPTIGDLRSWLLEYYN ANIA_00149 MAQPQQHKGGYKQINKALNICAFEDYLSAQLKHLPQLADVEQLS PRVIRVLGQNAGKGTNTYIVGTGPQRLIIDTGQGIPEWADILDATLKERSISLSHVFL SHWHGDHTGGVPDLLRLYPNLAGAIYKNSPGSDQQPIDDGQVFRVEGATIRAVHGPGH SHDHMCFILEEENAMFTGDNVLGHGTSAVEELGVYMETLRKLNSHHCAVGYPAHGDVI TNLPAKIAGELAQKMRREKQVLLTLDRINKESRRTGQVVLVHGDGIDEEVRKMALEPF IDEVLRKLAEDGKVAFEMRGGVKRWFGVGVL ANIA_00148 MTSSEGPGIPAIKTPPVKLRGSCHACALSKLKCSQDKPTCSRCV KRGTACQYLASKRAGRKQGSKTGSFKSFYNMKTDYSTSINKDDDRRELMEVSTELMQY ALQQDRSLEVYRRNQYHQRTPSYPESIPSLLSSTGPGTSATSPLTLGPPDYDGYLASP ISLSLLDVPDMDYFPGADMSANVMDGFPDPPSFFPSGEPIPTLQENILKTSFADSPVP ANSPSVPPTPDVTSVGTPRQCFCFPRALTLLRELFPNPSLSCVTPSSESGSASPPTVQ QVITKNEQTLRDITEIIECSCSEDGYTITIITLAAFKVLAWYSAVAHISPISEDSQAL EEIDRTPAVVRGYNIDGEDQGRMAAQLVLSELHRVQRLVGNLYQRLKDQVSGGKPARL STTGVNDSNHYSLPFHLLERLAVDLGAQLRSLSSEIVDRLRRG ANIA_00147 MTHFPVNIASDKQEFDPERWAKTPTTESSVNGENGTAPTSGLPS RHPSTGISVLIVGAGMGGLMTALECWRKGHDVAGILERSEGPVYSGDIIVMQPSAVSI IRHWPDMLHDMKAEQVHAVVSYETHDGRHIYGPTVPSFNDPEHLETRKGPFVAPAQVR RKFYRMLLRQVARCGLRVEYGKTVKSYFEDEKDGKGGVIIATTGEAEVRVADIVVAAD GLKSPSEILIAGQHVPPRSSGLSIYRTAFPKDLAMQNELVRKRWSDSPPIWEYWLGPG MYLGVFVGDDIISFGFTPRDDIVEGTATESWEPDTDPETVAQAMLSGAGDWDPAVLAL IRSAPKGAIVHWPLLWRDLRREWTSPAGRVVQVGDSAHSFIPTSGNGGSQALEDAITL ATCLQLAGSSQRAYLGTKIYNLLRYERVSCAQKMSFVNSQLKTGTDWDAIWKDPAKIR TRFPKWIFQHDPEAYAYEKFGEAFAHLLDGREFVNTNYPPGHEFRAWTVEEVWRNIAD GKRVEDLLDGDWS ANIA_00146 MTATTHAPYRLEGKVALVTGSGRGIGAAMALELGRLGAKVVVNY ANSREPAEKLVQEIKELGTDAIALQANIRNVSEIVRVMDDAVAHFGGLDIVCSNAGVV SFGHLGEVTEEEFDRVFSLNTRAQFFVAREAYRHLNTHGRIILMSSNTAKEFSVPRHS VYSGSKGAIESFVRVMAKDCGDKQITVNAVAPGGTVTDMFYDVAQHYIPNGEKHSAEE LQKMAATVSPLKRNGFPVDIAKVVGFLASREAEWVNGKIITVDGGAA ANIA_10049 MTLQPTFEGRTPEQCLNVHTDSHPDITGCQAALFEWAESYDSKD WDRLKQCIAPFLRIDYRAFLDKLWEKMPAEEFVAMVSHPHFLGNPLLKTQHFVGTMKW EKVDDSKIVGYHQMRVAHQKHLDSQMKEVVAKGHGHGSATVTYRKINGEWKFAGIEPN IRWTEFGGEGIFGPPEKEENGVAADDQVMNSNGSSEVEERNGHVVNKAVEVRSV ANIA_10021 MMSSLSDLETHASELTSAVKTIISQCPRQNAASRSRTQPLITSS ASKEAHRAQQSILSTISGLQKLLTSPTDFLHHLAVQNQLLACLQWLGEFQVLACIPLT GTVPIKDVAELAGVPETHLSRIIRMTATAGFLDEPDPGQVAHSALSAPFVTKPSYLDA VMFLAGTIAPSALQMPTATQRFGASLRPNETAYNLALNNPATFASTSEQRPKLQRQWP AFLQYGTSDTDDRVTDLLSRLDHFRRGSISVVEVSARSLDRATTLANLYPSINITVQI ASPAGPTAWSPAHPNPIRPPTPGGSHKHDDLRALTASTASTTPASSHNHTHTHTTNSI PQASNITIQHRLPTAPQPITSANLYILHLPSPSPTVPFASLATHILAELRSHLDILRS NPSATLILTPRPLPEPSAVHSEVEASARLRDLTLMQLANEREIELAEWINLLSNVSDS MGRLVVVNKIQSRESTVVLLEIRYQAYNR ANIA_10039 MMVGLSFALLSLLGVVAAAASGQTARVWAVYAYTINGETIPRVF PRSRALTPYGAYQLHEAGSAFRGRYVSVKAGADRPDARIENLSPYLVDNDDIKITSTP DVAVLASAQAFMQGVYPPLNESFNTTFFDNQLELADGSLISPPLGGYQYPSIVTVGAE DPQSLMVSGQALCSRHAAANLEYIASKEFWRTYEESAVFYNRLHTLSLSGHFDTKASS YANATAIAEFLDYQVVHNESLLHSLSAEDIKRARWYAGRYVFATNGNTSASGTTVDGG IRTIAGQGLASSVLNAFETTIQNRGANGKMTLQFGNYQTAVSFTSLLQLATTSPNFTS SLPRPGSSLVLELFSLESERYPTYPDPAQLYVRFLLHNGTRAEFVPYPLFGHGPSNTA IPFSEFQAEMQRMALGSTEDWCRRCNSSAVFCSGVVKPLPRQSAASKARKDRGGISAA VAGVIGSVVTIAVLALIGVVGFFLCLRTKRLRNPGLGGFKRDSKMASDSDLTFKNPQW GDAIKAPTASAKGHERHGSWEMKNKCPPRLVEQTVGPSSLADELEEEWDLHDTAPVRP REHV ANIA_09540 MTKYDIQTLLAAKPNAHIDLERFSDQAFTNNLVRSNVLSEQSVN RPRNASGGHSRRNGMTPLVLNTQNAPRQPDHETLSSSNSQFDDAFVRFIEQHTSPKPQ RVTAGGRIVPMNPWDSPPKWSLPLSSKAASAANSDMSKAVCSTAKNEPKSKPENRPKQ QAPNPESRAPKTNPLPADAANAPCFHPLSSTSPFQHASVPPATQPAQGTPLSAYPALG ISGDGFLNAFAQPPTPSQLVGAQAPPATFAFQPQPSAVTTPNFPGWHGMNTLSPAAFS PYQGSFTPTPSGLGAQWPQLPQLPQLPQLPQLPPLPPFPQLPQMPQLAQASPVVSSQV PASGQQFVPSYFQDAVYQKSLEDATKQYDALSAQLSQIDRFTAMHAWVIDPETKKLLV EQRKSLVRELDTVRLYKEHLQVLFGKHLMNSPAKSRQERIPVPVTPPRKEAWETPTKS KSRSLSPTVRNLYRTIEETDERGEPIDGLLRALSGASKGLAKRLSEERKKSFCVAAEQ SPRELPSRGSTFVKAKEESLHEAPEKAEYAQPVQVSRRLWQSDPNPHPPLLSQCFNKD WSPVLQKSAAPAISQNVTAHAFLPSFDGAGRSADGFELI ANIA_00144 MPTKKHPNDSTHLPTKIKNFFRINSSSSTSSHQSSNHVNSSDRD TGSSTPVKHEPKSTFRQSRFLPMIGRNRSATVASEGNPLDEAISPTATANPYFVHQGQ PALQHRNDGSIPSSPPDTPELQVDGVSAAEQATTANKEELARKLRRVASAPNAQGLFT ATDSDGRPQTAELGKEPLVHSGAAGSPVGLVEVSSNTDQDGNLTVPQPGSGKLPAVTQ VRTSSGGFRRTYSSNSIKVRNVEVGPASFDKIKLIGKGDVGKVYLVREKKSTRLYAMK VLSKKEMIKRNKIKRALAEQEILATSNHPFIVTLYHSFQSEDYLYLCMEYCSGGEFFR ALQTRPGKCISEDAARFYAAEVTAALEYLHLMGFIYRDLKPENILLHQSGHIMLSDFD LSKQSGPGGAPTMIPARSGNSNTSLPTIDTKSCIADFRTNSFVGTEEYIAPEVIKGCG HTSAVDWWTLGILIYEMLYGTTPFKGKNRNATFGNILRDEVPFPEQGGAQQISKYVIT EFCRGNETVNFRNVKESASVDIGEKNPSKMKGVPLNSGLATPNGEIADPFEEFNSVTL HHDGDF ANIA_00143 MSETTPIPSRSTTPPIEPPLDTVSSTENAFLRPSHPLVSDLEQE VLDEYARLLGNVNKLSAKLAELADSPTTITLDGLRQLERKTATVYTLLKASVYSILLQ EQIVNEGEWQQQQQQQDEGQEYATGTMNAGEEGIYMGEGDMSYQQY ANIA_00142 MSFARPARCLFCSFSRAAANSPRVPRRQFQLSATQFTDKPSKKP VENGVNLKQLSQEMKPDDFKPYTEEEKAYLKKHYTPEQLAAIEAGEAAIDPKDMAEQF RIRKDPMKLTYLDDFSVIEPGVDKHVRAPESNSDYNATLKTEDDFVDDFAKFIAEMPE DASISDWVRFAETNRMTIGKEENELNPNSSLVPDLFQPGETLDGPSKKPHKYYDARRS NIAKKDEEPSEALKVLMKNTGYSLSDISSLRTKALVVKQVTNQTRLGKVNSTYILTVA GNGNGLLGIGEGKSQEATDARVQSLYRAIRNMKPVPRYENRTIFGDVKGKVGAVELKL MTRPPGNFFNTTFPLSEANKANLGFGLRCQSLIYEMCRAAGISDIAARVERARNPMNT VKAAYEALMSQRDPEEIARARGKKLVDVRKVYYSGREDRPRQLQY ANIA_00141 MFRFLRLPVRCKFQYCSSRPSPVQLLASAKSSPISLQQRNAHIP PIMEAPKQNHRNAPKRQKRNPAKQRKEKSNEFDEVLQADVDRLLKSKRDGSPAAATPP QPTLPEPFTEIEVTVAEISSTGDGLALSENGDHVYVVPFTVPGDKALVKVVRHVNALS YSVTDFIKVIEPGPQRRDDAIGCKYFGKCSGCQLQMLSYADQLAHKKRIVEKAYANFS GLIPELIPAIGDTFPSPLQYGYRTKLTPHFPPPKRNDAGEPQVPPIGFTYKNQRRHLD IEDCPLGTDIIRAGLKSERKKVAENLQQYKKGATILLRETTSRTPKSSTDTDPTSATK PTVITYENKNKPHQESGDVITLDRPNYSEEKRCVTDQNATSVEYIDDYLFTNKAGAFF QNNNSILSGFTEYIREHALTPTSDKSGTPIKYLLDAYSGSGLFTITLSPLFKSSLGVD VAGDSIVSARENARANNLPNTGFAAADAAVLFKDVPYPPDQTLLVIDPPRKGCSEDFL RQLLAYGPRRVVYVSCNVHTQARDVAVMVQGDEKTGVRYNIESIRGFDFFPQTGHVEG VAVLNKVVV ANIA_00140 MANQTPAVVMDNGTGYSKLGFAGNDSPSFVFPTAIATKAGAGST GGGSRPAVANKPSFLSSGGGGHLSAKRGTEDLDFFIGDEALAAASGPGYGINYPIRHG QIENWDHMERFWSNSIFKYLRVEPEDHYFLLTEPPMNPPENRESTAEIMFESFNCAGL YIAVQAVLALAASWTSSKVTDRSLTGTVIDSGDGVTHVIPVAEGYVIGSSIKSIPIAG RDITYFVQSLLRDRGEPDSSLKTAERVKEEYCYVSPDIVKEFARYDREPDRFLKHTVT SPNGRSVTIDVGYERFLAPEIFFNPEIYSSDFLTPLPVVVDGVIQSSPIDVRRGLYKN IVLSGGSTLYKDFGRRLQRDIRHLVDARIRASEARSGGARSGGLDVAVVTHKRQRHGP WFGGSLLGQTPEFRSYCHTKAEYDEIGPSIVRRFALLGGPGT ANIA_00139 MNRSKKGGKYVSAIFIHAGAGYHRRDFEKPHLKVCEGAAKMAMG ILLNGGSAVDAVEIAIMYLEDAEITNAGYGSNTTIEGTVECDATVVDHLGRSGAVGAV AQVKNPISLARAILEASKEPLTLHRVPPNFLVGPGATDYAYELGLVVLPHDGLISTPA RQRWLQWQRELKEADSRQRARSQGSNEIDNAYYRRAVRGHPTQLPASPSSTQSTSTSS VSPHVDSNHASAINTSSGMQLASDNQAPTGIKKAKSDTSSGGMSPIRSSLNSFRNTIL QPNPLRAGMHAGQMDVDSSSLPSHGRDGSHSDFFGCTEDRVSDTVGAIAVDSFGHIAA GSSSGGIGMKHKGRIGPAALNGIGTSVIPVDPNDPEKTCVASVTSGTGEHIATTLAAS TCASRVYYSHRKRSDGTFEEVLEDEAMGAMIAADFMGHPGVKASHCEGSVGIMTVKRT VDGIYLYFAHNTDSFVLANMSSEDKKPASVMSRSNGNGSIAQGGKAFRVKKLA ANIA_00138 MNAVDATEHYGTSAHDPAPSLLTVIIDTNPHAWALLEQNEKNQL PFSTALANILVFLNAHLACNYANEVAVVASHTHKAAWLYPSPNSPTTSADSDGDVTMS NNNHMSTGGGSGKPSQVNKYRPFRIVEEQVTSNLRHLVDSTNRDDLDSGASTMMAGAL TLALSHINRRTIAWAEAHGGGDASSFSRSGDPDTSTTSMIARRSTTTTATNDGAAEGL QSRILIISVSSATGSAHQYIPIMNGIFACQRLHIPIDVCKLSGDAVFLQQASDATKGI YMSLSEPRGLLQYLMMAFLPDQRSRKHLILPTRVDVDFRAACFCHRRVVDIGFVCSIC LSIFCEPPENGDCLTCGTHLDTGDYGAKPAVVVRKKKKKKIRPNGVSATPTPTPTPGP ANIA_00137 MKFGRNLPRNVVPEWSSSYIRYKALKKLIKSLADRVRAGHEADL AGFFYSLDRNLEDVDHFYNKKYADFSRRLKLLSDRYAHNLDGSHLDSDDVEDLLAALL ELRGQFRKLQWYGEVNRRGFNKITKKLDKKVGAQAQQKYLETKVEPLPFASNTRVTEA LKKINDWLSALGDQKTFDDASSTHSSLSLKRGPSRPNLNLPANTLLTIDDALRKDDTH VVLELLEDLKTVADEAGENVFPKVLRSLLQRAIHYRSKACISAVIGRSGSLEEEDDIN KRNCIHRLVIAIGRAQTTTDSEQSASMVLDFPMDTPNYITPAALPTLQPPRSFAKESD HVQHLTRSDPAVSVLEYLLDHLRPDQRESLLSKDISGRTPLHYAAQYGFRVVCEVIIE HLQAWNMFDVSEGIDGPRWQDNDGWAPLHLSVVGGHPLTTQALLKAENWKGQIQDKAE IRKHVSRSSAVLALAVKANFIDIVQLLVDAKVDINYQDQQGETALHVAARFDHEKCAR ILLKGNDVQKADTELAESTYSWTPLFIACVDGSLSVVEALIEAGADLERLDSSGWTAK EHAALRGHLDVARCLAKVTPEPELSEEPALTVPTASGSTTSGPLSSQPQSSLMEKKSN GGSAAGSSPSRNPEPVKSFGHRYLTDEAMILVSLGTMDTRKHVHAVNLDRIPMENAHA TQLDTALSIVVSANGAHGEPEIIDLPVQENISTEPIVFHAADPTKVRLLFDLVPTYSG SKDQIVGRGVALLSSVRPSVGSHRTNLQGDSTVPIVAANTLEVIGSITFNFLVITPFK HPNMSINREQTYWRSMSSTMVIGHRGLGKNFATRNSLQLGENTIQSFIAAANLGASYV EFDIQLTKDHVPVIYHDFLVSETGIDAPVHTLTLEQFLQLGERGTTRTPGSPGQIAIG GTERSKTPPLPPRHRSMSVGGTESDISELNERIKHTRDFKKKGFKGNSRGNHIQAPFA TLEELFKKLPQNVGFNMELKYPMLYESEEEEMDTYAVELNSFVDTVLEKVYTLGQGRN MIFSSFNPDICLLLSFKQPSIPVLFLTDSGSSPIGDIRASSLQEAIRFASRWNLLGVV TQAECLVLCPRLIRVVKESGLVCVSYGTSNNDPHKVKLQAAEGIDAVIVDSVLAIRKG LTEHEGKNSFTPGPTPHASPLSQPTINAALKDAHRIPVLNNNTEVKDNYLQVKSDAAS L ANIA_00136 MASQEQPSQHIQGDARNRTECLGGTLKNWELETANIYLISVDRS TYFFVIALAASQLPIAPRGDAMSSDDLDQVQTWGRKLNDWELPWRKLPGMTSDSCSSL YQLCTSRKNESHG ANIA_00135 MVHATGEERAVHLSREAVELRDSGHHEAAVRNLREALALAPDNA TVKEAFLKIQNEDGNSHHLLELCRSYAIQKNEKAGKDAARYLRTDGLVPPENVALECV KLLLSYQAQALSPLQDDIIAGLVRQNASVRQYFSSQLQVSVTTFFDDLYDRGDGAAVC LDTVVLDHAVWPSEEARLHCERELFQLFIAKLMESGHDLDGRSLKGIARLLAVEADQL RDLMDDESLDVVITSLDHRLPLEWRSQATLATVKYLESAKEFGQKQFSQIISAKLRKN RVDDLTVAFSATAVIFPIAPDVAAELFLSEAFMASLKPLTARDAKSRRMEKAILELLN AACISSTSRDAISKSLSDWLSHILTNGSDESSELAAVILAKLRASAKDSNGTASNGKA QSHDGNVSELVDRFKGLMSRQETEHISNAIEGLAYSSVKPEVKEQLAADQSFLRGLIK VLQEKSNETSILYGGLMIILNLTQFLPNLSEEQKKMSQLKSYAEANAKAAQNGPSVLE DDKHVIARCAAVVDAGVVPLLVACGRNTARSNHELISRILLSLSRNPKSRGTLAQQGA AKLLLGLAVNSNSSNTNILNASHALARILISVNPSHVFPLSGYPHVTSAIRPLVALLA SPEVTSVTAEQPLDMLPVFESLLALTNLASHPDSAAAEAIVRHAWPQVEELLLSKNPL IQRAACELVCNLMACESGVIKMADGTKRAAQRLHILLALTDTDDLTTRQAAGGALAML TEFDPVIAGVLNRPRGVELLLNLCQEEDDGLIHRGITCVRNLTCAASGDNRRRAIEAV KQAKGVEILSNMLKKTRNQLILQIGVEALKPLVE ANIA_00134 MESESVAPSFCIGQHESKRTIPITSEVVQLAHESNYDMLTTPIT TPHFQSRVLSLLSSHLSNIQAVSHSDSGTLMTTENIRPLVMPQLGPADTHLTPNEAMS QLVGVTSSWIDLCSPDPLIADLSRQVFMLEVAYAAFCGIGYLLIPGPKLHHKGMHSDG VMYYARAIQDALSLGPYIQFHIWLDMVDNQDLELDEMGDLAPLAREEFFDTEIEQPKI DLFGTWDAWDAIRRTCKYHSRLFVALSLPKHLPPMAVQSRWHSEPVHLFTIDSNTFIK NQKGYPVLSKAHQALISRFMRLRTAPWILLCDVGPIPGVETDNASSLPGSEYPSLAQA AASIKKHHDPTPHLSYMRNLQSRQPPRTAIERFGTGYQDYLQAPLQPLTVNLESITYE VFEKDPIKYEWYERAIAKALSDWVEQKKPTSNPDGRVVVAVVGAGRGPLVTRALKASA QSGVEIDLWVVEKNPNAFVLLQRHNENLWGGKASLVHSDMRAWKGPRVRKSTTLSTEP VGQSLGIEGQFLYTPDPNQKTADSPSLDAIEFEDSKIDIVVSELLGSFGDNELSPECL DGVNHLLNPVHGISIPASYTAHLTPISAPKLHADVTNQSITNPAAPETPYVVMLHAID YLSTNQSDASAGNPARSSVATVPYEPTTPFVQTAWSFSHPNRDIPPQPASTSMISNAH NVRRTRLTFPVPNRGVCHGLAGYFETVLYRDVELSTNPVTMDSKSANMISWFPIYFPL KTPLNVPDNGEIVATMYRQTDDRKVWYEWMVEVFALEGGSEPASASAPASERIAPVMS GARTISASADSAHNKDITADSYSRLAQKKARGPRRVRVGMSDLHSSIKDGCLM ANIA_00133 MVDRRSDSEDGSRAKRQKMDKSGTDPRDNPYLAHMYADTSSNGN SSSQADNKNSAFAKLQRHKTTAAQAQKVEDNEFNPFTNRPYSSKYFSILKTRRDLPVH AQRDEFLQLYQQSQILVFVGETGSGKTTQIPQFVLLDDLPQTQRKMIACTQPRRVAAM SVAQRVAAELDVTLGEEVGYSIRFEDMTSPKTLLKYMTDGMLLREAMNDHELSRYSTI ILDEAHERTMSTDVLMGLLKEVVQRRPDLKIIIMSATLDAQKFQRYFNDAPLLAVPGR THPVEIFYTPEPEQDYVEAAIRTVLQIHATEDEGDILLFLTGEEEIEDAARKISLEGD EMIREADAGPLKVYTLYGSLPPHMQQRIFEPAPPPRRPGGRPGRKVIVSTNIAETSLT IDGIVYVVDPGFSKQKIYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTE SAFKKELIDQTYPEILRSNLSSTVLELKKLGIDDLVHFDLMDPPAPETLMRALEELNY LACLDDDGNLTPLGRLASEFPLDPALAVMLISSPEFYCSNEILSITALLSVPQIFVRP ASQRKRADEMKNLFAHPDGDHLTLLNAYHAFKSPEAQENPKQWCHDHFLSLRSLQSAD NVRMQLLRIMEREELEMISTSFEDKKYYENIRRALCAGFFMQVAKKENQGKSMYMTIK DHQNVLLHPSTVLAHDAEWVLYNEFVLTTKNYIRTVTAVKPEWLIDIAPTYYDISTFP KGDIRSSLLRAAERLSRKEKMRADSGKRR ANIA_00132 MADEGPRFSDALYSRKTPNSPCEPLQTRSFHTLSRPSYRTVRFP RTQNPCRFSHWWSTQRDRDLEAPVVKEFELENAESLEDDEFDMAIDVEELPEWLRTDE VRAKAIRKLALKQLAIRLIIRPAVAHAYFGILKNYDHNDSFPQLDLASLLFELNAIRR RIRQIKANPKVNIDDLMKGFNSPRWEDLVRTSTRFDKKIRQDTDQFLSNEMPLEELLL RLSDALLHCHDPDRTYAFTYMIIAFTKTRQNDLAQLVIKTILPYKFEMSASLILAILN FFRKTKDLKGFDLFLKMLEGKGYPINMGTLGLYKKRVVNGLEISVPPVHSANIVVYAA LIKACLRFDQPDRADAYLLVARSAGYMDDFAILMAYLEFCTVRKNWERGRQVLQRVLA FITSTTEHPPARVERLIVLMIQLCDTCQMLDLSEALIKAAVHSGFSSDLPSRQADIVS EADPDSHRWWVAAQDAPPSQLDAPLVEKCYAFANIAKEQLDIFAPKGEDFAHRLQRVM GTYSNQLMSTILDEELAQKAVRKTLQSHQEPDNLHQTKAVELDDKEGNAYSASPSRSL EDTVAAQQKEMRILRSEVAFLKQLVLRTAASNTSAPSTRNKPSEVADELAPIPAAVY ANIA_00131 MLITLTTALLALSGSLVNAHGSHSTPTDPSADWATRHMQEEHHI DTFDAASFFTLHDYDSSGAWTPEEVRKTYGMDDESNAGLTEERKQEALREVFTLFDPA NTGFISRDNWLHLVSEGVILPDFGFGPGHHGDIEYEYEIHHFEKYHGEDATEEELTHP EDIEHFKRHDEEDEAMEKLDQLERMAIVAANIPAKFRKGRV ANIA_00130 MADSTSFDERPAATMSAMLSKDKSKRLSKQNINPLQKCIGDFIN THLSQSLLAQHAVPLEELVSSLPKRYTIYEPMLLLPLNAFTHPPAWAKLYEGLDDNQR QTLYASIASAFSRYGVTHVAMNAPIVLTDTQGHENRMRSPIGLITLHGDFGPATSRDG EDIQPSEDDYKRAFWVRTVQNHGIVQIWAPLHTMFSRGNVTEKARILGHGSTFEGLDE VSLHGKTAGDVAVIDMYAGIGYFVFSYLKRGVQRVWGWEINGWSVEGLRRGCVENGWG CKVIRVGNDGQLSVPVDELVGGLCDTDRVVIFHGDNGFAAGIMRQVRDAMEGRQGWTN IRHVNLGLLPSSSDAWDGACRIIDGDKGGWLHVHENVDVQQIEVKRGEITATVQGLWT ESASQIANTEPRAECRHVEKVKTYAPGVMHCVFDLHLSHQEICGNAP ANIA_00129 MATVVVQQPLRHTTPPPGTVAPALTLNRTSSPVPNKHIPVCPTG PSPVSSRTSSPAPKDDVVLPTSSPLHPPDAFPKISESPLLYSIEIEILVAAVDHCSSQ PLPDPNLMFPWLHGLHPENHLQVGFFTNRRRSLRRTPKCWRGLTIVKVGGDLSTSRLK GAITPDAILAPSGLEFLATDPREGFSVRNFQIQTAKVAPLSDIVVYGEDGVTAKQLLE VASRIATAQHHWRLKHDHEQTLPSYNTFVVSCPFSDIERRAPNLVAVNSSGQLTNQVV DLCESTECCARIRSNKRLVQLERLEMCTMSRATEFAHNVWQGPTPDYLLQAGASGTPS ADAFDLMIDANDLAGIPGPRYLANLAKRLAKEPQRMEFPSSGSLLTPSGESRDLDDLI NTLRWIYYLANPEVVETDAEGDVSMDIPPRKTRRILIHCPDGYTESSLLVIAYTMFAE GISASEAWLRLHREKKRNFFAYPSDVAYLKNVQERILQESPANPPTYRVSDPQWFKYC DGSLPSRILPYMYLGNLSHANNPEMLWELGIRRVLSIGEAVTWSDSDIARMGAENLMH ITKVQDNGIDPLTQEFDRCLEFIRKGKSDGAATLVHCRVGVSRSATICIAEVMASLNL SFPRAYCYVRARRLNVIIQPHLRFVYELLKWEELQQKKNKQPVKRELEWATVAREIAL MNKPYSR ANIA_00128 MCPPEQPAKAMAPSKSNQAAKSAVPTKEEKSADLVMATNNSSIV SKRSVEMLYYPEPHFFCHFVKKPQRRAPLINRGYWLRMHAMEESVRRFMRESPDKPKF VLNLGCGFDPLPFILLSADRSLCSQTTFVDIDYEKLMLNKKAALREAGALTQILEDVE FGPDESAVQIRSGQYVAVGCDLKNLDKLDRVLRAEVLPAECAVLFLAEVSLTYMDVKS ANAVVSWASRLSNDAQFCILEQYFPDGPSHPFAATMMEHFGKLGAPLHSIHEFPSLSD QERRFTEAGWTHAHARSLWDLWSDDEFVPAVLRTSLDSIESFDEWEEFALFASHYFLL HASTRPGTKGSKSAAATADVGPSRQAVTRSNEFRLIPNTSLPTGQRRFGALVPGGEAV IGIHSGWGRQTRVADTDVYKTSKDNIDSHARFPSSNDISARLCHTITAFSDDGDCLLV GGRTSPASALQDTWVRKNNVWQAGSSLPLARFRHCATRVTLGSDRSSGSVLIYGGKTS DGTTLDTWLLWNDNGEGWSSVTVRTMNDAPAPKARFGACLASIDSTNGLLFGGIGPDG TILEDFWTWKLYEEADGSLCMELTDQTGSLRNIALGFDILPRFGATVSSTAQGLVVSG GIIPRRVVPFDGEILLLDSATLLDCIKNGLPLTTPILSTIGLDAGFTGPRPLLVGHVS HAISTDQVLLLGGGAVCFSFGTFWTRGTWMLCPVGERAVNDWALVCENVEKPTKAKAA AQIPAKTKKQKASKKYKPEKYKSTTKVTPIRRVTVESADEFQQILEDAQPVIIESADI GPCTELWTKEYLVNTVGSDRKVIVHAAETETMSFRTKNFKYESKTFGTFMDEVHAGGR QYLRSISEKQPAKLPANLAADFPSLSSDFRLPEALKTVVENAHSSPLRISGPVTLWLH YDVMANVLCQIQGEKRLILYPPSDVPHLDVPAGASSSNINVFQNRADGAIALIPHTSP HEARLKRGDILFIPPLWLHTAAPTGKVSVAVNVFFRNLSQGYALGRDVYGNRDVQAYE KGRKDLEKLVKSFSGLPPDMARFYLLRLADELQETAEQ ANIA_00127 MTMNFVTFNQDYSYLAVATSKGFRIFTTDPFAKSYETKDGNIAI IEMLFSTSLVALILSPRRLQITNTKRQSTICELTFPTTVLAVKLNRKRLVIVLEDQIY LYDIQTMKLLYTIETSPNPNALCALSPSSENCYLAYPLPQKAAPSSFNPPAHAPPGNT HVSPTSGEVLIFDTLKLEAINVIEAHRSPLACITLNSDGTLIATASDKGTIIRVFSVP DGHKLYQFRRGSIPSRIFSMSFNTTSTLLCVSSSTETIHLFKLSQPSQLQETSSANTS STGRRRSLSSLSQSPEREATEEDNGSSDLASRKHNGTLMGMLRRTSQNVGGAFAAKVG GYLPKGVSEMWEPARDFAWIKIPKPNQGQGPNANTGPLRSVVAMSSNTPQVMVVTSDG NFYVFSIDLSKGGEGTLTKQYSVLESNDRLGYSVADY ANIA_00126 MEPGMDIDVPEARGTKRPIDDTEGPRKPRKIRALDPDVVNKIAA GEIIVAPMHALKELIENAVDAGSTSIEILVKEGGLKLLQITDNGHGIDRDDLPILCER FTTSKLKEFEDLSSIATYGFRGEALASISHIAHLTVTTKTADSSCAWRAHYADGKLVP PKPGQSAAPKATAGRGGTQITVEDLFYNVPTRRRAFRSASEEYAKILDVVGRYAVHCS GVAFSCRKHGDAGVSISTAVALNTIDRIRQIHGSAVANELVEFSVKDEKLGFTSSGLV TNANYHVKRTTILLFINHRSVESTAIKRAVEQTYASFLPKGGHPFVYIDLEIEPHRLD VNVHPTKREVNFLNEDEIIDNICAEIRSKLSQVDSSRTFLTQTLLPSIQTPKRSSQVQ DADAAPKTPAPTKKPYENSLVRTDSRVRKITSMLSPATSQPPSATLNLEGQLENTQTV LDDGLIYTTTDREPLKIALTSVKNLRAAVRSSMHQSLTETIASHTYVGLVDVNRRIAA VQAGVKLYLIDYGMFCAEFFYQLGLTDFGNFGTIQLEPPPKLIDLLHIAAESELQQAS EDYEEKREIFSAAPELVAKTLIDRREMLSEYFSIQISDDGYLLTIPLLLKGYVPCLGK LPRFLLRLGPYVDWTSEEECFRTFLAELAAFYTPEQLPRMPPSEELRAESRASQGHSD AGDADADAENEFVSKRRVQLASALEHVIFPALRARLVATTKLLRGAVEVADLKGLYRV FERC ANIA_10016 MPSSKRLFLADEELGKKDDDHRLKKHPDQRWHPKLWKRPRRRRV LLIPVILYLFYVFFKNMPTDIPPARDRLISNLPSAQHSIPDVPVPPGLTQSEPPPRVE SDTNEKDGLYYEGKLQFYNLAVTLKLFSDNGGKSPPSATVFAAASLGSVSDLLPLACR MALQKKNAVHFVLMGRDDVSIEGIQRVNGQNREICPLNWHDSRMEQAVAAVLPYIRAY LQPQVLITQGETFEDQFFLRAMRTAKDHEMPTIALPRAARDLMWMANLDSHALQKWND INVEFLIYTAPESAGSVIRLINSLTRADFLGFSPGLTIELPSQVDLELLRFLEKMKWP SGMSSKITIRRRIQSHQMSSHEASLRAVESFYPRDPTLSHVLVLSPSVELAPSFFHYL IYNILYNKYSARANVLPAKLMGISLDLPSSRLTNIDPFKQPDIEDTQSSSNSAPGALP MFLWQAPNSNAALYFGDKWLELHSFLGHRLASVANAETRSTVDETDETFIPEFYPAFM KYLLELIRAKGYYLLYPAFYNDGQFSLATFHSELFQFPEGTFNKAAAAPNFEALRAST STERPLSKASTLMPLLGTFPQGLPDITLLPILSHRGERISDYVLNRETEQYQRQFRVH FGGCQGLEEDRVTGHLFCI ANIA_10020 MAKEGERSAPADKGKGKVDDVKDLGGSKEKPEEKTQGNGKKKDD EPQEEELSEEDQQLKSELEMLVERLQEPDTSLYGPALDAIKTFIKTSTSSMTAVPKPL KFLRPHYDDLAALYDKWSAGATKDSLADMLSVLGMTYGDEEKLETLKYRLLTKSDDLG SWGHEYVRHLALEIGQEYQNRVNDEKEVDDLIKLAVSLVPYFLRHNAEADAVDLMSEL EIIEEIPQFVDENTYSRVCLYMVSMVPLLTYPEDHQFLRTAHEIYVRYKELTKAIVLA IRLNDVDLIKSDLEATSDRSLKKQMAFLVSRQQIWLDDLGDDEQDETFMECLNNTSIP KHFKSLGKELNILDPIMPEDIYKTHLESSRGAGLTNVDSARHNLASAFVNAFANAGFG NDEMMIVEGDKGSWVWKTKDDGMLSTTASMGMLLHRDVDTGLDKIDKYTYASEDQIKA GALLSIGILNSGVRLDSDPALALLCDNENLEAKNIPMRVATIMGLGLAYAGSNKQEIL DALLPIVEDVSLDMQLSAMAAVSLGLVFVGSSNHQVSEAIATTLMDEERQKQLKDKWT RFMALGLALLYFGRQEEVDVILDILKAVDHPMAKPTSVLASVCAWAGTGTVLKLQELL HICNDVIEESDEKQGEELVQSYAVLGLSLIAMGEDVGQDMILRQFGHLMHYGASNIRK AVPLAMGLISPSNPQMKVYDTLSRYSHDNDNDVAINAIFAMGLCGAGTKNSRLAQLLR QLASYYHRDQNSLFMVRIAQGLLHMGKGTMTLNPFHTDRQVLSRVSAAGLLTVLVSLI DAKQFILAEHHYLLYFLITAMYPRFLVTLDEDLQPLPVNVRVGQAVDVVGQAGRPKTI TGWQTQSTPVLLSYGERAELEDEKYIPLSSTLEGLVILRKNPNWEEESSA ANIA_00124 MKLDPKAIRYLTSEDFRVLAAVEQGSKNHEVVPTPLIAQISGLR GGSGVNRAISNLAKTNLIAKVKNAKYDGYRLTYGGLDYLALNAHQKQKCIYSVGNQIG VGKESDIIVVANHEGTQRILKIHRLGRISFRTVKTNRDYLRNRSTGSWMYMSRLAAIK EFAFMKALRENGFSVPEPIAQNRHTIVMSLIDAFPLRQISKVPKPALLYAELMDTIMR LARYGLIHGDFNEFNILIKEEVNPEAKGKGREGEDDDENLKLTPVIIDFPQMVSVDHP NAEMYFDRDVNCIKRYFQRKFHFVSDVPGPFFADAKKQLKKNPGKRLDVEVEASGFSR KMARELEKYMKEVGVDGDNGEADKEGAESDNEDGSGSEDDTAGQSETDTKEENSNRSL EESSQKLEQLHVS ANIA_00123 MVRKWSKFPKKPADWVGPSAPLSQRKQVYLPNFTVALVRTPFLP PRYASFYVPLTFNKLDMRDYLQRLYGVGVLSIRSYVEQQKVTRLRPMGRYGYGKLRRP RSRKRMTVEMKEPFVWPDAPEDMEKWEKDQFFKARKYQDKIQEAQKPDAPMKPNTEMR EAYEEAAKEILSGRKTWRPTWQALGLNYDRPVLPGAAGKGPVEGSS ANIA_00122 MGTNGGRPTKLSLVPLPKGSVLLPGATLRIPVSNRPDLANLLSS LLDRTNAIRRDANSITFGCVPLCSPYLSKDGQHVIDNGTVDEDKKEEFESLEAGQARK EDLYRYGTLGKVIGVQRRAYSEPHLLVQGVQRLTVRRVLRERPFFEAECILHDEKETP LNDRETAELFQQLRQLSRELLTLLRYTSLIPNTGGPRLSPLIARKFELIITKSDLAQA GRLADVMADIAESGLEDKLRVLAAFDVKTRLERVVDILNKQNQIIRGSVKFTTISTDN IPPASVLDISQIDPRIRDLLSRRGIPGASGTPPPGLGGRNNEADEKESNELDELQQRL KDAQLSPEAQKVADKEMRRLRKMMPVNQEYGVIRTYLENLADIPWTKVTEDKLGPETL KAARKQLDDDHYGLEKIKKRLLEYLAVLRLKQSTNQGLEQQISILTKELDNSGGDIEK DIPSLPESDRAAIESKLNALTSKRTVDKSPILLLVGPPGTGKTSLARSVATALGRKFH RISLGGVRDEAEIRGHRRTYVAAMPGVIVNGLKKVGVANPVFLLDEIDKIGGPNFQGD PSAAMLEVLDPEQNHTFVDHYINIPIDLSKVLFIATANSLDTIPAPLLDRMETIQLSG YTTVEKRHIAKRHLLPKQIRANGLSDGQVVLSDDVIDKTTTSYTRESGVRNLERELGS ICRYKAVQFADATDSAKLESYNPVVTVDDLEEILGIERFDEEIAEKHGRPGVVTGLVA YSTGGQGSILFIEVADMPGSGRVQLTGKLGDVLKESVEVALTWVKAHSFELGLTSDPN EDIMKNRSLHVHCPSGAIPKDGPSAGLAHTIGLISLFSGKAVPPKLAMTGEVSLRGRV MPVGGIKEKLIGALRAGVTTVLLPHQNRKDVKDVPEEVSNGLEIIYVKHIWEAIRHIW PDAHWPGQHHMDFVESRL ANIA_00121 MTTQTPPPSADPASQKIFTIGTRKSKLALLQTDLVLAALKERFP DYTFKIHSRETAGDQNTTIALRDFTTKNLWTQELEDLLEAGSVDLIVHSLKDVPTLLP TSCTLGPMMKREDSRDGLVIKKGLPNMSLAEMPAGSVVGTSSIRRTAQLARKYPHLKV MDVRGNIGTRLAKLDAEDSPYTCLILAAAGLLRLGLGDRIYQYLDSRNAGMLYAVGQG ALGIEIRKGDKAMEDILNTIGHKETTFACLAERSLLRTLEGGCSAPLGVETEWIQDTN GSSKLRMRSVVVSVDGSEHAEVEIDGTVDSPQSAEEFGVTVAKALVNEGAGKILSEIQ QNRQLKVPVSEST ANIA_00120 MDEPQTLRTVFTDAKRQKTALEARPDTNSDTYRSDVNAAIARFE ECQRLVSALSMFSSNELLEDISTGDLPYLTIEYHIAELLQKSYSSDRESTLQRALGQY EKFLTRLEDYELLSQKDKKLYERYVANPSSFSLTQTNDAATRRDVKVTRFREEKELKQ KLEYYTENQKKLQSDDDDLRQLYLAEIKLYTHQTFQSLDMLAQELSMLSMMKKMPPKP EPSHEVDARGRAKSNDENYSERLDPPISQLLKGGKNGPILSKDGKPLQPFTLLDRRTQ LRDGVFRSGHNLPTMTIEEYLAEEHRQGNVLKGGKPEPVEIDEDDMDKADEETMKARA WDEFKEANPRGSGNTLNRG ANIA_00119 MNPEHYCGSGYRSWKDISSCALTQVHYRKELNNRTNGELHMISI FLVDSVTLSYKQAGVLRNNLDMNVGRIFGALGFDLWSRQTWTEFLEKYMVVVCTAEVL YQCLLHAHIRMEQINLLVFDEAHHTKKDHVCARIIRDSYFPTAQSKRPRVLGMTASPV DTKGDVAQAAMNLELYLDSKIATASQLSLVHQVVRRPKEEAWIYDRLEQSFGTEIYRL MEGRLGDIEDLKLVFRFAWQASFELGRWCSDGVLKYVFSAKMLPKLEGKSKELSQLRD ASEVASSYILGSPEEPGQSSHKVQVLRRRLTEHYRETPETRSLVFTTRRYTTLMLLEL FNALEMPHLRPGLLIGVQANDFAGPEISCREQFLAIDRFRSGEINCLIRPFCDFSGRR RSRYPLLVIWLYGSICPLP ANIA_00118 MEVASSGVPDGAPTAISQGPLVDADAVVEYLADVLRVTLGALRS ELENAGSLLSKTKYSETAQRCLRFASESQVALYVQKDLVASDHTNGTADSEEPPAEYV YTLSAEISSSSTTVASVAFIKRPAAIDPSLPISSQIQVMNLPGPAALNNTQAQQGTSL SPYEILHLLVHHGLSPYFEANTRNQDGAAKAKTDSEVKTGVPGTKKKFAELELGLLHL QQNVEIPALNLPLHEVVQAALVEAEKRGVKPSVDLIDSTLLESSAFINSIQNNVNAWI KSIQTITKMSRDADSGSAAQEINFWLSMETALEGIENQLRGDGVQLTMDILRHAKRYQ ATLSFVADTGLREATDLVQKYNQLMRDFPLDELLSATSLQKVRESLVLIFNHLNKKLK ICPYPIKRALALVEAISGDLDSQIHSLLNGRTIMHLDYREFRTLMKTAGSIWRTWDDN LKEFTNVARESTRRRNEKFIPIKINARHDKTQERLKYINTFRVNHEQLQRTIANVLGP KSYSAEDAAAGAAADGAVIVEEIGDVDAVEEVAQAYAALKSVDVLDVSPTGTQNWITA EIAYNERTSRVENSIIARLRDRLATAKNANEMFRVFSKFNALLVRPKIRGAIGEYQTQ LIDNVKQDISALHERFKQQYGHSEAHAMAQLRDLPPVSGAIVWARQIERQLDGYMRKV EDVLGEDWHLHTEGQKLQAESNLFRKKLDTRPVFETWLHDVQRRRITISGRLFNIIRN RAAGNTLELAVNFDAQIIALFKEIRNLIWLNFQVPHAVSNISKEAKRVYPYAISLMES VRTLLQTNRSILSMTDVAILLNGYMNDAQSMIVRGIPLRWESFVHSYELHVKQAALVN GALDSVIPSRGESKHVQFVREFAASAAVLQHKTAVLASINDTIQKAIHELKTCPYEFS AFKQRLDAIQAAVDKLNLENYVNLGFWVHNLNQKIEGILSERLHKAIREWMNSFQESQ SGQPMQKVNGNGDTDTTAYNIEFPGLTHEISMRNQVLHLDPPLQYARATWFSHFDNWL GIICNLEKIKSSRYQISIEVQKVQLSESCFADLPQHCTNELILVYSAIETRLKEVSEY VDKWLQFQSLWDLQSEQVYDILGDDLSQWLQLLQEIRKSRATFDTSEVSRSFGNIKID YEQVQTRVNAKYDQWQHEILLKFGSKLGNRMREVHSEIATARHDLEGKSLDSASTAHA VAFITSVQQCKRKAKVWEPEVDLFRQGQATLVRQRYQFPSDWLHVENVDGEWAALNEL LGRKGKIVEEQTEALRAKIAAEDKVINDKITEAIAQWNEEKPVSGTIPPEEASRSLSM FQTRLESLQSEFEMVSKAKEALDLPPSAESSLPAILEEVQDFMSVWAALSTIWRSLND LRDTLWTSIQPRKLRQSIDGLIKMTKEMPSRMRQYAAFEHIQNVLKQLLKVNPLLSDM KSEAVRERHWLKIYKALKPGMRFSLVSLTLGDVWDLQLAASETVIRNIIAQAQGEMAL EEFLKSVRETWQNYSLDLVNYQNKCRLIRGFDDLFAKCSENLNSLQAMRHSPYYKEFE EDASSWEDKLNRVHVLFDVWIDVQRQWVYLEGVFTGNADIKHLLPLESSRFQNINSEF FAVMKKVYKSPFVLDVLAINGVQKSLERLAELLNKIQKALGEYLERERVSFPRFYFVG DEDLLEIIGNSNDIFRVAKHFKKMFAGLSGVLMDDDNNIVGFTSKEGEEVRLKKEVNL VKTPRINDWLTALESNMKLTLAELLAEAIEQFEPIYNSAEVDRTAFDDFIANYPAQIV VLASQVVWTNEVQKSLENSGTTLPTLYDAQVRILELLAVTVLGDLDPISRKKCEHLIT EFVHQRDTISKLIASNATSATHYLWLLQMRYVYQADGDFLQRLYVHMANAKLNYGFEY LGVPERLVRTPLTDRCFLTLTQALCQRLGGSPYGPAGTGKTESVKALGLQLGRFTLVF CCDDTFDFQAMGRIFLGICQVGAWGCFDEFNRLEERILSAVSQQIQNIQIGLRNKETD EKSQIDLVGRRLTVNMNTGIFITMNPGYAGRSNLPDNLKKLFRSVAMSKPDKELIAEV MLFSQGFKQAKRLSQQTVPFFDHCSTRLSKQAHYDFGLRALKSVLVSSGGLKRARIAN SDGDLGPDEIVEPQIIVQSLRETIAPKLVREDVATMLQIQEQDFAGVEYVPANYEALT AAIREIAREQHFVDSEMWITKILQLYQIQSIHHGVMMVGKSGSGKSSAWKILLQALQR IEGVEGVSHIIDSKVMSKEALYGSLDSTTREWTDGLFTGILRKIVDNLRGEDTKRHWI VFDGDVDPEWVENLNSVLDDNKLLTLPNGERLNLPPNVRIMFEVESLKYATLATVSRC GMVWFNEDTVTPSMIITNYVESLKTKTFEDLDDDSVPSGQSAVKTQDCQDMLSTILSQ LLQTDELVHKSLGEAKKYNHIMEFTEIRALNTLFSLLNKACRNILEYNIQHVDFPLEY EQIESYISKKLLLALVWSFTGDCPLGDRKSFGEFVSGLTTIDLPIETNSSIIDFDVTL PKGTWSSWQSQVPTIDVNTHSITQTDVVIPTVDTVRHEDVLYSWLAEHKPLLLCGPPG SGKTMTLFAALRKLPNMEVVGLNFSSATTPDLLIKTFEQYCEYKKTLSGVVMSPNQIG RWLVIFCDEINLPAPDKYGTQRAISFLRQLVEQNGFWRTSDKTWVSLDRIQFVGACNP PTDAGRTPLAERFLRHSPLVMVDYPGEISLNQIYGTFNSAILKILPLLRGYSESLTKA MVQFYLESQQRFTPKIQPHYVYSPRELTRWVRGVYEAIKPLESLSVEGLVRIWAHEAL RLFQDRLVTEEERAWTADAVRRIALEHFPTIDQEAALKGPILFSNWLSRNYVPVEQEQ LRDFVKARLKTFCEEEVDVPLVLFNDVLEHALRIDRVFRQPQGHLILIGVSGSGKTTL SRFVAWMNGLKVFQIKVHGKYSSEDFDDDLRSVLRRAGCKGEKICFIMDESNVLDSGF LERMNTLLANAEVPGLFEGDEFSSLMTACKEGAQRQGLILDSQEELYKWFTQQIVKNL HVVFTMNPPEEGLSSKAATSPALFNRCVLNWMGDWSDQALFQVGSELTQSVDLDKPGF VAPDSIPVAYRELSLPASHRDTVINAMVYIHHSLQRFNQRLQKQQGKTTYLTPRHYLD FVAQYVKLFNEKREDLEEQQRHLNVGLEKLRDTVEKVSDLRGSLAQKKMQLEKKDAEA NEKLQRMVADQREAEQRKAVSLEVQAALEKQEKEVALRKDVVLHDLARAEPAVLEAQK SVSNIKRQHLTEVRSMGNPPAGVRLALEAVCTLLGHKVDSWKTIQGIVRRDDFIASIV NYDNEKQMTKNHRLKMQNEFFSKEDFTYERVNRASKACGPLVQWVEAQVNYSAILDRV GPLRDEVGQLEEQALQTKAEAQAIENTINDLESSIATYKSEYAALISETQAIKAEMER VQFKVDRSVRLLDSLSSERTRWEEGSKSFETQISTLIGDVLIAAAFLAYAGFYDQQFR KAMTEDWVQHLVQSGISLKPHNPITEYLSNADERLAWQAHSLPVDDLSTENAIFLKRY NRYPLIIDPSGRVTEFLQKESSDRKLTVTSFLDDSFVKQLESALRFGNPILIQDAEHL DPILNHVLNKEYQKTGGRVLIQLGKQEIDFSPSFKLFLSTRDPSATFAPDVCSRTTFV NFTITQSSLQIQSLNEVLKSERDDVDRRRSDLVKAQGEFNVHLRQLEKRLLQALNESH GNILDDDNVIETLETLKKEAAEISRKMAETEGVMTEVEEITQRYSIIARSCSAVFAVL EQLHHINHFYQFSLQYFTDIFESVLHGNPHLENSGLRKMEDYQQRIQIILRDLFVTTY QRTSLGVIQKDRITLAMLLAQAAPYPMDKSIIDTILDESVEGTDLSANPEAKVQVMSA FGNMSLFKAHLPSVTAEQWDQFLGEELAENFVPKVWDENTSELDKLLRSLLLVKLCRM DRFVPAAERFIVAVFGRELYEGSTDLKDIVGQVTATAPISLSSSPGFDASYKVDALVE RTHATCANIAMGSNEGLESADKAISNAASAGTWVLVKNVHLAPSWLQSLEKRLASLKP HKDFRLFLSMESSPKIPVNLIRASRVLMYEQPAGVRANMKDSLSSLSTRASKAPVEKA RVYLLLCFLHAVVQERLRYAPSLGWKGFWEFNDSDYECSANIIDHWVDVVAQGRSNVA PQKLPWDMIRTLITEMYGGKVDDSDDFQQLERLVHSFLTPATFEADYKLVEGVENDEC LILPGETGLPAFVEWVNKLPEREPPTYLGLPANAEKLLLVGHGKKMISDLARITSLLD EGEQLMIDA ANIA_00117 MNWLKSTLSAVAGTQEPIYGPEAIQPVSQQQTEDAPYTELTKHD LRWRAYQYTNVETQTYYAMADNGTLVMVQIIYSNIAGIHTTAQFNCKIFNTSGDGTPH IWFSDPLYNHMFDESMSSFAADNISLSLNEEGNAYTLKSAVNEGCLVDLTFNRAAPGF AIGKDGTTYFGTDPQNPWGSMRHMFWPRCNVTGTITTKEKVHDMTGRGMFSQALQGMK PHHAASRWNFINFQTPSFSAIMMEFTTPPSYGSTVVNVGGIAKDGEIIYAGTTNSATH TEASQDETSDWPEPKSIKWVWEGKTKDGKTVTAEVDGPLGPKLDRIDVMAEVPGFIKT IAGSVAGARPYIFQYSPQQKLSLKLKVGDEEFTEEGSMFSEATFIS ANIA_00116 MAKKAIAKDQIVKLIVGAGQASPSPPVGPALGSKGVKSMDFCKE FNARTAHITTGVPIPARVTVRPDRSFHFDLRTPTTTYLLLQAAKVEPRKNRIRGAQRP GHEFIGKISLKHVYEIAKIKQSETRLSGLSLEGLCKSVMAQAKSVGIQVVP ANIA_10019 MTSKQTDFADGRNPAQFANPEPQSVDRMASGRHSDSGSSDAKIK QQPAQVRFSSVTEEYELPESSADANRAASQNSQKQEDELRSLAASLQKSTLQESRLFN FSYDPVSLPTSRVASRESSERNGSNLPSTHTSPPASAMQSPPLTPAATHSHEAKNNDS APGEPAQTTAKQAPPLPSQPSEITPSASPPTSAQSKNAVAQSAPSSRPPSTDQLSKQT GVTQTSSHPLNGTRHRAQFFVGPEAGSRDESPPMTPSVAVNSYTPPGAITPIGEPNDP YARSKRPPQSKNLAQLDPRFIFNGRDLKRRGQHSGTYARPSTARSSSASDLKGSDKRA GIFGGKKDVRQPDTESKPHGHMAELKRFFKMGHKHKRGESPTSKKSSRSSGKNTPYQM ATDNVPFADDHGLNSKYGKLGKVLGSGAGGSVRLLKRNSDGVTFAVKQFRERHSWETM KEYSKKVTAEFCIGSTLHHGNIIETLDIIQEGSHWYEVMEYAPYDLFAIVMTGKMSKE EVACSFKQILSGVAYLHGMGLAHRDLKLDNVVVNEHGIMKLIDFGSAVVFRYPFENDI VPASGIVGSDPYLAPEVYDEKKYDPRPTDIWSLAIIFCCMSLRRFPWKQPRVSDNSYR LFVSTPTPGTPVPDADPRRHRPVKSAPDLHSAAIEDKPPQYAANISEEPSKIMATPPR SPVKPTTGNQEENLPPETTQEKPPTKDTNCDKANTQNKPTRTTSKEAPPLPPGSAQAA GQRQEVIKGPWRLLRLLPRESRYIIGRMLKVSVKDRATLDDVLTDEWVRNIRACQQEV TGEVIKAPGHTHVLEPPSPSVPVASKAK ANIA_10018 MTESREQGPLVSSLWTRSLIAGAVAGLTVDCSLYPLDTIKTRLQ KARHHGPSVSTPSVSPRQTIRGIYAGLPSVLLGSAPSAASFFIVYDGVKRSLSTNLES QSRSHTILAQSLASSMGEIAACAIRVPTEVVKQRAQAGLFGGSSLLALKDILALRHPD PTRGARGGYGQVVRELYRGAGITIAREIPFAVLQFSMWETMKETYARRRQLEGPVPAS TSAIFGSIAGAISAGLTTPLDVIKTRVMLARRGDGAEGKAGVRLREVVQGISAEGFGA FFRGIQPRVAWIGIGGAVFLGSYQFAWNTMERRSKEE ANIA_10017 MARKLSHQRVTYVLPPSDAPGGHRLGVNGLAIDSASSILYSAGR DGVICSWDLDLPSLTSSSSSARQPGPTKFRNQVQAHTHWVNDIVLTQNNSALVTASSD TTVRLWRPHSESTDVPEPIGKHTDYVKALASPGSHSTWVASGGLDHKVNLWDLNGNGQ ILSIGASGAERSAKGSVYALGAVSSVIASGGPEKLVRVWDPKSGKLITKFVGHTDNIR DILINSDGDTIMTASSDQTVKIWSLTAGRCMHTLTMHNDSVWSLYSNHPNLSVFYSSD RSGLVAKTDTRNVSDIEQGICVAALQEHEGVVKVVAAGDYIWTATPKSSINRWSDVDT AAEIDAPRARGGPDSDAAQSDDKSPPKEKPTKVPFESLLLLSNTSTLPSSRIPQGDSA SNGQSSSPRQDIDDELGLTLPAYSLPEETVEGQHGLIKYCLLNDRKRTLTQDSAGEVV LWDLLRCVPIKSFGKRHMDDVELEVNTNESVAHWCTIDIRTGRLSVILEPGRCFDAEI YADEADLADYSQIREDQRLNLGKWVLRWLFAPLVDELVKRDAEYRAEAKARAEEMVKS HSVSAPVDIPGKLTVPIPSDPSAVPGRDSIASPTTPFSIGLATTPGSLTSSVLNHGHS YNNLGMSPGESYDHLTSHHSVDMARSSMSDRSSDYFSSPKSHAAIDSDKPLPTPGEQT PTPAAASEPDKEERKKGGSLFGKKFRMDFPKKLGRTSSEVKPQIQEEKAEEPEKTSVK EEKVFENNLSGLIDRTRHEYEEYLTANPGQELVSAFTPSDESEAPALDIPARTVVFIQ EESGDSVVASDIYRGTLENISQDREKLEKTVPLWLGDLLLKNQMPIKEPVKIAFTLRP YDDLLPPVVKPETPSLNGTSNNSRLNANRMLRAKKILAYVSERIEEPTNDPLQDALKP EEYLELYCQNKLIPPNMTLATIRVTHWRNATDMVLHYKANGKKAIRLIGSANDANGQG EAQSNQQSQSESCAVPNGEEASASHGSTASGSVSTNIN ANIA_00114 MALAYLCRQWEVRRQKQKWQQQQHHIPGLTNSNTSSKDEPSVIA FVVDHKARPESTDEANTVATWLRELGITTEILPLDWTGIDHSAFETQARRLRFQALGK ACRDRGIDALLLGHHQDDNVETTLWRLSAGARGAGLTGISPVARIPECHGLYGVAASG SAVFARDYWQDQKHEIEQTRRGELPVSTGGIIIHRPLLSFPKSRLLTTCHENGIPYVT DPTNFDPTLTPRNAIRSLLASNSLPRKCQGLVRDSHDLSDKILASRTTLLGLQLKSGS LTVRFKPGPCTWSSHDEEEGISPSRLQELQSLTLRRITDLVSPYEDNHFPLRSYVDFT GRVFHPKLDPPTSSVNIDSGPNPELQERASQAEGLKAFTVGGVFFQPLASSPPNQGQD SDNNHNTWLLTRQPYMRRREPVLRFNVNRPPAQSKPTDCSLEQHVESIFKAECDIPWT LWDNRFWIRASMKPISKSEPISQKERDGEKPSQLVIRPLRQSDLTPLKRLYNKTKTKN SQAQTRKWSDKPIHEFDEKGGERLSFDAAFFFSVIDREAPGPSRFTVPVLTLEEEGAV DVPLALPTMDLTFPVMDSAPCVLKWEWRYKMIDLETLKLMGPA ANIA_00113 MATTSCAPSDLPLEQLSLYHVSDPSLSSVFVFYGPVSTANATVS SSRIQAHILTPAGFQSYPRITISPAAPLYAAVNYLPRDKQGDEVCRGLAVSMLKYFAE LSDPAKECLQALARARKPEGQIPKMFDEMHAADLANRMVKVENTTEVVRDIRGAFQER KVPWVDVDVVLPAGTIQPPEPPDHDTQDPDEHADAEESVDARYGTYSPLIRALGAPMF LPTSRLKRAPSQPTNVSRSKFFSQSQKQSLRLTMCEVVDTEERYVSKLYSLVCEVAEE FRARAQGKGPSSTSPNESDLATLFPPCLNEIYDVNMGFLEVIRSVLEETERDAIADIT EDTEMSSSVSQRTVDEERDAIGAVAFANALLEWFPKFSQPYGDYMRAHNAFTQTLNLF MRDKNSSFSKRVYETGEQKLRSLLMEPVQRLPRYSLLIDSMTSSLPLVHPAVRPLLKA RDIIKDICSLDDPSSTNHDQGFRRLTELVDGWPSTILPTGRLITAVDFNELSSPYHLD FADVEADSGIMLLYKNCLVLLSKNPECRMTARGLMAELDNASSTAPGVAGPLASSAEI RVVQVYDLHTVRCMQSTCGRILFLAPASVTSKPTQNTTVDLLALEPVAMYGGRAGRIV EEIIKAKIEGRFSETERESGKWSLRSPSGTVGNLGILACVFEDEQGVEMSRPAASKIR VVFDTPRALCSKALSDSDLEVVISVSLVAEGQYRVNVDSIVGTSSSDIVTVDSFVPVL SKRLLNVLQPLHDTRNRHMAESLVHSNFAIIKYLAGHLVAQFKTTRGFRPPSPTKLIA NLLGGHSRESSTSSSKAPGSATLLGEFPKMPPPRAGHARSNTLPSSFPGKEETPVKAS AVGTIPSKVSNSPFAVLEQTFAAYVLALHCRSGNIVGRTLRTRDNVDRSSVNELYNVL LEDPAKLQAAAEVAVDTLFVAFETFMKNAWKEHMGPVLDPSGLQLLQSQFDTMYPREF EECFRKFLADMSPQNRRALASLIRLLADLLDASGSDGDRGALTAAFAEVLTVEGDPMQ HISLLDRLVDDFDHLFDEFISGGSTLEGILTSELTRTTSQTPGSVGSNASSFRKRFGF GMHRENSGESKVASILRTLSKNKGPNESEAGTQRGSMLVRSKSIDVDTSLSSLLRPGS RDRSGASTSQEMLRRPGSAQEDPQSTMKGVSGSGVVRVRRKRRSSLSDLRPGTASTDV SNVSPSQAPRPTTPGSSSTNVQGELVTPSKSARPNTSHGSGSTVRSTSPLKGSPPPRL GSPNRRSPVRPVTPSRKENVDPKYTPSERSAMNKIEESVSPSSEPKRRPGMTRTPALK ERVAQINGSETKRPLSSSLTKSQRLRLQSPQKLRDRLQTEKKTQYVEQSGLRDELELI GQELRELRPSPMRLQTDTAAIHGPLEDDGVTTAAVMTRMRNLELAFEALSEELNNRTS ALEKDLESSLVVSEKRAKKLDELYRQASAENEALYDRFNLELSKLAREVRTGDGEDAL KNQLSSAMDEIGRLKKENFRLKREVGGLRAQQAAVALLRASTD ANIA_00112 MSSSSLPIAVDPVALVTTECITVTSAMRKHARWAHSSVAAILGS STVSRVYDRDLSPSSSPLNGATPTRSRSRLSADDDHALANRWGLRGKRGKSIQDNPLI SAFTRLRNDLKDCKDIKTFDAPALLHPFLQVVRSSSTSAAITSLALLALTKFFSYKII DRNSPRISMAMQLLSAAITHCRFEASDSAADEIVLLRILKLMEGMLAGPEGELLGDES VCEMMETGLSMCCQVRLSEVLRRSAEIAMVNMCQVIFMRLSVLDATSTTADDETSPQE RPDDAEQTNLKMDPSVDGTTVASQHPSAMGSDTAVTDRDHASYDSSTDQPLNGNAVAA PPNPEDDLGEEVSPYSLASIRELFRVLIDLLDPENRQHTDPMRVMALRIIDVALEVAG PSIARHPSLASLAQNDLCRHLFQLVRSENLAILTSSLRVAGTLILTCRSVLKLQQELY LSYLVACLHPRVEIPREPGIDPTLYEGVPQAPKLVKPPPSQSSSGRSTPVPVKDRQKL GLEGGSRKPETREAMVESIGVLARIPSFMVELFVNYDCEVDRADLCEDMIGLLSRSAF PDSATWSTTNVPPLCLDALLSYVQSIYDRLDEPPVTEGFPSNERLRKQRDTKRIIVHA AQKFNSDDPKGAIAYLTSHGVIENPDDPVQVARFLRGTTRLSKKVLGEFISKRSNEGL LNAFVDLLDFSGKSVVDGLRDLLGAFRLPGESALIERIVTTFSEKYIEKAQPSEVADK DSLFVLTYAIIMLNTTLYNPNMKAQSRMSCADFSKNLRGLNAGQDFAPEFLEKIYDSI KQNEIILPDEHDNKHAFDYAWRELLSKSASAGELVVGQTNVFDADMFAATWKPVVATL SYVFMSASDDAVYSRVVMGFDQCAQIVARYGLTEAFDRIIFSLASISTLATDSPPSTA LNTEVQAGKKSVMVSELAVKFGRDFRAQLATVVLFRVLANNENSVQQSWTHIIQILRN LFINSLIPPFDSTLTAEFDIPPIPLQPPSQVVDRDGRGNDTGLLSAFTSYLSSYAADD PPEPSDEELDNTLCTVDCVSACSINDLLSNIRSLPLPTVTRIVESLLAQLPEESAPAV IVVKPERPLPSTRASARPDTSRGQYEPGMMYLLELAAILTLRDRQTIESLGEGLLASL QGFIRDARNLHSLALSRVTTYLLNLLRLSHVLPLDSLNDTLESVAVPIVKGLSRCVHD GSLLRNEITVSPDFWSILQRVHQHKEAAPLVFSLLKAVIDSNPPIVTADNYESAVSLA NEFITAGSVGYIEERHRDAIVRRSKGVKQPRQSENEVVLRGVTAIGLIYHLTSRAPIL IKQSHLEDGEAWSAYWSPIFHSLTSQCINPCRDIRHHAISTLQRSLLSVDIDTDKEWT AIFDQVLFPLILRLLKPEVFHSDPLGMGETRVQAATLVCKIFLRYLDQLPNASGMLEL WLKILDILDRMMNSGQGDSLEEAIPESIKNIILVMADQGHLVPPHQDSSKENIWTETK KRLERFLPDLFEEVFPNVPAPKENLPVTSPKSDSPPHGIVPTSEHTADEKENQALVPE TSKAEGQDTPERE ANIA_00111 MDRSSASRPDLYLIADNDSVYEQDLLRNPGTIKPWLAYIEYKQQ NGTLYEQAFVGRPLDALIISILNDFQVMERACKQLPRSYKLWKMYLEFRTKHLKNRNA IKYRAEFQKVNTLFERALILLNKMPRIWEMYLTFMLQQPLVTQTRRTFDRALRALPVT QHNRIWKLYKTFARSASGQTAVKIWARYMQIHPENAEEYINLLVEMGHYTDAIKRYME ILDNPRFQSREGKSNFQLWTEMVDLLVSKAKKIETGPQTGIDVDAILRSGIDRFADQR GKLWAGLATYWITKGNFEKARDVFEEGITTVMTVRDFTLIFDSYVEFEESIIGSLMEA AAVRADNGKADEEADFDLDLRMLRFEQLMDRRPFLVNDVLLRQNPNNVIEWEKRVALW GDNNVEIVNTYTAAIAAINPKKAVGKFSELWVNYAKFYERGGDLDTARIIFEKAVKVP FKSVNELAETWCEWAEMELRSENFDKAVEIMAKATQAPKKSTVDYFDETLSPQQRIHK SWKLWSFYVDLVESVSSIEETKKVYERIFELRIATPQTVVNYANLLEEHKYFEESFKV YERGLDLFTYPVAFELWNLYLTKAVDRKIGIERLRDLFEQALDGCPPKFARPLYLMYG NLEEERGLARHAMRIYERATRAVSDEDRFEMFEFYITKSASNFGLPSTRPIYERAIAA LPDHEAKEMCLKFAEMERRLGEIDRARAIYGHASQFCDPRTNAPFWQKWEAFEVQHGN EDTFKEMLRIKRSVQAQYNTDVNFIASQAIARSQQRAPEGEEATAAAEREMDTETTDA MAALERQARAPIGFVAASTGPEGGNRAPPAGQGPVAAPANPDAIDLDDDMEAD ANIA_00110 MSGAVGREAVFPTRQSLGLMKSKLKGAETGHSLLKRKSEALTKR FREITRRIDEAKQKMGRVMQVAAFSLAEVSYAVGGDIGYQVQESAKQARFRVRAKQDN VSGVLLPHFESYTEESINDFGLTGLGKGGQQVQRCRETYARAVETLVELASLQTAFVI LDEVIKVVNRRACNHPAHRKHHQIHQFRTRRARQGGVLPTQESLRQEAKRRCSSRC ANIA_00109 MATVPVPSNIPPNPTVYVRNLEERIKLDQLKEALSEIFSEYGTI LEIVAKRNLKAKGQAFIVFDNVDSATRAIDEVNGFDLFDKPMVLDYAKTRSDATVRRE GGDEELEAHKRRRLAEKERRQAHEALEAQKKLKRPPVGAPEAPGRPVKAAKGAGLKPT SGAAAAVIPDEYLPPNKILFLRELPDTADQGSLTAVFGRFEGFREVRLVPGRKGIAFV EYENESGAISAKEATSGMPMGPEGKPIRVTYQRQ ANIA_00108 MDAADDREVKLQRASGDLVKEFLDKLPSLLWKPQNAQKHAQVPR RWTLASKTERLVNLLEPFQEWPQLLDPHLQSLLPPLVDALLAYLLTHRGQYASAKAKQ QSKALYPLPRAVCRLLYTFCKVRGVKVISRFLNNEPKYFDPLLRAFIDWDAAQPDDAS EDIPRRLVWEERYVLLIWLSHLLLAPFDLSSMSSNDMPVPNQDNELVRSLSPETPAVA RSLLSVALTYVNVAGKEREAATMLLARLALRRDMQALGLLKSLTYWAFTVIHPPAGTE PSAVYAYLGVLSFLARLTGSGQAEDLAPLVVPLFQQIMRLVQGDTQVSKIILSSALAR KTMIKIVRSITVMALSLSERSSSPLSDDQVSYTLEETINHCLNALADKDTPIRFAASK SLSIVTLKLDPDMATEVIEAVTGSLEENILYETRQGKIITPSEARRVGTSTLKRNLSA VDAQRWQGLILTLGHLLFRHAPPAQQLPNVLQPLVSGLDFEQRSSTGTSVGTGVRDAA CFGIWAISRKYTTQELLAINRQAIHSSVAQDEVSILQMLAIELVCAACVDPSSNIRRG ASAALQELIGRHPNTIVEGISLVQAVDYHSVARRSRAMVDVAKATVALSSLYWSPLVE SLMQWRGIGSADAESRRHAARALGLLSTQKANKSVLIVLQKLWVKLHSIPRSDTETRH GCLLAIASVIDAFRTMDTEGLKEAKDDALEVAKQISKLWEIFNLPVGPKKDDLILQAS RPELTAEASSCLISSLSQSSARIEELTGSVPPSDLLGEACRTLMLCLSRSDEISIEAS SEAISQLWLLLPSTKKAEILQTWFSHIRVTRNLPTGRGQISSLGSIFTKLTATDLTRW SVIEELICCAEKEELIEKRVAAIKSFTIGVLPHIDVTENIAQSLVGFLNDYTTDRRGD IGSLVRLEGIQAAKVVLQRKTSVTNPCHIQDIVGCLCRLAAEKLDKVRFEAWLCLQIF WETASNFPSLSRRYEHFSQVSTTEYFAQLLELQAIDWLRLPLFQGMATSAVAGAEGLI RSTRSALIQYINNHPAERHPEIANAIIDDLLLALSEKLTDERYAIPILETVAFLLDGY VALRKLFVLVQKAHFKTSSIAKLEAAIRAYAPLSRLDQVRAGVLKKLTGMLLHPFPRV RATTAEYLFMETELELLKLEDWTNQPSKLKPKVEELRGFLACE ANIA_00107 MPAVPARRRSATYKDRNNETDSDDEEDEDYQIPDNERATVLFDF NRERDKRLADVTNIPEGRYTEQEKDLFLQLAMRGFEPLAPKHWQFDFPTLPDSLFPEE GKRQSEPIITISRSTTFYAIKSLNNLFSLSGRVRDCSIVEKNPETLIKQTISRYIRWA LYDANLEINRASMPIHVLHAQKKNESVRDALERLNRRLKKLALRHRMALAASDTLNNN KLPLLIGFLICGPVVALMTFDLNLIKGTPEDDEMNGKFFSQFDFSEKGQDVWNSLSIA IVVMHIRSSMVRLARSGYGGYVKSLESSPASEDL ANIA_00106 MDGDELIASVYRKIEREKALIAAASNMRQSTDNPLVQQRVDANI RDGRKNIAYLEEKMKELQLRQMERESGSPTDKRLPPNPEDGPAPPPKDPLGYGDPTQH PQPGAGSMPSGAPFKDPRPFAPVPKARPNYTKLDLIKYDTPYLGPKIQLMLSQLEFKL SVEKQYKAGIEKMVRLYQDEGDRKSRTDAEGRRIESNQKIQLLKQALKRYEDLHVDIE STDTPDDESLSAPNLRKPLTGLLTMRIQAVQDVDHATSSRFSRGPETFVIVKVEDTIK ARTKATRSDRWQDETFNLDIDKANEVELTVYDKAGDRPTPIGMLWLRISDIAEEMRRK KIETEINASGWVSADKMGPGIGPGQTTPAAPVGSSQYGASAGYSNPGPEGQTGATGGP LMIDAWFALEPVGRIHISMSFAKHSKDRRPFDIGLNRQGAVRQRKEEVHEKQGHKFVT QQFYNIMRCALCGDFLKYAAGMQCSDCKYTCHRKCYPKVVTKCISKANYETDPDEEKI NHRIPHRFEGFSNISANWCCHCGYLLPFGRKNAKRCSECGLTCHAHCTHLVPDFCGMS MEAANQILETLIRHKNHNKSPSVSSGLSGKTLRPGGSQDAGHAYPKPVESPSSYGQRP PSAEAVSAAATSYIPPPQSPTSQRQPLPPRTSSSSPAAAAAAVATGRMPDAGRPVQPP AHAHYDPAAYASYQQGAMQQGYPPAQAMQKVNAPAQYGMHAPPPPQQQQQQMQAMQQQ VAAKEDIPQQPKVRIGLDHFNFLAVLGKGNFGKVMLAETKATKKLYAIKVLKKEFIIE NDEVESTKSEKRVFLIANKERHPFLLNLHACFQTETRVYFVMEYISGGDLMLHIQRGQ FGLKRAQFYAAEVLLALKYFHENGVIYRDLKLDNILLTLDGHIKIGDYGLCKENMWYG STTSTFCGTPEFMAPEILLDKKYGRAVDWWAFGVLIYQMLLQQSPFRGEDEDEIYDAI LADEPLYPIHMPRDSVSILQKLLTREPELRLGSGPTDAQEVMSHAFFRNINWDDIYHK RVPPPFMPTISSATDTSNFDQEFTSVTPVLTPVQSVLSQAMQEEFRGFSYTADFA ANIA_00105 MPPPRRKVLATAEETMFPPDELAQGQEIARVIKATGNNIYSVQF PSKKTALVELPAKFRSTIWMKRGSFVVVDTNALETRDNKLEGEIVNIVRDEKAWRKTH YWPKEFVKQPVVASDDEDESNVGKMPSSDESDA ANIA_00104 MALTDLVSGLCSNPKHTKWIAPILNIADGLLCAFIIWKVPYTEI DWTTYMQQVKLYLSGERDYTLIKGSTGPLVYPAAHVYSYSLFHHLTDEGRDIVFGQII FAFLYLICLTVVMACYRRVGAPPYLFPLLVLSKRLHSVYMLRLFNDGLAALAMWGSIW LFINRKWTPAVVLWSLGLGVKMTLILLVPAVMVVLALSLDIGRCIRLAGLALGIQILL AIPFLKTNPSGYFERAFEFGRQFMFKWTVNWRFVGEDIFLSKGFWAGLIVLHLLILVV LGFTCFLNPSGTSLPDFAGRFLTGQHRGIALHPSFIMSALLTSLSVGLLCARSLHYQF FAYLSWATPFLLWQAGYHPILVYALWLVQEWAWNVYPSTNLSSAAVVLLLGAQVLGVL VNRDRAFPSSPPTPKAKQHVQ ANIA_00103 MSDLDRAIAQLRACRLIPEAQVRELCYKARELLIEEGNVVCVDA PVTICGDIHGQFHDLMELFRVGGDVPDTNYLFMGDFVDRGFYSLESFLLLLCLKVRYP DRITLIRGNHESRQITTVYGFYDECIRKYGSANVWRYCCEVFDYLALGALVLGATEPA PSSACTVAFPPSSIQSTKSALLTANKKFPTKAPCVTSSGPTQTRLMAGALVPAAQAFS SAPTSSSSSTTRTTCLSLRALTSSSWRGTRRCLTVGSSPFGPHRTTAIVAGIYRVFEA AAQDTRGMPAKKPVADYFLVSPFPVPLYFLNPSEWLADQSPFIVIPTTIPRPLLPLSR ANISAYSLHTSLYMPLSLPSLEFLYGSFPPQIFSV ANIA_00102 MRLSLFPLSANPKKDLNGSNGPSGDSKPGPSSRNVRGVLPKLAA AVSNAGGLGVIGGGTYTLDQLRKALEELKGYLVDKNSLFGVDLLIPQVGGNARKSKST TLMPDGLIEIIIESGAKLFVCAVGIPPARVVKRLHEGDLLCSRGGEGGGHTGDIPTVI LIPAVEKVIGNQKTGGLFNGQSLAAALMLGASAVWIGTRFILCDEAGSSSSHQQAVQE AGHGDIIRTTVFTGRPLHARATPYLRRWEENRREEKLQLQSQGLIPMDQERKAKPHDE EELDNEHPVLMGKVAAMVTEKLPASQIVQEMVNEAAHLSMQGGAWSSCRI ANIA_00101 MQLPYLIRRCDLDVALDVALPLHIDDSKLRTDLEALPSEDPAAP IHHTYFCYNHALLFTELWDCLLGHGAQKPPDDAMINTFDERIAANIRADPLHPDTWYG PLVDKAQFNHICSYVKEARALLGLLVGGVPCGPQIPMGGFQLSNIGRKLVEYTLRHYT ESKTGFINKQDIRVRCLPVTWVPSGCEHET ANIA_00100 MAPQLMKAAVCKKTGQPIVIEQVPVPVPQGREVLARVQAVSLCH SDITITSGGLGPIQVPFIVGHEAVSVVEALGPDSAKWASRYFAEYTLVDAASAVVIPK DVIASPASLAPLFCAGLTVWNASKRARLQVTDVVAIVGAGGLGQIACQYAHAMGVRFP AYATTLSSVRAEGRIVAVGIPSKDIPIAISQLISRALQKFRLEDINEMIDLMKAGKVE KGRMVAQFF ANIA_00099 MYTDKVQRADVALLSQYYTNILSPFTTASSFDDPVSVGDHSLAA SLLGHAKKSLIPVTQLIKKGLVLWCADAAAARTSVDAGPKFPRARSSLDAAQGSSIRS YAYLKNALAAVPQLILLPPSISSKEQCQSSPDRWTHCSCDLAAACPGSSPTMPGAFRV GRTKSPNLLARVFTKQGVHYSSSTTTPTDKAHNDEDPVVQLPEKTTVDNVGIDLADGS KVPIFRLLSSLRHSL ANIA_00098 MGEKLDPELSSDGPHTKSSSKGQGTSTDNAPASKRRCVSTACIA CRRRKSKCDGNLPSCAACSSVYHTTCVYDPNSDHRRKGVYKKDTDTLRTKNSTLLTLI QALLNYEEEDAFDLVRQIRSCDNLEDVAQSLVNQEKKSSGWLSNAVIHEENDIAQTDQ FESELAGKMSNLVLDGSRKFIGGTSNLIFLPPGSELNEFKPGLATNGDLEGSVTRWTT VTDDQQLISHLLTMYFSWHYPFFTTLSKELFYRDYSRGVPSQYCSSLLVNTMLALGCH FSSWPGAREDPDNSATAGDHFFKEAKRLILDNDELVNSKLCTVQALALMSVREAGCGR EGKGWVYSGMSFRMAFDLGLNLESSSLRDLSEEEIDARRITFWGCFLFDKCWSNYLGR QPQFTTANTSVSAVDILPNEESTLWSPYSDMGPSREYAQPSRTRAVADQISQLCKISG DLVVFFYDLAPKEKPSSKQLELKKLSEIHTRLEAWKKGLPKELEPREGQLPQALLMHM FYQLLLIHLYRPFLKYTKSTSPLPQHVSPRKLCTQAAAAISKLLRLYKRTYGFKQICN IAVYIAHTALTIHLLNLPEKNAQRDVIHGLRHLEEMGESWLCARRTLRILDISASKWQ VQLPREAVIVFEQTHARWGSWGPWDQAASPSTTSDSPPSVSSQSVVATTDLSQPVSQS AGNQPANPSMGTSPNLTQPVASQYSSTPSGPVSVSAMRAVQRSFSAQLAHNEARQPEP TYLRPVSTSYGPVPSTQSAQEQWYSPTEAQFRAFTAAHSMPTTSAQSPLTTFDTPENL VEESQDWWSRDVNALQLGAEDWTQNWNNGLPTTSADWRYVDNVPNIPSTSAPDADYKP PQPPPNMARPNQYPTDPVANVNSNQTNMIFPGSFQR ANIA_09523 MMVPRSFLFSSASSPKQLVVSPSAVDMSLRQDEMALETAPDAQP MNVHTDPVVIPPKRGHRPTLTQTQSTRKEPRTPKRTRMTRQSSSSTLNDRPLPTAVAS ILEATAIPVPRRSRGARDTRKLPRGNHVQHFSKLLMDGLDDPPLYGTGNSTLDILLSP PEETDKSFVSSDCDSETFSYSAPSVSAESVPSLDTDVETPSSLSIPFTPSSQRSPSSP SEKIRRRSPPKCENCASNHPLLDTDSDTDDEHTITSRQSSPLDSAPSKPFVTARSFVR LGSFKSNLTASLRAIKSAAQSVSNFASPSLQPDDFLTRSLLSITPGMTDDRRPPPMDE TPSPALRRYLNPIMVSPTEMYSFQDQPHDTFDSHNCPISVQMQTYHRSGSGGSRTGRF QFSSSKNRSRHSSPFDPEAPPMSRQREPRENSDFLRIVVLEMNMRRRGKLRDDIPTRA QVWLPPRKGSQARFVPYVFDPEEELESEIPARWIGVSIESF ANIA_00097 METDQDMHDQAMAGEETDLDEKYPNRPQNKAPTLPFHDLYETLF RPLREIKKKPVGPAGNRRKAGPHGLSAANLNPIERRRDIIERFISRWRKEVGDDIYPA FRLILPDKDRDRAMYGMKEKIIGKMLVNIMKIDKNSEDGFNLLNWKLPGQSATSSMAG DFAGRCYDVVSKRPMRTEFGNMLIEEVNEKLDQLSSASKEEEQLPILAEFYRRMNPEE LAWLIRIILRQMKLGATERTFFDVWHPDAENLYSISSSLRRVCWELHDPNIRLDAEDR GVSLMQCFQPQLAQFQMQSLDRMIARMRPTEDDPVFWIEEKLDGERMQLHMVSDASAP GGRRFRFWSRKAKDYTYLYGNGIYDEAGSLTRHLKDAFADGVDNLILDGEMITWNTEQ DAPEPFGTLKTAALSEQRNPFRQGIHPLFRVFDILYLNGRDLTRYTLRDRRNALQKVI KPVHRRFEVHSYEEATTKAEVEASLRKAVAEASEGLVLKNPRSPYRLNERHDDWMKVK PEYMTEFGESLDLVVIGGYYGSGHRGGKLSSFLCGLRVDEGQSSQGSNPTKCYSFCKV GGGFTAADYANIRHHTDGKWVEWNPKKPPTTYIELAGGDSQYERPDMWIKPEDSVVIC VKAASVSVSDQFRIGLTLRFPRFKRLRMDKDWKSALSVQEFLDLKSHAEQEHREKEFN VENFRKKRVKKTTKKPLAIAGYDENAEVQYAGPSGHIFDGLNFFILTDSNAPVKKSKA ELENLVKANGGRIFQTNDAVPDTICIADRRTVKAASLQKKGDIDIIRPSWILDCIKQS EIDAGLPDLLLPLEPGHMFFMTKDKEEIVAGSLDQFNDSYARDITVEELRNLLDQMAK DGKTDSFCSPEAIQKVTEHIQEKVDSGWTMPCGWLFKGLVLCFPENENDSASEGPEPK QSQRIHLAQNTAKFAGASVTTSLKDTSITHVVVDPDFTSSELPKLRRTLSTRRKLPHI VKVNWIEDSWKENTLLDEEQHMPVYMRRYPNIQLMKV ANIA_00096 MTEEKSDIGQEGSDPQEIDSTSKSEGASHDREVEETSSATGQTK CSICQSTFRRPEHLKRHFRSHTKEKPFECTQCGRHFSRTDTLHRHELSHHTIGADGGK DRTHRITVKTFRACYKCALARVRCSGGMPCTRCETRSLECQYPTERRSKAKIRKGVTQ PMLLDDRDPFSGQSSHTFSVQGPETSRNEGSGNPDIQPGYQISQFQLRVRASQNPSTS SPGGTAAPEMHARSQNPLENREESHLVASPSLVRPDVTAVSEAVPRTFPPLAGVNGQF YTPAQVQNAIPIEQRRFSHPVGSRQHEIPGPGTAVSGIPGSGDQNAQLDFSQSFLGQS TVSPLNWLSSDLFLESASDHGLPSGPLPQPFQTGVFDSSLGQTTWLPPVINAEPNGSS ISGSISHTTPSATTSLGDVESPGNLVRGPRQSSQSGPSKRPTDYNIDEPVERLNKQRK QSTWPTQFAEPLDIFLKFQNVNSKPRFAFPPPSEGQTNTIPDTQVNCKLEDLTYDQIH DAFTRLCFTLALAAMGSHSANLCEQNGTATAFHEFLRRALCVEKEKRQPEYLQLWLIQ ALLLSCIGRLHGNSESGKLSALADFGDLVNLANRQRLLSRSENPNTSTDQSSPEQQWL LWVEEEARRRTGYVIWLVDCTVAYEFDTKPYFCLDDGQAPLPCNENIWHAASSRIWKG LREEISEQEKISLYDAVLILYIEKRLVPEIGELSHILLIHALYHRMWEVGDYFRRPLS FWNPTAKKQSRKLAIPSGSVWLPGIPSYSKWRNSACDCLDILHWTANGTIAKANGHEH PTILHLHTARIILLAPFREIRLLVNLLATQKVNWEDRQKVIEWHYILRWIKHDQYKAR LAVIHAGSVLYHVRKYSTNAFHEPVATYLAILTLWAYGLCYKQASPDVTATRHDSSVG PSVINLDQPCSDELVQSFVREGQKMKGMLTNVGDICAPHGPEQILRVGCETLGRLRSW GIAKEFMVTLMRLAELFSAYL ANIA_00095 MAKPSTPSRRVVLPRSLNNSTVNPPASAAALQGALLAFSHAVPP KPQSPMMADRPPVSLLDSDPDPPSELPEPGSIKGKIALFSANSTATAPSDRPRSAHES VPEIARQKSPQLLAAEIATGSVQGPGGKTTSDDVQRTRLQRNGAVPSRDLSSPIPVRK PVINPRLLDPYQDETPEHSPPKPGSDQRPVSSKSSASPRPPIRKPRPVPPPIPRKPSP ALSEPASKSASHRFENGLRRDEARKPPLPLRSKASAATLSEERPPTLPPRRAATAHDL YINQSTPGRAESPAGLSSSPSVVSLYSPAHNASRTSVHTVASRDAPSDAVAASSTASN RALQARKSPPPPPPQRRRRSRSRSLLHVQHKKDRTPNPSPGGLRETLRPQARSDDEDE QRRRQHKSHIINKHPHKHNEGERRRWRSEITEKERKRYEGVWAANKGLLIHPSQVLDE NLEPDKIPPGMYPPAALDMVVNLVVRDIWSRSRLPNHVLEQIWNLVDGQKIGLLTRAE FVVGMWLIDQQLRGHKLPAVVSESVWASVTRVPGISLEPYNTKH ANIA_00094 MVYGTSAVPGTKSIDSKPRKKFAKPPVKVACLSCRASRTRCDGQ DPCVNCQSKQRTCSYIPSKRGGPRPRKKKAFPVEATVHEDDVQKTITPLTPGLEDMAT PVKLELNRHHHYHRPASHRHPWSGRMAVNMTCGLYFSSICDCADGGISLNAYYDFIHN YFPILPPRVGPAYIDSPLDCIEPYSESPEEPVIAYQPGSPLSLAISAILALIPHPNDP EPSSPRSVIRRRAYAHKFAQMANAGVESDCELHASPTNPFETTFKGRPRINRERFHPH TPVELESILALLLLTVYEYTQRGNLLKMRYRAGQALAIALDMSLHTLGEEYDEMAEAR RRAWWMTYYCVLQGSIVSTTSLSIDANDSQFVTPYPRFAADPNVCFPARYLMRNSNWL SSQGWSIFIQSQQILVAATQFVIDVKKWIPTRTNMPYIVESMQRLDTWASALLARSSL PPSVAQSGNSSGISERITAQSIRTIARIKISRHCDLSKANACDDPVPECAAESPEHPN EISSVGCSCSSLDKFQQSSSSEYTRSTSTSSSASEFQPLLPQYSFISELPYTSQHSAR VCLKASLLISHMFDSLPIPLPLSDSNNPPRVLQSLPRRQYPRTMPSFACCMMQGSYAL LMIFYQANLVKSAQAEPGNSSSTSTSSSQDGYSLDSFTEELRQGLERLIGAASNYAIS YEALTGMRDDIEGAYQTAFFQG ANIA_00093 MASPASRKRVKPSPQSPDVTSPNSKGSNSGRSSWYPSVWPSKAA PITEVARESISMGKHTPSDAASESTIPLYSPKQQRNPSLQLTKRAGGLTRSLPASATT TRINIASDGSTSGPAEEQPDPTSSGKTADTDDKEISKDTSAIKAANSEDHQSDPTGEP AVSSNLDAAVTQPAGWLSWVYAPFVYNKTTASYSTAETTTEQTAEPEIPAADHSSNQD NESAPSEPSPEQDKPSEVTEITSTSQKRSWLQMWYGSSPTKGPKAFKDEASCNAQEQH PPAPVASSRSDEDTERTRTEGGTDTPKSTNTSTKPSAWSFFFRDSAQERTQEAQATET TIIQDPLAEQSTSVSDSKAGRKTEVINKGNVKVNAPLSGPPLPDNVVSKSAGAPEALV PAAPEASKQLQKIIPNQVLPKFRDTFALQDRPTLLQSLGRFLHYSKEPSNKHVYKLAY PQRIKKALAIGIHGYFPAPLIRSVLGQPTGTSVRFSTLAAEAIRKYTDDQGYTCEIQK IALEGEGRIAERVDLLWKLLLNWMEEIRSADFILVACHSQGVPVGIMLVAKLILFGCI NANRVGVCAMAGVNLGPFADYKSRWISGSAGELFEFALPYSKVSKDYESALRTCIDFG VRISYIGSIDDQLVSLESSLFSPVSHPYIYRAAFVDGRVHAPSFVSHLVGFALKLRNL GISDHGLIRELSSPLAGSLYTGEGHSRLYDEEAVYRLAIEFALETSPVSNAPLHIKRS YPPPVPNPYILPFAMRGLLEEEYVRHELYNETMELLKQFDEWKPTKFGSHLAVFDLLR ANIA_00092 MAIQKKHGKGRLDKWYRLAKEKGYRARAAFKLVQLNKKYGFLEK SKVLLDLCAAPGSWCQVAAECMPAQSLIVGVDLAPIKPIPRVITFQQDITTEKCRATI RSHLKHWKADTVLHDGAPNVGTAWVQDAFSQAELVLESLKLATEFLVEGGTFVTKVFR SKDYNPLLWVFKQLFMSVEATKPPSSRNVSAEIFVVCRGYKAPKRIDPKFLDSKHVFA ELADPTPNNEAKVFNPEKKKRKREGYEEGDWTQFKEIPVTEFINTTDPIAILGSCNKL SFQQQPGGDLALATLDRLPETTDEIRNCCEDLKVLGKKEFRNLLRWRLKVREKFGLVV KKGQAKDDEAEEVAEIAPMDDELAIQEELLRLKEKESARSKKERRKENERKRKEIVRM QMHMTTPMDIGMEQLGPGGEDATFSLKRAEKAGAAAAMASGKELAVIESESEESESET EYDDSDDEGDRLERELDSLYEQYQERKEDKDSKLRAKKARKDYEADEWEGFSDSDKEG SDDEEDEAKPNVPVVPTNGALSNNAALFFDQDIFQGLDDVEDEEDEAEGTNNMTVANE REEQQEEEEEEQPKSEAAPEKKKKVKETANSKPSEDSSDDEYEDTDEPRKKNGQLDID IITAEAMALAQQMATGEKKSQDVFDDGFNRYAFRDVDGLPEWFLDDENKHSKPNRPIT KAAAAAIQEKWRAINARPIKKVMEAKGRKKFKAAQRIEKLRKKSALLADDETMSERDK AQAIARMMSRAAKKKPKQNVKLVVAKGGNRGISGRPKGVKGKYKIVDARMKKDVRAQK RLAKKKQK ANIA_00091 MGGFDYLQKGGTGFTLQVKKPQIRRVVQTRPAAPSPSANKATPR TVPSGPQKKTPETASRSVTGERGFSPSKRRLTPLRNRKRPTPEQRLSSDDDDDGSDTD TSLELRKRARTGESAEPDYGRRLRSLKAFSGDETRSLPIVHASEITSVQKPGKFKPAF ENMNQTSEIFLQYPSATPKERYEAVVPRDDDEFKPLDDIVQVIETVTQAYIPEDELDE FNNESTGIKRRLRRALARGSEREFRESVKDYNVAIERLRRSGSIAKKLDATYRLSLPH VERILTQIYSRTVSPRVDSLRQYENGTDNVYGELLPRFISTIFKETGLKSNHVFVDLG SGVGNVVLQAALEIGCESWGCEMMQNACDLAELQQAEFKARCRLWGIAPGKTHLVRGD FLKEQSIIDVLKRADVVLINNQAFTPQLNNELINHFLDMKEGCQIVSLKSFVPVGHKI QSRNLNSPINLLTVKQRQYWSNSVSWTDVGGSYFIATKDSSRLKAFSESLA ANIA_00090 MAHWREEYAAALAARDRREKANVAIYNAYSQLADRTASSMIAVS DLQSDAQRSALSTPVADPRQQQPSPASGPSPQDIILAIRADLAEAQRSRSELEEQLAR VTTELEKLRRRNIQNGKRISSMESEITHLQLRLKDRDEELREKAKLLEGFQDEIATFE LQLNMAEERSNRLQKENQELIDRWMARMGKEADAMNDAYQFS ANIA_00089 MSSRKKVMLKVIILGDSGVGKTSLMNQYVNKKFSGSYKATIGAD FLTKEVLVDDRLVTMQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNNSKSFEALDSW RDEFLIQASPRDPESFPFVVIGNKIDMEESKRMISSKRAMTFCQSKGNIPYFETSAKE AVNVEQAFEVIARSALAQEEAEEYGGDYTDPINIHDTTERDGCAC ANIA_00088 METPSNARRSGLAGTARKTLGICLLLVVVILWTASNFLASTLFS DDTYSKPFFVTYLNTSIFILPLFTIVSSRLWSLFRAGKLYQIQSFETLLQRFDSSYSS AESERILSHDHGTGPGADFGHGHGHDGSGAWSASRRGSVGKGHRKEKLGLKETAKLSF HFCLLWANYFSMACLQFTTVGSTTILTSTSGVWTLIFGAVLRVEKFTGRKFLGVIASL LGIILISRVDLSATDDPSAGRDGSGSTFPPKSAGEIALGDAMAAFSAVMYGVYTVVLK RQVGDESRVNMQLFFGLVGVFNMFLLWPGFVLLHLTGVEPFALPNTRRVWMIILINAL SSLLSDICWAYAMLLTSPLVVTVGLSLTIPLSLIYDEARYRN ANIA_00087 MHQMRAAPGPLAFEGPSTPAIHLPDGTVQQPFLSPMQNPAVHRS NVMNLDTFSPVNENGSFEFDRVLKSKKVNRRVKPKHVFRAFWKPAYLVLRPNLLSVYK DEEATRLRASISLSEVTAVAPIKSHRSHRQHVFAIFTSSKNYRFQALSDKDMEDWIAR IRAESRINEDEEALLALSKRQGNQDSAAMNRQRIYDTTDHSDVEVRERASSPEFGRGR PSGNFPRRFVAPQDHSANDITSYSEWSDGPDGHEHSKSKHSINEFSSSAPAVQQSTFA RDSGRIHEMGSLRDPERVICSGYLQCLKIKGGVRHWKRLWVVLRAKSLAFYKDEQEYS AVKIIPMAQIFDVTEVDPMSRSKNFCLQIIAEEKIYRLSTSDEESLTKWLGALKSIIV SRNKLAPAAAP ANIA_00086 MGVVQRVRKWLPSTPSLPLDDSPYEKGHKSSRFAFFRRRIRLKG NSSVSIPLGFVLLFPCIVIVLILLLFVRHPSSPGGILIPAGTPPSIRKISEKYDKVFA TGCQPINTDTPRANAAFVVLARNKEIDGVIQSMKSIERHFNRWYRYPYVFLNDAEFDD NFINTVKNYTSAPVEFGKIDETMWGYPSWVDHEVAKEGIRKQGDAAIMYGGMESYHHM CRFYSGFFYKHPLLLKYEWYWRLEPEIKYFCDITYDPFLKMAEANKTYGFTIAVKELR ETVPNIFRYASAYKRKNNIKSQGLWEMFLEPREEPNPEEQQPETLPEEILITEPGEKN EIDPETMEGESYNMCHFWSNFEIARLDFFRSKEYEDFFEMMDRSGGFWMERWGDAPIH SLAAGVLLSPSDIHYFRDFGYRHTTIQHCPANAPARQLPRIPYLEMTTEDEKERIEED EYWANPDPVKENGVGCRCRCDTDIVDVEGKQGSCLAEWVEVAGGWASP ANIA_00085 MTSTPPADHPQQPLSESWATLSASDAHSEDGAPSEQTDTGSLID PSVPDDVASLDERYSSSDDIDAHSEDCPDNESYDSDNRSDISESQDLRLRFPQIGCSI DDSNLTTKTALYKATDSIQFIEPDKWPDGERVQLKHTIRLIDGPESAELGDNLTNLQD STLMVTLQQTIMKKSINTDRPFRVLYLGNSEFRGIILDKLGDVLVSSTSRDYESSSAE SSRYHVVPTSFGAGAFPNFAELLPIHFQLIVDECLEATSESHIDRPRAINLKLKNRPA CTSHWTGTEYGISSSTEWTLPDMAIFFLSSLDDLAAVETQKLARMFMERHGVPVMVIS EKPLWKMSRELVPINHHSLHMCLESRKSSTGKTTVLKRYPIDLNTFESIAPCQLNKNL ASLTSICPRKHFKSNAEVRDNVRKISQFGLKNITRKMFPLPYLNNDTDLAFVLRLIML TILSTATLALGYIATNAIAVLVSSFVTRAQESPIVDSFGDARRSSFRTTTQQLIPTSA FDGWSSVKHCNVRSLGAKKMPKFNVQVDRRGQPLQYELSRLFEVKQMVLVDLGTPWLK IANWKRAAQSITSELVRDVGTAQTGLSEVYGRISTELQVLAGDVVKRTHLLRQKASLL RRESLPVSINTEVVLSASTQLSETLRRTAMQPFLSASHVLRCHTKKANIEAGQIMSTT WNKIGAGAQQLSFGAIKEHIQNARKSKTLDKAHKRARRLMKRTS ANIA_00084 MSDVAETKPDPATKAQEETKPEETTTTTEPSKTEEVKEAAESAA ETVKDAATKTSDSVFSMFGGGPKKEKKEEEDVDEPSGSSKAQKAEDEEEAPESPDVHF EPVIRLTETVEVKTNEELEEQTFKMRAKLFRFDRDSKEWKERGTGDVRLLKHKENGKT RLVMRRDKTLKVCANHYIVPDMKLSPNVGSDRSWVWNAAADVSEGEPEAQTLAIRFAN SENANLFKEAFDKAREENEKLFKQ ANIA_00083 MPDVKRTVKLVTEQHVINKDSGVEGFPLRSWSIEVYLLNEHGEQ VPANVFDKVTYSLHPSFGNRATQVFKNPPFRIQEEGWGEFDMQIGLTAADNKEHFIAH DLNFAQSRYESKHVITFKNPKPALLAALRESGPVPGDENGVKSKRSAGGEEGSKKKKR TDKSVDMDKLADGLQRLGEDDLLQVVQMVHDNKAPDSYTKNDVEQGEFHVDLYTLPDP LIKMLWEFTQEKGAL ANIA_00082 MSTAQDEFNQLFSNREKNLSHPEDRNNLSDNDPSPDPHDQDHFE HSDSEDMAAMTSRTTSYTVPNTRFEANTGPKGVIADAQAFERARRTNFRKSFVSGNSA AQRSHHHSSSKSSGDARLLHNSPPADGSGSDLDEDEDTFLRRWRESRMQELQSMKAKR PSARRRYYGSLETVDAAGYLDAIEKVPADQVVVVCLYDPESNTSALVEDCLHTIASRQ QLVHFVKLHYEIAEMDNIEAPALLAYRGGDVFATIVQIPQQIPKGRSCSADSLEDLLK SHRVL ANIA_10015 MVTGAPAGVWHDAESSRFIRLGFDDPQDFSICSMISFFSYLFFA PYIPAQVPFLINYPSLYL ANIA_00081 MADMSGEQMQAKITAARREAEGLKDKIRRRKDDLADTTLRDVAQ NQTDALPRIGMKPRRTLKGHLAKIYAMHWSTDRRHLVSASQDGKLIIWDAYTTNKVHA IPLRSSWVMTCAYAPSGNYVACGGLDNICSIYNLSSREGPTRVARELSGHSGYLSCCR FINDRRIITSSGDMTCMLWDIESGSKVTEFADHLGDVMSISINPTNQNIFVSGACDAF AKLWDIRTGKAVQTFAGHESDINAIQFFPDGNAFGTGSDDTTCRLFDIRADRSLNTYQ SDQILCGITSVGFSVSGRLLFAGYDDFECKVWDVLRGDKVGSLSGHENRVSCLGVSND GISLCTGSWDSLLKVWAW ANIA_00080 MSLSVRDARGFVLGLTKRILLGLGSSIRSRLGYKSDLTCPPPTS NGILSYLAYLLHQAIQMTTFDLNDVATALRTARAEVRPIDAPTKTWPSLNADMAFKVQ QINSGQAIQNGDRLVGYKLGNIAKVMQAAFGLDHPDYGFLHASTFMYEGTTISLNRFI KPFVELEPAFVLRSSLKGPNVTVADVISAIDYAIPAIEIIDSRVKGWEIDLPDTLADN GSTGAVIIGGTPRKLTDLTLSNTRGFLKFNGEEVMSGNTKNILGNPLSAVAWLVNKLA EYDIEFKAGQLIMPGSCLEAVQMDKPGKWTCTYENWVQIISQFLWYRIRHMLRVMVKY GCRSYVETSPVPNGVYSLSSEMESIIHSVILVGSAESESQKQSNCRKISRIVD ANIA_10013 MTGSECQPRHSASSGTGRDIHTLSWDGDHDPANPFNWSISRKWA VTYLAALISFLTMMNGTIITVAHFEIAELFNIDETAFPHTYWPVTTWAVGGAFSALFL LPLAEDFGTRPVFLVTYFAFVCFLIPQAVAQNFATLVATRFFAGACVAILANTAAGVI GNVWDTEWSRSIPVSLYIFGYMAGSSMGPVIGAAVLQSLSWRWIGYMQLIWFGALFPI YYFFFYESRGDIILAQRDRKMGKNGKDILPGHPAHSKLNLQNLAVSSTRPVILFCTEP VLFVSTLWSAFTVGTIFLFTQSVEQVFIGTYDWTISKTGYVQAAVVIGEGIGFFFSFL SRELYFASASRNTEVPNSLIPEARLYMAVLGGLFGISGGMFTYAWTSYPFIPWIAPAI SLAMVGAGSVLVVTGVSDYVVDAYSQYAGSAIGAVATGENIFSAFLPLATMSMYNNLG FQWASTLLAFVSLVLALVPTLMFVWGREVRARSRFMNELAAEGGGGGKKAERRF ANIA_10011 MPSLANTLKDPTLFIESSYIDGKWVTSSSSRTFNVYDPATEEFI GTCPESNIDDINSAIRAAATAFPKWRALSGRQRGRILRRWFDLIVENKEDIGKIITAE NGKAKGDAEGEALFSAGFFEWFSEEAARIYGDVVPHSNPSSRVQVLKEPVGVCGLITP WNFPMAMGARKVAAALAAGCTVVLKSDGLTPYSSNVLALLAERAGVPGGVFNVVTALE NTPALGLALCESDNVKKISFTGSTRVGKLLMKQSSHTLKKLSLELGGNAPFIVFDDAD LETAVTSAVACKFKVTGQTCVCANRFYVQEGIYEAFSKRFVEEVKKCQVGHGLDPGVT HGPLTNGIAKTQEHIQDALNKGATVLLGGSRLPSLGKNFHELTILGDVDDSMKVASEE TFGPLAALSKFKAEDEVVRRANGVEVGLASYLITSDLGKAHRVSEKLEFGMVAINTGV ISDSAAPFGGVKHSGMGREGSKYGIDDYLNIKTIVTGGIHTAYSAHL ANIA_00078 MREVNFSIPNVNKASVNITTTLYDRRALDCTSTLPLINSLNHLA YLTTSSARIRDILTVDGGIERLVCILKEGRSNNLMEMWKWSLAFQCVVNIGVRGSENV RTRVVEADMVPVIATILDNYIKVMDKVRARSDSEAQRHRHHQLHHKITPTASDSTSRS SFSDASSNEQRTSRRQPPPTHIEIPPFFHDTRAVESNAADVPSPPRAPMTSPPERSTF GQDTYAHRSHAPLRHRAIQPLATAIPSMDAADGSGLRPVRDTERLPSMLPAAFNELAS QPDSPTTPSGAGHIRSNVHVPIGTHARPPLSQHQSTSGDSDDANGEDSIMADDTGSGQ SRRPIIGLQSRMDIDDDADRQTVIDSVTDSSHDLTVTDTTSDGQESETFNITHRSAVD GSIITNDNAQAVNNANSPPIVPSPYSLYFRDRTNIATQNFLNTMPREEDVLMSLQLLA YVSKYCNLRSYFQNSHFVPKLKIDRELRMLDEGASPVELIEEEDEYLLPDDVNIFPLV EKFTARHHSKDMSYWACVVMRNLCRKDESRGGIRQCANYKCGKWEEFTRQFAKCRRCR RTKYCSKDCQKAAWLYHRHWCATP ANIA_00077 MAEPTPAGTSIELPILDISNPEDPAVGEAMLDAATKYGFLYVDS KGTDFTAEDVRKAFERSKSFFASPVEEKEASRIQSNNRGWSGMHSETLDPEHQRTGDF KEAFNFGEFTAEGKAQQPLPPALAPHEAEIADFASLCTKTCNRILSLLSLGLKTADYD HTVDVRAGAHSDYGSITLLFQRPGQPGLEILTPEGTWAPVAVEPGRPSPSGDDVNNEF AFPPILVNIGDLLSYWTDGLLKSTVHRVVFPASERTGNSSQDRYSIVFFCHPVDSTEL VPVPSDVVKAYREEKVVDAEERVGFGGGAGNLEPGRRTLTAAEHLAMRLNATYDFRQE ATVA ANIA_00076 MPIRPLDDWVSGISARTSSLPLSALKGAVVGIDASHYISQHLLH PSTREPLLIALGGFPFALRSNIEKELQVFKDLGVGCVFVFNGLDFGKKNQRPQAHHET VRAFEHAWELYDQQQADQVVDAFSSVGTPRPESLYRFLQRILVQNGIDYMVAPYSAAA QLAHLSTGPSPVVDAIWGPSEVLLFDVEKLITRIEIDPAQFFWITKQTCQEELGRLTD EQFLDFALLLGSSFLQTFPLFENPAFPGKAASVRDALPMFNAAGRSALALCVQYEEER RMQDLQYTDRYKRAYMNVKHHVIMDMEGRVGVMDAENAPTDMHEVIGQRLPEELYFYL SKGMLGPDVPNYLTSGEVLISLPLGVEDSKIYRKVAGEILAPLREQAMFLLSNHLHRF YQTKTIRVRTWYNENADSTITLRTPPPVIPSIRSWKVSGDRYTEGVKKLQGSSGPFRF AVQSLKDSEFTPKTFASKDTPPLSSKDEVIANVYWQFLQLRGYINEKHQLTPWGECLE QALSVLDPEDSLEEATFVAIELLRFGILNATQWFSQVSGGPMRGSDEDKSFNMLISRV ACIGKLRHKNIGYSGPLSRQLLSYRSLINEVRATLRNLIEITLASLFLSGDANRDRDD WTELGVSLPFIDDNDCGLGIAVRTYLDDLPLQAEPTSQEAREEVKAKGKEWFQHSDSF THNLERAFKLWDAVYKGSQNAGKEFKDAKIWADADKWLSDRR ANIA_00075 MVRASTLLLSGLVTLATARSAVLDLIPKNFDKVVLNSGKPALVE FFAPWCGHCKNLAPVYEELGQAFAHAEDKVSIAKVDADANRDLGKRFGIQGFPTIKWF DGKSETPEDYKGGRDLESLTAFVTEKTGIKAKGAKKEPSNVEMLTDTTFKSVVGGDKD VFVAFTAPWCGHCKKLAPTWETLATDFALEPNVIIAKVDAEAESSKATARSQGVTGYP TIKFFPKGSTEGIVYQGARTEEAFVDFVNNNAGTHRAPGGTLNEKAGTILALDEIVAK YITSKNFGELVDEAKKVAKTVGGKYAEYYVKVAEKLAQNEEYAAKELERLKKVLSKGG SAPEKLDDMVSRSNVLRKFLEVEEKVEDVVKDEL ANIA_00074 MPKRSKLLQALDEHKGRDYDAEKQKKLLKASKKRKGLTGKEEEK LKEESVKDKKTEESASESEEEEDKEESTNAAEGETSQNDAADDAEDDEEAEDEDEEEE EEEDIPLSDLSDDEREDVIPHQRLTINNSTAILASTKRISFISHLTPFSEHNSLISKA EDEMDIPDANDDLNRELSFYKTAQTAAYTARKLLKKEGVPFTRPGDYFAEMVKSDEHM GKIKKKLYDEAASKKAAAEARKQRDLKKFGKQVQVAKLQQRAKEKKEAIERINDLKKK RKNDTSGQDGGADDLFDVAVEDAVSENPRKRARGDTSGPNLKRQKKNEKYGFGGKKRH AKSGDAISSGDLRGFSVKKMKGASGGAKRPGKSKRAAARGRA ANIA_00073 MTAREDSVLRARDPSLVDENDWEEFSLSEVRILVPGKSRYANLL TASPENPVQVTGCLEEVEEEQESLVLDPDYLTKRIVLDDVSHFAYGQHNDGEVGIWIA GRAGWFSISPAKGYRPMFNEVVEAIDLLYFLTDRHKPKRSSRRRRKSWASPSLEHLCD EYVLHTHGICEDGDDSLGVFYKHHNFLMSRMIRGEEDVNWAETSIFAHLCEKFPEDYE ELRAQKESKDAETEEEDNGEDEPEDEDVEMETRATTPDATAISKAQADAIYQIILDLK EAGYLAKRQLNLELVTSTLMARFEIDELEYAQNLISTTAAIILESLNKAKTNTFDWSK KVIYRELKAASKKNTPANVTFTPLRLRITEDDSTSDEESEHEQPKQRRPRHRKSVLRP KSQIASKRTGKRARSTTADYDLSDDNQDATDEFETPTKVRGHDLVRDPLSTTRAKRRT RSILSDPESTPFIERTPLQETLQSRNTSVSAAEPGLDTGSCHDTNLPADTWVCKVPGC DKVITKCTSKRGKEQIQDHSLAHADDTKAKLELVEAEKRLNVNFRVDNLLSRIRDMGT LDGALAALNGETNGALDEDGT ANIA_00072 MSGEKIYEGVFAVHKPQGVTSADVIRKLQHHFNPSELFRPWLET ERAKRNRESNFQRRRRRSQRLDVKIGHGGTLDPLATGVLVTGVGKGTKFLNDFLGCTK TYETVVIFGAETDSYDRLGKIVRRAPYEHITREAVEKALEQFRGKIMQRPPIFSALKV QGKKLYEYAREGKEPPIEIQSRPVEVTDLRIVEWYEPGTHEYTWPEEEAPADERAVAE KLLAKDDSLPIVPAADDADGSSKRKSPPAEGSEGNETTASNAAAKKQKVSAEGEAASA PEQTKAPEAVEEATTKTKPESAEEMKPLAPPPAVKITMTVSSGFYVRSLAHDLGKALG SCGLMSALVRSRQADYTLEPDKILEYKDLDAGEEVWGPKVKGFLEEWERKRAAEKRSN ANIA_00071 MSLNGLDSPTVLEAYQVALTEAGGWFLLRYVSRDEIALHERGTG GVPDVRNAIENYEECSPLYGFLQYRRRKVVLSYLPEDLSRLVRARTTVQFQSVLDRFS PHDTVFSLSQPSELTESALSSACLLHTASGSITSSSSSLRRRRLMEIAEDAEESPARD TIQTQAPPQDARQRSFSQASEATVVPPSVASTAPQGPKDDVSAPTSPNDDRVNGLTQA APVDARPASSRDHPDDLSLTRTESRQSTQSARPSIRDLERTAGIKPKVKLGPRPSLDE SGRPRTAGNLVRSAEQRPVASLPAGVRASPFRKSNPAFARPRSQGNPVATPSAKVPPV PPLLVPPSTMSISRPQLSPGAKSLSALSASTSNEKERLMKALQLRKSQMQRRAQAAKQ TEAAKEENNNATSDLNDIGDVGSHFEHQKYDRSRQRLSSISENDNKGQGPVMQPETEK PVAIRLAGDQEQAKDPLGSTQETPAVETVKDQSDYILPSTTYSPANTELIDNEKTAEG PSLSEPEADSIQEDPTGPTENEIKCGTGTSSAVVDVPPENNSQKPPDSEAEEIIATPP PADEKSYVINKNVVAVAPNSNESIQPQSILPPTSLESESKSDSVTDSSAVTNSNHIEL QNAPPSEAIDVDQENAITRKERRRPALEPIQVPTPDYSDDDMLSDDSFMEELKSATVE EAKPISVGKSPLSPGYSNGGNSQAAPDAWRNSRAVSNPSATGHQFPNTPTLGAGRSVS SSFSPAETSTGPVLVAKKINVSSGISKRIKALEQFSSSRDGPATPSAGVTNPTAASFE SLRKRASISYGGGNSDMTRLAPEPFSRAASLRRPESRTSTNAERPTSSVSVTTRIVRE PIADKNGLNTATEPGALNLEASPLTVENGTSDDSSSQIQTLESAANKDELRSKSASPA DSPKPLAAGALPRSESRLSVSSKNDGYFLSRSPSEASASSPEERKESRTSRLLRRMSS LTSPSRRSTASSRSATLKEENSMPSVEGPKKTVEPPAAVEIGEVNVQFPDTLLWKRRF IRIDNQGFLVLTPGNVDSSNRNMVKRYHLTEFKTPCIPDEERQELSNSILLDFVDGST LQCACESRQGQAFVLQTLVNAHSTHQRLASK ANIA_00070 MPSLPQPSSLRELPQEEKFQVLDTLFESSPELHTLMAPILANQT FSSYASLIDAVGGRMSALSAANSPTDRDILVGILGSHPRLGQPKGTAAEHLSELSKRE QANLNTGAEEQAEKLRLLNAEYEAKFPGLRFVTFVNGRSRDVIMEEMRARIDRGDTER EIEDIIQLEYSLDWRKIAGASEK ANIA_00069 MAQAEAQRAPGDGPRSSVSVSRASTIPAIVTSDPSGSTTNDYSL ADEPSPITPVRSGLTRNSNSFPIGSAASDVASGSSGNLNQQDATTARKPLRPGPVPHG SGSGSGSGLVGRNSTSSPTVWESADSSAIDPLSQHIIKRTNTQKSIPLKLLGRASYEA EAGGSDRYSPVEQGPNRGDQAPQRPLKEKKKGVSFLSRILPGKKKDLFSDEDDNVSEM GNAGNNAAAAAQPIGFFPRFPPPPKYARVRAHYKKEKTFNRVFQAQELEGVDTSAQPD QDEHPDIGDSQNGKSTGKAIWALVFSKDGKYLAAAGQDRKVRVWQVIASPEDREVNES EGEDDELPRLKAPVFKEKPVQVYDSHSGSILDLSWSKNNFLLSSSMDKTVRLWHVSRP ECLCCFKHSDFVTSIQFHPRDDRFFLAGSLDMKLRLWSIPDKSVAFNVTVPDMITSVS FTPDGRHSMAGCLNGMLNIYETDGLKPAGNIHVRSARGRNAKGSKITGIDSMVLPQND PEGTVKLLVTSNDSRIRLYDFRDRSLEAKFRGNENACSQIRASFSDDGKHVICGSEDR RAYIWPMGPVEKDADKRAFEVLDTQSAMVTAAIMAPKSTKQILGLSEDPIYDLCNPPP VTLESNTKKENSRQSRLSTASKLAQESPGFQARSAHPDGNIIIAADYSGKIKVFRQDC AYHKRRYDSWDTHSTISRRLLRRSNSARQSIASSIGKESSHKTPSERIISWRNSVIGH DSTNNRDQQPPRTRSPSPQKAMREASRNSSPGRGSSGARGESRSAYTASPPPSAYKSS FSSPRSSFAEKRRPTGAGFGSKPEDSRAVPAPLSAAALIKGRDGNDNPRWLQGDQSYA FYNKITQDALAVHRNSPGLLDPNPRPSPERKLTRASILSSEYASSDASDADNDVLKCD SCWGTNFKATKGRNGKQRLICVRCSRPISSTAG ANIA_00068 MRSGPYLPTSAAYLKESSLLLQAYPESTRITTKYTFPKSSPSTT NKSKPETTPSTQSTSTPAVPIATLVLKTYNPEAGICLKYRTNKAAEVGRLITALGLLA GGADMASLDGPVSATITGGDVEMGGTNGVGEEVVATAASTGANTGAGVGKGKGKGKKK GKK ANIA_00067 MSAQLTPSKQAASSLENLKMNDSPVKKLNFEAAGKENAPVSNPM VDVPATKPATEKPVEPSKAALDVKAIEANEPLLQENPHRFVLFPIKYHEIWQMYKKAE ASFWTAEEIDLSKDLHDWNNRLNEDERYFISRVLAFFAASDGIVNENLLERFSGEVQI PEARCFYGFQIMIENIHAETYSLLIDTYIKEPKQRTYLFDAIDTIPCIRKKADWAIKW IQDKESTFAQRLVAFAAVEGIFFSGSFASIFWLKKRGLMPGLTFSNELISRDEGLHTD FACLLFSHLNWRPSKKVVEDIIVEAVAIEKEFLTDALPCALLGMNAKLMCQYIEFVAD RLLVALGNKKYFNATNPFDFMESISLAGKTNFFEKRVGDYQKAGVMASTKKDPKQDET KTSDGNGLSFDEDF ANIA_00066 MSTTTGAFIAGGIAACGAVTVTHSFETVKIRLQLQGELQAKSEA VKKYKGVLHGVKVILQNEGPRGLFRGIGSAYIYQVLLNGCRLGFYEPIRNGLTTAVYN DSHVQSLGINVFAGASSGIIGAAAGSPFFLVKTRLQSFSPFLPVGTQHQYRNSFDGLR KIFASEGVGGLYRGVGAAMVRTGFGSSVQLPTYFFAKRRLVKHLGMEDGPALHLASST ASGFVVCCVMHPPDTIMSRMYNQTGNLYKGVFDCLAKTIRTEGLFAIYKGYFAHLARI LPHTILTLSLAEQTNKLMRRVEDRLLSDSLKSRL ANIA_00065 MADGGQSTPQQVPQQAAQQTQKVSDVIRSYRSTKVFKSTKQDSF VTSLDFDDQGEFVVSCGDDEIIQIFDVKAGKMTKSIPSKKYGAHLARFTHHSRQVLHA STKVDDSLRLLDLHSESYIRYFSGHTDKVTCLALSPGSDSVISCSKDDTVSIWDLGSR YAQGKLKLSTPYLVAFDPSASVIAIASQSTSSILLYDFRNYDKSPFSTFDLAKYEERY TPSTRGRAWTRLEFSNDGKYLLVGTDYHGHFVLDAFEGELKAFLVGKNGSPGRAAPVS TTGKPLGQGDACFTPDGRYVIGGSGDHHDLLVWDLQQTPDTNLCLQAMAKLPHRGKTA IVEYNPRFNMIASADKEVYFWLPEDTSKAV ANIA_00064 MRDENQNDPSLNKNTTPKAHFSGSKEGSLFSNDLDGTQYSLCAT GHDIAQALVTRCRTLLSELDSFKDLLAQTQRNPQIVEVRSLRSNVVSELKTLEKLQGQ LNEARAQCHGGAGETNGNPNVQQANESNEKTVVADTTAEKTDAESRTIHALKSSNLPF YEAVWTIAKRSCTGLVAFGKRFYWDGEGERTSGKDGKHKKAKDKNKRSVFVDIVADDG EEWVKVSTISETRLLFEMAKKGWEADSDVNSDGEERTVLQNHDCGDDSDDDDEIELLK LAGDMRKAANVVRVHYRRPRLRFVLPKVEEGSNPEIDDLLKSIRGYGVVVNCGEDVFT SQSYTKPRSDNPVVQDSVVSVQDEIRNLLPNRFKRFTSTLNVDCTLLLAIVSDLSHCK NIATSPQHHKAINRQIEIERERPLLSAELWPAMESRQLLCTGDAARRMREIVETIGTE TERKRITILMGDPPFTVADSASLVTELQNLSDYQVPPRLRLPIRVVGASAAIKLEKSK LPPIAHKVEEILSDINASVFLYGWVTDIMTITRTMKI ANIA_00063 MRSWIRTLGLSALLAGTAVANEAELGPDLSHRLQCSGMYSRKAW GGSVDPFILTKFAKSSPSDDRDPLVSLAIFEWADGHLIGRRASDDPEEPPSLICDEAS VQAELSTGRSYMFKTVTTSVCFFARFLFGCLRSPVPVQNYITAILVFLILEQLMTWGF YVCMGYGVVKPSLGRTMVYVRILAITHFVFAVIYAIASLSITPEAAGILILFIELPLA ATLTAFYVWTLSSLNATMKDLIDRKQKTKAMMYKKLWYCLLGSIIVLFGFIFINALAF ASRSEEDFLPEHWKNRWFVLDGWLSIVYLADLAFIAYLWRPTANNRRFAMSDELAQDD DGFEIRSFNGALDEEDALGGLDEHSASEPRRDLSPVPPKPVPSAARTRESLDGETIFA VGEDGDKWSDDEDESPRNSTERKALTKDVD ANIA_00062 MVHGQVERLLTKPHPPPGFELHVLIVEPSSISPSNPSHRGAFQL LQQQFPHASFTQLPLHSVFDYVSGLNDILAEYVGPAFVDDTSLPSKERLDAFRASITS ATSAADVDSVLLNRLIIAFARSLGCLGIIWGDSDDRLAAKTLANVSKGRGSSLTWQVS DGTSPFGLEFSFPLRDLFTAELQSYANFFPELLNIIIPDGPLSDNILTKNLSIDQLMM RYVSSQGAKYPGVMSNVTRTVNKLESSRMITDGLRCALCDTFICNPEGRQTMDLEERP TNHFCYACERSRPGLS ANIA_00061 MSFLFSFVGKKILAESARNHFGQEDPYFEEVPASRLGRAFGKKT QKRRKAIPPGLSENDSKVLTRVKRRAYRLDLALFSLCGLKFGWGSVIGLIPFIGDAAD AALAMMVLKTCEGIDGGLPTWLRTRMTINIIIDFLIGLVPFVGDIADAAYKCNTRNAI ALEKYLREKGARNISRQERTDVDPSLPDEFDRYDREAHTEHATEPRRSKSRKGHPRKS TRGEEDLESGIVEDRSGRR ANIA_10010 MTETKMQLEDWLDDLCVRFIINLPREELESVERICFQVEEAQWF YEDFIRPLDPALPSLSLKAFALRIFQHCPLMANWSRYHHMTAFSEFLAYKTRVPVRGA IMLNQEMDQVVLVKGWKKGANWSFPRGKINKDEKDLDCAIREVYEETGYDVREAGLVP NDENVKFIEITMREQHMRLYVFRGVPQDAYFEPRTRKEISKIEWWKLSDLPTLKKSKQ FDQGQAAANANKFYMVAPFLHPLKKWIAQQKKLDPRTNSDSNQVLVDGEISMDENYQT SNANHVSSQVSMEAAVPSDLPEVAASHDASAHLKRLLNVNPSLPTPKPVQASFNADPN VSKSHALLELLRSGASTNPPPGASQLPRDGPHMADPFSGFFPGFPQQFERVEKSTRAA PPYPDYPPFPFSTANQHAPQMPQSFPAAHSQGLSAGYTGPFNSSHQLPTTSRLFPPGS YSEQAPALAPYQRTGDPQFSQSTQPRQVQGAAVPPASKLPPPKLNSHSLALLSVFKDE KKQTPKASHASLVPQAEVSQNNERKPSLHQDQLLSLLKGSPGPTSSQPASKLVELSAH PASPGKKQILQRPNAASNPSQQARQTGKGPLTSATVSGPLNTPQFEAIPKPSIRKRNE SKRQTSRDRQTQPLASPITILPRPQSAKREQMPSSAPTPPAQITASPRSRSNKAKSPS PQKTFQPQILRRSDKVDIYGLLPIRPKEMEDSSQPISLAEASGAKAVPNSQADTYRRP SQTPAQREALLSLFGKSGPSPKHSPAELNLQTSLPKHSATPSVVSPLTPHHQPAGSEP SNSDRVASPANKAFLLGFLEGVAKGSK ANIA_10012 MIRTGKYPRSICASCSFRGRSFSTTRQSQGPQHPPQTGYARLTN RGLISITGVDSTTFLQGLVTQNMFIPNDPNRRVRHTGSYAAFLNSTGRILNDAFIYPL TQADEPAWLVEVDKDQVPKLLKHLKKHKLRAKLKLRALDDGERTVWASWKNHSEPRWA AYNLESTSSSPFPAHASIVGCVDTRAPGFGSRLVVPGDGDLRTYFQGEDETHIAATGE EVDLDTYTVRRMLHGVAEGQSEIISESALPLECNMDMMRGVDFRKGCYVGQELTIRTH HRGVVRKRILPVQLYNDGLGAISSSSDSPVYDPTVDIRLPPAGSNISKVSARKGRSAG KFLGGIGNIGLALCRLEMMTDIALTGEASQYSAEQEFKVSWEADAEVSHGQTLKSGEV KVKAIVPTWTREFILAGIAKSSAANNHEGHRARDYVEQLEEEESQR ANIA_00059 MPTDDLKSHATSNAHDFYALLDISPAASESEIRRAYRRTALKYH PDKITNPTQADIDRFHLLQIANDVLSDPAVRGLYNNAREARERKKREVELMDAAKRKM REDLEARERAGAAASGTAGQRGVKRAWGATVDDNDAEEKLAREIERIAEDGRRRRREA EEKLRKEVEEDEKRIQEEEEEKRRAQDRSSKRVDRSHEGGTNVPEQERAVKVRWVREG RGVNLGKDRLMALFAPFGTIESVLVLKDRRQRIEGKKEKKIVASGVVVFASIVSAHTA VLDSEKFMHDSLSRTESDWNVIDSVFWATGEQPDLAGLPTPSHEYNGQAAPSPQEQSA PEPTPAPKPVFSFAGLKNAGTGGKPGKAPSFGSFGSAASRGAQAASAADSNEGIKTPS LEEVTLMRLKNAQREKERRALAEQLGREDEEANAAEAAASGRG ANIA_00058 MFARASCPRCLSQPAISRSVRRFSCAAARRNSDNQGPLAGITVV SLEQAIAAPFCTRQLADMGARVIKIERPGVGDFARGYDTRVNGLASHFVWTNRSKESL ALDVKNPEDHEVLMRLVSKADVLVQNLAPGASARLGLSFETLKEEHPSLIVCDISGYG QDGPYRDKKAYDLLVQSEAGMLSVTGTGKEPAKVGISIADIASGMYAYSNILAALMQR SKTGRGSHIDISMLESMVEWMGFPMYYAFNGAPGPVPAGASHASIYPYGPFETGNGSV MLGIQNEREWAKFCTLVLSQPDLITDARFSNNSLRVQNRDALKEIIHKSFANITAEEA TRRLDEAAIANANVSDMQGVWEHTQLRARNRWTEVKTPGGTVPALLPPGFSPRGGFRP RMDAVPEVGEHNESIFAELGFTRK ANIA_00057 MDAAAKARFDLITENLAETLNPEIIESILAEGRNPRIYWGTATT GRPHTGYFVAAIKIAQLLAAGCEVVVLLADIHAFLDNLKAPLELVENRAKYYAKVIRA ILESVGVPTEKLEFVLGSSYQKTPEYTMDVYKLSSLVSEHDAKKAGAEIVKQSANAPL SGLLYSILQVLDEEHLKVDAQLGGMDQRKLFAAAVEWLPKIGYRKRAHLVTPMVAGLS GGKMSSSVQDSKIDLLDGPDVIQKKIRKAEAAPKVVEDNGVIAMVEYVLLPAAGLKGK KEFVVERRDAEPLVYTDIAKLKEDYANDILTPQALKPAVTAALTSLMAPIQAAYQASP EWQEITAQAYPPPVVEKKQKKVKSKGTRYPGAQAQAQAQAEAQAEVEGAGKEQELPER PKAEN ANIA_10014 MSLTPGMTNQSMFHDTFSKLLASRKTGRPGTANSILCRSDTWLT LEIRNVVERSTRQSTRGVSGVVSQATDVIKSSMI ANIA_00056 MPGRLLSSLVRPSLSHHSIFPHSNSSSSSSVNEVSQAASSKHSH TDRSHSPERRLSFSMDHFIHTYRDHHNKEKHRKHGRSSRSKERGSHEETAASAKLDVI VESPPLVCYGTPANSTGALFSGRLRITVPEATGMVILDKFDMRLMIRKTTKKPVSRDC PNCASKTEELTNWNFLTEPLHLRSGDHDFPFSYLFPGNLPASCNGSLGQIEYFLQAHG HNVNGEEYNFRMPLHMRRAILPGNDKSSIRIFPPTNLTGRIVLPSVVHPIGTFPVQMT LSGVLDKGEETQTRWRLRKMMWRIEEHQKIVSTACPKHAHKIGGEGKGVLHQETRIIG HNEEKDGWKTDFDTAGGEISMEFEANINPTANPVCDLEAPGGLETKHNLVIELIVAEE FCPNRNTRLITPTGAARVLRMQFNLHVTERSGLGISWDEEMPPVYEDVPASPPGYTML DGSSIMEDYHGSPLPTPEYEELERMDSLRLDNSSTNSSCRGRSRLTTDDLTAEPAEFE SRNRAPSADSHSS ANIA_00055 MSQPEEVIVTRPPTAVCVPESPSETSFVNIEKCIIKDVSELMLP DEPSFDLEAQSTARRLISPIRYTFLNIYRRLFTLVFLANIGVFVYVMVADRKLLALVN AAAANLLACGLARQPLVVNTIFFTVCSIPRSAPLWLRRIASKVYHYGGVHSGCGVASL IWYLGFIGEFSRQYWSGSSSPFSAAPIVLAYIILVLLLAIIIVAYPTFRFKRHDYFEL THRFSGWLIVALFVILLMVFVDEASAAEGKPMGRFLIELPAFWFLMLVVLAIIHPWLL LRKVKVTPEYLSPHAVRLHFSHTTTTFGKGIQLSKHPLQDWHGFATFPDVDRDGKSFS SLVSKAGDWTAATIKDQPTHLWKRGVLIYGFAYAMRVYKRVVVVTTGSGIGPCLSFLG DENRPSLRVIWQTRAPKRTYGKEVLNLVGRMDPNPVIIDTNSSGRLDMVPVIRQIARE HDAEAICVISNPFVTKKVVYELESMGIPAYGPIFDS ANIA_00054 MGLWESSLARPQYGDNANEQVYTDLLTFCFRGPVPYDEEQPLFI DAEAPSRAFSARQFRILVRTLITGLKAHHVQRGDCVLVHLGNNIIYPALFFSVIGAGG VFMGSNARSQPQELEHLVSLAEPKIIITNREALPTVLNVSTSKGMLPSQVCLLDDAAT EHIDQLVGSGTAPYLDSGDESYLNFAQLLGYGENDWITFNDEMIAKSTPAAMFSTSGT GGLPKAAVLSHHAIVCQHLTISYDVPYAVRRLMSLPMFHLFGALWTHIFPVRYGQPLY VLSRFEISRFVAAVYQYQITETYMVPAMIHAFNRCTLPLADYFGSLRYVGVAGAPIDG ASMQQFREFLPLDADASQLWGMTEVGVVFQNRYGEPGNAASIGRLQPGYEIRLVGADG NLVADDNKPGELYVRGPGLLTCYRGRDDAKDSQGWFRTGDVAYVNDGLYYIVGRTKEL IKVRGWQVAPAELESILLKHPGIEDAAVTGVTSKDGSTEVPRAFVVRSKTLSGARLTS QEVYLFCRRQLASYKALDGGIIFVEEIPRTASGKIQRFKLTQMNTYREIVSSLLARFR GASLQSVGIMHGGRIAV ANIA_00053 MDTTRNRKQRRAAAAASRTDSFDPSSIPLARPPETDALSGQKKG KTLVDLIAERQGELEGRLNSSGSKENVETKYVTIDPRTGEISPFDEVNHSSSGTGQEE HSSEDSTTDEASEDDRLPPLIDTILLSFPLTVLHLTLGYLAAHQYAQSINLPALFRNS GFVAFPMLTLLIHLAHGHIVNFRRNQADSEVISLFPWHPDKLSFAFLRKLLFPPSLKT LVFLPGAVILGNKLMAMTNEDSYYAVMKHAPAIGTLWVWCILEIPVGAAALGALGPLV WGVWWKGYGII ANIA_00052 MSHLEASNLYKINGLVAVITGGGSGLGRTMALTLATNGASKVFI IGRREDSLRETVSLAPDSAKQNIIPVPADVTSQESLQSAYETIAAQTGYVDLLIANSG IVGPPAIIKRKDDGSLLPISELKDYLWNIPMAEFTKVFEVNTTGAYYTAIAFLPLLDA ANKRRPAPEKNKLSPPLAQIIMTSSIAGYSRQVPLDFAYNLSKGAVNHLVKALSTSLT EYGIRVNGIAPGLFYSEISVGANFEPGDKGVSDGSFQPDKIPMTRAGGEEDIAGLVLW MAGASGGYLNGNITVIDGGRLSVLRSSY ANIA_00051 MEGNMPVQACYPITETVSKDGLVIPIINFAPFRNGTPADKHAVA MSVVHAFKTSGFLYLKNHGIPPSVTSRVFKSSASFFGRPQDQKDTLGWTTPQANRGYV RTGREKLSTVDDQADRTVPDIKESMEIGREGVEGLPNRWPDQLDEEGKDFKNVMLEFF EMCKELHIKVMQAIALGMNLPVHFFDEYVDKGDNNLRLLHYPAVHKDVFKKNPGQVRA AEHSDYGSITLLFQDRRGGLQARSPEGTWVDVTPFPDTVVINAGDLLARWSNDTIKST KHRVIEPPKVPGAEDDDTDMYPERYSVAYFCNPNMDKFIEAIPGTYGEGEGLSKAKYP GITSGDYLVQRLSATY ANIA_00050 MPLIVDVHTHVYPPAYMQMLRSRKTVPYVHDPSNNRDPRLIILS SDDDASIPLDQRGRPVDSSYWDINVKLSFMRQHGINCSVISLANPWLDFVEPAEAQMW AERINDDLEKTCATVNKAADPGNTLTLDQKETLFAFGALPLSAPSSESVVAEIKRLKT LEHLRGVIMGTSGLGKGLDDARLDPVWEALQETDMLMFLHPHYGLPEEAYGGPETTGR YGHVLPLALGFPLETTIAVTRMLLSGVFDRFPRLKILLAHSGGTLPFLAGRIESCILH ERKFISGGGDVQGPQRSVWDVLKTNIYLDAVVYGKPGLEAAMTASGSDRLLFGTDHPF FPPLDSKDNSWPSVTTNYQAIHATFDTNSKTVADVLGGNAARILNLK ANIA_00049 MNPDPQALISIGFAIAAAFLFWTLSSTTTSPFARTFPQLYNKRI CLLIAHPDDEAMFFAPTLLALTKPELGNHIKILCLSSGDADGLGHIRRGELQKSAKRL GIRGDNDVLVLDDPRFQDGMGNSWAKNEIAALLTATFAPNASASASKSMSRKQAKSRD EAPTATIDVLLTFDAHGVSNHINHRSLYHGARHFLSMLMKDKSGYSCPVTLYTLRTTN LLRKYIGVLDAPFTMVKGVLDGLFAVSSSKTRKNAKDQMPAKLLFVSSVGEWMTAQSA MVKAHQSQMIWFRWGWIGIGRYMVVNDLKKEGPSPY ANIA_00048 MRLVLQSRSFNSVSSAGSLSRHGIQKLPLGAAPTAQFGRLFTTR GSLAAWNRNTKTAPRSKASKPNSDPTSEHSNSESGTPGAAASWNKPKFKACERQSEPV SEHTNEPISETVITPTNEMTSEPVRNIMIDTANRNAGDQETAAFDSRISDLVTIRGRK PKDADTVRKEFYAILETAQPDQVMAAMLNYDCEELVATMPQSSFIEALHLLSPAHFVE PFKEIYRPLHPYTVQIKRFKAQHTLFDDFVRNLSAIVRIRRSRGQALGLAEYTHLLDC ARSLGDALMADHIWNSMRMDDISPNLECYNHYMEAKVWNSAYTGKEKYRLRITPFSYR RRKFGDLGWEGYGTAGRSVRTEVQEIFDEMTASGIDGDEASIVNLFMAAARVGDNETM ECILQTIWNIDVDALRKGRHVEVTEYDRSSPFYPSARLLRAVAHSFSAANDIESAVRI IELVSRSYDVEVPESIWAELFEWAFVLSRRKYGPDAERKSKGLINTSLLENLFETMTK EPYNIEPSAEMHSKLAKTAWVFHRLNDFLYHMRAAYKVLDETRRKRIAAREIVESYLR YPRSNDGEMDPQILWSRGFADAVHTYDVLRLLVMQQTRIIERLANLLIHRGDWLGRSF DVWERQFLPQLMEEWRDFIPARVRYATSTGIVQFHGVSYYGSARYSTHARIPVRRPSF NDSFRLVISNQEYDDEFIWATYKRNMSPGDLHNPLLRRLFEPTIFDYDSRNLEDAVQD QVSEVQLPAENEVYSHCATKSAEPARPRFWVEEAYESAQLKKSALMKAFGSLSGVDDE DEYAAIPTA ANIA_10007 MADTEGAGTSSVSEPLDLVRLSLDEIVFVKLRGDRELKGRLHAY DSHCNLVLGDVEETIYVVEEDENEQEHIRTIKKQEEMLFVRGDSVVLISPQA ANIA_10003 MRTDSLKPSLEDRRRGELALSEFAEYADKQQSLRSAQTAPINSG TSDKSSAHEEHAELEILDQLGLSDEPKTVRLKDLLLRTDSKSEENLKALAELLQSRID EGHGETIFDLGVEDGGESMSLSLDQWNIALNRLREAATTLSAHCRILLTYNVGGPEEA KTTNYRIKGSWGKILIRQPAETIEEMAEIRMAVVGNVDAGKSTMLGVLVKGGLDDGRG KARVNLFRHKHEIESGRTSSVGLEIMGFDSQGEIVSSSQGRKLSWEEIGKRSAKVISF SDLAGHERYLRTTVFGMLSSNPNYCLLMVAANNGLIGMSKEHLGIALALNVPVMVIIT KIDICPPQILQETISQLTKILKSPGARKIPIFVKDMEETINTATQFVSQRICPIFQVS NVTGENLELVRTFLNILPYRGQYNPDAPFEFLINDTFSVPHVGTVVSGVAKSGVIHAG DSVLVGPDSLGQFTTTTIKSIERKRIQVNACFAGQSGSFALKRVRRKEVRKGMVVLKK LDQQPKVYREFIAEVLILSHATTIKPRYQAMLHVGAVSQTCSVIDIDRPYIRTGDRAL VAFRFMQRPEFLAPGDRVLFREGKTKGLGIVKSVGYDSSNPLSPEARKEYERKEKH ANIA_00046 MRLISSVKSFRDLTLCALRRPLIRTLREIRAINVPYQQHFRSLT SQIQEPIDMATKEGKSNKLSFQLKTPKGTRDWIGVDMIIRESIFSAITEVFKRHGGTQ LDTPVFELKEILAGKYGEDSRLIYDLADQGGEDSALRYDLTVPLARWLAMNTSVQHIK RFQIAKVYRRDQPAVAKGRMREFYQCDFDIAGSYDPMIPDAEVLRIVVEVFEALNMRD YITIKLNHRKILDGLFGACGVPGDKIRSISSAVDKLDKLPWEQVKLEMEEKGLAPEVA DKVGQYVKLSGGRDILDTIRSDDLLSTNENVMKGVEDMELLFKYLEAFDVLDKISFDL SLARGLDYYTGLIYEVVTPLSSAEGFAQSQKKSKSKSNGEDQNIGVGSIAAGGRYDDL VNMFAKKRQIPCVGISFGVDRIFTIMKQRLENEAKEGNASMRASEVDVFVMAFGGKGF NGLLLERMSVANQLWKAGIKAEFAQTVKPKLPQQFKLAEAGGIPLAVILGEDELAAGK VKLKELGLPEGHPEKDGTLISREDLVEEIKKKLNKISLPIR ANIA_00045 METITQAVQSASNALWNEVDALRGGQQAQTEQRVPQQQQQHGDE PMSGIQGKGTVTDPYDAGNRDDQLGAPISKDNTAAMTEPLSTDPGTFQDKYQDSSANK DGKAALVTSNIGPSNPSFQHPDPVIGKQHTSGPAGKSTLKDEPLSTHKSTLKDEGLTS RPKPDEPATTYNTRSTDDAETTAKKVNAAGPSAGLGSGIAAGAGAGSLSGAAVAPAAQ PRSEQRGNISFSHAQSVSQPNDKGPSTSVAGTAKSVSQSKSKEPSATDLSAPQAPRQV TENSSGPTTTGTGAAPTSVSKDERKEPSNAGTGAGADAETTDSGSAEKLVPSTEEVAE KHGVSKEALRGPSVPPPRKSYERQMQGAESQKKKDDLPKTTKNG ANIA_00044 MNFDASNDRPLKKRRFFVDDPLDTAVTPAEKSPALDASSSASTH TDPNYSANGSPAQIQTQQEYNFSNGGHATQPATAAGTRTHQAQSPAHDTLSDFDTEAF VSIVGEQVSPETLSQIRKLSDGSLEKAINVYFDGSWKNAGSPGSSQTTLLSCERNASR PSPLHTSREQGTGPAENGADSVTEPISRSKLQPARRYLGAFGVEAWATRSGIGLIKHG DTVNIERARSQPLSTRGRTGKLRVNQKGDVLTRFTNTAGQEIGRLPRETAEWVSTLLD QKICEFRGVCVFAPDRLRVNDTIYLQLRCFMRIEAFQPKELPQKQDDNRATTIFEQEE SAEEKQLRLRQVALVQLFDEIGLKSTTQDDEIKKQRKEGLLRAAEMADQEAKKLAKSG NTDSGDEEPAELEQDQLDALYKKAQSFDFSMPEAQPPSSFAMDLRKYQKQALYWMLSK EKDKKSGREVSIHPLWEEYDWPLKDVDDKDLPIIEGINHFYVNPYSGELSLDFPAQEQ HCLGGILADEMGLGKTIEMLSLVHSHRNLPPTQSLGNLTRLPVSGVVPAPYTTLVVAP MSLLAQWEGEALKASRNGSMKVLMYYGNEKNVNLREMCSAGNAAAPNMILTSYGVVMS EHRTHQALAPGTSWTPGNLFSVDFFRVILDEAHIIKNRRSKTARACYDLKATHRWVLT GTPIVNRLEDLFSLVRFLRVEPWNNFSFWKTFITAPFESKEVVRAISVVQTVLEPLVL RRTKSMKTPEGEPLVPLPKRTIRIEKVELIEQEREIYNHIYTRAKQTFNSNVAAGTLL KSYSTIFAQLLRLRQTCCHPILTRNKAIVADEEDAAAAADQDSDLKDDMDLQELINRF TATTSDAESSNEPPDPSMKFTAHALRQIQTESAGECPICSEEPMIDPAVTACWHSACK GCLKDYIQHQRDKGVQPRCFSCRADLNPQDIFEVVRYQSPNTTPTEQTPSSIGGDNVY SSSQPPPPPRISLRRINPLSPSAHTSAKIHALLAHLVRVPAGTKSVVFSQFTSFLDLI GPQLTKAGISFVRLDGTMAQKARAEVLAQFTKFETFTQEELDQAESTSAPSGLTPTPK TPKQSSSPSSPTVLLISLKAGGVGLNLTAASNVFMMDPWWSFAIEAQAIDRVHRMGQL RDVNVVRFIVKDSIEERMLRVQERKMGIAGSLGLMGEGNEEERRKERIEELRLLFE ANIA_00043 MTTMSLLKDTVLSSRSTRQIYRLSKAVNIAHSERCLSTSPATGA AAAEVEAAQKYCLNLLAKYDRPSYTLSTFIPSQARPAYIALRALNSTLSLIPDTTSSH TIGLMRLQFWREAIAKTLTGSPPKEPIAILLASAIEDLHHRSGGRAKLSKNWLNRMIN AREQTLTNDPYPNLEALESYAENTYSTLLYLTLSVLPMTSVTADHVASHIGKAAGIAA VLRGLPIVAFPAQTQSATSAGDSMAALQGGAKQGAVMLPLDVMAQAGVKEEEVFRRGA EAEGLRDAVFTVATRASDHLITAQQMLSNLRAGQNVGHDYEHEGEEDHQYSVEEGRHE SQLDEVNRAFGVFMPAVGTRLWLDKLESLDFDIFRPELLRSDWKLPWKAYLAFQRRSL ANIA_00042 MSVHSFDHAAFANTPSPRSEAGPDHLPDIEAHPRPLHRTVSPTN WENASPESHTVPPRQNSQETVRYRARRANTARSYRPDTVAHDPNWQPGTEPGIDPTRP LPAYNAEWMTSIATSLHRRCEITVVDFSQHEMRQYALDNDTIESFMSREREPWVQCRW INVNGLSWDVIRVLGNKKGLHRLALEDLINETNRTKVDWYSDHAYIVLTLQKLINMRQ ESSDSEEEDEDSSVASRPERRSSILSSKSVSLKKATRRRVIQAALKDIFWNRTRKSEA ENRDTDGAGAGFPREMNGTTKQPRFGGVADIPGTARSIQRYRGGPNEDRIAFMERHAV LAPKGLAVTLEQVSIFLHADNTVTSFFEASAEEIEAPITRRLAQSETILRQSCDASML VQAILDAIIDLAIPVTTAYQDAIGDLELDVLTDPDVDQSKSLYILTSEISILRSAMQP IATIINALRDHRSEPVSTPGLGVIRPPGFATPSSTGQGHIGLATPNLMSMGGDALDHC ITIVEGYDQMRRAADNMIDLIFNTIGAYQNESMKQLTLVTCLYLPLTFLTGYFGMNFE RFTGVTEHSDA ANIA_00041 MPLDSEGYRFEEWSFPFPLFVNDSAICVISRPNNTVAMCTASIL QCGHSSHSRNIKRATTPGQ ANIA_10006 MERAASRRISAVELPSSSPPRRRRSSTTQAGPSRLHKRRRLTNQ TISSSSSQPDNEPVEPIDLTEVDGNSSLAKVLAKQREDAVAAQQSNDGGNARSRLTAY TCPVCMETPKDATATICGHLFCHKCIMEWLATTEEQRADRAGKAPRGLCPQCRQPLSG VDAIGSKRNLVPLQIKLFTKKRTNLAEQRATS ANIA_00040 MLKGHCTPYSRGVPRFYAQKTRIRSFAQSQASPLAARYYSTQDG AVERQRKSLSVPGVARFNEIGVQQLSDHVYSQIFFNKPTPPDPNLVALSKDHLARHDL LGKAQEHADPVAFDLPGLQGQTLDEHFYKLGMDSSEPYLTYSKEYAVVNSPELPRKWV RRSGWTKYNSDGSWEAVDAPNESMITFDTEVMYKEHPFAVMACAVSPTAWYAWISPWL LRESENEIQLVPLGDPTKPRIIVGHNIGYDRARVLEEYDLKQTANFFLDTMSLHVAVN GMCSQQRPTWMRHKKNRDLRDKIASDSNSVELAALIENKMIREEEEELWVGRSSVNSL RDVAKFHCDVTIDKSQRDYFGELERPQILAKLDELLDYCAADVAITHRVYKKVFLNFL ETCPHPVSFGALRHLSSVILPVNQTWKEYLDNAESTYNQRLGDVQRRLVELCDEALSV KDDPEKYMNDPWLRQLDWSGQEVKMVKGKKKGDPPRPAARQKKPGMPQWYKDLFSSNT ADINLTVRTRIAPILLKLSWDTHPLIWSDKHGWTFKVPRDKAHQYENQPVVACNMTEE KNPELHNDRKHIYFKLPHKDGPTARCVSPLAKGYLQYFERGTLSSQYALAKEALEMNA SCSYWISARDRIMGQLVVYENDVRPASPSSKNGDQKLGYILPQIIPMGTITRRAVENT WLTASNAKANRVGSELKAMIKAPPGYAFVGADVDSQELWIASLIGDAQFQLHGGNAIG FMTLEGSKAAGTDMHSRTAKILGISRNDAKVFNYGRIYGAGVKFAATLLRQFNPSMSE RETQEVASKLYKETKGAKTTRRLLSDNPFWRGGTESFVFNKLEEFADQERPRTPVLGA GITEALMRRFINRGSFMTSRINWAIQSSGVDYLHMLIIAMDYLIRRFNIQARLAITVH DEIRYLVKEQDKYRAALALQVSNVWTRAMFSQQVGINDLPQSCAYFSQVDIDHVLRKE VDMDCVTPSHPHKIPHGESLNITQLLDKNDAARLDPSITPISRPTPEKYTYTPRKTVM SALQTTNNLAFIKAQITKDDKELRDIIKDVTKLNNPVNAKCTVPRSDTRAKATAKPVA EPQKAILMDIGSGLYGGGVRDFTQGSRPPQVNLHRQPWKPRPTARA ANIA_00039 MSSTPSAPNRGLVVFSGGSAANNLVEVFNSVRESKDCPLSYIIP ISDNGGSSSELIRIFGGPGIGDVRSRLVRLIPPSPPNSERAAIKKLFNYRLPADESAH SEWLAIVDGTSSLWKSITPAKKELIRSFFNLLNLEILKRARPPSSTFDFSSASVGNLF LTGARLFSGSFESAIYLLGSICDVSSDLIRVIPAINSNFSHHISASLANGTIIVGQNS ISHPSLPPRPASPRPRKALHAEENGNGANAELAITIDYTEPSDVLDTALYEDDQPPGF LPHLRNKNINFSKSENEDLPARITRVWYINPYGQEIRPRANPRVLESLRDAQAIIYSI GSLYTSLIPSIILQGVGQAIVSSPARHKILILNGSLDRETGPSSDPFTAADFVEAITR AGEESRGRVTLEPPQSPPSTSSLGSTTGPGAFPSLFPNGHGSSKSALPYTSYITHVLH LEGPGTPHVDKDRLAEMGIETLRLYGRKIVASTDGAEAEVPIGMRYDSNGLIQALEVV LGKKGDGMIRGSVSRRNTLDPGRKRGA ANIA_00038 MKGEITLDGAIALLSSDKTKDRTDGLADLKHILQKNKRNSNLQS MSDKACHKIFESLFRLVSTEKTFYNRANSKGASSSKAAATRLSACASVVRTAVETFLR NLRIKSVRAILDHITNVLVSPDSSLFELLSVDYTKCLSTILHYPPHVEHLGVEEWESV LKFCLKVVNVRNDHNSQQSTWSPHSSVMDDYIGASGGRSTPSRMTPSLAVREKPKGPT GVVEEALSCIKILSGVPNAPLQDNAESILLGLASYVGSPSLSGSGHQTAFSAINAVAM GIIFDNSELVRVTLLDLVPVIRQHWTTKLMGLKDELLVTTMLIVTFLIDEIRRKPDEA LIAVIDGLIHTLQREYFRRSEKDILQVDELVFDTNSIGQHEKFRLWPRLESPRSEHNW TVVWIMARLLELSEELTTRLSTHCPPEAETPSKRQRISSKIDDVFRDSTASFGIRRVC ALQLIPFLLNHYACIDSKVSLLERLIPNINDDNATISSWTMIAIACIAASPQADKPPL KRYWQQAWDLTSRASTSQLTSRAACYLQNSILQYSLLDYAAVAETINSMLSFVRLNGP STVSDASLELWASVIRMTAQINPGSVSNASVQICELVDIYDIWDAETTVSIQKSSQSD PNDLGILDLLQAKSESFLHTWQSLSEDKSRHVTPDIVQILTSFCITVALYTSCLPEQP GPRLQTLLSNSRPTAIHRALYGLLTPLSEVLESQRQSHKQRLYALNDDTMDLDDPFGP STDQVEEASNILCTNRSDLPLFQDSASFHRYMTILISIYNRMYSQQSEPQQHVTRALE DYLNDLDEVDLLAAHDLLPYVYQSCARTDRQTQLVLLENLGEKCLQTYELERCENSHL LCIQMMCSLAMSWTRGTQDSLSDSAADIYTWFTTIFLKKGRASSSVLIAFAKLLGVIL SLNPAYSSDQSSPSPKTTLFKIISDGEVLVKFNAGSLVPQLFGQFLLEDHDNVFNDVL ECLPRDPTWEEGIAVRLFLLAQLASKWHTLLRRSIYHIFETPAQVHHSLWYAEKCLRS VSDALGLQDAKEIFRLFSSQILYTWTETQSIKSMPFSIFGYANLNDMICDAQDEIVGQ IMMRASESDAAELSEILGRPFVGLLTDSFYKAEAYTIAHDISTPPREGSQPKGVENRL KKILGAEVFVTLIEAQFPQIVATFFGSLDFFQQVEKAFSKRESFQEALVTLKRITEKG AARTVLPPNQQPSFRARYLLDELEFLCKRSGYELETIWTPTLASYVCRTLLESIHPAL GSLHACSVLRKIKILICVAGPVMLSDYPFEMIIHGLQPFLVDISCSEDAVAIFWYLLE AGKTYLCEQPGLMAGIAVSTSLSLGRFLASPPVNSRQESQLQAVVGNLRTFCRWFDGY LRSYTSPALDDESSRSFRRFTCSLQTIVEQESSGSGANETDLLLEVLKDRESKSGLLS KPISDRVISLLCSTSKAALGYHLTTIERDEDAILNAVTVCQTLRDFNPGTEYRSWAAR VIGRAFAATGKISDALLREQDLTLFRSSSTQSGTDILCRSKANILEVLGSKLLNSRQT GPIERTLQLIISNLANFPDFEPCVSAISPSVMKALTWSPYQCPGISLNALEAKELENV HGWDLSLSPSYWARNVGLFLSKAAAEDPVIGSLSNILYLIPDLAVQLLPYILHDALLA EIRGKVAEVRDSISQIFNETLRAGAENSIPHARLIIKCVLYLRNQPKPGEETIVDRDD WLDINYAVASSAASRCRLPKTALMFLETHVSRCTASSRRSSVAKYDLPAGLLHDIFKN IDDPDFFYGVQQTSSLDSVIETLEHESSGFKNLLFQSAQYDSEIQMTGSGNAYGVLKA LNSTNLQGIANSMIGALGNSSDTAVPLGSMLKAATNLRQWEIPISPLNTSPPATIFRA FQALNTPGPLVDMRASIGESYRSNLNLINSDRRSATSLRTAMRTLGILTEIEEVLGSG SAAEIDQKWEEISARTSWLKNTDVQEVGEILSSHETLFSSIKQKDYLRSAFNLSDIDA QLLEVKVIRQSLHIARNHGIAQASLRSAVYLSKLANHSVSLGLNIEGVAKFDLANVLW DQGEMAPSIQILQQLKDRNDLHKQAIPISRAELLVTLSQGHHIAEARLEKPEAIIQNY LTPAVKELKGRSEGEDAGRVYHGFAIFCDQQLQNPDGLEDFARIEQLRNRKEKEVVAL DAMLKTAEGKERDNLKFHRTKTKQWFDLDDREYQRLKRSREAFLQQCLENYLICLRES EAYNNDVLRFCALWLAQSHSDIANSAVSKYIAGVPSRKFAPLMNQLTSRLLDVSDDFQ ALLSELIYRICSDHPFHGMYQIFASSKSKGGRDQSALSRNRAAAKLADIMRNDRHIGP LWVAVHNTNINYVRFAVERLDDKAKSGAKIRLNKLAPGIRLEQDAVNQRLPPPTMKID IRVDCDYSDVPKLAKYLPDFTVASGVSAPKIVTAIASNGVRYKQLFKGGNDDLRQDAI MEQVFEQVSSLLKDHQATRQRNLGIRAYKVLPLTSNAGIIEFVPNTIPLNDFLMPAHQ RYYPRDMKPSACRKHIADVQTRSFEQRVRTYRQVIEKFHPVMRYFFMEKFNNPDDWFG RRLSYTQSTAAISILGHVLGLGDRHGHNILLDERTGEVVHIDLGVAFEQGRVLPVPEV VPFRLTRDLVDGMGITKTEGVFRRCCEFTLEALRQESYSIMTILDVLRYDPLYSWTVS PLRMKKMQEQDTSDGPPVLPGSTTDQQRPTNEPSEADRALTVVAKKLSKTLSVTATVN ELIQQATDEKNLAVLYCGWAAYA ANIA_10002 MESAEPLEGLRGLYQDLSALSDSSLLNIDRLRVELETHIHDFRT LLDKPTKSNESRMSVLSGKITIDDVEYSVNDEFQQGTLQVADALDLDELLAALLFFKA QETSQEYDRPPVITAIINFHQRRHFLLESLRLIFQESFEVEREATQEMMQEMLAHVVE IKDGQLRNASLYTRKCLKSMEDIEKWLSLLGEQIQKASIVGQSEDQLVMEAIEHQRFT LLQQHESLGAILCYLFKGPYTSPEDLRVLLKHLRKLDRFDGVLVHYVPAIIASFVQHG SPERSGNYQEARSLNTAVISTKDGQNWAHQPFHSAVIALWLSVYSAWDYDGPSSAPPG VDLEKEAEERTKTFMTALDDGGLDLLVSICSGVSGEEWSDPARSELVTMLLRESASWL KESGSATLEADSCSPYLKTLLMENFEVFAESCIANMPDAVRRLKTEEDSQRLDQITAL RDGLTSNLHRDLVEARTHLESFLLIMAFAFEGRPDAAQEFWADPDGNLYGFLQWSSKR QTVPRVSAFCELLCSISGGEDNAAAAHRFLLEEDKFMSSKFKRSASMNWAQMFAELQI YATRITEKPSTAQTIQRTRKFEPADMSEPESPVMLSCYLRLIGHLSRQSAAVRDWMLH HSSSNIVSTLLTLCSGPLPSHLRATVFQALAGLMTDRSVENGNEMWLAIDQWISSGAM SASAHNKVPLVSNPLIWHEQQAFEKIGESFDQANAFVVLILSLVAPAIDSAEYAIWVP FPESLGSSYRMPGIEPYIDFIMGQALSRKVPDLNERQSRLLTYNSLDFVLTCLRSFNE TIVTALNETPATAEPNLKTSALLSYVRLHPFARVAEWLYNEDVIKTIFATANQEIADI SRASPDSVLVSSLVKSIEVMCSIIDLQSTYLNIVRPFIKSQPGSRLNVANSALSAFED CILNNLQIIPKLCLYCGTGHQELTVSSLALLAKLSSSTRLNKLSTPELSRWRSSNKIV EVLSAENLDSLSLPFISQMEPEVRELDAGPQASGYLIRHNLLELLNSSLTMIPDRPTV AHLLLGFGSVGNVLDVSSDGLFAAGRSLLHAILGFVQTYPDQLDDNIVSWMLHLKRTA FEVLKHLWSSKLSSYFTLAEMRASGFLVSLFASQPLVGPHTLWDGLPIAAEQFWVCDS TDALAEFLLYRSYLFDYATTEIRSAAKMGSPTLLESILATLFGNSTLDNGATIANPTV YDLFDFADLDVQFHAPPPNVEYLGGLNIDICEKPVEDGSLVLFNVAQVKELVKARREE LLSNGELRPQDDELFMAEADNLIEFLQARNQSRLINFNRHMALSSWAELLITILKCSE LDRGRKSTFILHAIQLILPKLETAIEDNLPEALDLARLAESLVESLDATPAKLMPLRR NGDLIDEKLHQLFQICLRGIILSNGGVLLKETFYNICSIYVARIVSPDTGNATMRQHS QQVVKSSGRALIEAICDDAYTGQETCRVSAVLLLNSLAALDKQADATLAESIAQSNYL SLFLDSIRVLPLELKNAPANDTPLLLSYYQSLLSLLQQLCQTKPGATHVLKAGLFDAV RESHLFAADPDLGIDIDNADALRKYYDLLDSVLRVIVTATFSRGLQNELMIEQTRAFL TENRQSMVGIFKRFAKIGGSAAPDHHDALTSIAKSYTALVAATNFLEAEESEVEQHIG PKLFS ANIA_10008 MQQNSRSPPEQRSGKRKATGKRKFPDQGELSQQPRHQQATISEL LHRNQAQNQAQEQDDEHLPPTNKRVRPSSSSIAANQPESGDMYSFSTAEPKPNGPNTG GLTLSNSTLQARPGTSSHQSNFTPHTGARRLVVKNLRTGPRLNQDSYFDKVWGQLDAA LSAIFSGGKPEISLEELYKGAENVCRQGRATILAKRLQERCRQHVTGKLHGSLVDKAQ MAYNIETLRSVVEAWKEWQSMLITVRWIFYYLDQSFLLHSKEHPVIREMGLQQFRQHI YSDPTLQEKILQGACDLVSADRSDENGIVADSSLLRNAIELFHSLDVYVSGFEPVLVS GSKDFFSLWAQQEATGYLASYVENSHRLIEREMNRCEQFSFNRTTKQKLSESLDQTLV TDQESVLLSQKDVLGLLRIGNKIALGQLYTLLERRDLGAKLKGAFSTYIVEEGTGIVF DESEADMVVRLLSFKKQLDDIWNESFRRNEGLGHALRESFESFMNKGRKSDATGGTDN PKTGEMIAKYVDRLLRGGWRLAPTREAENMPLADEDAEINRQLDQVLDLFRFVHGKAV FEAFYKNDLARRLLMGRSASDDAEKSMLARLKTECGSSFTHNLESMFKDMEVARDEMS AYNSIKRERQTPLPVDLHVSVLSASAWPTYPDVQVRIPPEIATAISDFEKFYDTKYNG RKLAWKHQLAHCQLRARFPNGNKELVVSSFQAIVLLLFNELPEGGTLNYRQIQEATTL SDQELTRTLQSLACAKYRVLSKKPKGRDVSPTDEFSYNASFTDPKFRIKINQIQLKET KEENKTTHERVAADRHYETQAAIVRIMKSRKTITHAELVAEVIKATRSRGVLEPADIK KNIEKLIEKDYMEREDGNRYQYVA ANIA_00036 MESQKESLPTLRWGIIGAGLISSWFTKDISLPRKSPAAVHKIQA IGSSSLEKGRVFVETHLATLNPKPTVYSNYGDVYNDPEVDIVYIGTPHGLHKQHCLDA IAAGKHVLCEKAFTLNATEGREVLAAAQAKGVFIMEAMWTRFQPLVSKLKHVLFQEKA IGDVRRTFCDFGLDKDIASLPSTSRLRDPAMGAGSLLDIGIYALTWGLLTLESDPAKS ERPRVVAAQTLRDGVDIATSFILHYPSTGRQGILTSTTEARTPEIFMRIEGSNGYITV EGIAASAPRAFTVYPKVEGRSLGADTGADGRRYDFELEGMGFSFEADTVAKSISQGKL ENEVMSHSETVRVLEILDEIRTQGGARFPGEA ANIA_00035 MTIRPQDLFVTERLKNLTVEIGLNLQSKPNKISTYFHTIASRII GFTNVLKKEYVPSLMNRFLENVHTKNPILEPNELRKLSRRLGENVRPSNSHLRQSNPV FTGPLKFDSEVDCEMREEIALPPAELVKIEYSGTFPSLPCSIPDPDDEAQAGSTTNSI ASSERSWYYYLSKIAGRRIVNRITAALYLLDPEEWTMMPVQNLLRIAEELDTQVIQES NADELSYFLHAGIWISVSESGDRFCIWQRNLALRIPTCQSTSSMPANV ANIA_00034 MAQTKHFFSDPTHLVHTALNSLTLTNPSLAFDRENKIIFRRPDV VRKGKVAIISGGGSGHEPAFAGFVGQGLLDASAAGTIFASPNAEQIRIAAMERVNNEQ GVLIIPMNYTGDVLNFGMAAEKSRAAGIKTEFFAINDDAGVGKTKGGKVGRRGIGGGV LILKIVGALAEAGGSLEEVYKTAQLANENLASVGSSLEHVHVPGREPSDDHIPEGEVE IGMGIHNEPGSTRTKTTLVDLVATMLLQILDHNDPDRSYITHSPGDKFVLLVNNLGGL STLELSGITDEVYRQLGKSYQIKPERVIQGTFLTSLNGLGFSISLLKLADTGLGPGKS FLELLDAPAEAVGWSAPIKPATWEYRNAPGIEVKRAKPAEQPPSNVKLDIAKVRKVLG AALKRMIDAEPQITRYDTIVGDGDCGVGLKRGAQAVLDLLNDASANLNDDIVHTVNRI VTVVENTMDGTSGAIYAIFLNALVHGLREQDKGTETPADTDVWGTALKYSISALGKYT PAQVGDRTMIDALVPFAQTLADKRDVHAAAKAAEEGTEATKHMKASLGRAVYVGGEQE WVGKVPDPGAYGLSEFFTGLAGAL ANIA_00033 MPNENNQARARKSGDLPIPAITDDAAERKRVLNVLAQRRYRKRR KERLQRLQAQVENQVAGRAGTDAAAAAAAAGGGGGGLNNGHLNGQDLSLLSVPVNGRD NDPFASPHGQPPSDLGRFFDFQPDSTGADLDQDLSSQLQLSESSTFTFPDDHILEIPS LRLLNAAVQVALRLNVAHLLWDIDAVSPFYRGAHTSSSSLSSLSSMSSSPSSALLSSD LDLNIDVSLPIHLHPTRTQLLLPHHPILDILPWPSTRDKLIQIFNLPTPVRPQSAQDP FGLFRLVYDMEDEGGEGVRVTGADVFDPGSWEIGQVVFQRWWWAFEAHLVQRWDCVRR ARGESALAMRAGAGAAPDTDASTG ANIA_00032 MSTPSQPNYSIHSIIAAYGIGLIPHGYYFVTMMANARSQASNLL PRENLSTLKSSLPSQIWTKLAKARGAHLNAMENLPLFAVAMLAGNVAQLPADELNTLS LEYLGARILYMAAYMGARSEAISYVRTGLWAWSVSIPIMALVKAGKAFDGTA ANIA_00031 MKPPTPISAALFILGLILSPTTTAHGHSHNHNHKPHSHGARAIS SRGLDSGPNKPLLAGYSLTWHEEFNQPSSGAVEQPSAAKWLYATGQSYPASWEDHGLH IESYTADTENIHLTKQGTLSITPRKKSNRLWTSARIETVRKDFKAERGGKLFIDARIK TGCAAAGVSVSTLEKASPADAVTFRFWALGTEIRGNDRDTCLDWPAVSEWDIAEIVQG EEKVHQALHCGTAPGGPCNEFAGLTSSALWRDCNWHYLGFEVDRTQFSSDGAEMWEDN TLNWYLDGVKTFSLSGRDVGDRDVWEKIAYQGHFLLLDVAVGRDVTEDQDDTAASSRL EVDYVRVWNSNQTSFFAEFELHDSTGA ANIA_00030 MRSIPAKPWIPREPGPDIAFINANVVDVETGKIIPNSSVRIADG CIVDVATGKYTPPSSSTVIDAEDHYICPGLIDCHVHLTATPGNPALRDMFSASPTSLA HRAAYVAREMLLRGFTTARDTGGADAALRDAIAEGLVPGPRLFIAGKALSQTGGHGDS RAAFQGEEEKCCGGHSPSLARVCDGVPACLSAVRDELRRGADFIKIMCGGGVASPSDA LDMLQFTAEEIQAITRTAAHSGKYVTAHCYTVDAIRHAVDNGVRGIEHGNFIDRETAE YCRDMGVTFTPTLVTYYGMVQPAFSHFLDDASQRKNQEVLRGGLDALAVLRDAGVAMC YGSDLLAGLHPLQNQEFRIRAGVLTAREILQSATVDAARYLGMEGKLGSIRSGGIADL LVLKANPLEDITVLDRIGENLVGLLKDGRVVTHKLDGLSVDPLYDPFRVRQ ANIA_00029 MDTFTQDSLSDKGNGKVDHIENTMEQPPAIDTTHTDEAMKVLAR YTGDESWQPSDEKRLVRKVDWRLLPLLCLTYGLQYYDKAMLSQAALFGLREDLNLLIG NRYAMSAAIFYLGFIVGAYPTMFLAQRYPIRHVAAGTVTIWGICLILTPLCHNYRSLY AQRFFLGVLESGISPMFMMIVVRLMIQRPTRVAGIRKTNRLSGWDTCTGYVSIFSPLV NYGLGHIQGSLSSWKYMYLFAGALTITWGLILDFVLPPDPVSARGFTERERYISVARL RTNNSGVRNTHFKMGQVVELLLDPKFWLIFFTAFLAMIANAPISTFTPIIINSFGFST LESLLLVIPSGFYAGTMMLILPYLSYKFANKGIRSWLVIACQLVTMVASLLLLRLPLN ETGGLLFACYIMPTMGAGYAVLMGLQIANIAGYTKRSLSSSGLYIGYCLGNFVGPLCF REQDYPRYVPGFVVTVVTTFVAGVLVFVYRVVCLRDNRRRDKTGILEGFEHAYEDDLT DKTNPQFRYTV ANIA_00028 MSRNRLQIPPGASITVRLINPVNFGPSRLERFMAPKVPGLEGHA QTPALSFLLEHSSGRKLVFDLGIRKDYQNYAPKIAEYIPTTGYKIEVEKNVVDTLKEH GVAVEDIEGVVWSHWHWDHIGDPSTFPPSTDLIVGPGFKDAMLPGYPANPDSPILESD YTGRTLREITFDAQMLRIGQFGAIDYFDDGSFYLLDSPGHAIGHLCGLARTTVNPDTF ILLGGDIAHYAGIFRPSVHLPLPSTIPLCPGPLHTVEAGFCPGAAWEELQSSRGRKTT DSLFDPTFGYDVPLAMETIRKLQEVDCDEDVFVIIAHDGAVRDGVPHFPAALNQWKEN GWARSLRWAFLKDLEGYWRQKGLIE ANIA_00027 MGSIGHPPLEQNGFLDYDVLIIGAGLSGIYSLHQMRALGLRVKV LEAGGGPGGTWYWNRYPGARFDSESYSYGFSWSQEVLDEWSWSEHFAGQSETLRYCEF LVSKFDLARDMQFNTRVKQAHYQEDSRSWLLTDDKGNTYSSRWLVTCMGILNQYTLPN IPGVHDFQGQAIHTARWPHEPVTFEGKKVGIIGTGATGIQAIQEIVKTAGHLTVFQRT PNWSAPLNNGPISTDEMQEIRKAYPEIFKRCRESYSCFLHKSNPTSVFSVSAEEREKF WNELYKTKGFEKWLSNYHDIFTNKEANDLYSEFIANKIRERVHDPVTAEKLIPKCHGF GTKRVPLESGYFEAFNRPNVELVDVKSDPIERITAKGVKTRDSEYDLDILIYATGFDA VTGAFTAVDFQGVGGVKLKDKWKDGPRTFLGLWVESFPNMMMVMGPHQMFGNFPRSIE YAVGWVSRFIQNACERGISYAECTGKKVEEWTEHVHACAEGLLANNVDSWMTGVNKNL AHKQKRIIARYNGPAPGYRARADDVAHRGYEDLNMA ANIA_00026 MVLSAGAPWLQYTGQQQKRKRAELACTICHIAPSRQIRCDLQVR TGQGHANCSNCDTAGKECRMRPSKRFLRRARTPLTPPDSSDSARRSQRLQRPAAQETG SENRHDRAGTGLSLPQPDWLWDFDNPPPLLEETPAVQASSAPPPAVDPTNLDQENCSP VTSRTEDTETRGLSSRYYELEIEADARSQEQRLLAERPLMVRLLPSADLQQSFVETYL EYCNPWCPVLNRDQLNIDELSQSPLLVNALAVVGSHLRPPVMPHDGPAAYYERARQLF YNDAEPDVVRSLQAVSLFYWWSPRPPTVLHRHSSWWWTSVVIRHCQQLGVHQQPSFGP GPAASQHNHPTSRRSHLIRRRIWWTAFARERLTAICQNKPCTIDPEDCDIAEPTLDDF PDAMQDPTVRLQAEIFIYWVRLCGIIGRIAKYLSRPRANDSNAGAFPTHLATQLIDWV HSLPPHLTLPVHSNRTTSFNRDVHQLHLPYLAVIILLHLKQPHHTPLEAYQPAILAAS CLARILRDILSRGSTQWLMAITGWYTSLAFIALLQACRVDGLAAAANEDLDILTLAVD QLRVMWPTANIFHRGFQRLRSDARGSGSGSAAGAEALLSLASGEQAPHLDTGTGTAAA ASARGLGGGNNETAHGNPIDGIDWIDYFPFATAQTSGIAERLLVPQTDELQFSDAFPG TMMQFEDLFGNHNFSDLNLFM ANIA_00025 MAIPTAQQATNGHAETISNGQTNGGTADAPNYARGTLGLSAEAT PTPHPCSVPLNPQYGYTPRKLRVITIGAGFSGLLMAHKLQHRFSELQEFVTHKIFEMR SDVGGTWLVNTYPGVQCDVPAHIYAFPFDPNPNWSRFYSSGPEIQRYIKDTAAKWHLD RDVYLNTKVVGARWIETEGVWRVTVEHNGVARDEYAEILISGQGVLCHPSWPSIPGLH DFKGKIVHSAEWDHEFDYSHKRIAVIGNGSSGIQITPQMAQLPGTEVVNYMRSAAWIY YRVPPSKHLGRETDEVNPAYAEEDKRKFQDPHVHREYRKGIINRTNKAFKLFLKGENN EEAVRFGTEQMASKLNYDPELCRKLIPKWEVGCRRVTPGPGYLESFSRPNCSLSDSPI TKITSNAVHTADGKVFECDVVVCATGFDVSHRPRFPLIGLNGANLAEKWADEPESYLS VATAGFPNYFIFTGPNSLGGHGSLVEALNWTGDYFVKWIKKIATEDIKSVVPKKSAEE AFVRYGDEVHKTIVWTGGCKSWYKRNKTNGRVTALFGGSALLFNRLISELRPEDFEIE YRSVNRFRFLGNGFMEYETDPESDLAWYVELPEPLRA ANIA_00024 MPIPSTMRAWRKHKGNPAPVWEEVPVPSVSPTGLLVKLLASGVC HSDQALIDVEDRPHFNDVYTLGHEGCGEIIKIGAEVTNQQFAIGIRVALLAVPGCGLA TCSECARNLPQLCPNGAHHGIGQDGFFAEFVAVDQRAAVALPDGRQSLHIAHSIDESK TDKSKALYRMYPEPEIGAVATDAVLTAYHGIVRRAQVKSHETVFLFGLGGLGFNALQI VLSHIKARVIVSDVRREKLLAARELGVRESDIVPVDTPVTIPEFIASQGIIIDTVLEF VGKRQTFSDAQKIVRPGGKILCIGTGDRVNDLDMKNGIRKRLSFLFNYGGQKPDLEEI LTLIKEGVLRPRVQTGSLKDFPTYLRRLCAGEIEDRVALLPK ANIA_10005 MSAIPTTPPSRSLAGKCAIVTGAGCAGDGIGNGRAIAIFLASDG CNVLCVDKNLEWAEKTVSIIQEHSRSSSQYGQAVSFQADVTCETDCSSIISQAISTFK RVDILINNVGIAGAPGTAVTVDMESWSKSLEVNVNSMVLMAKHAIPAMQKNSGEIKGS IVNMGSVAGLKGGTPHLLYPTSKGAVVNMTRAMAAHHAEDGIRVNCVCPGMLYTPMMY ASGNGMSEEAREARRRRSLLGTEGNGWDCATAVVFLAGPHARWITGAILPVDAGTTAA VGIGMPKGASVNG ANIA_00023 MPALPPYAYTGPVDCTIAPNPGQLKGKSVIVTGGANGMGETTVR KFAEAGAFVTIADLNVERGEQVAKELGPNAQFVQCNIVNWDDQVRVFEAAVANSPSKS CDIVIANAGININAAPVKPKLSIVDVNLTGTLYTWKLAIHYFRRQPDTEDRDRCFIIT GSMVAWIDSPANWQYTCTKYALRGLMRVARRSSWEQGIRINYVAPCYIKSAIRSPTYE AELVAKGVEFAPQEAVARCFMRIATDRTINGHSLMITPPSVAKEGFKDVDMDDYDNKE ADEYEYFKRTQEMQLRIIEDRWVEGWSKARTAEGGLK ANIA_00022 MKLTAAALASIAGTTAATSLAQTPQMGWNSWNSFKLNINASILS EIADLLVSLGLKDTGYNYLLLNEGWSSYERTADGYLQANTTGFPDGIKALADEVHDKG LKLGLYGDSGILTCAFRTGSWGYEERDALTIAGWGIDYLKYDNCGGFRAMTNAPQERF LAMQNALLRTGRDIFYSVCEWGYQFPWHWGANIGHSYRMSEDITNCILSEHRICWLLD YDHCAENERDERLSIALPLARHGPARVGNFNMTMYMQQTHFAFWAALESPLIISADLR KMTNESLAVLTNKDIGCHSPPLQLVYQTKLRQCWLQWSHDCRPE ANIA_00021 MSKTPELEPFLHKPNSVRSWLKRPVMTTTSHLLVFALTSLLWFA IILFDRLSSSYISQLPVQHSKQMTNNETAFVPPIPILANSMTTHCGTSVAAAKARGCR YDILSKVWTPSRCFDQASIAEYQAWDEDGRSWLAYADAEHTQPLGIDETGSIAGGTYY TTEHDHIVHCAMLWKKQFRALSEGRRELDALIVDPHHTDHCVKYLVQMTEAVNTKGID YRKVPIEVDVGFSGCFILPEP ANIA_00020 MICLYTIFLGCLPLLSMATPSAPGQEQILNKRAITCLTVGSTAT ATWTNSNGQTCTFSGVVGSNYGTNSAGEGDYSCNGRCGAGCTGTAVGNAYTQDCFSHD ICSYFANASGGASDPNCGAAYNAAVDDTLLGVASGCSQSNPSNAVLKPAGSPTCR ANIA_00019 MYRDPVVPYVSYFYSPRDDRSRRDPTKRATAISMAALEFKYKLP EFTFNIGLGAFREWLIRALLNPQYISIGHSTYDGRRLAHILGARYLSLNFALPDDPMP NVLVLGGSGYLGLAISQALLSSGNYTVWGSARTPEKAKLLLQNEISPVQVDITDQETL ASTIAENNIDIVVETTMAFGQAGDMLEGVKKAAGRRQDGLRQRGHLGPKLAFVYCSGI WIHGSPSSRVSDLSPVTKEKAARIVTWRPAHEQAILASRDVLDVAIIRPGIVYGRGSW IWSTWWASILNAKRSGAGTEAIRIPADIDARPATVHVDDVAAGFRAAIDRVDGLLGSW PVFDLVTETVGAQDIVEAAKAALGVEGPVEYTGPQGDIFMEAMSTVSNSDTGRARAVL GWVPKRSEFVLNMSMYVRAWEAAQA ANIA_11259 MRVWIAGRFNRGYAGRMDHMWDYRVFDRKQSGVHKRIIYVNPIT GGVHYAWDLVTKVLPVVIWDGAGTLFYLRREEPLVGLRVA ANIA_00017 MNAAAMNNPTPQRMPLLEIACFNSDAAFLAAAAGADRIELCKNY SLGGLTPDLSTLVMLKSQLQIPVYVMIRPTADTFSYDSADFEQMGHEIDMFSHHGADG FVFGILHHPSENSRSLVDVARNTALVQRAKGRPCTFHRAFDLLPESQWDAALRDIRDC GFSAILTNGGPTGNVAVECVNKLATLVHWTELHGDVDESGRRFPEIIVGGGVRASNIG LLRGRTRAGAFHSAALGHGDIVSAEEVLKIREVLRERGALYE ANIA_00016 MAQITPCCLPKFASATEQTQRPMSLRVSPNAGDDARLLSSWRNN TLSAVIQAAWGLILRCYMDSGDVCFGYQFIAAGEEAVTREVSPNAMELTTVRLVIGDG DFTSTIVEKAKGATVAGTPTIIEGRNALGDGYQLSNTVVLIRSCVGSLQGAAVPSLPS ALALTLPEQCLLRLHVKVLRGNVRIFLEWRNDGMSMEHVKGIAVLFQNLLTRVLSPED IPVANFNYFTEPDWQRISAWNGPSPQVHDRCIHDIIREQVVRRPLDEAICAWDGSLSF TEFDRQASKLAYHLQKQGVGPEVLVPLCFDKSMWYFVALLAVLKAGGAFVPLDPTHPP ARLQSLIQKVNAKLVLCSEWHADRLLGLAEVVIPLSQITLERIPSAPVGFISSDRVNC TNAAYVIFTSGSTGEPKGTLLEHRAYCSSAMAHGPRLLISPDSRVLQFAAYTFDASLA ESVSPFMHGACVCVPSEDDRLNDIMGAIKRLRANYASLTPSFIEFLEPSMVPEIKTLI LAGEAMSETHRAKWSTINLVNGFGPTEASVTAAINSHVTAETDCRDIGLPLNTRCWIV NPDDHNQLVPVGAVGEMLLEGPTLARGYINNPEKTNEAFIYDPEFVLRALTPGQSRRR FYKTGDLVRYNSDLGSLTYIGRKDTQIKLHGQRVELGEIEGCLNADELVKHCVVFLPK EGYAAGKLTAVLSIKTSEEKQEKTEPLRLLPPGPPKQPLTALRERLSGQLPMYMVPAV WLFVENMPFLPSRKLDRKGVLQWLARLDRDPYRTGSTTIVASFQDKPLSDAELQIAQV WSRLLNIPVEEIPPDESFLRLGGDSIAAMTCMNQCKKHGLTMTVQDILRSSSIGDLAS RTKTTSTPAPVSYDERVEEPFDLSPIQALHFQVRQEGQGHFNQSILTKINRRIDATTL RQAVETLVLRHSMLRARFEVIGPGSVIRQRITNEVTTSYRWAEYEVDSESAIDEFVAA SQSSLSCFTGPLLAVDLFDVSGNAQLLSMIGHHLVVDIVSWRVILEDLEEILTNPSGL SSLNTSLPFHTWLHLQSEHSKTLEREQPKLESLPAPDFVYWGLTKEQIVYGDVKCASF SLDEQHSSLLMADCHNSLCTEPVDVLLAAMLFSFNQTFQDRSLPVIYNEGHGREPWDT SIDISRTVGWFTTLYPIIIEQTTDAIDAVIRVKDRRRQVSDNGRSAFARRVLPQLGAS YVHDCPMEVNFNYLGQHRDLQRTDGLFQLAQKMAGETREGGGSADFGRETPRFSLFEV SAVVVGGQLRFVFSFSAAMNHQERVQKWVESCKETLQFLAAQLPELKPRPTLSDIPLM GLTYQELALLETQTLRRYGVRSFEQVEDIYPCSKMQQGVLLSQARDPLLYTVSGSWEV EPAPAAPKPDIQRLADAWKRVVKHHAMLRTVFATGLCRRQPFSHIVLKDYDSSPVLLE CSRDEDILAALENQPRADYRAIRPPHRITFGQSASGKVICKLELSHAAMDGTSISLLL RDLQSAYADTLNATSRPKFKDYIAYLQRQPVEKGIQHWCEYLADLKPCRLSPDVSNIP GRKSLQSIRLSFERYSKLQSFCANNNITVANAFNGAWAMTLANLCKADEVCFSYTVSL RDAPVPGIESVVGPAMNLLVCRAKTGSRSAMEVVRQIQNDYMENYFYRDISLVDIQHA MKISRTSLFNTGVSYRKLPADDAVDSCITFKGLGLIHDPAELSVYVNIETTDSEAQLV LNYWNNWLSDAQAQNMAEVFLQCLGDMINVPVSESSSLPVLGPQDIQQICQWNNSEVR LPTTSICAEIEALASQTPQSIAIASETLQLSYARLNDLSSALANYLTNLGVLPGTKVP ISFEQSEALWAIISIIATWKAGGICVPLATNPVNAELETWMMAADLQVALACPGRAMS LEDLAPYVIPVTDELLGSLEIDVSKWHQFEECAYVVFSTDPMDPSKGFLLDHGAIAAS CRSFARSISLGMSTRMLQCAHISSYEFVLEIFSTLSQGGCVCIPQSSSPADLSKAACE MRTTTIRMDQSLATSTNLADAYGMRQVVLTGDALPLGVEQLRVPQAAQVYSYYGSVEC SPTALCWSKSGNILMLQQAPGTKTWVVDSSDHNILLPVGATGELLIESDGLAQGYLFD TSADAFIQDPAWISLVVSSDKRPIRRMFKTGLLVQYGSEGLLIFRGRNGRLVCLGPEE KVHNHVSSVGTTDADYWKSYLAGIEPCLFPSLSSESGEVDETSIARLVIEGVEDLRQA CDQSDISPAAVVQLTWGIILRCYTGLLEVCFGFSDSDQAGLLPFSLSLKDDRSIHTAL KEIDTTMKHAETRRLPLADVLKMRPMPESELFNTVLYLNERKGSSARRSSHMRGLGKE TYAVAVRAEMSKSTCVVEFLFLRNSLPEAYADAITVCFESVLAQVRAAMSSESTIGDI DLLDEFTGALIGDWNRSLPNRCERCVHDLIQEQAQRLPASAQAICGWDGNFTYSQLEI LATRLAQHLQRLGVGPESFVALCFNKSAWAIIAQLAVLKAGGAFASLDPVHPASRLRA LVADLGAKIVLTSSACLDKASNLGAQPFVVSQASVEQLPLGGHDTSLHEARPSNAAYA IFTSGTTGMPKATVIQHTALSTTSLQLAKYLGLDSSTRTLQFSSYTFDVSVLDIHGTL INGGCVCVPSDSERVNDISGAIRRMGVTHWNSTPGIANTINPKTVPSLATLVTGGEKM SPGHIERWSDRAVINAYGPSEATIIATVSIKVDRQGNLSKESRSSIGKPVCGRAWVVD PYNPQRLLPLGAVGELVLEGCNVARGYLNNEEKTAKAFIEHLGLPDNELLKEPLAVQE RMYRTGDLVRYNSEGTLTFISRMDTQVKLNGQRIELEEIEIQCRQALPEDSHVVVEII APKSKTVRSLAIFFCTPEYALETASHDLLLDITPARIRTAAHVRRLVQESLPLYMVPN FFFPVKQLPCNTSAKIDRRRLRAMAEDLSNDQLKAYSSSVFDNVPRETVPDSSQSTSP SLGPTPLTSELSCRSSVSSNSDMAEKSTSVTQGTVQSRLQLLWSEVLGVDPSSVNADD SFFGLGGDSFTAMSLVSAAQDDGLTLSVADIFQFPVLADMVKCCQVTVATETLTPSPF SLLPNNTNVDELLDEVANTCEVSKASICDIYPCSALQEGLITASIQQPGAYVATPVFK LSRHIDIPAFKAAWQKTVDETEVLRSRILHTATANFVQVVLKPSPIKWEQDPSRVATA AGGALTGYTIAEKGGVRSFTWSIHHALYDGWSVALILRKVEENYGIYRNFIGPSTSDP GVPYSLFIDHLQKRNLAASDEFWRTYLSNMSSPAFPASKSALPKTINASSRQCATANV SPVRKDITLPAMIRAAWAIVLSMHTESSDVCFGETLMGRNVDLVGATKIAGPLLTTVP TRVAVDSSIKITEFLDQVHRTAARVISHQHAGLQQIRKLNEDTAAACDFQTLLVIQSA EPQLNADIWTPQSTETNHEFFTYPLTVECRLADSVEITSYFDDRVVAPWRVQRLLGQF TVILSQLTTTTTSDNRLLSDLEVVCAEDKKDLLTWNRAAAPCVEHTIHDLINEQRIRQ PDAPSVASWDGDLSYAELMDLASGFAGHLSELGVGPEVLVPMCMDKSMWMIVTIMSIL IAGGAFVPLDPAHPVSRHEEILEETGAKIVLCTPKYCSRYTGKVPTVLGVDEPTVCHY RAKRVSLQGSATSTNVAYSIFTSGSTGRPKGIIIEHRAFASSTMAYGPIIHLKPGIRV FQFASLTFDAAVMEILGTLIYGGCVCIPSDEERLNDIAGAIRRLDASWLFCTPSLASI MEPASVPSLKVIVCGGEMMSHEAMTKWSDKVHFINAYGPTETSVYATFNPEIGRNRNP ANIGRTIPSTLAWIVDPSNHDRLYPVGVVGELALEGPVLAREYLKNPDKTAKAFITNP KWAQKTYPGAPDRRIYLTGDLARLAADGSLEYVGRKDHQVKLHGQRMELGEIEYRLHE HPHVRHVVVLFPKSGRLQKRLVCILSLNSLSSETSLISSAQCSLVEEEAMQNQGMTEL MEVQASLESQLPPYMVPQTWAVIKTLPMLVSGKIDRKKITAWVEAVDAATYNRIMSDY DKIKRGEVSVPKRLLEPKQNDTESVLRDILSRVLNIPAQEVDLSRSFTSLGGDSITGM GIVSHARKLGINLSLHKILQATSVRDLTKDAEPEAGKVSQSEQANTWFDLSAIQKFYF QYATQYKGSARFNQSITLRLSRHVEPAVIENGLRAITNKHAMLRARFRNSNGIWEQMV MAPGTESYKFQVTSFQEQNGLERMIAVTQNTIDIQNGPTFAAHLFEGHGVDQILFLVA SHLCVDMVSWRLILQDFEEYLKTGSLATERPFSFQSWCSMQIEHCKANNYQSHLPFSV VPPDSTYWGMNDLPNYYGDVRIKKFSFGQKETALALDKCHQRLRTEPLDLFLAVIMHS FRRTFTDRSLPTFYNEGHGRQTWDSSIDLSGTVGWFTSICPLQVQPESNDILETLRMV KDARRKTINHGTSYFTQTILSPENQPASPSMGLPVEVVFNFLGKLQQLEREDSPFQHY GKLYDENDFKLAGDMGPQTPRFALFEISAIVLQNQLQFSFTYNRNMRLQAKISSWISE CEMTMYEALDILQQPAQLEVMPSDYPLLSISQNSLQTLFNNTLPRLGIHSRDEVEDIY PCSPMQEGILFSQLRDPSAYILHTIFDIRDNRNNQPINVPRLRKAWQMVVNRHTILRT IFVDSSSKNGSFDQVVLKHLHADLVELDCDGSNVLEQLDKVSLEQTNHKRPLKKLHQL TVCKGPDGRAVMKLEMNHAIIDGASVGILLRDFSLAYERQLSSEPGPRYRDYIEYMRT SPLKEGNNFWAQYLCGTRPCHLPTSSNGPRELRSVKMDFHRFAELRQVSRRESVTVAN LVLAAWALVLREFTRTEDVCFGYLSAGRDAPVPGMQDAVGIYINMLCCRVQFTPSQSF ADIYRKVQADFFRSIPYQTCSLATVQNELGLGGQMLFNTALSIQNQMPSASSRNSGLS FDTLKAYDPSEFPVTVNVVTAQGGEGILVRYWSDAISTDQANRLVAGIARVFVSFIES PSGSISSLQEPNGSLPPNQQDDDLRSRISLRRQSSVRSRSSKLSINDPRIQQQIDERV NEILSQILKKEDLAAVQETEQCPVSPDDAKSFASGNEFLKPPVSPVSRMTSIRRRFST ISRASRRRMSSDLERKLLLLWSTALDVPADMVDKQDSFFRVGGDSIKAMKMASAAREE GLVLTVADVFRNPIFEDMLAVICSTNIVHAAPVATKESIEEDEFQAQRVDESADELSI SGRVISSQSLQLAHNPEIESDVLQSDICPKIGFFKGGIADVLPVTDFQALSLTAQLFE SRWMLNYFYLDGEGQLDVKRLRESCARVVDAFDILRTVFVCSGDHFYQVILKKVRPSI VIYETHTDLDTFTTSLQQRDRDQGLRQGEQFVQFILAKRKGTTQHRLLIRLSHAQYDG MCISKILDAIKQGYEGGTLPPTMSYANYMRLLPSSITPEHYGYWTKLLQGSRMTDVVC RDHPNTYQTMGTYAEVRTTINISQNSTIRNITVGTLVQAAWALALAKLSADADVVFGL TVNGRNASIPGVQDTVGPCLNMIPVRVVFGNKWTGLDLIRYLQDQLVASMPYESLGFR EIIQRCTDWPCSTYFSTALLHQNVEYEGHIDLDNQQYRVGGAGVIDNLADLALVSKSV SADQISLSLGYSSKGPITEAFASRVLRLVCDAVITLTSNPMAQLPSPQTLRALPPQTI PDSPRVSDQQLLTSQLKSQNISDILVHSAILSRTWQQVLPSMYSNQKTFQLDTSFYDL GGDLFALGQATWLLQQEGYQVRIEDLLARPTFLGHMAVLAQDVLGQTFQEDLTNTSQD ETSTPVGKSEKKVRWKKAFGLMGKFSKRESVSS ANIA_00015 MNETSDFCAIIDDSWRVHARSCRGGFDFTLLFEELALCILPIAF VITLSPIRIYTLLQTDSKVGPSKRPILKTSGWLLWGALQFLQAIIWALPNARNTRASI AASLLMGCGSLILCVLSYMEHFRNVRPSLLLELYLLVTLLFDVTRTRTLWLRDDNDYN KLMAVIASFAVAVKVVLVVLEGWQKRAILKDKYRAYPPEALAGLANRVLFWWLNPLFF KGYFKLLRVEDLYPLDKRLESARLHFAENRTGKASLLNVVFKTFKWSILAVVPPRLCL IGLTFCQPLLLHRAMELSAEKVTIESTHVGYGLIGAYVLVYVGMAIMMSQQQHLTYRA ITMVRGAVVSLIYKKASMLTIKDADPAASMTLMSADIERIVQGWQTMHEIWANATEIA LAIILLEKQLSIACAVPVGVSIFALVCSLVAMSGVMARQAKWLEAIERRISSTAAMLA SIKGAKLLGLKPSLMASIQDLRLQELTISKAFRKLLVWNMAFAWMTRIFAPIVSFAAY VAISENAGRGSSLDINMVYTSLSLFALLADPFLSLVMALMGFLGSIGSFTRIQEFLNK ETYHGNPNTSHWSSVTSLSPYKERHLSSDTSSTLGMKLALPFLDTLMVESASFGWDPK ADPNLQDITLTFPGRSFSMIVGPSGSGKSTLLKALLGEVPRLQGKVQVSSDSIAYCDQ TPWHMNGTIRESIIAMSEFDLLWYTTIIKACALEQDLAQWPQGDQAIIGSRGVALSGG QSQRIALARAIYARKRILLLDDVFSGLDAATENHIFCSLLGVTGLLREAGTTVVLASS SVKRVPYADHIVVLDEEGRLTESGSFGDLAEQSGYVSSFSLPAPNWDSTGETECFPKP KPSRTAVLPVKKADWSEENVHKHTGSLATYLFYIRAVGWIPTIIFLAAIAAFVFCISF PSIWLKWWVAADAAEPGEHTQHYVGIYFMLGGLAMICLIISCWEVVVTSVPKSGERFH EAILDTVLSAPMRFLSTTDSGSILNRFSQDLQLIDMELPIAAINVVATLFLCLAQMIL VGVASVYAAIAFPVVLLSLYAIQKVYLRTSRQLRLLEIEAKAPLLSHFTDCLSGLVTL RAFGWQDAMEERNLNILDYSQRPFYSMYSAQRWLTLTLDLVVAGIAIILIILVVALRG SMNAPYVGIALFNVILFSQTVKLLVQFWTNMETHIGSVVRVKDFTENVEREDLPSENN PVPPLWPSQGAIEFHNVSASYGSSDLVLKDVSLEIKPGEKVGICGRTGSGKTSLMLSI FRMIELNAGTVIIDGLDISTIPRQEIRARLNGVSQDAVFIKGTVRQNADPTGASSDRA IWDALKNVQLLTVVQEKGGLSANIDDLHLSHGQRQLFCLVRAILHPSKILVLDEATSN VDNKTDETMQRIIREKFSNHTIIAVAHKLDTILDYDKVVVLDSGRVIECEDPYTLLTR DSAFSKLYANSLASEEELPN ANIA_11258 MTTREGPRILFCLTTRELQNPAKFRQYTQPGRR ANIA_11257 MQILSTTLTVMSLMSAVTANTIVRWHGCSGEYQETQTTGDGCTN VSGFKTENLCSVEVPPPGTDRCEFYSTACDVMPSGRNTAPDRRSKAIR ANIA_00014 MRWLSKLLAPYWFSLLPIRSHAWTFVWRDAQNNTHVPSGSSDFP CTEIANPPGMVFEYDADGDFTTFYVYQNTNCSGDPTGWAEHYHSKPASNFLGSFQIVD RRKTQSATASSTSSTASGDTPLSGGAIAGAVVGAVAGVALMGALVFVGVRRRNNKPVT TAGSAITSNGPAGSGVVPTLIEVQKDTLQPDERPMKNEWVAVAGSEQKPTRMAELPGD FEAVEMSDSHRVNEIEGTGWSLQSSRNA ANIA_10009 MIIMISSGLGRLQVQKPSSKHTGNWLWSSTQIENMSPMRQPNSN YSTKHMNIFLIPKNAKNTTEYINQC ANIA_00012 MFSRLLSTTVLVALALTSQAAQTFSNTGTIAGWDSTNQEHRGTV QEVSNVAFEGSQALKVTQVYDSSYSGRYHSELVHNAGYRRGETVFYGFAFRLQQDWDF TSQSYNLAQFIADFNDSGCDDWMPSTMIWLQGNQLYSRVKTGTVCAQQTQTFANLASV SAGEWHKIVLQVKWESDSTGFFKVWFDGEKVKEVYDIKTTIAHDRQFHFRVGLYANAW HDQGRLEGSQGTRQVWYDEIGIGSAFADADPSQW ANIA_00009 MPINTDNDGYRLGADVGGTFTDVYALTPEGQIARAKVPTTVEDQ SIGIKNGISRVQQALRERSAWDGKFQFIHHGTTVATNAVLEGKGAQTGLIVTVGHKDI LAVRRSQIPGGLGAWLHYTPPDPIVPLERVIQCSERMSVDGKTVVPVDVATLRGELQK AWVKERPEAVAISLLNSHANSEHEDVVAGVVREVLGSNITIICSSDVLREVGEYERTV TTCTNALIKPVVQTYLSNLQTLLAEDGDVIRILKSDGGLTSLDLAGQLPVNILMSGPA GGVQGVADVVTRNTQYRNLITFDMGGTSTDVALVYQGKPRLRRETVVGDLTVRSPAVD IRTVGAGGGSIAKYMHISETLRVGPESAGATPGPASYNKGGTEATVTDANLVLGYLPD TLLGGEFTLNAKAAWAAVEQIAKQMNLPVTQTAEDINNLVNETMYGALRLVSVEQGYD PKDFALVAFGGAGPLHANAVGKLLGAWPVIVPPFPGTLCALGDATTRLSHSQSSSFIR QLAATTSQEVKRRFEELEMLCRETMLSSNANQEIPLDITYHIDLRYSGQALNLTVELE RDDLSLADEEWRKVLQTKFDQLHDQQFKYCLPNFKLELMRLEVVAVDARPPIDYPHLS KATSSKPPAAALVSTKTIIVEGCEIEAALWDREKVSQQGVRLNGPCIITETDSNTLIL PGYYGEIDSIGNILIQPVDGVTREETKDENPEIAMKTVQSTPLIPTLIASALASIRSE MDTLMLRCSMSPAIREQQDEFNVITTAEGKMLVGQFGSFITQFLRAWKGTIEEGDMFI TNDTYMIEGAVTHLNDVIVLLPIFHEHRLLGWASQFGHLTDVGGIVPGSMSINATSVF DDGVQIPCIKLYSKGVMNSDLVDLLCRNSRQPDWYRSDLMAIITACRTAASRVCELAT RFGSEIYLAACNELLLRNRVAMAKIIDTDFDPEPTTFTDFVDDDGHGVGPWALTCTTK KFPGGRLLFDWSGTSPQSDHSINFYLSETMFKMFIGYYMIAAAAPGTVINDGFHDLID VYIPEGSILKPVRPAPISCRTHMLGRTMDVMQALIAKKNPVYAAAAGFSDSPHFFYSG YKPDGEWYQLYQIGFGGVPARQAGDGLDCHCLFPAIKSIPTEIIELNYPLRIEANESV PDSGGPGFFRGGNAQRTLYRFLSRGEFSLHDDRWFTKPWGIRGGKPGSRSRKVLYRYS KSETEPPVEVLPSKCDHVRVDPGDLLEWVTWGGGGLGDPLTRPAEKVALDVRRKLVTI EGAYQNYGVVVGTEDLILNEAKTEALRKTLAAARDAAGGAREGYDRGGSIEELRQSCL KETGLAPPSPQWEVDLYGPHVQIPYVQKWYKHMKEAGGWDLK ANIA_00007 MAVISLGVFEHISNFESWVRHVKGAAALVVARGKSQFARRSAIL MFNQVRADMATACIQTVQPFPADLQELQEEATKYTDRWDASWLAGILATRCATLFAGV AKKHQDKFLETPRSWADFLEEAIAIQSDFQHVLDMLALQEPYITTRELRGSTTFVSCN GQYDLYKTTWAIRLWNNCRTVEIIVCKIICWLINQILTEESARPASSQLKLQSKLRYT MQVISRRSTDILASVPQGLGLVSVPDADIPQEPSVSGGYMLIWNLYTVGKSPAISAQD RQWIIKQLKGISERANIAMAFELAKDLVKIGRTEH ANIA_00006 MSCIKDEDTSKIFPTLKHSPSLKGFTHLASDGVYRSFSSSGEVV DYKQLSPTEITKMLEFFEKHTHNSESFQESRKKFEGVDGRNVTDLEQLLHPGREIRPL RFRE ANIA_00005 MRSIFLAVLGLMATSSLAAPRVAAQEGISAFDAMAKLKSVPLGY VHIADDGVARAYDENESVIDYVPLTNDQLKHLLQNLPEAWKKEEDHLHAVFDAVDGRE ANLAPVVMLVGSWAAGAVRRLMLPYQEEEVFAFSEKIGTFLLPYTLPSS